From 9a04e5d11893c0b48a341fa8829e4924e68c08a4 Mon Sep 17 00:00:00 2001 From: sparky4 Date: Thu, 15 Oct 2015 12:30:27 -0500 Subject: [PATCH] more oginized variables!! --- 16.exe | Bin 44858 -> 44915 bytes 16.map | 403 +++++++++--------- bakapi.exe | Bin 46278 -> 46279 bytes bakapi.map | 566 ++++++++++++------------- exmmtest.exe | Bin 36384 -> 36374 bytes exmmtest.map | 294 ++++++------- fmemtest.exe | Bin 36162 -> 36159 bytes fmemtest.map | 284 ++++++------- fontgfx.exe | Bin 42054 -> 42126 bytes fontgfx.map | 385 ++++++++--------- fonttest.exe | Bin 37001 -> 37002 bytes fonttest.map | 308 +++++++------- inputest.exe | Bin 35867 -> 35874 bytes inputest.map | 294 ++++++------- maptest.exe | Bin 44621 -> 44689 bytes maptest.map | 539 +++++++++++------------ palettec.exe | Bin 41539 -> 41617 bytes palettec.map | 381 ++++++++--------- palettel.exe | Bin 43140 -> 41751 bytes palettel.map | 543 ++++++++++++------------ pcxtest.exe | Bin 29906 -> 29924 bytes pcxtest.map | 453 ++++++++++---------- pcxtest2.exe | Bin 29930 -> 29943 bytes pcxtest2.map | 453 ++++++++++---------- scroll.exe | Bin 58752 -> 57254 bytes scroll.map | 1035 ++++++++++++++++++++++----------------------- src/lib/16_head.h | 5 + src/lib/bakapee.c | 14 - src/lib/bakapee.h | 1 - src/lib/modex16.c | 104 ++--- src/lib/modex16.h | 8 +- test.exe | Bin 21358 -> 21429 bytes test.map | 349 +++++++-------- test2.exe | Bin 23098 -> 23170 bytes test2.map | 371 ++++++++-------- tesuto.exe | Bin 19494 -> 19486 bytes vgmtest.exe | Bin 40394 -> 40389 bytes vgmtest.map | 334 +++++++-------- 38 files changed, 3550 insertions(+), 3574 deletions(-) diff --git a/16.exe b/16.exe index 0bbb1d56ec9f77e7d1973d2c05f0c12f15206598..b4364f91c6dd2884dbf0eac1552dd60c742df0f6 100755 GIT binary patch delta 43239 zcmW)nWmwaV_r|~LF~;c8FjAE68b}Tl327;5kruXr0pB4ZV}PiS1r~z!SfEG^=~k2y zCj&u5Wt0A!n9z&ZA@L@k+5H4(h~qQB-WLMcZd)2!nj65|EeWaV1S1d>wgh5$ zvEc?iq>hBQz;*Vz#W-};hX{pSNU~=jzAu1Np5D$x--Ajo~ zBCN(8Pf=mU%N>h+l`I9$nnqK|kx{Ejv8pkAYHWJO@zj*w{HL1NrqfdlCK0i`bim|N zds9kmW2Pto5qLqp;eH4jQXqn|6LJt+5*Iv?n3dF)0;IQp;E^(4mDB_-{`7C&O#(5X z0s(wQ2l0KHQYFh+%vpFpasu55m6AGdP{ytnjG*cGF*I1w)?Oyk1YZRh%HqQe+{LS< zO$K}b$Gn5~Zk{o#aGZ5+f&h6vGw>GM`kCynrsb({oZTWDs-lj{R5R+p@fLSf%8%LP z*~Od&$QrVui{G2oZDw`e8WeO;crea2KvPe4wtB)rcP)kpmFC|Ch!FCrd^RCV3IC8i z-~(gF0mhTs=a3TbZ+d2T}M6Af0_GryFwf>(308sFYfBaZH z8Ay+n8cPj`*4}&0npK*jSYW$)H74^oSQ?`it7u^>KNBY%b6NtMq>D$zL@JmWD7ffv zr$uK0$>zZ6eF+&TDk*J0jG{oyDoOjf?czmpFi&r-jP(kXA_xKk^H$*AJ_7pZvQK$L z7?~^5BoMTcc8-SYx(*qH(OGo%5EE(CFsK6yV$K@UNn3Pwz@{jDgP&&e3X|+-V04w1*e&~##(N7_@kL~6-Q<;z2Uf#0o05TJrK$epE*52pEOJs<7I5< z+w`xcOd6%imJHDa6lf{6hmL4!9MRLS&fE&0>|IqV4G?dTA(eb|z}c8F5GxFS=Mlh;G*+~-3mBo@^5o)=RNvnk>-6|NP zD1e=0v&1nUbax37nMf;ZAmKc{=oTEFm4JEckS|IWx1ucYVw9srIsB%k8yVqxSvdOd z_f8*nGQ2k0(Gcpvrdu&e8IAA5Gv5ZaN#$vS2T$MT+mHOo{k|zk zM2L|tP5p+meE-JluQ(*2%ahH7_ba^K@o0305T`c-zIzvVaH?E^g?{ifbo8>$ z9@q(OgkEvmh)k0<`D!UgEL~;-cc0!l_T-<)43K``b#tvVgAh>+0cZ@~sxXw#Ip1N8`5A zBReD5%lMCpmSBq`B&J3PtsB{$N^sh3oB~9+Z{4{J80m6+BPMVKN^qE^sQK=QB`J$juIU-!tZN^drb4 z_+(7Hr0uOsSv%3s(HgIcK5D#=J+1gZS#w;MZUSG6zMl#2kYY!{PfJJ3aW!d24aI1p zoye~P(NVK3mn~vfZn6wfxbO(<8w8qs7;y#Z z4$kQ_?FHClh(|K>ATgW#nHaW9o+4V4C-V>KIq#;c5y1@QQDs4G2Ap45wESp!R#Jpq zO%w>F4-zrd%H`H>q6Hb=#D5_O4|G-4H2tN_tvBJ149ZeYN?pEO9QC4wkKD9O*rjzXf~lKwMFycnf00PYWA$R07|(hO>7i z%|z?YZN24GW-pH}d+p#nH<*dfuY(SYDE@NmA7Us)M`~Nq$tL_%I)RZ!&o>gpW#|wM zGF&J*dY${s;7TO{F9cypyMNoMY-0LuhCDHaJbp=uuerM%LS+(@rw@Z?-QdKd9+r_C z=%X8k>1M>AR+&bIiMl2sTfCp}Y)mkkIXfVO6>#DNMDlWJX7Z)5*XStnU*?Bpnl~UI zI;6viBo_6hf@Gvc9QA6%E5#i-p)SKV7~ThQZvrN9FAF)SSHec7=X~>hXcnldT5}=z zpqaj{)`HsuHPX}?$cmj0sa=qEU@irGR(hiHpFv~M!6vg`EH6dK$E!&9ze47Fpt$KI z^Eta!&(CZG+P?xJ!wZ-nS*)CdWvkB510VFBemviix4$94O{ol*i*fEKb0k|J3w;0P z<=>F6J=UnL7ZsfNt^ry5udM)8`4|;g-;MkuG_kfoJ~E~Vx+!Yln0cY1*J6N4=pbq9 zrObbGZ*K=8_;@pcCs$^Z3$U|meAgvz%YI2{R|?BeA%={TwYe5ozwncHR;mgim2}iL z$4$VokUU`v9gz~Qv&){AI!&3@lql3d_9!b0!~;^~JTdQCi?;60q}NNDRXNtSnLQ1D zTETfwzknw87{x9v11nn2R?3+ZZ6XOtu}hwN%2W$20DN1=!k(6S;Cv&Tj*93a7c}0n zYdfC9KUJlK2i4{i$RnIjyUdjqNU2zSP_PFXz4n@$!w-+NN z!M{){vTrmmF(W5O0-H^aIiRh?SFyWb z!RCR2O)p*-CuzqXvNsyK(!>+KP*u5!+v#fB)r7v>F2@FJ^agNLw#Dj>0JA)KO34LWC!K;`-GBN#X$EkZi0K6OHxPmJS0|pPB_<{u500Na z7Psn<6qS0#0MHizdVnsV1E>iAX+RPX2SkPUdlwv5?)39-DNsh5{?M*u9^7f+M*u7P z7Fr_DLy$Hzd|{9-=)}_2iU!t-Q3t>|awzc&C01b@UER`<#l%4D|2m}gKgj5-;&JBj z8*)1#H^;Wg!g+SyBOw?;y|RQ)2lbGs08FeUv=9KF@tu{RAe2g`a!5$<{E?vdmtH0s z9;7b6j8O#6^{3^QKH7aqBO7XfLzRdB=SBKPzmJZB)$|V{vQK_>&{08MdIvf<32m>F zAWfS+?j)YuXl}R9@$0hHi;y*~Vz}5$5h|P6DFTUIEfC?Mw2I&v$K{hYsrS0lm4{gU zT(bGECO^sI#Q&{HLqYL50Fv}S>sKcRFXOLV z2m;r3X{L})i?MJZRpL_uQI1cf0bM_DULXc%)|T>;phg9g1t*R-Y7scK2_-93wX(;n zhYf!W9|_24_Y+T@93Y-Tw%7WdBR`&@r5u)x&-1?iuD&&2pgSEYulsRWC*neic89=1 zM{Ap=zb{5APXw<2^2=`i9(lz<9feBV7qB#ZO^!6y;hQ4SDxN3zcn2dMwB^3%X1XxY zRNN}Kzu*L7Cj7L2PDsihFhAD)E>NC+5`m*l8FpPEawjzPve)h`+QqMwZ3wFuDZGbf zZt{vfw|TXYu{ojW_4jv9O5be|+LRpJT-~_gxV6;+fv$w9++VNXer8}|!ZQ&FKx2Xb z>mtb&fd^Tln58xiTl-!71|^t*ZA0HsmcmAh%NnxB^;gR_5rUueh7|$5ZS9@_I(3sl zg<0C0R<8-$BeS1x)k_ECtStsPRLr9ase+o_71=gX4E=K7)7f&_jl8E>${mmpRfZio ze4T9Ui#a%r<{C+8Z6O3qSrBd#xkPMY%0pB(j0VS=_&|`vY82eHkp2-#43;UfLAhEa z8A4*harcftMkLO2U5WH9SuDo3dRANq#S{ZF2ui2_gmVwTxPSH(%{}AEb=ZPW1APm| z<2G{zz2Q9gU)I5cay92Oi4bNjR6&!SkD{ZgOk_S}V0~C(9kgA~wXV(ozRscs_u`{ z>GmHMM@In9UVg+xUv7NE&1}N_Qu)Fu5V~56RnwyOO>u|pyRSQJY1hoI?Sz)xFIp*a5j>L6URZk)E$-1(~d^x|eh5LMAIT(_X=jUsZ z7kZ*#FzI5mf&TcSrh9*xxRIm!3q2kW{Il%sz&*&lp~T-hu}X>f~%p=?uz zvJI7iv-H@xWP#LTgC_B2qero(1hiz9_S9_m{o5PZZ3@@3e_L#4M@Q_@PUoZR9R#p( z4{qYA3Oe%vK+{=MtdK8oyWP2Ad!MUnsW0hKime6ku4i9K3SE08hs;VA4U7vGHJbL( z5&cYmV>I~APs;5&QVU9&a!cy+UD5I@lyJL_)5197@b)r3eJFdc?j09snuL0Kr{Qxq zIw`Mfk}k9TiT)rG3#sUR4m5B&K($`OA$ z^wK?iD5a9OS0NoTo;Fm#F5Yt2%di$ZEyD=V-i8J6G+-Cee~bpTX1GV8D*$~9ueC

SolZHHOI6WE_%#+OpARF@!Z1FdF-h$Si6--W3&v0uo@egzeXWh5~Km z^SKQ?yTccGoF^IY-Qdj2`|97;kRzFi{ox?&fuM?WUu;%ZXPxGa&Si$Y^qw01dTPeh zov%*XM0cXgxnpi37+JdEChFkhL!kzu>M&2R-v(JGnc+pFpOPF^T6n(?Y2UQ^yXx|o z!lz=PFI;91WMjO!1N5H}@`FlL&EeeSLLPdC=^1vsJ8049YHDMb>X}}**pan8bd&jd zkz2J*G@LsZbRE55qP-`7xYp$0JR4l>|H8lV@|=u3G0(Q~x16}D$Q{?&qC5dK{n3yG zqU9X~=-9Rw)yr%ae8R3lP77h+da|YOU-}6`gdurb&`i`K2;>d(VZap#y#5wJZJ0lu zDu$rQk@kuph5jhDH7X&^lfD52MI7YfoRj-7D_zzC*N6H~ktqtvEw9s0##<&9;sK7^ z?;t$NeSj&6@)%%>%j2FkZb^GHOYF|Rrdvn^3Y!`}IXFqaRhv$(B&_^H?RMp&T!_x^-N%xHzyCdInsxNR>1=PX34?zP6@3vl%j0!JD2DJ-O0#+YM(CEM zgF+F+ITy_kdJBipcZ@0*#9itYdSkH>3a6G|K%Zg>_{f7=%FyRun3!iUm#)P?Za-i) zp73&`vf-qw+$bxynl+^wPDfopcTo-);Glq5qZIba#RriajdncxZ-@u1+sw5I?Ea+C z6)uSIk2AhA^H}D0>Qc#AX^7(D2aDh4fG77pKeK$Xi~n)?A|j;!KwtQ;DINtS)|48Sabsg(MjH*$w$3>h79n_}2@j0f4L+2umANbkT1crJ8O42m~@ zivYrg1OnRWq_^k};s4fR-=;%d2G-IS5h@UXc@eIYViB-1^mYNkC|_CNVpMnn0rMh4 z2X^Dg_qU<&3+dk#*KzoLt?Ggo7`Xu3Xng5vn&H@0>uPCs*1RbzV6uFml0}S~RFGO=PFMp4_okW7 zBecZ%#xQq{28g}x9Bx}g18VYzH0>3nZ=yI2{|PLTRW$T$3_pIGK4Pd=qnB-*s}^rF z$8&mW|8pC{B17DMBW`n>BH$}6sgi4&llCK4`Eo!oW(ExHWUR721l(^JAwK_;KOoR> zxAgF@YC0VqVuzN=HF3!A%*>iD>p(IS!=h+WEg~~_JcBMp>b7lmb7v0L{)XM;uN$J}ax{U= zIVSW#qjcAt%E)~ALZ0$I&U_J9GLN zU%IN=GA5uBDH^*`e$mA%2&tb*WJ~2}=&FLlx#`f6=)QUUGb_@Zu!u%rt-y}E<3h^E zmzp2z2q@obrL*v$TdnddMd6y$MnWGjMtJ-7m^K=u-OusJ&k@+)#{OX~+ABNp2D+*6 z-H;2`DkmtSGPzX_djF=R*-n;_;^nQXYR!nus<*}Zhhr2vTGZQ{SR;@HjM+XnA+QG< zBl`%g3Q@Ix>~a?{fv$MG*)bC?$HE@V$_Oo@oA~7}S5K)QlwT85tApvX`|#^$SW(bR zo)pJYFhKXv22#;CuYhRt%8Z~-ZCZgLQ+0$YpHX-LdC1#Wt}L?1uh4?hMarM$FU!@q zM=CYFR4(-dxlBUlY6#*yCTs>Y_y%bb>VsobkvmTWLXq}|YTk{cIbn|}2o=e}|H(bp z!6T@cbJr*OcaE)({(!2OZObXNtlP32Fj|7y-sMFSJCEQ0K1C##4^b6nHQ}ocA@d{4 z&Zfdbe6}Fn+-vZH>s+gK)knD6XOIh3ruL>?-b^&rNX);=! z^wrOM*=jTP0+M7;0q<#+aPPmnZoltg;j^5v%rBI6p4FRlwzxdp7UEazksv3?zp@uG z5(vLAe)dI*OGMFB(Zdc8c-AhoHd+WE+JlUsMs+xC=>I<`DTvg zkh5{Z(~)EWHA`I@4$4;+w~1V~RK=#*Lfo1?jXFB8U{Y+#F><_skQztGNTsBYM#m~C z#q7prkdLQCK7^Gz{Y;NNhGfTP$;Yn5WDxWlWHG|VQ~Qegt74BkC*MiWKVTOhnG(ac z0}OAN7V-XpZxfOu(+((Ph}|}H{^a2xnw=3#Za5L`-YAv=l0PM#OaK87kE6~Tl;kvL z{7IfQ!6|t+DJEqPxxp@N51SzGyzB4bt#4#5)qtX;t;WXXWK?Q9yly-mMHxuDe@g%a zdH5#BCfokeOrl`i*&g{WiQ)%B;l-|61R%qZkd!v5l$kzxFH(l-MKF5haiQ@o^@8G^ibu zW=Ar!ctSu_B(|YCeMZ>ObW+4u_OtcyG>Yu4Z*nY_{oXOVHIa~#swW?}$y5a#t|bmd zq@3(aMp9Pi@pY8of;iW1U4n*%3L!0C!TF^z_(LcCnuY2*TxVb{Eh?6sm`Z)*sL*|U zUrq`jEE#0U$MvwnOJ7wGwxP%1_28P^t44t*0o69d&LX!3#VO;)l&QWeEdf%nzL}4&q>3dCnQj!XF^tbghKcQo zrWQ6P7H!FBvm<6{sY&ndA51n#zoynH8YHQshBLxfIm+%Bv?m>paoeD}Ag1=n8L7F4 zq!gweV@1a+=)Lw%Y?o}wO3rX#)C853&fr~R$>+IV6mnFT5iEJ_$fN&mM#h3!U*a1Y z3D#FcWE)R@$iO@S&{uvG(nZGfqsNVthK!%wBBsU1pPQ)a?7KEaZ}5$>5~+O`-FaxC zJ~rl(QOc8;H<~LqcGoic&QaG{$+07;x}Ngp+G%3n#h;=ApoeiMC_fHLm_4e}DNaeE zWyuBx-akP}k1a16+xHF6=`xB1jW7qL6$oB04*O)-*LYO|csRNSk5Ya?s1NYO9!iht z@Q^8XH#OCZ>vsP8G%DiN@%_W4wK$nJ%e1NLV;>S5x-#Xvld6%eDzmD7TG-_vv?Wpn&K-|C?s!tO z-0#7K&+X%pM!tI*7(y6k;>4aMGCj?#(Q*Lj z^x{a6-9h{JQdiEiXi@f89ig1m^bQe%Zo+-X8UGYs43Zl8k9DNelABNqHs;%S>42`f zH|4;@WbC|zuDRZjc>#%vjEyOOIO#3lZ9_NoJMN_$B5W-mMwt1X3?|=Q#eQByX|9a52icq*QugK<9ks_C(WiO?dng*N)O-F8?teJ`Vdg?3IQ#mng!&6Nss#?GIYs)%F%ifN+pn9xXu?4jnlpA9r{IG>D#v!1@(@? zDmL^h+(v=ul3-VuO(y2Gwe7J_7qMBx<%lwt5S+%3dE0aF92j%-)=H9zQwi$nBR)q2 zD7w!Ru&>FP-aKD}P-U*0?TZ*b)0Qzi|#<+iMNa9hArC%W2U^7Y;o)^S?^0uXj179egH{ zj0EG9)mI(Ex;`t;2bq^Ed`eVoa|C5)R38EklQz602x~K+=>^aJVpQ+E5E540(4D;L zOgh+&`QWj|izf3HA!OHQuV+achG$yd3txYD;-K(M;V&OS9S;5366h$sy>i7{kc6UJ zh&Qc)(ELg9PjaIsR({&Q!ndAEYA7fef(kNo6-s^wqqYq7u56>8MBO6va8=Vp*O{{7 z<-fM`_SZz7*Bj~5Air}w~p)__Z-k&%`BZW?(C8!-t+^&$Il$u+-_aYjQplG-9zn{~u3P63C_ z7goyX1qoEm?@(O-#WJs-@7eUP6cuO1(~iYQtub(a*7Pk>UE!;*62yIe|_>ul}!1 zo((*`-;rYDQ=;JgkH6C0fRuBl_G(@8ArcJse#R!VgJ_R#H3yMv&{^^QbUr;nd2ZvW zj)FiD77DfM&XD**|5Kvf9*Sl7;pl~*FV8K{!`1?)_I6$H!d~L(8aH00#IPC8nxW&k zvg8yOY|=D6Ojx0}H?$dj9KLnO(%$}b7Q+h)U6!Mpg}@FahgwXYEy-!lo4bCG*#Y8V zr(M?TGH@ht`Y2}_h?p6$RG6$|h`JBpcm;zL3?cGwQro@|!hkA%EQnaW+Q9ufNcry@gCaa_;jo|*;)`q7^KTK!Wl=r>;whHHu5fxU8!dkp79 z?9R?7Hu49Nyr;jwccd5iUlB{f+a~+0CvS_1w-s%bOpy>4SgiR=$4AV7$!7ee z$lNWy0CtA$x7k7)pUeJ8;w>F6RDAKLK*`lUuh3EMHa0NnFbWdsv!VdI(05R#|FBl{ zFS$GtZ-CyX|2!$jk3v$a5-yC?ItSiRIy-Glmo~&KYeFp`Q3s$`?3}HQct2E?xjl+n zKh=;JwO8;W)PnA$mtvza7KITBTyV)WwZM*))8tQV`{;W*h)sB@ECK`w-sQqmiy-mNql@?H0+-*4X zqvgz3gQ<40#QV9iV~^X?sdAIq-uL`a`&I+iXq!K`7$j~kT_|*7g#J|KN;Or%x#e0( zSHuYOBExjI7G_~193Kgan^teWRZSMRXxv9v_D2VGV%y_;FUa?$O4h4sJU@|5lHmKb z5t}hwmHINK$9XXtL8PFE?0eupn=>s^nccr7N&Ams%-_!-bR^(pt*+=<)G1i zZZ`_74$KrV0kLa3(4YfAxg<**^xWpKcKiz<&tD;o->3)dC4Gf4AEJ%r#2EWT>k6cN zq^&pULgx)o70v7Trqqk;iH2wews6JE4b+VMAIW>igngcD_LmvkACnEXv^hv0>dzbV z5;>$4F`_c=1=~=6xsi71n6DsyvHbu%FiaiSZA9ngI3zgXLnKJEcn3RDwI`$9` z-D(kcL0q4aqRV{B>)rk&WIRtdd^i^}K>Z&b39T(Ly{6`OTjw3&w1A9(CZqAARc22w zsUpyNBH>R>;+=MrPFNaR$eI~$o1H<+$4UR(wf4Sx8dSP_?eILqqJY9UD&5&t()mb{ zpxql;IHS(s$izmDOkT^8eWjxv|A>(0xz(&|^|_u3 zsVmqT@!ku6mBBL)H-oI0F^&dluY@l;7^&Y`LZ?SpI1v$M7%tKwD<;6^Xm8-8-<)vw z{JiEANPkncqoP$7=Jn!D1g2Q-T^Hl~y|Pz{Eg-_iLYy3kH-peWL@j#F`QxDX*F4aN z#`_VP6j25^Y;3od^PNGEc+*(z`CW3X$c)I!AT2WQ*#x8pc3N>+l-B`9_7@=+efl7< zvYM%bH|c?HOJ|1AK+q#lYLoMF7a70*9v|d%@bQ(HfRA!>ORza^UIKTAbCIuA?Cd!^ zt-TEZnMOo9HE(k<5*jRiDp$4!V)5B(h@7fg#GpfXllfQ>YnBA+V9#0eO>;E@gAp9RUvN-?0E|u;T@Heut zv$rao=$cj#n(z@GBA>OWVO>aCv5L9PxP8L~ zV9~c#mmOMrq`#syEf_PE=lR0>khOyN1Y}qHe-MD=>ZA-oLor@|=|euMfh&2v=Pswwf0@pc5hX_bgqqrdQ=Nmu7*b97|lWt~NV=gaaP#l_KY$;{^Dg6*(*J>=tq$C{py!{b!Jb?$Hv^{5oml#g0Ak z0>k68XA@T;C9|x<%6ROnzle{j8)HL8?Squi_^&-N;{x9z=r{8h;;-(fY7P-^DvLeKCMQRIwQY-XutWy-T8H{G2~L=OlCJ=}SiM2#VYs{Ab%kS9Q5GBc2#n;txfx(F=WkhKU%>Y+8OCeW zr;en>rVhnUZHe<U4z;{%bz^nJmkrwmkZzlQmXHCraCC+AbFLz2O*8W)rhtVNZVuI)CHB zW*YrnM|VgFy=6W0*5^GHsj{CXIDxx{r;S!X@&3FLPEv!G!@^}7!+&Je$lg>!Ahu?( zLeaFu{R(}4yew3@_^VCz+@^)$+nPsL%(v>fwrJ8IHwE7+S$Nf-+X3 zcE>)ld!pE#^cka)Q9_vN1HF7LPN(jcjZ~x)#H9S(eAc9QF(SnGA5q%-Sq^U+~A=4T`pV%q3Yw2yK+at#vNb49z25) zL+P-E2iE6G!Z)^+c>8)I_;vOz-6ic4<~G=HDw!zgT<5)HeJ~K+I zmF8*et6pEUw+z=a!71ru4tZY9g7mM52k@>yia!PfTyg42bDH@lfLHX-)$y%d=8u22 z=odHVb|fBO!v z*(vG`(Rb+xnJ)S|s1-7h7P0Gb`S%MOyxg$t6fDEG>=Voz!7Dzh_aBp|3WG06hCvVPn{#Cs!U4UZoc0&cg6$sw)q!-nGQ$mi z@^Xgt$$!X};3bNA+lcW@$(zG$g5-G`Tttk``HFZs1E*HpVQ09&Z?2_VP~9tUYuH5I zgdJW*a85(7-g}P#-S4Io*;HF(4V!EOucmTdn|8S)o7pa3p`ZwoV}WdAxtUu^w`E=R ztT+W;3$JVIvh2!2BJdc4S?CdX+k;vw3S+e-!x5fOx1MLf>ZyGe6fwi$2G$?wFI6b@ zvs_uaHFdGMJlXh(Nxl*`B~F?5`mWm}qKC$6dM2ri^+PISUl_KE$9HBpz4?qefng|$G-{X|n%4i27lo3X6)IgBEw?Mfs@y>r%X2e?mI<$K4h%C0I?O z%muB3y1~B@q$v>fzPW}3xVE366m*|<3WlQqd=?i0(zazEA&*Gs{tC9@qo6m7we7W8 zN3(^52#o*$wYVk()b3Q*hX9>{vRNZS0~A`f!fM4AML8a%Q+yn~-)cM)Nf9hqbyDXl z&`OWLW~?k~Tkfb{-wRg+OUfQCR;Vb|YCHSBMvF+ex~N;vsW3uD=l4B6AEc?&K_k%Q zU3oRtW!^O+D0}a-KANW&%ScUopH`#_)vIys<62*rhPRT!{EBBc$4aTKkjkgwXa94d z%|_(3mUTcbh30ksh5PggaF90lI7UuW%YNiweWt`!|Dx?r=u>FWZlKM5=tHCCAyP+< zKE~1DK->WdQgxOh64)bB@?yaM0jKXV|1Z$%#JM{&9KPmZT3n=3Soe!}?mEzL&Rm*? zH(aX8@wO8yV8fJGBxMw+&|tlLxoq10P<5#Tbi+5&Wjahq9$ZsmA8%#(hC%O|(qh2U zB7OFO8XS~cDgnc5H#B3HrWVUY`YnqQJtb545t;)Qp3<;E%gefuZqqC@tUepB`EY{N zcwuvIkx2S{AL&=_6*zFln~Rhx{gypw@7HHGC^_p;q3){f{+iD>^Al z@P%tMh*vRUd!O}yWcfdNx1_aByNEvm?2n0!q8zig1wWAB4@aIE-if@*CKGm}A`>Ii zVx=GM7C1J?$rY&##JGei3zx`_U2z~)VZ@tSQbKd07tjb zHq4s@eb9z+<@kxM+v;D@1Ch>-Ehyx{2h9%gwr2%Yd0bQQ*@W(d9-|Ifr(^}bGUL{| zfKdh(nWX{k6=k=0g8Hrf!>TG7u+aET5#Mc&G+940mA?TPeG-h;LkUZ>o_)>xOjht;IXKODL5lU!VSzoTrnL0{z+?JDUx zAJJ+hN$0A?bQrQEwCNHCa6n9`HyFANnlN+IeVK#4Ftq9iY*e816oQN#^*6T+*qXcG ze!y;RF?~k#U0HbFgUyM2>B&H)`vYhhGy#1;-99of(aZ&Xt-s$l8DU%3krC%4PN>l; zN49_HGnZ$;R}|CL#mx`qu8j(jawCMki2I;;3@T55pG7MZ9(gWt^ur8mu z$#BricT>~o9A|1ARxLkq{RFUpM#rFYJp`KU7=0+P9;%t4&jF%0>m!r=KE$7N9bUu$ zB@xM?Xo2S;UvCFLH{j192Txc1x1qX^lN1wrkP?L-uwSpjyi=CF@ukDrJ{3@nfdtLh zBR$x_*r+Xp`A>2AHzLq}@HTx#+HLd29Wm6h`9EkKkJe4wsCnUCpgM zU0S#}{Ne{-Q!);|zu9c$9P2%w!wb5<_+pe@&7gdJb7_1%vx0M65EtEj0&*}`j{i^W zVD8IksSsJdpf_iYW~)cBjkG;oq`BXgzFp|Rp5{8txb?A7dahOkOG=Y^(1lJTapz&X zN0RJ^n%Ojd$ww{(z`yZYCTH)b@opXKg$^$1Lkk#Db^dqUbT-fr} zNuv%O*yfSpc(H9G>9bn|!`hH7I&DQautsXDyNuLxPWIwid>fK(G^cfc>sP^Gj&llm z8~Aah(FH^>%1&f4s9Wd*J3PMO8FRS63N*@FGqmASH?mznul`BdfFRB#xbMyDZ5abw zF>{}cwF!g1D1}Bdhck^Bcre(Au(nuk=oX6ni#x>+T&_3jz`B%(gNph@G#5#G9ozxLri~FJ1Zix zOv}WQQ9{nC!pmNqUsQmY^|rGJMu_-$QmV|ep_u|4RqRrfHluUHqkB)vNde!xf*R#xP6z!pV_d|=Hxs;M(H z(Dv|0nV^T|U!+j_zNmM8GRH35K?h|q@ZJHE;^_O%V%iUjcGc*Y?+JN&9H#&yjl{$CKiH>)->UF_Aru}RRl5JlUAEgix<&sc>HKtg_sgaocP`M*Da z-*)lw@$w;EzT*V@l92k~K18u^*t1aaKM^n`CNkMC**xZstmpnA;G z5BM$)$U=GQm35@Qb$e$ohH^c89R=Ie_tz?rf+YF*M=SP{~O5Ai{;`Bdy5mMUk&sB1oI z$FLbL7T@%$3lsfMmdY=$gWhT>D$oqYWh?QcPc2T0U#aRpxx6SbtA=}j50;;y{yx6@ zet*h`<>p>FceCY^-!swlH%T%>ipwuar-5#y(D!vFIM1p$Qu43Gm?K8qV6N!f3-q$E zrD@q1{ZqjKdraWHAKRZH@911x;e5Dekmmc}6y}thblIoy_5E{nrAHBvNbAh0tl&v0 zStIxU?#|@_(g(;FqYk4Uw;{)r1IT;^FYKG<2ODZ%`{;O)S1|_e!Er}Zc%=mW95YVqcNqX* z>KV8w{5RMFN#wq>-8VdP)l=k7kHtySn!T3hnovpj`od-DrxyY$O5SVyb_fWO!NRpK@lD^TA)lCB%gmC&+wyD}HN|(bX+rGjUGA@Z9G&Z* zr{Yoj=7{>m{(F}XSpU!#r3eq5sudj42U#b_r3tD_QE>ZT^KRd%?eki=##gDCV(RU+ zMcb9{o@|T9%nNJ&VqzuUp`Iz2zd!62m#ieo>|q`H@Q`(HOXpB;X!0Vo3;MTcag{Yt zG+p46^rk~Yn)zlNLN3jvy`YOQd3}Hh6NEJXeKKGWWeYcnP{elgVL#Iho$>b3Y z``mvT#t>D3sl`Hakn$?nKoWEauufgB`(7pO(m-9PnjFv#sY-{T0*9}m6X5py^hn3K z-i94M8Gn|j-g=F8hgM&em*dC#rsBL8Y%}ec^_c^E&G2&dFYq0!U}>SQUzp`!YINwg za(i7AGm#}}wUH*Ef|_=Vj>X?Tk1<;_-^qT@TDP;?_tEG>jBO^O{KlzVsy$4^I5OtR zVED0TMloYYdB+@%|I%Jhry}%AUU`=`7bE|m4X$RY`f{FZW@FmgIx_#$@c3C9Sqy{b z|CJ`RUZfTr(#uEXgL#>`P%{PiSlT&&db>!yw+oL@-pO=4SMleI_E)qFp;nEwZ=l0a zl@uUyF*yJ(F&y9h8&86`j!Aqq8i^$N4@@{4Pl^vrx=!p_B7VL+FcqG#>6koW$>5SS z2e7QcXZB;-Uxrd;2OP&9m%lBFd8c0fuI#Pn`pJ2n@`Y9_@J~MbttC%rOdnhDeN3?P zi=IO^W@lS{!#?$LEY=^qyyKx5C<{Lz7NI_F4DaI#fYuKr628u^Pz4 zHen?hY$?J~rRuCxPf#Hr?Wh90Sbk$t5jO!uwY^(|J?!wpraK8jALFrEuLQM04 zQ;1qM6^vv%Wx{?7dck@H|s{dT6!pi4#2sWM%fc}x*O+e4?Z{(9hWR)rJ>?6ct`kUflmQi0EpZ{HDF1^gGHW$CM<;E(c+#z z@5457snllN@W1Hh^f$K$;Ofau@;ylikBh1XQ@ubk5ZGcN0Pa`^x7-^IHZpb!y4Zw>4J!{?UXk~{9XLa$BKN-zZq~dlI z^QE@)pj>nOghCD1Pm4m=pw#iv*WZCw2t!23Q=%mml?^gy)7ARTMiY3&#hSjv@D4J* zH7j9=)Y<1@KsJ%9mCaej=cdeZP5aoNc;doQo30}rdoPo}$SLe=&j92(b2z%|2Q|3g zFA6q@oR)$ooyS{`T%sIlt)M`wD9{>`)$hlu^vdl@*E(&3b;VM_fDOgQ0+(U)J+1`5 zLaFk_nC-U!p!g5o4IIv5nIn*q1~N7xD*Ysg^mFOl??~UTk3#O#*94>{CCis0}(oaRjM-u~DJR+eklmnfvFMP9y@a z8*LSQSf|i~{SF=xaf{t-;p3z(X!+IE9Y=?L{nRx?CGE`YFtTQL0bE^Kqm8XIQqrgy zP9EwCRC@dq*Vy>b`@(68M_a860?;YzzBSNU;AQR8NqLi5#~-l9ekny_Q?y;l_?EpX zmFJOKez|5W>dascI(HjhKnH1}wkY%u%1Hd0#bvLBwfltuvbD%4_Mn&ya{v7vV4F8%d zUr|l~rp-3Uo*nurH?{AxWetUW=J21@hu!WdcB~)V;B_H2Vxg-F^_*8NbYF1cNl<4t zq5+UB40;+GILs>w2;1vvq2Gcttz>VWp`#N*Q8=rYi1+YwThKm{A(cdWpj4(If<1e$ zE8?7FB*7_0pwPZXV~5t~rvG+;2zEtsS7cL%v(j6wqi55tAvPl}TQ?14-G)3Ol3aAV ze_N}3CrKCOxL%gmTxZ>)CXn+o`ZBopgIE76pUi5`?%UYOgT;nMMK%t)uzBAO@=B&R z9(d{_c8UlusgbHiQAr2!*^`mkg;CTA|4mdIaufAeYLImW%yP$j!To!He|=tlah>4MHjFmB2#|TZ0JN zS)k-^+8N&FV9_nLw7_slU?3$Ik5+!Mi2+ z>r;++>>ZezhbNYx8w%*27GNC?No~in3D4^DTCVn4u?u!EJjKVLwW7H7JWqb-1MK#6qPRucl-OGN){@-!-k+Koj})(l z-^@eQ>U6K~*)PK3q7ENTwgA`i=G2Dw&S=SAt+XM}qpX@w3+X|Rm*E~Ncd0)#ikU7@ z>+I~6<*hj>)@=Ve_54Vu*h*QmpEwVE+xwQ5f&D$r$wIRFJP2@$5u@xDv5vh!E^ABH zErRERk~`slQ-P~o9Fk5cu*@b})^r!kgH97A2pD2p$@9`*{w=VCcRuqV>C7Ze)>_Ze z7WZ!5(D|C#*O@n}G8w)L&UFO@c|r;Wm$HR)^2cT%S2((p64WljA#x25HJ$={7bW zlOMRjyn|?v4grA!jQCC({59*K1E0i?Sc`$NC-~D<2C5Bw?G^8j~n)!P7 zTG7pOn_&8)Zljv)a zMtojz>VJ2NxW;n?89r2J-}zox6nQxUh_8L&_FB=lr6N!IB)?sQUT7p48k*$DD(|4s zj+ozbm(R*n345^f}Fk^CM?lq1DXbeyyEX8zRKu1+Pv(LC7rgfl;s29Let;NS_-Y z^+x9L2D1}MJ|~2SPn+#+l49_5@MG2hLFa#Qt}8jQdab438pwk#lI1_rvgg|k5md*%Qd`{ek`R+qkq zE3N+lZrJ6ZgD;IHM*i1CO0*to5LiI)X`-E7CgN!9ZHHbUICwOpeHN4i&iIxKxZyfz zz*QW&3p&|r^C+1OOb;Ly1i z_QBK3)Q-=_HhKvhg8h8TqW`7zmKZT#`8sd(^j7%zDhO~zu66psW3l9|C#$H}oUehp zf0fQ&weQvDprggWByHYl`L@WGZ#QvL^#ZCorUOG{Kcd%|86+3foS#R zg4mlFEgPHQ^?w>`PcduRekSaIj&8`w17a$L_2!NV4?X$xni1=Dg^q`4gPu+>>n?!S zU_`~<`TM+^@B8?~kL`9~2YUP~S7xumoz`I3Le|z4z-&tEwpmw5N$^!mN*`s!=aV0{ zdH4Azu>~Dc;)?I{%4yb;e-XWWHJv}zPdZ;Nt&cVBfpZ!YgJh8JE|hu&`lkZ?>c8Sd z^^UL2-Fo&*1esaF44qx(<@?O!v$}FpI1%dlJy|9Z?z$Et6Pg;aDg4>NRyb=~z(M<0 zoe+A9^Xqo|M}C5ZK>STO|I6oJ`2wnZ_(rvT{|op^l0;b<=X`I4)PC&#{WFx*$F%gL z)VB#U9E-mfL$7t6TKf$DT=mZzLYNd|Am2AKpnNF#3}DK({eg_`d7cB$N0~Xv(Y+L= zZ6PFZ-O|y2Ff!6TGxW-CZ-M=>O14QS9nNfaE9D+$DytPK$`C?ZwZs+#v`Ktf0z*xK&)cg6h0 z;ou_A$L@*7U`-D;!O`L$bf0XCbTv7MPq0=U{isiKeyt?nbqI}zCRx6UkCj#6A>)0n zf9^0geDKDQ`{m1X+L6Iv<%Lr@8?i@OjoDe}Oe?lo1GPzIsC`x&>&OY?<5T{gCr$q5 z6DhyfwVlVxWhwN|Nt`au%nYTGl#Eb|eLJ5+;bZ;SWC^Lv=@}$LrF-U5I4Mug`{Xew z%*-`Ucb_Sd$ylmL#@@UsK~y@Z_`p?!H2)eP{m3YDquX6P?Eu0Ph7`YKq1wmOiBy5H zTCzRu!ZW5X0YY{S#V~PFM@)K?De!d?@RvzvvOgxw(cqq%hUjA+DE^)9xpZNf8s%d) zXV-{09(2LC+Z77=*8N9?e_O3ip8^>3>Sjmjig3Lk2vFm@9?;$MEpfFRsf1-{6WVCASK>nPl!GDO z!|onH0t=5)Apaa$by1sNs&$RW6z#Vv$Z7ZH*HI<_+rLOGmEr0s~J_JyP->xydEP=SqYWoTseq zpk1ZQ!R+GFRu1Q72!k>s>8Y3-J(4FO;Fakvu~V(J8`h25)IFn zMPvWfwC2T}IR0whpk)Mt7+RAiY^z9EyIau4J`9Z0 z9#J*~X`_UdJ-A61<}!X2WX-F!D?D6aA4V2r0H#3&0NF?V7dSC?D($kOy~L3$xCGVm z9*k8xt_;8`bm;6`ROw#%QPjLdUA5sq#iKS|Fyt-jMbi`#=ZZWpI@V)VVzlkCI|6An zC4p86I-PCta5E#~&BYP!sEq0;Y{$iQ<-ZD~Po1pKb{e#n(Yc9dSj|_gc@-MeO%y+U z{+BM87C=i~0+fS*{2h?CG_mb&+^loW-QnW59>P}1_I3M8V3$VGs#6rStT?OQhL`2?f`5>{|5s?Kw9ET zr&Q?aVQM>o*n~Se12v?xyI=10!IUB8=;KA?CUI~h$K?ZXMatTj=$&hnFY$@?dYogg33yni5n3rWFeehkP4aK7ah z(LYbeNO<2{cS9_|oD+oIZfliFV5n90p*#Sd&*b8%I{j+Hq}A`Dj?m<}$u_x&Ye(k$ z9XB(i0V%;JhIlmVFO27h13RDoK9WlhKR^1_9?(foOFyc;aKze7Z(WKcTC*ZCBpVk!yMQ5P;9`DfFACD&hF*|Q^6iYfuIE*+}7DFU@{1(g%C=;L<`UkuQF|&@4u73xEEmP`{{)8v@xTOgQ z^rxbXBg0>c1h)b*`9%Kf?@)hRk{_?KDnr3lhA0M`Le_#&%cgZ`LUaKas5Xf(khHWw|RwpD&P=ek>gd;T9RmC%};?A9;|U z3q-;oaW^oB_N~XAAMjqfh+mY zQ!p%E>(4g0lkaLiZCw!AKpe|i?%@GyoZ~Q55&^nDi2NYM6g&pbD&DNKzxxx?BiR_i z<|fHDI|;2EWg9n3&6}UI1AKA<&MgBV|nuyziav4A;HP$O35v%z%rl_)0Etkka zrCIf?8fE0@RN&+WO8Uajh?*^m_DMC$LHDXO2P~yiQI1{=KgC%M;beGv==g_! z0Yz`2V5h@iZn%*Vq5gqZZA4aSmjb5=jufiz02~jPCI>u!t>hwrE}eh%TALJo@6e|< zb=9;als<3?tvdbc+|NTr=cFlDJpl{e&E?LMAbJbT`?U&vTye$w=au^Izc7ZWV7q&u zrQypD88(0iA{v=jhw=g3k2qARqnMIo7%s!o_&_eYo2$Ao6!1sTPQoDDokXGPQ$Jn= z$=&myva|?l)PcKh?pdCD5~QFfyZBsH?K?js78DH{=UK6^+6JQuOK+les2*KZs~}#Z zC~amC*5S{MR0JQj+*j!&zE*&qA8C&H-TT1u^oYi2>n~QGIBZJ2*TUk;G=(0iBSr3^UA=62A}Jqkl*|^`Z{**w;RGo@+e9xWlmiLjr1B*^@nnph&IkMR zT|H;jO3v3==2e%}+vmeQQ??`!-@=(M;wfPWsYI~@ zwSVG_;}q1$FN)*#hFAhD`Bzh7Utf(#PqKtrWC^#~hBLDnLA?a$R>{BePI?Vi_nY_S z3TDW2I(raT3-eqt66M=PUZSD{I&%5jHQ7+QTHKb)FVPOXIGn$^|8pQJ)&@Ph3?<=br4*0dh8*6fwcR2?K|*Z+X(kG0d~s{ zog7et1fSYs4Dkce;zPXR?~-EdHyhJAuC|}VFk)NHm}6FN;Ea_$Ee5;pD7SvoeS;>t zcjcl@MeF?g=D{6-kRVxGTui`l_Np+6bpFZ<@Rj9o#wE<>T9}c)>07}v;7oMyI z490SyWd3gSEj^AI`Dqvp-(Si~dqRC3EchKF&ki|dvYQkUsmx_}Pb9yplVduol#IFy ze~IxbNmq}bEyCbJs&7{lZRTyk0eV(#Ptvd~X1?bM?UyG}KVO@$lTN6}BIfykn{Q4f z=d&P^qpZv+IpM~h;5kaFw?tpA2zPQ{J2fc*B_vzNWo}1pvbc2`59udk5#T zn*cZ-*0cgDVg`^B(4pK2uh-p<-KqZw5!kDfy3r6$Ml5Apt+hpXF=L%O}+r z04@z#H>0>`xb0y{tu8hc^NfnMMRM@K*RG?zc~ca_w^X3KvmZKEtSI zQ)*4uzEwtupre_$l<3}ciIFkK|H56=ZQpRFT6tuC<*8NQGdQWO+H>1E7Ri6))N{b} zeW11Fj@gv_l|Ru^k02SoY%(vdox{=gHRHQ%5c$R^J2)$YL+Uwc)&Puk{5hF~&Ee}x zJNBnT0+`Dao7qR1&WMnFKRG2r`PgVFMywH~+^e;;`k<7+A@iUqyQ=^;ug>bk-r@ZZ zHR#s=SVM8#MH!;<@KZq#R}DBH9M8nADs!@~Yf**)p%JMnlV2dn8o{{BJ7+$0Q2O90 zLS;W_9|fwUc&9!V!L3uInY#Q%CodNBhX}#qomvrKMu~Dcy#)MiaL_HU(d;>V5 zwN@XlO)JWlEWRJA{Ey-P23d#^UzK2Q3N(C}eb|I?kGACia*t(3zi4{pEfGk4>b)dY zz7`wfqSe>jYt>jHOvO^_;@dAR7eP$4ZA=2n_$j)W1o3O63D#)XIhHB6l{v`YBU|~V zK9+$lQ}XANIlRf$dQF0waoqjSn9_oZEl==?$5F-zfKC-yB_NuJWnGU$RiFFC^8@nFoA)AR}M*7Q&t){l2n=0TZ| z_ljGxTff+C4;{RomUESGFQja4+Y2I5J6XpNg*F$(~lX%~9oc3#S~butm+yY@ap9AX_1v_U+j z(g6K-DmMI5mqOouc4PA;u3xZxWtFnBs$WS`)m+=Cmr&$E1+>HmqpXMF;6)Ti%%h`@ zsJW|oVD@ta%l;)Qd!g6!1WRlqp+RTMa!b&OepVo@V``3>nPj0<`;AhKoCBB50v{$; z^~ufSF8W&DuE&3kGP$NazVmS_W_Z(zGKldmKkFEO+q|+mK#*$1iP7b1Bo`gn@jf$s z%gUO(?7ZI}s4r_sEA|LK0(@G0HC%)g84nz(vjYW5lFC19gnQ(7^i8S*GVvLvBHMXy zfc!^Omnge@zc;-(5|ut(W!(%Foc-qpGg z%$s^yq7z0{S*6`hp_RpXzk`Mu%t;v8~dSS(<)r_$wHONU`EAkFlf-7F%Uq*kl)gFQp)g!qr1d9v*}b1M10 zHy1LL!gs@|3E~P;k|Tc5M$?ej_uOeWs0w~bKVjzFLBgES^9cs+PZw7~3-oSf-N}8( zEXq#NICEE%%TbvognVT}Br%;hsNQh6d0pTRj?eVRyCdg2`IRc75hpEdqwU(dBV4bq z&k&?LSN8HG8ZX%&&?&n|$>ApgP2?h`olzz4_|2A9GuiUJ`sG)1ICbyVRDb}?OuAUSq?}F3@AqubXg%E2f42u)*3hW8hw(ngY%{9qzjQCeKNNg7^g!7bYs8>*B zAvWT`(P#L#gu z>r|QpBI~ag@@R7-6w{Ghajb|0rv^byMj1)&omuo=^ozfkA!mxpW;A%xKNbr*OPZwwftKJeoSj7GUg)O%mL1u=gVypzP)# zX^u^JSVXBQX8?4`m_C0bX^qm^Xd(hcg^((jO5Gywj2uIVK2KdK3HDPo>6<{mrS;W< z+a>wNe`IswRN4V(FJ8@qo^fVrX|?YnI*GrK;J$CQND*aZGt%l_;V`82@o&`4Kmm%m zp+@kg@~QY?4ym#e<}5fL^^00oebwI#?!8{%9ll$hhUD9b_H1uAi<2bS)g~B0p0vgB zuM`9D`bqF3FcfP5-{Ix~fBmPUpt%M1MKItc5#&k?40=lp@-<+{p%D8sHk>Lwb(*E0 z;Dhemb4{)8i&n52?OJftI}ycys;VTu`Zt&TW@JcM6BG!rRann_OX~Ld&3m$v2deG= z`~?y8ryDNsOh1r{rrC4)kg{mxu%O#W^6W9qr_Wk(x-eq%du|?0WW17_$7nkiK32JO z-f+Cfpen|)Wt?yxh+`Rl>D4PL-9x{hAaY+XQ6NR`xBa8}d?aN$5Hx!7u;}s*4Na{T6*3%RP)Z}L{tV7m)*3vtyFs#K>EroKD|+tiQzn~HzLsHyy&wV( z-hrb0Lf<<7q{jz>fZWG3AB&iJaJqXUN_6rDz<=o?1JgvU%l{g5!ba2mTuUL-XgXGv zS4GS_Hkl&aA)NP1dWCW%TBMA2iER-uM8~3>c;poyTxPt>#zJqDS#M~DU*`wsy2q3e zKvQJWuXkp`f4kjI2sIq?aEC_i>Tey9MF2n_vS)gvg<7=;!or^gOge0MO2Db?CV{R^ zNY6E+jGYp=>)0}LjQzN$8A5Y*It7^O@yS}*KPY-B5z*~)0!5USYX|nac1J{t9RZ2m z{;qxc!~YmsN8h zeSfse4*s0p>Bpba-lge49?eh`5h`^Fk1U@{<%5GW4p(k<>AFi~cR}x5p!#wjYGa>* zW^_(V_rU-F_(DoK*e7+p6Yn<3=ay{`t?YqoqeP#J>2mQo5cHu?bl#QI^(p}v5*;n; z9CxdopWIc}Di3iU-39k^tGdyTNAW@nM`MAi7Wq8xv16cM)!4WxM6l{mdcX_iJP6eo z0^&?}c8#@rzRmE9eVcU#TLdFK=oC4pgZ0jQs&gHLI{L>n#(mto&}I{$=a}6ZhL>2P z26p>=S{^Q9)pr5> ztJ7Yg7hJs|p?=v`37^+F%juv#lh+Q&xFU|0MD;ljip1P(aRleBB^6Eq(LLty6Nj+wEkiT#g{ z5m_BMRAuJxris!TDv1-nRzh^E22lZ#gK%&3F~&1aK7DVb=Dh~Z`~2HNSr({+-#zPj z#^_p8%e(b7j!a4ciEA(G8{1^j#6^seHBlWbfDWu*Hn@29Of6_ciKG!0KxT1|xQsNM zPSROX298wL@aclzC-ZC+gQ=R1u&#al4+cm|Kf}fGZWkRSyO|>Y!%XFm z!Me|2$qj1#>cjCv?TQ_9xOCmO{aM3Jc9t12-Ndt^LO%)@0w$VjJ40gsd@qsA!g+k|bZvi=Rth6H+$( zaIs)vd6u-g%p1>v&Lel&?d=n*KiR9ozy^uXXN?}|@)ynJEB1?$%Cp5e ze7xO@k&pa9MkCUYxRR_+5S`-?`=C|HsNe!u@@nD3yd+JPKK*1%zgX%+MlQexH%+nJ zUmI>4UN>%eX!@>ixVbGHb4>mC4tjX{iHac}LmGBd4p+INWF3vs-|1HAPc`(y4EuJOWahX)_mOPI@Zk8nyUDi=5~+@vK~? z*T*Mmw@ynM%)xfE$|MP9X06a9X_FWNmS`QnZUXb=1Wi_~Za)XE)`R+LMEoxp3&VpC zE*N3(0Yi(@o`ccYQ?Fb;zeWmo_M6P$g(C^lxDF%^J^RB^e4N7SEA|8uo03m$( zF+R$#tE~@|Ij*Rl_>+}J(Y(Mv_OU83?0EI~pGvS^abPYw?*kMY0Le?>{@#g5+*WNI2~L zD~M6U5@3z!z>(*DX5(^(G8G05>06c>Wi3JSQS0i#fPA@^8U26>o&liGBRL*9s?6J6 z;R_l$K%WPdg)x*g=7{n&l9@c~2cQLOeehfrHKj5TR`9GM8_SpBk@jDsjXh6x=FRb0b#V8{K_u8!2S|DR0Nq z9vwl2z zLy%M2lLf=f+>zcoYd4MKkSb7uyQo$N&k4mS^&58e1HY1P!-oKcoe6d#F|dS#+%9cS zZuE|nTe(^Vo8&}3k`LwDOt3I_YoC0DBC(+6KV=hCUe%<%sr@!y@>=e$S_y~NDE}_V z^0sZ|0^?)Tx;t}Fb+TTc17VGl_XLxe?^ykSn0nT-J&T+(_J=a!KZHe!lvuCBF1-mh z;D@7#CFQGgEeB9^Qb0r_VAzH=2fUa)b;}Sk9TKdME#rhXwrQE~>3f|2id`nf>!1x- zApMPgcm0iO{us|q$CS&yxoz6=t4pIwBLAH2jx73ogY?x+VD6A|^VPRj0}Vx94_kcJ zhJjb{YO>IrV@qz0gI5umvlK-WYjR|1$iKe%Z@NSz8Gv8vEG18{o_;LmB` z%LZKX-k$0Xj$=#;=K~#fi*pi_fl0;e#M312+unvj^N9Q#wGK}1bw{NwSTaSM4R7%V zPnrNnGjV%C+_C3YA0NI1U`}-`nH^AtI$VMf3uh0q2TJ|8FqHFQ=xWj?5BVKAl(IAj zEbBjHA`gm;dK0V#rUq)EqQ zxO)h9UxCKEgI0ZoE{Q$BX?iS)4r~X;5ny(mVpp#&?Ka_&J~Kz9>dXi2XXK6tT&j3z zrJyzG_Li@83_6xSQ-$zt=&?U0T>^{#&5w5YhHn=*2=u%#Frg=KO#VUiP*YgYU+7eRzRl$hO6vA0;hYV`0z0OR%~KzTjO3)lg0?(7D}ebjeD;YL7>& zgcJHIgS*H5>V+1GvZYE*a@oN;WVfuzWHlNIGh|?8qx9NiCOkPg=xow-cadU?X~|^s zhkD_cTKFDq&dF_A9mV)XqmTqX;qj#Oz-I6!upe)AZd}a5>G0`*6<}(nUMo){of8E!^!wdt-9zIYrx@hC&lnk zqQDneh^~K#ITi%^o;Q?%=gMyTOYw2{9|c^^NV56^pD^;0^`bd&7uQy@#qM$xb3aF zv9{0znigjOtlaZJDi(@ty8kvNukclXF+#rCovPf~`Ujwr$&a}huk|9~AG8K?r)ADEA^(Wr?(Vv;8z^!-%~>9RCIxit@HCEQJ_0p&{z zw1TXPFGiHt=-G|2){aZLS=@r8aXZ2c?kt;am`u^jRx>nJx*K_u@qNT$nORr(x)9)C zBDLM^WN^Op{r#7URtpL^7EQkT3>9x99aiM8ySI$`;;#Bl-CsLmroHN=Aw+y|j7;Ub z!4os0h^LVh_gzaQnVp&v;sz$nU4lH!GEg!1emtJshNU*vx=HggE<*jWvN- z&Ry6+r-D@Pmqo`F!BJD0b{)Tt@9J)kpl#nQ=r#|2-mp0$Xd5Z*Y3DK6rx8rY#HlzQ+3tBf*;qolvRzE^AjrNzGg@g9yph&9&PKCGlp?32784G;Od?3Vr0!9MiXb< zl~0pXV+;&3_;A1rcFOusy%ClAszBu%cMhsUq-%w|t!n2!59_UA2-;wDzw6B+c}U(1 zsWr~@y?LX1(g8y!c|ItCQ@omlGND0cz@bVQHNPExkMZf=%&Mj`Y$^5dzm)uu<{MAX8JU(8{BobZ z1ArO4Ri+?>0lV@CeCFIqAh0qVv$pP?b9+DN8OI9HDV@1W{9;egR2r{qE69sWj zAD_IKOC5X$t!@5uU4r#>o;1wtmT34{+Q=x?DUH;KJkH5ux!yKg>(e!x2!3R|>-iH^msKoBs3E!?ryF>BXGAUa;}hn85&2R`63R>~3jYg_Z{O|r zv>sG2;oW;$Qd{++@RcZAj#tgYGw_7XlIze)>=RHSpceVHt`hhFguZzvcWa0X5pVjt z2;BM%Q*$cxH+KngRJAAM)Ni+ld%ej5!X~@GyN<o;0KYJuWHlfQZ+ ziBnl5Ydy%&`3KxoUK3IcFYy#BEe_uQjZ?NR zXr-Zw=0rHax6wpTk4KY`#%&l8l`R$h>-(+P5)M}sNvTR*e2>6SGX?~0D0X*V_;#Jw zhcY2=Iposjn5&V2mK%h(2vKIsYU}qXR;XddwF4r*AqSzgfRvnBI@#ae2V{ z;&G0v<5D@yHyUx%v}Gw*{6{x@Tsy_PR0XPwlpkvafG7I(XMsi=A1FTFDa~qZe~4Ct zFVT%O`;ZR~P`}6*UJeLJ-?Fpb`lt|j;ig`0#n`pA?hm%~w?B9}!6;VVn_KGz!J{9r z(SJuJPkF))@dfd`pygm15Ay_%s%iS>S{Vgwb;6Ej7M5#IV`_)QA3hTkVYD#)FYyVS z&*fB0G z8QWEGIzhX^Cg*rTd&S(*3s!|Q(S~`F#>S@%jMHg96QG=fr$ro>Id9%=*6v4g`YKMS z3YiWhY;% zn=Y|bv4Uuk3Y!~whHEG??=KSdZhiHNUX8xb?G;)u!;>)9>%3&$AU*V1Oor$4_SYJR zuaPI>MHrv555I`+$XTz7RqXWGh-9Lcz2pAu=XdNW_S%W#7(yUa-|yV4kFyF!|ANMv z>;!G!-qKEp#v?(I<1L5`BZ-~wc-dhnW9wJ0&(`W+RQR9sf2dD*NLeI2=~vcwZQyvM zjf|XaEq9w>Js}GQsu>dYNUttwVtZlg&%-^JdorOEE+@*9RblB|ikDR^pSfne>@{gw z`ADmCP6~z+4Uar+?jB8aL0G?GJ-#u7P|V!{z9EsF!SK}t@j;Ey>OchV?_hphv+1M9 z%CB?I@t^ff#*HX~-;%N@kBe61RsMa@TyTq6BIs)e?dOzHc5qu;E%$yL}bW~4X6XDqiKNxvPNvCFcr6uvKIz7b74ZkAzsG+I*f zHhEEy<;pq*5T8!kg`1}kPLwjd#OgAQ0_1M&Dy)||>}}?sAQe~E>&<&c5jQTW&1bj* zM_Hro_U66>A@dCv;>a0jXPuRJ5)0^aVc*=I*zPa04FR*t{ys>KGZoE1xSEyF>T{%;u*pf)%$< zbg4*7nEb6FY20ZxS*&J=SEqkL*1iL0+U3ZQTW*m;(+_yFFIHgOqjvM*H#BpGvuDZj zw{BfLfysP@=uU@nI!Xm}LgijsxKgsE-$`=KSv7zhQt;MLU87>}YY) zS&QiK0A*gsqeobuSbCl3dVC9_&1koU-zBd)9@MtHR7l1kG;|Nx;8ooNPpp87Iw_qf zOD2g=drK(a6j2jGy#k?!1TgB@O2mS4Iu~$6KqyBTm%o1%#5w;c&sm>|FS}xyAwEe$ zntmkj1D$Guw_#_tsnS_r3RlO6(ItGW*B@1_W%fx&3X*WCqpn#P8oerb)(0fd9(CT> zC;g3kM1>I$Twg|_+Kfbk;SsJD9C2Eo9oO2**?pBXz9cxnak{WCYlc_SRs0~isq~$Y&xgK!W>wyw1Qgi z1VZS8UjFBh_Vsyyyc$ifcyXb#e`3gXIlJ(iv54}wdqEK!fRU8=6?o>TP*cJk8>C+e zv+;Z&N}%1CG6cFY=gfIvmwOgu@cW0F3!PvtkXedyhG=R5#LG=RHb^~iXV_*KT-N{V zue{k?)T0LhdY1=VUG74E5OP?2cLkJ;9(gZZ?(L-*G=3 zw>p0~pyqk}#Hd;-HCW~Gt=nq3w_1`zB&D-5(oAZ=z0p^3E@k@a@Qboqm2=DpE%?8OPYC>_1jG*ecxNLi>&7{ zo{Zu)g032hD{TD%u6_k7Lsjz6j*EuJdo=twAY6bzW2^m;7`2KY1dUqMhE6g>Y= z{0Dpxy)IbW_t&A^*hQ!o(6<(7B@~@W1XRj0G+Wd&)OIV*yBrMsucGttr~3Qj_~-8H zUasqMU3+iWo+WkdO5@sl6J=&xWZY|WFS=418b(S=aVtc_taM8hvb#xjjimBLl+Vxa z{0-;4ACLEWyk5^|Sa=7&f8(YBL2wV>tkT|5n%FJ$-|h~?=27{|(_skNNdB{mAx8R7 zDQXhdUPLZHsPE_O#N?m#pYphQEEuP6gz-sAu8v<=r$0wEmdboW)WZ+rKkwg=^@DDf z(f{78!we!uvNLZVy?A`ZB}uO&jeH?!Mjpd290(=I4#|#HK}mdDjPjy4Tq*BP_q^)C z$v)@-?BNtU*g~6TdI`kLFdnEsYSL5;frS!61>J^hmdH{rPEv<-GWsrB3I1oAaY+Bv zi|b~e>C%_P6;A4Z4j+I>zLEcZk?lMs_2qeW&!;;4sO!JG`wst`CvAC4w5sn3Pp%Z) z0t;vz;rpK1`pl14yF1ttklUb~A!?p$!h>tanFV@cCe>Ul8lQ|$Pi_))eY`??lGL_N z5+K08vy`NmKCpFo|IyCuaY!tcahtT(%THu)mR6qH z?zZSWk%^k<&E57lLC-vxPu(UY&uaUi^9-hRdB)MVz7`tqx?5vfd+P+|N=L^Yk$?0? zri`6)QbgG^pB{hySAFozv(ICv5Qy-sj`|DOIEil}Yo#D%?A71t=UMX|SsMZ!8(uqA zEV`^zw~W;e&htXG`%AUWdB5#0b=QMNOEPK1<@L$aE z!7B56ha$vo<kU>1)27pF_@@ECus&7aH40^0#MIcI9C;VgHE z4t|D>XZ75RD!$#{L#EindskOiHE;M&r>OD6WKI9a**W z!u`0&Dwm~rFxgcO{m`4E!Q!%5)vrXqXtif++4q3X`ail=6}elc|uE^O6r!s8Ce$QjSyUagF^j ze-1kNMjfjW1=Zweaka^09gZ$nPoHeSG2|KF3xVQ#U8RHXD@djg>1#K z=Gxej?Kt*ahyCOOoP%7)L*&DpBiy4@vJ=Od>*7jw&dq`4cyyz$c>!4+pViiPzP#-uN0-Oid`cnh~dk!81h<;(mJlFcr5*JV-d-w;z&?1rEFb z+@CY>E}-47vU5V+!8})Oa$0KeWZP`Ru&HRO_4^ z;z3}sD83@-7CehS>XrB`iTx3oGf~?OELw@baT2THn^hFy7@qzia^7MXkzA|5O5V+~ z>V?E4icK&R{+b9$tG~~ym97xC&lY=nw?NIF2Ojy$=n;WG1k2n{()aQfEqWEC)PLP` znkq6YZr~BA@kVu%;bgl8ko)&0IZOh(1)qM&H*lY^fDlg!&KZ z5Qui+sEu4-?c=CgHI&GYR0V079toQwU~9kSHvE*0<-dmWF8mkH@f(i-%fDIuu69j% z?N&`ISuJnRqq%>1s!Ydhyz#-2#KnQVFvAZMh62pxV9Vv`$}05!tG zk_eH!b$K02=H_j}=2~Jv%PEk^$NTwU0}m+qN|nyw&AjnUk8B9h8RD41|EmJp*euS= zeZs2xyNv~gwFf>TE;~I4D~Wk0j;d;XWdAQYtdsSn%TFG`syywzXtegzGAlb?ck)tp ziTHONx*{O-B?w6WatffIQ*eKlUY&x}%cmhN0r&R5=&a3eDF}U4U-}xn@`AV2s3>A2 zCFB*qGkf-KZ1F$sPU__6aT!rl8N>5~F!R=9qgGjjd5{iPj>l|QE>C`{l+LTn&t4ZJ zMyaa+YcKfFt>wy@KZxi~Xe$prUioBNNs3TE?C@T z&#gd=t5Z)*A!WZ3OM~GL=BKU&ds(Un-^tlMvIx?!}LW)I)9TDuI4 z`csU2qFx$pE)K9v=UxmG?wO0t^|LmDpA@9~)C~~*R_;UzH0T)Ar!6t)Hm{g&^%1+> z?$bPqCp15)7Zi&yJ2dVyJMF;TAI_((CEfU4Sny-A2LvPXdzO5P+2rB<_jpWH5r??6 z?SwQ5q;|=^mUyOdc(;e!AW|Ig`#4$WzAbAS-;EpU#BJuL%MN-Flc;%CTA~j#AY@Tz z<1wo3Ha>rQ@&1>j&T9Jb9$?ViTQ8${D3>2R{&S-R*X(%A5uL=m0O;ETR{SUtkX>#)u8BPnyyD zt8L@VjO~D_*7J!JYRG4~SO*sFAYF7%|Qe~^#<>(J@uiUdAI%uodzbsfCkJK?WQmiMgy1TSn_B1I(>{GGv ztxz$vC7dSCySIm^vbkjpS z%OO`Dm{d_s=;6B00vl3vi;*!34^;Vh94T5ZHHL_iuQ2m$^g3o<0illRx$$5cO43lE z@*o8f1r>RS^2vaP80k)w%BfbUijO*&bNxI!xZ1cUYgMSMf3OFJQhSGuJ4zQ}@Ty9$ z-Oxs1^Ld<~F3Q(<6mI;9OC1p}%L=a9I_l~Ue5S9zYw3wNlJ^%xGrpl1Z^x7wLnfyw zCt8{3Mb^6Ej#ZCZ@}2jO3qWieZwgfGg)WL_3xpYi=tML>8uBPNN>IHOFYc2kAWMe7 z%XuXVO#7^N+eYpZj$xhedc>qWd!A_B=1&BRC)@o=#iK0VUyGS(6N^m|J)MmNt;u3> zx@ggwS|F*EG&$SIoSwFV-kr4*-VY6SIN;V&S5WIi>#+*sU;xElf6^x#uqPGfsf`_m7Snp@Z{Ggf7x;Lu z4Br6g?w~~hx^jyot^_vX>BMf-2Z;hHr>WcHbB8IINX6jiX5ci^7PSZ;|AIV(>c#*I zz0j86p7c0von44Vn=h*<=Y!Zrd!@DY#r5f#C9=2Bm$hJZ(u&%2E74PJyqXxg=Q%Rw zHk4Z?vXvYRU`_%k3>|}cFpQ&~idC@e5tEB+)UQ#%f@PGKsB9-|i0(Zfzd{6UO+n#g z9MVid*i#2Pj@cz27Zg#os5A|eG9sv>cjIEXDNqC4{l zlBp7oFL2gnV3c05?m*Qx_;%TBMss+UOheV%h+;A;;o;avDKGSpy@tB-5!(kU zGqx>!7lFwcgIB%fY(%zUsb0N3pN@JI505S3#{!{}Rn<+#Fh92}V!qU*{j$^51C#zg zr2oq-r&}pxWh-LMM5FF!>8`sk8<=a047}213ZFYrpX&CP7_+WF2dG%8VU}KGWG!@A zBRl>M!&#=I#OTrU_=A?`8GG6{hGF`Xe@W*HDzY`#ccMs8A1F{9YZ<-q%eL8OYD14!_x-X=p%Vie4hK+-LB?LMuiKdGK3Ce%o1`Ab z`J19Z+@S!k?KU{F`$yY2_@n7_dpB%3S^%~c(j=1kY`KZvJ_1W}-!6Ak$+XO4+y(7) zj~D$?ah=$xs@PXOwCS$Qqd=fPmF=1xcGl>6{|~~zqbduv4Sj-C@4CGlLwkcld=*Py zLfEfGNL8!kJp1JB<)x+@rOc=<^9xa^a=+zpR%EvE1ejBkcn&|Z?tai)fhwqFLUd?@ zbr2X`KYJImB^0ZV{9RRBS4F+=kS?A`mwnR>`Kp5VZZ4F1KL{(0E3$t{&5_Nuf3j>p z=M^ow+WkaGrnebR`q{T2QWI5|7Kx#w`sy26_l;3PMkdk+CcgKJNXf3ef&{MXabJ1R z=lfNkSYV)8vUajTzAUrxXi!n%k zdhER|D;A)T_ZO+W{1TBpObqC-WlT-9y_434cNFPsnl& z%wgOWYrqSbAp9b{3bV?8=dRxSUGxiG>hN?~*5bKY_wr5+Xt}-sl?TCz=p1x^X(9DN zx?euVq#5=B*5M1e%YRr{B%Sa4Sx``c9c_HfF{+oC#&_J>6*?R3<7Dcvtx zy4<^5IJPX8BGY>HPt&?ldnb&33=IkFBuVn_GqmlHz_Qd3Zy6}=DsiQ0mt+iWi7A4) z+_a4jG`NSshCqc0HKJz2J`9mEe$!Dqp&rZRqt1oB@0*|}O|SM%T<1|D=c!R^e8~hs zDccakU;2jun)J#Ktvv z=lZN;11!(p&C%7pU@0sbqTQswAC|8&5i$mL%vMhz+UBaKrd4Ub_RY`0b9SIC-%c^D zXA7ZMQLqM`^N(gkJMdo%7o*pTt6(LN>K0lmg za_^DlkU%wKeX+QqTXJ{TvRXi&oM{C->nTzVX4b1PI%oKil65-*J$hCtvbIuip&d?4 zm)q+(eD;T6moDSc-N;Nl1&`IzpEV@?7g zo*j4iW(BDsw&}BP0T)_T&Q}AGNTXenq(P^G2(aGlJ{UqQYWX8cNPJe{@izg$<>M_5;vYqxh#0Ri2uwMumQ08uVC({LrGUjA>nG&gTfY&W~S|6*`!v zSWxInq>gm2oe7u_Dym-&7Vct@FI;ne}bE`XW{vSpN|FaeYNspURhYApGY-56gKDn_Z8PA$h?zq7X~% zE8zDVNuNNYL7s|mAq@rQ&**NKZj2G{Gy6TP+VqZsj>r4MlTioGoYK=grReb=J5}pf zb*kN5R%(3*vbO18QsZ&LB*n5k-8NnV%`tfIG50Zv_3~K?>J~eN)lE-L`ffGnlfrsw zk>WOoO39vkoYj9nnN)rzS-JPl5%<+kD2EjqWIiKzbJv0E0SfdXD9P6GW#nvIkODXQ-z$~f zj1S1{d5W%-=fiuReWIt)*u_%rR}Vs@&LU;^2^`AXn}XHaEda;6QU~3Ci5!XK3Rlk^ z+s%^>oT~1aMa)zq!e24_!U%kyk334r|I|g(>2%SSs1#MKWp;a3py%nE=Il-PpTSd&wKka zLe??H{y*6H+W5Lk427t7bDj3L%4tG`*>be~BW`WX=7O{YVBbvmqZh6ji8i=GKBm~@ zC%sEY(K&Mr3~bjmd*!o)Wx*n`Olh>A3SKgvu>hXLG#lFy{;`V7j28-RrE@2T5<|`I z)4~myYY)Hd_{m3pqrWdUCW`E1lG<{YFt*p zzbhj!qnBuzGq_tY{~IEw54YatlR;GsT#GB|wW@Epy+zAsDg%dD-S@JU)8l?}NG!IcQ@cq5oR z9Ez1JjBN&>{6UcPy4k#+Xmp&`;!ys!P z1L9H?{SA<*=a2kMX!?~l(IFTldGf4mJCZ0>sBmY&%c%b0?>6HEE}*+N!oEI0=f(Q( zypDICjZheKc+2!bPLEjw-{91~iNR+BD?ONi!pAhIELT)L+_6>otwCXC`ld6iir+!>7W$)e zcna18`-4pWzRP|g{}1|xMChLq^}s*4Yk>8ZSseQeEaZ=JL>+ToYqPyKy7SL3R2*dP zXKbnYe%jkuQ}LcZBdxJ$frp!KjIZX(Z_;S7y%OkBT5B+TIU(DR{>4o+Mu=V|gxtB* zL#|sM;HlW&%!Qh@)ANOc{yt8m7R|81Py2LSk;B$hyos$$aulB#^^EO?q8t+X?vz-5 zEd)f(cfQ`X%ZLlgPLx47C0^5pAAUkQb`4309$PLqxKy{DmxJW^ z3fs;P9{e|E{;q=_c(yw8l&{zr!K_v}X5ofNZOl8wh1(Lh9Pc|jNcd21{j5ymMILU( zghq|&T>V6g7ll0!vzYyq5GcAM-;8f68g*;&A&BKzXkM$8Mp z|AdluQwOaKlJ)H%7Au)E$?BBs-BKA}4_6HZo1GnzrB|{<8M}7nNiuF4$ zR+=NiP4E$~F5%Xh%@*Bm>r2lM*4@s_LL{Lgd}oOVpdU+24jz@*qA8ZAFUk-!qMIzE zWW*S6kWWPCqWKk)2||LgI+`)|{QNCVyd|UFInW$(&>QXK?`+-zCjjCFKeeu}y2?cg z?p{kClY6Ux?89r|Dk7nU-%9)f4c=Kfj9EZ;-8UrZcB3q2A@(cQ#6#au-Zr5B2|-LV z#A$!eO8*JAig)Kq;lf}i(MuxBPx_X;r=jv)2Fu6fM>#QT#Sg}LX-v7|cam{D%SR+6 z)u$Y+BGM@Yz3%V;k9`Vr)NshP34_W5^R#IfJ!;bRCRBaEKO&5nP37zTLj?Z{B2KO#Ad-K2|W9+6oQhIx> z?~vRu9CCMf2w51YzxE0Wl=x!LF!d>(B|8`zmFo5O0p?^I@ke}6y+xnG3UGI-EceH_ z?2B-A(TV2Qd9e1eK4ekN%T`w!EH88*uiU>zi>|vyEzg4MDMEfzg=3z#!W|^O-Lg0o z7yl;AZ0j%aawz0MUnA;*uX6v2KvmEP9J_f%gHCFk; zrkMgDf_z^knM*)3K@FKiE3%K$Q*KZV&D zc3U+#{e&0?z1xC=7P$Di7Y`vnH-Am#cd?#Y+k-80U}^qWuuE&stesWLe-5r6t5>}B ztO#N6a3IM|>*&4`ntK~77_$F1rW=eR&V6d6|2^(kONl* zE~e6hcZuopk1weSSaru=*<-Zqi$D@;e<>xBbaKN=&G`pv`z6B+7g7>;SsxFj+et2m z@(QLy6&(xCIzp40!HR|XXwdu`IdcJo-FddY z6K8F7o!@`0A&(?MM*S}46!6nxBop`I(}OCCH-=(XE_WGbYsC>CeBP=TE?FN&&&kWY z@?)Wea+hDmR~f1sblL{qu0nwH!5}t*fnef@kw!16){O6~Pmzdv`G-8GR>GgK_8@Q= z@8M?<+E@Ge0hJ96e;n%Oh)-g^uC~%lRtaQ#S|Jw>6-vvrH9*6j^6cP7d*~tXf5~g5 z-8lq)S9D0b18t2kcA~f9Vpl!286kl<$iTz&#Y^^>1Udsbmy;NO=!6@#>H|O*0C>uZ zGt}N8qGWY-1`a$V#kfXuBcCWHD@07d5@T!hRWi+kXdDVA8&q(ujY z{@?qcBR9sW-Rk^j{XuN*gj%3eGnI`@=U)k8GZcW`XLH_2YPeFH0u|o%B>lu!xuAs> zYW&g3Lslow|f#9xs?+54#FoTDJVxD&> z?k*@&gL+>F5JOqtyMDUQT|T)LakJ)ewVm;}KfH4Qy$io}%K_T(17b1qAy7RPF`6V` zu&^Yk%g6;{CGpY^?X2*#EijdkUt9xtj&fyvAlBHxP^qwaxM2Zi@y_cm7-iBcGG+L+ zbb>DCX%?IVPLL`PUmKbwLqDcq@WnQi+E)T^4&zakE}_kqO#cbG>1Z*( z7IiTYqm$#&426@F1B8iyt~XlN2|o+ig-%ZdI~ki#>_S!cy%gQ`s76L0!B=wltO(62 z@$lX6MZ4Ci9Y1U>jwB@{gl>K3v7BFQA2B(p8o>7Yx_6PgD5rVg!OAt-_x-+_2UO{f z@$=bUh&PjqhSGbptCVbX)AFSQFPm1PUnIXzX0o@Z+fT9^h#ul?oe)=TLxz~yq63)J zfyjwp3;dqoy9YzApMe|yNfvvL=Xurv3aXh1m2djiV?MDyn%_R@d)e8&JQa1ZXhtD` z_c;_MVvL3>75XT8454T~syy+NEPB|8;8$J&FxuxCnqvFw-)JJ0O+;5v{xwt^g^o)NEK#pJan7+ zCc=E$fUqybYY#zXn1r2ZMne&ui_vVpKL-nY9EaTmLyx~aykyXi-*}F~ zWoM$yeA(UN3+M}HcD@gN%fzR}bp+JLaCoSo!wx%H+Q87r7&I|8GvB4JW647coCHWu z6H|98wT(Iopb!w05PB>;0z45JWuYDj_=2ZWLTJG;(d6y@J83d4Kmq6kruXV3*=bK7 z(x(HtfV{LK1yoj1^;g?LolFF1(_4e>oIzJFw*yD1X&JF}D;Ha93b_BEL&e&OV!0LKShk=FZ&$N(%1 z^HZ24M<`ChVlxQcI2;YGtG*-x;Dw&aV#z=^fa|2BLC&Wp%PStV#_sD8Zmxv{%Ysva zGJs@s2m^;~*f!&gP(`MKDODQC4KEBV$yZpy7`I&df!N zs5Z-RlAyRZyH%T=(YewdX*gkE5GgDY5IExqpfA{3abf~cVlSmPVF1D~{x1HNcnBRu z)*1&6iK-)!p`-Vl4zsRaYdX zB!n7&!vDj_NGZgILe{Uhk`!y`u3Kls$zlZUXF{fc-w7>H^L!A5^z9LT8?s^~fHl+3 z6OR#q%zku$oQ2%q6w}53DhoIuf=0`<(8U%ep#e6hRKKO4$EzrVT2~~L&p-yHKzSeO zvqCb0CG8NZ|3@g%z7Yn>h+ARZwKdKE;)Rve6o`(-_)TLoH;4+ANdI_ww}FhCI;bYC zk!Iy{SY;~QDCc9Gptzu9ueE3+@L^l8G!XI_qJG^yrOF(n54jz5}C%uc7LrlzF-bfcvZBO7B7 z@q8F%8%U=l5o3=wQnlk3RO2YgA3??4U=*E3iA;)V((z%$tfi$NO`)a`^qcb1sOdWp zAu(yAz^?4g8fr|&ge(B@fl7Sg0SG2i1|@Nja>CEbJ(QPBC>SaNNC@J z);Hf~JRqq=0AJC;e$8uCg)$xop52RlkA{vZhM#FK5gVsU<1dwiS}*bSX4T@wl_n7yq9oJtNqKY)~~xOCg3=S8EB*S7SvB&b1rUX zZe6=Pj*;gOEe2?MD%vyOx1`${wg0!HfJ=wX2`&NpAu2WP@12aJ$4OA7>J5Mdp`FNY zBxY)w+?Dj9K_#FB_X?e!Mo#aU@cZb0GXhz%4 ze#Xvp65m|Q`SIpqsU&vuaG2L?p(0Oc`a-%qdyhmAA?as}9Ra~Hnb7v9QK5A&4oZMa zz>!}^=T?cQZ|m$^`0?Em7MOn$l6^)QCOi^k!0K>bp@lpJ!yJ#I!3tJ_pkjWdnoqBy(_I zKi226Pm(+mj3(18kw}x*JCB6BcfJbSVDVV5I2@#TzflaVlw-ERBCoOnSK4G*qLNXt zSD}pjZH3K{bd`-7uocTshqlrI}~*$`w6 zvdLmLFL23zogI#3dz)}Hx-dQu^D`T3(gI=DdsyCMN%qL76Lt-dx`zibr)(kiFo*B! zP^+!-FlsEh(@IxWlBg!%xDA>BipMy(CZ4Ek$35!l%0mm7?r_{pABrl)3W9day%>Mb z8fi4ci(8hinf5je){A=FtXht|gLYJfsA4eD*!i}3De}*3jP~o2KERX=Vy#{W6)(XJiV*F& zG`V6|$b4Q86x-y6?1g&$^Zm||4s?8SP6NleaSZc&3R|L``WBw|b!3$FF;T_Z$l|1R z&k^`&frKtX=4Fr6#n}$v;CX$W$$H!~x|IV6v~R(iLPb~U2sTERz?biDX)0DILahl4 zIZSAh)KtL`9qeeNSq#;5G&PLpcnLf4bb2#i#!o4e4(y&u3aEvWzUp! zhR^;tJoEUZT_7*r$nk{|9bwmQ$Ph~yy#3&1(LJ>I@V3tgyt(8v9A`xSiN`*H4R`(+ zKD4o%^L<59i@>Y@^E(mlPx)(FHJ1R_DX+IM-J`xP_HvzokXF(Iy)GT9^L{|x|8`9X zlJlya@%44moz6`XZ}Amo|CHQ&%B&nDJ6d?8FKy4>vZQ7rWybF4-}{z zIo$ryDWS=f3K78goScFE9OG`knF50XWft{GX%C7Z)jv?^c8CMYCLy#nk&b?3TYDro5>23!?bXTd`OFt29@*kO52kiqIK0_BbpTGxnFC#HZdNsa1^kpvrs!8O%50jxE-DXeIh>!>e_CE~CCU;fzY}U_!GY!h zD^lto;QTb1yXMb^EV-S~WogMB>~mAc!CFI=*z?T~_kV}WD2^b(VtIlKi;#~pR#ab6 zgX}-`NtG3Yj3G2&ZYqecXL_xdJVu}WSfm&BE=FUH0|hLtJD(-28L;+n;EJ&1Ncfym zRJ7>A5ug(<3qzv%N~72lZV{`$x;nPt>eIJW|NU{M!}g#C_DM5JJswSZV5}TZ3NAdP z@=Y3gAPIqN@&rv0jywrA&P3Vs3rUhi`%DU>jgsbUX(Rh}Qe4w?Nef`QmR6}G{WQE# zTqL|FRFKHfYDiLP{Tn0<Z{C!g4R3Lm(*IYhRJ7~PW`CZ_@rR1Wmn9}5 z1@9-^-R+$-H#Y?V`4ZT)JQB^gaoZY`pa2V*WPxB=`k`*x{#AV#=tR<``$kFRwn+2L zxmQrDmRTD|6>4Qqm#qq-t_Xh)3Vm>2y_YzTLoPc>W>A~L=cG`2yOE-X3*RpM1o0ns zKFfS-Z2FoJX-B$S_9K(+Xba+eNucDPu#K3%5(b}?maaycqtb>oFK_M;h6ouP(pb^~ z&;zeaTJy4ALOU->%+f4KHW}t8&5RH4nphRXvoM*5$(%lkGFA{PStHSer5XPuhoR>q zX@5WPgiK!)Y11hUP9ig&an&zVII)b24Z!63TPZVqn;PLf!tlMOykVw!KeYszHk$qI z^4)tuIx@NygZu888q7{R{VP9exd6s=eyJOsR+5<=5B#jDP5E=8spvrSqhCHf8jy>v z$iIiA>b64D$1Ew6^J4GMh%xlR0YsZP@XO4VLReFl&KH1SQu~p5CT|ZSu--$HhQr`o z;m)ZvtDOZ`|7zraQ!9vTF-(XYNo=GebMDVcP!C2?ZS_c%WuN!cX|%qfNzh|bkH!hr zkmW`rp-=m*o_$oFd~_osXa_-LA@LTqt%!iETRi{8@*BMgGMbxV>Dr_an&D*;=i=1n zdh%Q$7Bay?2|Ofxft7-N8C0=A+Mz5J1KS*X{z*j=oc!1~w;|*{i2gkF_Nx#6-^0w$gO0%z(zWX-KQ}7kJZ2 zI1AMYyIpXT2*RMz3P9fVy*T^Y!lRwNc%G&__Q(cvR^Q?A%rkp3QU{YjtR0# zBuZsBXRc-?+y4vvcO&cY36s(!$#;uatrGW8(+!jbQXzEfKf(pKIt z*nYgbk2m@>Pt$!E2ZYUkdYVrNEhO9jrxVQU`WdY(iOLL}&`nmK?}cw_Jy(jYQ*g|v z5zr-_&6{|rS<+T|B{u8F15*lO!&pbt_}9>Sw;SZBg85xA^yO=N(_mT;{&3*&!l0a% zxJyn>@8qya8{mrZ-jy9bor0vgbw??WDCOn|acEV^%4ghq^9EjD>FOFbw{aSuDG zbc`l7M&pT}uEaOal?p^3O~d~>@8H-clYXuy%D$qibe-vC% z-UqI?MgVi$rw3aplMue$rgS^V>cBD=iYEIOihu5%q=k~cPSIo5Xz13IMh*so{);y4 zRa{B0S{xG)L@w(_*T5l~be@B6;sAyr(ULFr=I)=61rzItv#mtn$nacnFse*jYds|7 z@S)&$e$SH4sQZM^x}wX0h29*w_Ir1kG&8*x@X9`l-L{^=rSF4-AdB*$=Ajmsg)-_y zH18E8D8ER8@S0aVi~7ZCIo5vZM^$!5%-9F;S3E zqRFVx9e;4ZtmVg{M}h5;0VX4peWVj3?E&~T+5;x_K&?t1=CW%2z;nqJV@jU!p^run zD`a?v5-Ws3>KbDEK(u0nOu@5(sg1m?8i$OwTseg+1;ZB%Re3}HGV%k@<>;#Gkr<;@ zsr0RBH>H(}pGy?`rYYdzfP$ZD3VD0Uai6+^2GxkitC)PVPCwG9NWUPfeqja`KRDO1 zv?Z$O9TmDF5f^)l>mWmuNm7fncgLaCjZ!P06jtn)uC_a`wnCtDp4xXB7uOyY?2_yf z?~?2UB?n(fiWKI&X!6Cp4c#%=@8Z`JsBzdD^z|xzHmtbJGHZC;v}~mv@srg!Cs|^( zEl4=fYix#Nyfv~WXTdQA`M7aa>2Qv1PydvFanD~dpy1kuN>1A%hSk$BQB%HzPpQ@7 zLZo%rREO}zvYk2p`$Ev7T=OFa2nk2U5iHp?YenLyL10U(=Kal^o&a=yKis2mlJ)U5 z$+>984mEFO24P3z-ZvaYoJtgVkiN23u@Ltfj+_x{frAH#DVodSqKLiO%Ug>kmBc(% zdr&E;&VRT)Hb>GOE(Wgr-6!R8%REC&f^eYfa85poMMZOv+?uB@#$AUc~Ccd5qCdBFEtP%d7gg)EBo+ zkwvUS#I<{y%_y3n2AnFh12z~G4lYN95`e^X9#mKQL2oB$d^u z-M#p~GoIDF7TGeN1(o-Y&E}LV)hwZZ%CiV!^jM{gg6gn%U)SE9Xsu?)ZO~fnSeVk5pQ> z=(w!MC4f^~KfKp|g#LE}U>a#us`VG#P+8h~=a$G?zh9xW5epE|;#`HQOO~oP-(=pG z6~@Y%hxi#?k!8L9WZoapuc(03>x1@>%5+}yHz*J2msh~)^{m9_^W$OsJ1D1Wp*HF2n!TP=%t~<&HL93L`Pmg z0oH0hp>LrNm*QK8WUDTiuJ9ul*gCDNu}Cia$LdK#Hsq8ZCja!IKgu%(8x+|uP{0{% zasPUMpz)^R*yAOFI@doLDK=5?CAbcV6TUVzW-E+kV4Vcgh-!wz(-no9luYs9WMam% zlfHvrPlW9DytPTDtaL!+MQTnSH5e9h1^BZsasQ5-$t@|~y>`elkwUr;OIBgfWx8ei z3{rXica{85%_mCnlmq7_L~FR^(m_yNDxew~T7~m~g;;n?o0zq5_l;P&@1D1F@LoxK zGLyNcdM)S$ZAP?aK+-rZeHpE>t6(es!W-nilV8BhnW;_j)zgtb)bdU@)%=#z+b!d+ zUX=Go0!@2Gv}$?_0fN`i5R>H$$tRr!rp`$nxZ4wzclV#&2$6;?%fCP#PRrNL{(5 zsR^Ohx-f#E>0$Jn0Q~jjC;)%GIC3zjkw>dazkE3k7js$FR!%{&yOs8p_ce8GZ2mD9 z3#@b$KEVarUj);2o9fXZ`b>|YN3wZzr=kK}e~K=B1@gXmvv5j&GiDL}^LBn&4?^l^ z-x7M@SKh+t>`eOKuF1rp00_%1T58;%vxSfP0ej_ibLRU&9j#_ue^p)38;ZJ$|4owyORx`NCnAJTooaK5{S;TOR_J36 zhWIGHd1jwzvKKjA`z-v*h2!p{syyQw&Zv&`5DSI2rtfvy(Fp~bpg9-L{=0u^Ym=DE z`c3r8v~bUC20vx0hwqnQV(;7xd7xbTdsbL7RH|`e#_IPS;Jvr#?PmGvOy{QXtPs(= zH?ikUM}w`eS+AP1-@M}LZ<-e;BL6)Yt3Bp`e6E||yoc~lHf07pddj$1pS-{OW)+|B*4%{u->Qms{f1!wq zv^fn?j*ECvBH)`a3__%oN7_VkibN*mhjTdO4WD+i({ zMef|^R9m{Q2$~Q=uCU~hc|(XsooOiwI62K&dbZ%c+nLenZjH=svdjz|k?&LBC6Pv4 z6i-ZZY=QFI9FA)xQ|3!zlV_tI#Bt0Rr!Aug&E7>G6Z)9$f-%Rg0ShzQ1$uU^X5YrX zAJSDU$g10^Yl51ji(MSse?oXPh)2ZlXQGYf2zV=1A%CIOabUoPsVa>L2?aY|uzB}C zjNBRcKoTwYGaQ=g<55d5++##2L?_g`OnKIOj?L~^hVjIPPk^fSJ)JaZ)e~fvYoap z%?rdEyGMDYTV(l@GvRZS;9QEpWKKBQBivoOPqFYvX|$+Wz}-OkI6dbS2%FK9o#L`R z^Nkx{tCq?|suJjF0SDTo+dO$#`-}WEK1M6-i%wJDB#QGCZg12{OKaS&O?~^M92v*G z`Jbnz)P-))=VV;&W_^vZb>Kh9B-y2>XVLe9zajYcM*3XgNu3WmWP+h`bQ^O9((WI2XaFYu z+xtdrz;uvnWbJ-0?O9<<)uzkpF6HPuHBi0n)k?@+@$OZY79{1W|B4e#jnyx;!rM#fp=Io`PyH(>38 zjFXWnDT2RpjGGkWwbez73BB2Ii-SKP+G=Yh>f?*{Nlq9ANlLeHW}ck4+1vC^CT9~U z(3xu{R2d+h%{t0bAooI6fhp0Y+=Bh0tBd9DaUbg-6~44f2gu>CRi5b;Wy}1aUG3Eo zg6ElTj@DdhgAOt4^ESTjf}@1|MWH|(m?{0`{>H7}e%Ra;!BEB&eQnX^bsAqz25Jx7 zck{APl{jBe%$*5>dkl9RqUzQaB^TX|@Pd+tQOyXm9PWc&>3m9~lgJiM^N>e(?(f#g zFwQ^(x_W0@e{)q$2%Jfl*kx`}f~tXD#f5FJtjn9R_7J_6t>)Ya?4G0;Y8*`xPfUp= zrl-(n(xPI@G&iGT(rHJjl=m>Q%W_&w9CAJ;Q%xKbolZ0jQ9&T)cW!r$s*0&TYI!rQ zbFX$hg&Ljg0K7HZ?RxSYJd2o2NiE%*{tj<8=j!Do+m;?f;~$Ii48c?9Kw8rAgm%Eo z>&U7rJvr4?`uIs(qDyjiQZ#idjqi}UHJPZk>3Y!1*VNpyI0QvcWyHj0w_Let__^t5 zBz-#7p9KQJUjE53$!qrdNpy_oyjOmMo7|(laJMZ6#1_D;k(fGy%SaR6rYMK`5J%0u zD)|2~Dy|Jv7_XwE$FGCw={Fy2Wyc)jxYDUo8cDw}3qVhNN}@%a4E4BTVY2H4^{8`H zYg9bI*Nsz3rf}9WrGQ8ZHl!wvDs8q~DC4h^V*7@QqWLQCii=5p=lnSkY1~I(JlESHE$HC54d8g zdN6$;?-JXW*%-$7#5E1spe!*cN0Wly!KK#k^fkJU*<^DU$3ui(~8 zo(l2U&Uh?nyETV8m8Q_EiyBRK53X`k2zf*tH#OZsqh}`Zz0-jH1(Sf&sdq7Q{K5>F zi{TMkVtV}Z%;=a9_oIO>7=-k5+K=>b3BkXIeg%2c?NZb9{%?p=xzUQxVoS`68e`pJ zmMQXfeD#nnvt-;jS;;q^y>F_^xn%T7|Mth%rFVmx>9_Jjt5gRI8*F-;9%kAik9NjH zvr`Vmk|q*&TiNZJuu4W-7+W-_B*op?XSpNoKivq~hYCukb7u5@B}K)n6JFf4XdhR|Oim}Vhk{GU3k3HVTCd25{)E=40&842^!`uX zwHOhY8P9Jd+FmGB;UE8yK7s+zomDFNf0^`jCPG0Py++x({)!73&2_E}BaVL5Yq!EMV z0`cNV`aY$I?arYGRSt)|!$)3}Kh^mHo)5+q)Pb>IPwA4)ny;Az#Oaqm^m9l*dZPQ^ z9E}lR)F%TtvUkAPL7(^z<9Q~D8A-gT1Er;;6>`gsE&QFS2dUz`XolIfa}=G~TT)@z z=(ORUKI01CTlDwBm@iTUOTsrxXEFyF6YX&~(N~6I_tLCBz^B$&y3{rdPyL;o3=Re+ zRD6H8kmrGny>tlsP=%$Xj}6m*14)3^7PS~vV|FxrXqM04wFGTt#{V~A|3)Wm8=uWX zyIK)*zT`3Qo>Xf_VgD##cKj<_?~oxS)mu2YBzfT^Kmx0104QH+Acl3k(wEuHnX3bQ z{dAD`7uwFw-COfbP(h3uL~HPDSlHn8#<^WC zWK`fii9iM@x+9h(*DuV!Xp-b*FF@!aC4IAP-)xU$l?;@&{qc~Lfn4MZ$6PS4WQf(p zFD=|Yv$mp5D7}D6@Cz&WX%|qqG^xAy?H+a^S;Ahq;40zZjBO1>e-vGY_YgVwIzUZz zR;payW=5)9(PmDnn85YtsqI(E;V&whJ>}MRHl-4RyEWmCrTqkFRRvc3^qt3Q2Vav$ z>)qlM4?85%kh?%FxvKdv%4dyZ!ImECNr`1`Iv|#+a~HTNv=b)*b1V5_K5$W%dA)1x zbQr(5D|tnC%RUO`!=2S9V%m}zLURv#kwRWF8$b0<`f`BvzJl?ULO;n9T>fVbfJ?q1 zzThiKg0YIM#uh-R^9XuQb#T|!fODDQt0M|}rRt?-pz@nZ%>aG#RTUG@HQ1Af*YS}e zeCp{%PLWFAudKX1j_I5YI$%x_Y5ou``m)PJI~U3Lv%hG2J3!48k(sQfNUz|Olm;$v z1+V_N4$&oC(vA+Zn)U^zeSdQ`_WF{yc}c6Pb*d4N58ht*_x8lf4ib}w^xEWh*^Xk( zM$ABGt1TH)OauA0NqpJg#AI?iODi5zg1ni~`0e}M&|MrXxm!aGi1J=??Qglk2%J%( zX=v)Pc!4WQ9{CPg_4roFBfz^_x+#jeHeW}Vmy5&El69^pWPK>Pf5~K+|35y>2$L+N zIOAqU?*dn*=gM3g3K;U=bBsZV2J5#R=w1Z9=*LFkb4rhP<4ycfye$&cXB;-I5bx5W zCUqM7jmOa#@g$`NiUNbS=ihaWJ-_XSVw)2QVA!bmL$09~u4aqy%*R~#_?vn;_QJqq zqr|AY`sXjP9Xu_+m%inRUrB@SxdS8Bfv3Ohb~OH?|3aR(LU%XhK~B77E?8=}MPpEtjYphGJLP0XWuY>UrT87%oUKx~XlckoN~qBrOYVnoy^Bqa>=-tTN7`o$FNpk?lI_f3*iBX{Eh|R zhe6%3?DK57N7!}xR$RqI;g+tKR<>5s@R#)2#V+Ms(5lxJ$pnFj&s*;1{uOQOVzr$V ztZuqtIu|=Xi+oul1t0j`V3>4bRQz(!sypgFF{ZxXM#U1ws{ggs1RLa9_eR`o^&h&A@`Z>UECq4~imrv2Ip_rCt7J+m zOZDw`3|_{og9RgsC&@pyCCmCj|Qjzs34BJh^CPwPcj6Xk~^S zedc_db9m%Cf#Q?1DG|U<@mKtqg5k-J%VhC^`)V4?eFY46$3m=g^bPZ%x&tW0X+QO6 zunLuZVZE+bqEvgxvSL;bvE}iT?0^xnrgTAJp}}b|O;dVjDod#gqyG?Ug(%vK33hN5 znu~RAXua&xFwlLD+^#zUM{P0w$0t~$sSmpgx0lqG-Q`(`QirJX7UWW1RK_Yyd_}io zWg;q@A7BmSI~MkzXuaHN+F1DAV}=K{312R1D*f3ALElSOcre)@Zs zvvT7FMya~|B|%B&K@ixMDqXqs4yCqCSGav$+Hd7)ugy-# zHI;blpZi#^@`f~gcJHS}43rL-fj{g!tn144%jvPoY4|@X&5Djtvm>}3h15(H^xh?p z(V>o!QDx}3^Gnjs>oan0%9j;c4CPPVvTM;&uf7Km)SU0XKgm7^3lv<{uEm6iqeMd3*UexA*aE5l zfi*KpI*60LTDiN zl$#(c5}f_DX;AEHH({8%20%WWlUR%unVEd(VYiu_h+FXS&o-}U?K)+fHat4>Z@^>j zjVf(=R-%2!wz}VQ5!&@q_@=AV*zMvk_5k;i?f;FuB!G?WDD=) zwm=?qmAHbVm&r^0>%gxHw&iDU(Uf{~Mj7|V&aWN^cl#B37%v^sRSeiVytS7#u%d4! zUZQjDf~V-5Y1)n55jlo})kB28Lt=rnv$pW80rN`?a$PbvC*_WeFf{&Dtm=hH)_s@! zzWeE?#isWQ);b=FOJ=v^W|xH*pP)v@!1wPzC02qwX{CrWIGk^BZ(&uWrxjr!0^@Ug zJP*jO{%hA1_+6zloQ+!klmu(O$xZF3mUr+$5>M&`?1ZCX!ROyc`wQ8?ohg_Ovomip zZ9t7-GQoZ2Hpw4?(T2QXa}2S6TuY;-am zJTmw;MF0N0MC*q@K$LuSH98RKFJ*l^aV#tMoT$tVV_Xd%u)>Aj*`7z2i`cYxFe)F@o<)wk-m4VobNYlQ0g@BDo6c@>Wfsmyw=?tGBp=(-_qV>l7GoEdt`gT?HL~sH}{|KsWsk?X&W$hPT~z1X}q+yKz@yE z>39Ov{vsSOI>b8`jft+v9Kn~=Ug8$)Jai%W-@E8x?&@bW`-1h)t+^Rpg|p6S`X{v@&JA8+D4ls=P?oF>>s5mSqeH_72^5RB5nKeDe_qW)1^w ziw%~f0gImpE6!PVA86glL;}>KOlzmPpJm)#Rq?+J#2sK_P!=nF@L8=XRvHfbB?kQOM|ZsqL^@t57Eb#`gHHlE-=~>up4rNys>BG4y)M*2)x>6nR0=hRR75u^iaz)FVg=F(59U zw^P}tB4_JsM-0OH^?PZkmFQpi#TqUP#WtFZjplX9DxD8ioX|Lhq)$1M*V4w@vhsl! zcSuYF?-y2%SUKzWgW~I7y1Gqm{Db3Z*zvEpmIq3Mp}h_3zU>F#%fD>8x-v}tq7zBY zE`7hQJT2T^WJc>wCDGTbZ6ck7(R7o3Z;R(e8wFb9j}mFPMU9hsv7L#2Y7n9 z&!NqR;-yqk#8E+ykxLE41eL@P6O4Scv5^eEazp`O?$-!Wmtl-ntBiTvH{f9#r%^T6&`xo^+U79AM!a7-9@ zl=>VOc+kx8j=qzQK{crt8ecS(r{OS)`=!Gi411^@bU`Y*p8S2wF+QK>r1KpcUWmA- z^Nqm@nu*g~`Jk%}e)*7E8jHvNXkCw%2V2JC1jiv?o|)zE~O#DiYFEV(^b zDN$Dp8@hMXYF`+iP$1bQ{848jyiiYtU0bg@4ZLJ7<>K1h`gZel%nu5UB%P61o#(bj z->xNHf`xt?{E*guFPhGUP%GqmYmdmiIyS$P?Yg`j{50qGA%4y`G35Oh$#9qXXwFxW zgo|nq*AJCz-8*dQ zu=v>t470!ipVfTL`(cORP7&8ZiB+?uB?q^agD(c~(}##!=SgIFM|1o*JD=oxL3K+~ zL)G+_D_VCTK^lAJoe$Y^RsvV^VbwfGjZnSbqcE!|15O<};ibvt72v`9)_$(X8A5p2C1lYiU*tPp=z#b#~Ws#0L<9lhD_<-?bw=tJCI54147KEt(yiQzLk>o8k#;Np}0% z4wXR)tdMhU9+uXaiOh>zEA20VJD#+4T2nG%2x-iY6zCy%8}DBmx|_Hp-5K7=vOUIz zaO!)k=xCKUA-rX1rVfeDW2^xK>4BFPN(*$EQ#U|ya z%||h!kPiy8GTT~gxem35(>vo(LW^I*2tjdsQa#XSXd7V})OKr02PCGpZOy0S+IELMp|+$eeGe)#J9 z-NM7iFx(%5VN~$2oK%@^z7b4v^=h!bMAr9O1`-h2r$FiG9z`pRKpjYtlL1n*RPH@Q zDsgLn*?=hMwPHo0VbT!?DJdc!0H9YcN%4U7n!_f*gASGF15$l-M~b?*a!NyW4bmYu zjMlap&Ol1&(>5K9$x8GG&9CD08AFYA{JG1yxDwF)9<5SaGuTjf+lzdpe2rU$$X45J zCgj)lgAdQ>pz?!b#eR4tWW6t z6WU;3n`g|25dAykh-_tyj`H4HvGO$s$}*9Fg3PCqzJvaP4?UaAzmZjfLF$|Eg*&@n z7HhjaIVnDU86YKFDgh>DvmV(uPvHyrC@r3XvLyrBVB(p>gcwI}IiSblz7909?#mgUG=EzIEVgMb3`B4l`+-aoMtPyMNVJ@_mDXLNxE7iJ@qw&K7-0K~SxQ;+9Lj zn*N9;`zPtK5UgD8Sp!G)_MQN~8XK-rm$t=~zb|J&%1t$gsW;{3iDL5@V$-|h&pGhC zJraDxj{nj7Y*68RK^&DNrC(|8=@4 za3^YIkdovdfAF~W8%#A|9?=wv_TDe?_jL;J0QNggc)Od1nmu{&Od|oWCa>~g=hq`J zU#c&mw)D-iUnNv8fq3!7ORQ5^%xV#W_fszZwT$!)4Ujc=Px-}huSl->A!v%m{bKW2No!zqt!-}c8yY&0~Jw5OIQvTJ5iKYE%M zqqklU_&7bQ9!4&5hHC0QEl&-j%3YBq__rqur{&J4nAS~iqFqil6ehgrYk;)!nWHse zmawB4%sd&-wsT|S2TH<2VLKW6*`gLaa6T62kZMT6|ea0g36fJN` z>TO4=0>Uj2U03gsQe4_LlX6Kz|Erh9Ok>H84$GH8fK%H15lLfxBJ82>_UnjSo4D2j zs$Xf@s3}3Y??6fZ&_&-Jb)|(fj5j|3_mbgs@LkJY^Ag|Z*<#N-GlapA8Y=ti)7Qh) z#mYIsQArfX5QapH8( zKXB?^2*f_;(VIhFxSUm(@S-`FYm~V1#ss>C)U8`}Mb=5Lrn2S`=Yn!?bd`~VPv@P* zJGTuYN#+KMpH~a|vt3PSV{5>LnI;7Iq%7qao3RR!T6fqqJ7qZmw*k%bHW$q77)x31 z>hsG~?h>T-w5OYOUegfx+$r=E($H1apD0$h5Idd1z{Q6_gss?0*d;YV!JXI>B&_c+ z=T^Ao;@@1tNKLv*-&k5ScNg4`fkg!oECRpEuGWy>pEw_v}~QR9OonVSV-2{_t~{n7(3`MrmP zp)E)qwe&gdvnqTqe;%J((amLIOvP2Xvj{QsThRQs}XL zlGsOPXCuH6?p-DCDfoq#D`yG~T5tBl7SFl78CXH5dPvP*^TgA?Vilv4>Tjk50L@rO zip*T#DqVkY?}8J%ITR6Q|Isx(xY}{bSJM>b^;Y@7)ry-s!BcEPpyPfy-Ak^H)pxgS z5wk3n`85Q=C$i-B14ZdSKAuDq@{q>Z zqYeO`YUFq%G^ROd?;e7i--4fyA34y4DKm9HO$NZ^E&&nJTRvf!g$)r(Z6`5rS_%(BD@n)a{ZnECik~-)`?<}z zf&Y8kE_i4w)rf)Ix9X9yPacSVu|T0G@qgK4{H}N=r-V@$NhbwVuC;0^oC$eiiM4*a z)Ah}ssyvfD-Z7N47-KtXj0u)#f0CMV(h;@`Zabg8jv?L`t6N$C!H7e)$;sva~tSbPbzFa!2Z|j+3iGj%x^K zJSK{4-@(r3zuEGxy6bW;=Yz0CRms#s_}hHEl=Zq!`PDJuGnJDtq^#896%G%qEIwp* ze|!jomTQ~*ru!QGP}+KE$d>gOw0v4}|`(WZALszGNR~J&sCSV8r8`KT54bmGk|#X4Y7NRqth)KvZ(Fyu4+c z;pE_q`z*~Nl)3fY&mo9t_mc$&`F2yMK65^u7H?Kf`6zw1l0UQ+#0_>*#f_GojC&Kc z=1n(eRgdA{eBstuynsZ?^_U9_`k4#u*McOU1hfYVPrF#6YgU>)!VkCBbH?+psbZ_e zXPh&u$k%7HzHYv0Xm@d?WY5;bS``{!Z8K~X70ZuDrcg@usIy6IUMTd^D9dVGUdX$4 zYY;vkx3jC)?HLhl*r7=M(+?3QitO#S4_JDK%e-WcA15yWSM;SgCE<(b%L6MK154uH zRs2Q-hlCL>ydxzYxc_=BuGBK%BlS~(ZKIA{*dIdkH%7`Qj&(+7NxxbaAI9MLk2K@` zs+&alxNepxP#fpRuPSNEEGR%!nAFl4AS2inK76fg7uyB0u5%ikw`I~Z21w?vPeGUW!8Ei3fs zmJT&!Oo@gjEwDr-_zL`xO2$4`OQ1>0%!8tM>evi+h{)X*IT@l8mV|IgHx(;hi*qEF&Zj-B6i*0PCe~ z8#_iGt)~r~bwr-~G=!)MPmwZ=g;Y7p^wmLM0m76g55HF(Bry2XRegtyldJk+sPH#v z%02jYph5kpZ-*JzGkx|m!`I}q!~Xg5_vInOqpGnk_T?FCocfGy#P^3)2cCv-OB=vK z;{$Z-{ufc9-^zg}D9%Hk!ndUk31d^=VaEBJ(a=fG^9&W6?~{vb4%^McKKyS0;i{7$ zhXDh2_fsDJ6K)X4F^@hX-W!Lw^{b{YjiK0D;yY4WJdIo?KEIez;4N6G%i6xy#?8?C zVDYmqzZeJoi&x5BJj+npX@b|v&j7JG==aI+A?|6&4PMciZZtvh_<9CLyK>p^Yd%^z zms>=Bz$J1E$iWt8>jU9?-_-oZ29psQLmpqd2MWkR?{)BfBkm(Q8t<>pE`AOg)j6K9 zBGvfbT4YSVh~3gpc{Bvn2oO*=2zEQ1RFC0UF0 zhFY=Tm50`UNm$v%BgOv3_nH64(3w9n;l^=%_hz;kW^>>7oaH{}CMEZMcgY{R_|Y!}I<;pZELq&etL+L#c1aoh{cPfYg0r z_mJK6R~?e6HYGOa^(zq~xvJeCRdVeX9k(7QsCE*wE)hE(irGeny$VkWk05ZD?!4;M zM36x8ORw$|5nt_JFQj7m;(SepJ|m!s0d-(_JEQ#_O$4YmY!E}FHY#AR(>)+lOH|@M zATZ~(Xb0jhq3GXPqiG^{TTp-&l;SA>Kzu?3RBuT7aS^Qfao+`+>l3U%d>%a4U1-MX z52#HX0Lc17yI+Utm{U|O?SMe#BG(dBRf}LlEC?PLhiUF?2PClI^nuL7ZSpLq>Enpj zyW1S91Xj@NjPX$mfLOi;ru_%qaUGndkdhfMx@sZvd3%D{rkwvxLkUcS49%$kR;O`3 zS%ujb1%?>ju>L^Bt+t5+HHkS(pX9@p)mxnQ7fo~!;UdR3+ZMqRJ|_|C)x|X2n-j+0 zw{Ne`#joE!pN(W?*`7_odV#PPHjC!mQixQD)RkvmCl;%I)Fq^PE#9743SGUeg#|1d zVy`-4FMY3ld0)u@UdL!Y)+htG4k#$Z5Ap}hyOX7f-LfU_R1^Qrar0Fe6PCK)A08x4 z?gh#1umb}>Xb^m6$|uyl;Kw564=X`3kH;pYD#%~c@cepH@M&SMFII$>yE%oyQ>eQM zsNH7#GSsuxW2L~CXZ+DkFYan!l4_&AyC4NiW z`()g)%EM=LWF`R1h|yo?>Tzd_d8B34mTf?zF7(JKG`& z|Gs@`g`X#<=(3xtdj+!jF!1zU;UdAqpHsyy!x&2+*gt?nwno|4A5g46F)$ztY6tPP zIXme*T5_uSEBZ~!_ZGDo9Ah#j5@<~UxA9u}q`c5c@c327M*(hB;&}Z5>YxI@V6LdI zJqwVZb10v>yjv^(`^jb@h2L7}$vQY=b&ft`^@tAZ{TtcDVTx** zXwAx$YN6ZvJqvJ>f03+rLfpnnKq2twCR$$J@)K1gD+6R=OxaSSWk4(|9&HT*xzd&; z{&SLmAQnpgwkQ^~e+ptRV8M&0z_Y&cc~Hw?C@pvWF=ZP%yW9HM(UA+kkM<&gbJC4! zV6kyePx7Z3J!Lmw@~SJ1F#65h7Ok!J5;*bwbyBgFT`U#+HFJmYj`+q!{)D`2_znQ- zb5_tl&m-{8;+!iP?Owv?K0v-`h!^UZzf#>bBxW2%_&~a z1OVKX!`5!=n~=ruC-N~DVR9eVKZ8FEln;)VdB(nSLz46qudFArdD(6UC;|ZjMdp5)2NP8ljAN8dwE!S5;~>*oJ&)wH2}+R{M3rAnjZjVUJfbSY$F-k z2XBNGHiFyCU5E8s`^%H_qze$wwI#9_iO%c*JT&AMNd%(Q-rB-d4@q@#{QRDJc7sf?0b{S4c z=$PoXZ^e6B4+D6X4B=MzW$XVu_h=zH>Vk7JwX1)oH_=(w4sT z&3t(Ljc7^jH(hSLmv(Pt;xc!=_6(3r@>9l}sKV&!l-*pzsPf$C^P&8EavR+wGAuT0 z7fAGi-h7wqI&Qi5tEy&OBE>`RHwQwU4T9oVy9w08u>is0Vjx+eAtr?mTol zfxl~xM#paGw2U3g{UJ{_!xzra0D-;Dn(S{aO>H{BQDYlP;DlknCHD=@WvW4x+jJpR zo4ioUtqZ%y)24uaZO+nH&pUSBoJY}n3vxc~8lGwg3eUgaD&N@F=`Vl&Ss2CdP&Kz| zh6N5I&A`@#!xq%kpcgcx8sTrkKMi|tlludrO@j@HeoYUyh!Bv@=0YzT^ue%OI01lu z$DzN-KlyTOJtqBgv%-MX?=TXCV4~s^6A%B8l7gXu&UVA^(MQ+%ciX zk~XZU+B}b2rIazgxI3O@)e1Ab4dIw+To{CCiX%PvI_{YmL;)!+FO+v|pU3(AggB=i zL{g-n^_o}i6${= z*iPxT-&NEJt$Rjs#tb5h)vJiEi;!${Ts(CookfcNT-X{ewI31SbN!{E_?^~KnzK8rdtpH^ZYYU?sZ9BKWvAn%u}& zUg@*V#4o6(jzyhV?vnG_#qNDfy;=Xs=QdY;mUH|b%r?*p(bxm-z?s{sa<1n(!59)U z+ZdxZqu-GmMcXgwz6*FKDcvN)^Yl(Rk}oZFPVYM|wSQ|-sE(%;N7&Up$nB*1y3&2) z-7fJBo0SK%uhGV;if{Ic;0knB;*^krm1J62Rxr9*$ndYdxnv=d$?t-5zd-l-1YMh@ zanYp8?Wd>=qQJ$;4R}6S^3*m~-Sa_T*NVPSifK9)he~+1i^s)6cqA=+3YoQQaM1u4 z(|^ubDfT=x8{H;Ux0S6yU0#fYK13R}47BZXTkNjn-}4vdg@3ZynDj$Ub!g$ECkcu; zTny&(BrG>CN>DMF&xyc^pUm}j8v&?Q-S?I=7?(yes*Ta5ewQTI!?BDjspNv4PP1Y# z5aedE_yEW(9lZ=UZp%VCSZr=$Mx;c283~8{df}hPQILQF5X}= zJPIHYG3COoq{FOezwX#yK8JX4B%WHai9O<&JS8UjYI*Z`heGSCi&9;M0pDxKN7Xmv zxnIrG+hE0(M>d@&B{RVun@mM!jFgbuW5EQI$D@y|1czl7Rho%yko+#$G;u}C_F+H4 z%Ftt@8_;(AD=IMgj^Q$j$ja=#pW~X6@&)l@q`>H7rqC5+{BA!#Eo?JA}hS$JBE&bdbO zBPp1%W*#!`II>m(S(@K{Dsv+*x`Zw4*y?H=fkH#}Q;Sni*ySPbH%<#H27YIuOJc;- zQim8(iW1jLam8^Ofla_|4R}(daN%SbK)&Q!?=(PrmzH?J_S}ynLd$<>%uUDh2;JPM zG#opot_o>ee;_rg>NcU}3M=;@q?XIWG<#pJcwBVNrRc>cSaKEn<|l1iWA zAyyN5Uo4Yq!0VqSDe70i@iG_JtvWbRfSl5}L(oIX)Vq`l&IE@4ZJrVO{@96i2Z_E8 zzD=zZqzkPa@v61#d!W4Rh)AXW;+p|fMs00nXtTbX2r6Sr8wEa2!lY&_4l5KhL2kjG zNGgWOi%jGuM8}n620!e~I#4|0O*wIf_sK5#3j4y2PUqYilk%7&GfEfMqXj8L9rVl_ zqmA%zO+U?+_@WLiyGKGb*}_FrDcTCq=NCbtX9u`~gKJ_NbHBMO$Rhe(-js*$>>h{ihEnL<7w>MOsA+FNVqUIs+~<$za&kZ{dwuj2j>S zW_54>`0#V%I`;;pZOHxx+<#?oiCWS5 za4oM;6Tdi#L1BM=S+rEYm`fOh{jf;Q9Yicygym)(|G7%IL<`LMOG? zUg=k17cE8RuVR&+e)GpPR{Q>Et@q?~<2M;h_Nnt9TcJlj{?7Z=NiABms-rh#5T=TM zsKL~_l>3+Z5&hNsR+ErP#p;49AE_Xi97Y)kKE33)t8ny``0m4dP*mzfFMTMl5ZSz( z=^XfGD5@;GvuL%q&~XcHvzTa<8^GT3L>|u%w`D8(ucT;Ub-BgvmkR}#J((*Dmta6p zz$W;$Kg5%5yIpTMsBo)`byZdiH>tZeQLo~Va3*j-kT;wrF4%wCNkGY%8bUP(Y))>D z=PXSE2H*r)XI$o@41n1wD0fK+k{#5wFM&vrbhSJEz7#Tds1%Fw132jJ~f#TVWT_^^D5O{**5sRTfhutTcmpHzr$ z%Fivn=*FQmdG-Xmi8^H<@n-W+(kfWU5mnhF1n$}sWhejQ&Mm{;3K=uJ-u=U&Ap-+| z$0c&5c`)b}7NERZH(AH>Q1KM*##nzO3pVcou%<()3h6|lQ~9vic~`r~4VPG`>PYX# zM?3PLQ)c!OHHJdt<&DPia|cLz8z1uLivS0lFzLHvhD;!JNL$X~P&dE`?xo}uUi+jv zQcu98HWudEsC@)eSa`5>FBoS!K*kke7=%hgbhY!TlrV^G-t!-jse<>R%ylo13&{}Z zoG01E@&~^&)&9icU7aLb=uqJP`h$42$S^NhA}poRIx*hfz7|D77LR{1QN7#w!e~=C zMz1~;q()6RYPW3c%x$vGZZO+<#O<#$FUOqazT#Tl2{;%N=v8a_x3z%&dqeLKr&5s~ z&We%SCof};Y12+c5{+NRpQj6La#y)x8uR-NPdS0_nVvb2SVM23FJ^msMyo3PNbQRe*v9Th`dq;WSn*=f6j>u0H}a+o zIJH&?qVDfEGAAVQ;g>J4jW&%hk-xfr4-YY9vTEEt?9~O~Me)>=`=5#rp@9t^9tifVWhcp(TIXiRKqo$)&9*iPkI+r3wGqkNK?SQ&gqX>bcspon->VI&3 zb9+|{U-9;u6ZD4MjUeGvjE;JRKw3-Inp8HitzG3m-pjoxNg5DXTL^HldY#6fa?nuB zl<1`lB@50UBsZIv8-%gyS27w4EQj1G>S;t>gAZQ($b#3LP&rX(zCL1MTk z$JlnGVyhHtn)1)A!qI0Xo<*1&Q?%xWL{EaFgYah?mrs`$ZAyP;albf}w6dp19^!TC zxHB3hFn0;k_^GvhkbA`?o?=?>R)?;)Y1iA5GuXrIH#V{U$8A{B4ZW@@j`cfg5Vw0O zVav2{)VS)Izk932^Pb{(;q@PjYJ8;+yo_(!j&kzqJs~AR!WW7$UfNFfyIG`*(Zora zZhCTj?~}Z-UsE0|iL8T($=<*`_d)@2=#N~ERll`SZURyIoZ48z9EXYTU4*0g8lyE^ zCJVci=%ZuNlf~IydDQseQITod`wt$AG^U`U8WspGyJ-w}0=8jK!+}tcu6PCfxEeTO zmADB`qTJN)&!KJ|{5sJGC#gToKFWl$q=bnh4|Ok|l5RsqI6ta>{N1@zH%yNy0I|z> zCUvxnx&cR!{o0~q0d)<#p$Q=zOz32$4UF# zUUo;$!Ve`8+;8ZXN#hvRj$tSO>Iu6))TmwfYxV97-cR#`pUG4??>nZKZtP!pOcFISn~Z{2A;$#o&G9+t|4jz z_`6j14|pi-t2r@RQu)!`h3d53o4~H9b>SO{fc{ypW`^&!UD{El_Zkwm5j~T~9JApt zVJf~%Fr)^UdWU85{FOeuG*(F9ZGoBVgZXVvc3e^Abw#|aUy_AmuI~}rjn1oTt4EYe@;fYRHw6&gNB~o}#_K+yyui~BhI1r3-ZX$xpu9SL>qysnXfq60RXWM>Dj2UKI zYPFxb`q^a=lk<7M3Q4-S=m7-&%IcK;+e$oo{Bvc3b1~94pyPAI-{xX%1Xt7>ti%r} z7VskiEs72O0)Rrr7yW{$H3pC_6~e8s>VibK4dL`GOZz%|i=?sw@q3GHpO}#<+J@M& zYn1b^EIgHS3NEqPTY}49m6}3Zay#5_thEclhOmSSk!rx@M__W<{8yh5QB#;gof<>$ zb2TI|L|Wc`VX*hT)OaB8*3E*47Nz5b20`RuyHAlQ;I(M}vPLo$u(^*SoTX|>!a*p- ztL62#(x5#uQzv)=mx5jMe>qc4nrT2^9V(s&+Z=9G2%4gqOxR1W=(^b%UUZq^Xc4Q9 zzA$?Zct|RXRCD1eYcQ29=&WAB8~Oo!FzuZ@?f4ee>#f2}EA0*V(nm4^_yIT#dVJHY z3Zc!MMv>O=RDtLfD2NPt>kMgX(1s^+?YNbNQ<+w)y-_H^TAjB@QDItE1#yBaCN96S zv?!roQC9%oHAueaEW~XX=c)@vaf3%!F34H@+F!fgU8_gr(YrZve2|d7cizKziFqRhN`%Kg=PsC#; z;F-A5?LA_xCC99`wBzWxJhAbBn6y3B2PqH7_Wvh>-ulGS1I@RBeI_*KKd7GaNxL}N z{WoXou4udZ6Dz5w??^OTLERK_?Ms3MS^%|sjNN}CvGf2AV?+CR8uDE?yHCvCGMt{_#>>`?8;|Vy zP;7JdO8{OLCTcTRC*H_(3PcIsgeX~SEU_rhRisX}*fjp`wN5>zZOdL;;^s@qjcHk0 zN?oLfqvxHpwN|ePUS1<*c{mqROSWweQ$5f<^z3&U${~f0l`Gv>4Ed_;hpXtMN*<7W zq>@C5fH{olzl%-m*>|$3wBB00rV7^(mlrjzYNGG|HpN}K-qyVNV^Y8&g0SMMCN5Zz zKU2aSENK+e;8o~#{gO|}_9s3Oh_@c#ig2ry#}ul+4JmAmatT~%=%nEB$zxg6vED;A zZ6kk`>-RTGG_!UJO(v$nf3e8@* zwq1~V&GS#3cs^(RK(?w->xOHg$NoF6K5SD}Qrzbj-|x=H9zmK<7qJvhsoE4gJ@s_@ zhTso>k?b$eoxKnh)$>#IKH?mZ`iVR5X~%qZjddV*2o!p?Be3fqJOpwKt@Yom(DNnw z58ZRHckAP1c&h_8b-YwiXHqhRu3ME)AqKySB^6>ae4-NPk`T$Ty7(VmA5^BPw)vf#Sms=I z{2N4)h#Gl1D;~*l2szWsWkJ6l4SBuw+M#5SCBh!Y{9Cv;jQy~?x?t0%D1*Um`!f*~q`qbwNB1WTP`Sx_2N z8wn|L+kjp>4m)SU9(OAAvPTh-6G8v|&Ev7ZhsbwF%QfMOXM&Zeh>|A$1k-ld)~tG> zOayfo2t>5Y{F8_IQbv^`)9a{8*o%YB0C@>+t%~f438rjt-?_zR6==+&#{~1uiih^? z31=EYAmAU{*PeX_`XY$-MLG7AvP?r^DPRDb(;|>PVdJ=z(gwj>E&d)au=~U|ol*G) z676BFUPDg@_fReJw2n-eB#PcYQPG*GkEoL#&(tKyJo?Xt0e^h;%86t|4~_|JwdZ8$ z4e8-ec8njN&|b?v*dWS^%a3{rQL6)JW<3dfwQK1$%{l)hht*>&EI|Yi(?xXud9V?&PzuPE1#~r=B60R zA8SKl*LuVTYYcBhh(+Fb5T7S_@91Md>>Z$jb-MYOa^Igu*?XW4Up@^j-_GZY`^gC1 zx={b(UotYZBZptxV@_*qa``oCj<*wv$T;w4UYgd8GRW?;nFl`Lw~mU_H$GZ#NKz!| zqIFT$rfPFZG!&M;^@#)E>9=yW_lnK-G3**&nf|AMO)YFs>%bTj#0hfn~kM zbB`#$e^a{wu}g4mLqbeqr~6aBY41(fL)Uo=sDjYNrPB!?;pCnLT*>isM~ZF3!lfN? zjo$^edZuxuJE6WEKse&3Wat|0@Hh~QlV6No3DF@7-4ItODb@-1z?m?r#27K*>Q{k7x=Rg5 z^h%hy(#6H$>ePmV-{)MHq&U*NG?Acplm7+d)En*j0Q&`|csp3%}sfOvH_;rPwJviif$t8&BP^eh`)4A2J? zrtace>=~g%olr&lM{4sU)vC)oFV3QACxl#f4GyE4O}X z`|5FaV7KwP(qXuV68+_>;}a1(yP1>&lFR|H?tONa5bqx}NlHT0AVpgMo?`%e0|$QM zt~+?tdU*(Z;Jf1(7*Aa3us5!-NB*UN{4GT36PH%%tItd&(!7`HzIvw|Q1Gch-G7v8 zLZh6mS2sTNLK!Xsmk}>uAO(eQ8Y`&sU&<+Znns1i$S#tH9<}c!wt2Rn;^n>0+DSkr z<7rUQOpW6tDIGIGVv;X^Xm&=C`!nm2+|^Tr!f2S_gLcFsjo%C5fVh21>5D~t_i#B> z8`bjPZ$m)d-r%D6P#zoF_NXl{ z?W0FZyHxZ)?KH)o182Ys@{v*H)iZ0dH7~)Kr~e{%k6@*~IOKjP9}818u#k$jLVAo4 zU*XP+mKRNdys>ThqNVnMFv7|5yeO=@e=_N^ZvMPWNj5ayqjH6Dp6a$*=(~tVR#Y4; zbkh~{I=H^J2&Q=cU3iu@^^?El}{jzlkNTUJ(7}F{*p>WVMA;OP>KxoUHOqV z&w|cTSuFqw2{DV@&|C#ND5cYBTh_mQ-gVM@@h$!}D%qYc$u=cpas^dL2(&b5 zp(yNHo)Uc$`qXz{J=C*dRgvQAUa4Nuj=SE*e>}KJk~5^rCK`={$MRhMgR86Zk1NzX zfmrCacpzzCw6vo|fMr*2-Ffm0W10LIm_=N8IH*>-u&zHc992Pzp zHYDbtc>LINWWqbViCl@EN|gGjLm-aID+|M}MG6pN*1 z7^{V})V6oH@v7kP-jxZm+zZxrzO?VTEyrE@mH-VE6JUBS{lp<4tMsk81t*&aIp2Hr z5_XjTb}4s_H;7GI-<l03Og*5>L@+{jV5aO84^~=EFIMdD1nv+$ zldAEW?|?!^Wd&%iitNKRf~bT9A$moINuyJ={Se4!sEi)HAc%B`!WH7c=@yjGVF5JW zuN#+;TxmL#pYro>7{v5<2c=}E#0ROi#U15?2(qc5^KDShbB%vu$rsKz0RYOe6f7cc@gI-bKNh>Vl- zTt2!48gH~1pWb^7%y+ZwhY&faaO#T#+IJziuAJ948Gb&Rin$Su-f3gGbU{h0G|n&g{x8VuRd4$SGR@;&yE@7bHT?^6`= z1yePdV8NyWMl9zKnMq69=@cUM#Z9BuPwwwMvhwrOD}_LJjeO2jFH3>mmFT!pd~1Wy zGA>0;;?5IJz?{b(WQQrncJ6x_lpV;slEU{V|dA1n_1YoZ% zA^&vy|2B$1RZ8eaKW?T7{y|`i5A6cZ#Aflu`?M5E+V4f}Qf($Rb#6VBU)cwz41N*N z)6q)2=kD1qVz0=qK1G}S&|~;GZnyOV`4oi4o&VbVj=7y@_ts-n;EGBvwQN&zpgrsS z59)TO^+;2%i_d}(7!L|oIxh)TnEw{CT#Copvt`9m^K1dBdj+Y2^k7f~`@){s?dKY_ zng(h6vtg<);}m*iS$cwGVWNoA?U;d$F=o+}lMzO3k^7p&-_H4$!t4%`DNT$xN?SM= zt+jxN`SbVhO9-@J7R+#ug&#y_e(o5iCO;J$YF5ULPlHKmUcLL@;LfvZEIZmnocSX? zdpGH$E!7z7x}sj7nLMNuPG3V@H>v2UhC)GiO33$7W*Yp+p8cgg`u(84;i&#timsja zQ06AN`HsCc(yXxqFIJ1Il4we$6T%5SYeEb3Ml11hcUzup(7-$%?g~>DrQWrhddtGY z-jtWF5RM!W2HATUm6JhS+S=Q@guj*DT4GasC`d#2mgKseA`$>RkvkD7mp`LJCae)e zq&xos23|sV%_3V}&>k0K($FS?bNCZ^nCICY3>`Y8a+DU+{D=0rC!08UX9 zrnP@Q+SISJdC4tli$~Ejrr$gU*!+A?0S|u z{mSYRG~4*YgJ+NL%DJ(-6wf^lXK4vrws#SnNNiGps+fn`ktpx@bRh(YwD;?JmxKo< zw+npJg5d|bu_yQd7^{EmVjmoE4lrw32_dF0N08iOgxqZ%bEs93h#MHx| z#*m?6$1-c4^r%A}vQ4u1v8=9>?Ovf-kK;pgp5vY2W_MYr7;$`6=L7L8Ss3LrW{n;n zs`~6!0TM1OYFlIpX5L{TnD{yIJ#&)V1$eBaX2&`{$kZYH@hlaq*mqc$=WtviPr~65 zbKjJ(Y?SoT;x~r7>0p+UN-wA;Ra(o=UaiD|70>TvmUTTq1<5;J#CFLP_a%wnzSf2! zUD$k@-HE*uw;kzij+j}wsqK+s%=t3)0{AIW5in>=72BnMXL7Xoh=P_y>0y!ML-tit zCW_J>Y^kW#obQ|L&#xo0x}xnW1pK}I1rPCpEXgbWZIE310j-3S_ETL1AeZ% zyN}7sK1D7lT)49H@nY6e^UT}j464GJLh2qk=R41IPTH+-%4T#VR3uNQA<^*F$>Zao zp<-&Cb`XgoFtq3vbSy>xlsYh~%3o+7h%sg)0 zu-xw0AC5Bvo&JL>82$x64y~aP8L!_<53~z(Hpyr1(|w)uKHkAPORAf4(ornEXg25% z!%8OcUJNlz%AOVOC@@l~e4%=r-i{ma3^y^F8J^Oh_|Viv9wnw{j;p@v7Aq;G3cjbR znrEI#QI0{AQZtvtkmz^iXJ^wUIiG^je3-G|Ca*nVg!7Bn!6C#+hu1vxoXb?UP>$XS zVVXPEoAo5>fNU}^W(w7z=OpjpLB(P z=okKw(%dLI@3i+)$tYGm7@|N~;=Ht6w!r;oHPWl`!7XwE7x;5p;|jGMe#kb|m=#ZD z1?o(VAR>jRBjXIX$Ux{cYWRonG~m`q)RTd_Xz^>o^HT^Ti7B{W9YmC7MW6U-A=$=%cfo z$3RX)9jJCxJk2DRikJ=EHHMP#1ATK|Q*ZD`wcMT~a%!kPFmvB{O1&J?@O*cTh|D!- z{{ZnH-G+rVP-MJP&nsiJIkYv|GD2tE*zsF&i5}_#rU-j4;TfRE~Fs4U3LbEV-4^0I+#%sVGs)s*NIFYscmR?4) zh+;1eMdfTqEqWi-g2Rak3dR0VO~DMUI9n~XpO*JuJZ+0|KdJT9Skd*%7xCvCQZJZ_ z!LiT3#5#eLj!9pVe!ba-iheFV0EzV*BKBcE3@TMA#2IF;Gpx(wLzLU1wL$?$l%C2b z0Sr<5fG4QFO0Q9`83|hs18L_;K2>+iWTPjM43XKu;U?6cW6cxvP`5NxF=X3`%1JIV_sT0`t!i!UfqJ% z9c>`Qg|vsQj0#=r0@}Fc+oGVt)(-Lc4%jkbL#4lVNbVnwgKm9P^5tpiVOx_V?fYaPE`=>KHJFqLblzHAiyDg7mb40AL(EiLnJbW*{&wiU|`g9F)cLO*= zJ!9W2V$UUvQQ=a8z1rp2DF>)=ctHR__)g!{tL zXm1E!8<~%{wnqi*n+SS|Mwh=O2O)k85yfMd4TJ`obq5}!}3kjX; zSOkdH*z`(VXuca%q~F;w5TIvR*!n(iNWcDyt>8guG-xErQ8y9gs~X6-Dje6p7IFhY z8&G21aX23bGAWZQk$|kO`>KP&y{9XunPkxSz5U3jy}V1cVQNaNgB^$GhS$Y z{}A>3xDv54ir*ygmSF91LvyzAWAn)JnK#IWhIRuemrdK=7EO7}eGQOm;C}Kb;VQ6S z(uV>E9L@`>r`y8MC4?G6Y%wyW(H!H}T^K|r=mf24zg-7+I*gh-cFbr48Ww5*tsLh! z>FU0+Fz~$d&Ed``eF&NhI<)c{cW9*s66Ce&c_zYd;T1~RY~F=EFYfMHo0B-x2*1>^ z8aaT}xkMmFH!|szsbj_K=FaZ8Si6P^UYlA^KImQ{#9T$X1@eh zR5pWbvf&{g1Y#(h`+I4C@=i7LT}UVnnHb`G^3QH=zX-S+=Xwe&mOw?(-saHg@M$1a z?)4%^aF^NJoGjp;^S5EwUwfU{yRYlxA~p*&>&MePpU$8 zNYAZjfVvMMW_}T3u)BGJp3M^d8-xThTsTqk{-w07W)f(_$Jwd=nT2Dcl2?#|ru%;` zx)k@Emu>6Au!4!~>Z^_Ho&%L~LGa(g&~c&Ac984NMX!Fz{n>Vx^P&BgHKm|W<+eD{ z)$7ltebj9vKT*|5`1yx-;P?|+p*vd)Bc&MPq3bq_?0MDdtZm&Z zTKs3Ex+B}($I^79o6D;NY2|IZ(5>=#Q%)>s5S}}gcWZz9?GZ121h$l#(#=%WF>5Go zsd-0;(Ix%TYkf*%4T|1tEXKqVLCsU744qPi=e zftRGTNB}o$h_H}o55l;t)LRTBU{+iwy7_6f7yeGa z@RF~?KaUT`Ay4w!wDKHPmMof2=+xWrY~kgf3&AM^(T>oR1pSWnCM@~UrkG0Vgr!gR zlcnX^W{?zZ3gRISD!XhM%L^33<;N5SDPS~R99;^BA2iE2)g!b(sQFb zmV_72=Vw`|!taC0-JrmoAZrC!!=h6qup4Ktoe2J9nlJq$h#Gf6VT-KZ2E0#@rq+@a z3f4v1KoV!oPSoZ&SaO#X4szTrq2vsKV))HabJ3MMV@S@vtR;;m(sU|`^8T#C;U@^O z8NhCOy8|p#ykCyB^VB9zWkH@>;#k8t3Neyn1}bgqV8p(Pd@t(?giV}je_Ctg(fnqZ z0a(2{7^m7vU1NYB&XvlrY!apC3{AJl$|N)EFRJzniGYm9WNDJjL;ER+xgn(t8t*&Y z47$vX>U#FZ#*x4DlzH$bq-so{lW_3$*1!hVM%30YdhK8{alGuAvMSSq-MHcPm!9Mi z_D~Kuh=UC|ft+8B3Q*)V!)Jxrz9Z1$n-g_U#v%VYrn28Z?Rf$zP+GD%@njmZq4ZQE zvND|Q9BICITf@fw2eed-hWE@SZkLR|ocC>6aGZ|%Tx8;@DX6Ur@%`HPabAAbD07uj zo^)04{%j^bb3qg481#Id#Yfw=G3+ z`x9vEykN(F`~nwe)z40uBV34I*o%F*PG0@mowDnrtD5tT*9b)-~+v3?nGFKtnTT8p%%{vHO6GK zLd`Yvn*ccMWd$8*3*c`l3t0%HfN~)Sz<~{dH6L(HXlM^I@@c^cI?Q|~73-PtlB`hl zj3TP+Dp}DmJ(2zp=G$UbCe5XOYkR*q+$jC4Y^teDKdww0eUL94a`*f}BrD(Fngv?%*KH;K!_rDthEjvJq zN1FX+0W>3%T=qpJP?SOXC`vZ7VaX}~I`X6*w>4DBmW zT)`~(D`w;g#Cs7*2BV&-1o0nv77EHo!C(jS!}OiSqS}duj*Hn}KERNe#k)hquzCH; zewV3r`lB|C$TXM_(0)nD_X@lRoo#|sFkQJbv1`}kz2&L=I5nRmTHBFi0~3JYifVIr zTJV+c=rrOL6fTB(f^_gMH(fPDk1~b+aH0>?pj4h>yt7NziWB1fa!AZXbhS9YpYFiW z`rSTqzskp$bXGdR6JsBl#kb}GYkzj5px(OUCw0e0f&Kac0`eeK_r#Bx5mZE8x3W0O8r|Do;_bgK3`>`2KkMuRYYzw>fF zPO2DpXp)-`irT-tu9uWd5(Fhcy)g1RC#81(Gy<1l!$o=ta&j95g%vN8fR3;{~bGqder(-Y^(Pz_WH0_kYO= zs|RW0H!O50C*_>;E+u%s<*NirPdo_3*qyY#kF3Tv^6x+JL+Wx(Rr5B1Z_m;WK@dK~ z#d^?)=b@#H&^pYeFRmVG)GL)omFIKS?0%--XDSQ3Sksg%;!cRhx#YLYSwtl(TksAh zds(+zjt4(=Ss)` zyrRb`E5t)tGlzj(wv@L8&yOr-;YJynKOQq=V^e#M`)_ez;YfMb9w-NeaLdD$Iv`D+ z_hiPwX@Ymix%AqQJe&RR)5~TVYnAp94{a6pw8=6SO2la8sDs|$ih2qrGfd0H|NP|6 zh)dah#RaiZXOUFMOUO~kp85n}vKAxuv)&H!bQYg@?ISd5pRu03)a<{w3JCYjmZ3Q9 zQ#2RUZn`i;Uj7#~fL&SrO5*-zw5r5+W$xxipTz}+ zZI$CyT(7)u%>8#26+LGIiu|0 zh+f{*QOJdGryYJtsQD|En%*#wLX9)zQ1G5NHR)Nl+4)^9iL-ZV$m$C(AM`>GG5V@Y z;s_=>DdS|P{tZ(~0x4Ivwl_ZHYeC~ARzHh>^T@w;CM$kqiMmZ@XtAb;(aT@cT#uA4 zE!D3MY-zYc=|qra-H|wTw6gOK_cM2Yj37<#7QdwPM(SIR%Witz(}#paT-ls855n0; z?cDYH2hP``C@#~eQa;(zbkztVCJ2Pp5_yJugD=ATl!M#Hs zWgF1D($-HN!!Fv)h8{Y}kz>(Qnfim)`{PnPtl%Wa?B5q8c`OF@7Rek1oy zh~pOR#ZScpiGB!&u}wVq5KhDZOFGDr*X}(pDJ&M$dHReFf-Oz?FPz^CM|2bDSs(g_ z-Z{e{O~6$crn7kkMsZlWqbC^~`6D65;%M@`mW4YgOv52r(}HbB)(%5b%g&sTYBg1y zs?CFo2-|vR6J5`8{WusTs>zjOya?rpg^nkXb4qGXc!eoYqSFweJO1Q9Gj0^G>lY8m z;{hXI4ddiQ$Aac`Ans{6)A>>LE!fss9>>jC(S%z^aurKlMiPEHfX*EDur`0EOnWV@ zI_N3#Qb6$AhU0*ussObScjM&Gd$D{D(r##6GSZ3rOgE(MW-zqljdx&-gkwWKAiq{8 zGEqwG&<8+Qet`Jw zyOF7Y3Sc_XZIx^RsbuB^Wx4+AhmpdB*R3GD#QawgdDqt0sAY{6m8BP&()gUT8=M$E z!9C;h9=CuixH*?{K4%VrwmHYk)r&RUZ@&{Gz+)(`J-;I-&zX6)NAw;IWD`Rfp&Sjm zDp678bzf?jTzF1KtSO{d>XN)@d7&2QEP~Ozxfq}eQYMGZX(kc_mDDP?OcWe-rLwo5 zisj#6h(M?`4;k;R4vzZNG4KB?I`4R@|1XYz?!NBjy13UK*Iw5aLS<7L7ukDelUW%b z+vVbGly(^@DdH+bWmT%1A|o>+=^CMuSw26%_uub--v7KG=W)*KJfHBh!-#hOXm`Va zs2SgQKWQX&(NU(SrC9BqCmgNqV0fil{k@!Y?Y36kj$uHW3MOak(I>++0`1QlyJPqC zwSM5EXFTCJ#{_yoCqi}|wlFvkDEK;$07kCy^E(Bw#6;WrrjI}Jw0yq#`g7vv2gVVhT*N$Nl*CwlLdeMPn=8qdP6nN3cu#|E44g(_-;9*%F=&TtC4v_K!{9pM;eK zJfx1sg~Z0hM;%^#jrmzSA&RO-N*xmgt$erK+u=pv-_tXVeMa>|$@bCiL5J;P)p!yb zsoo+IxKTFUuba2Oa;mJ%UBC>74B1AS(OOy;WL=NFf_oo55qPdFeoK)%KMSV05Cv2p z_*oCjL-6Kt=C;}_%L?b(NxPW0BwaPGL}Z1&K7K)P`i=wi>e>y8`nfY5A1r!7%Ws2M z3$qrVz7FgTnjyxX{`X(qiGK^j0=t5>@{@*Vu5-@pLUC=QNBZ0OH`${OHkQbC5+tsP zS;%Ki8{?8jbe-T$+IC9@&qw3OcX%CCo!5M05M9}$YuJ@}@qp+}? zGDFupS5vCs(7@lgVnfTA0!w>P$3$&1t8hp9`i1&EgL>h-RQAt|Jpt1+-L8f68GG?9 z%B9%MO$}8&ZIS!)KH7x35S{Hu>R=u^|>mKv!``M|pBWV}X z8vh%ty@JY1{*K+~fs8`m{hjnpU2sp`n&jAWZg{vS#^o)lVq6r0Eq9dzrI!}m_vBGF zO2!>ZX8q6=W2tGZPWy7%^y$;JPd*BzymneV$&T&kySxa``;*y|7@p|=K*EP?QQn!{ zFp*@eE}l}lvtET?R*zZw=uKpW?52qDyjLIGTKA1ys&6gIzJB>+Y{k9Cc&^5pg(s2c znu3%gCUcJ0-rp^HfT{|cFR9Ps19dyF@H)My-69*teh4J2U%@D?1v@F0!(WYPX*4^2 z2ADb;gHPQ?Da>P=y^!}|ZU~OwVdMCYeS{%58aK_QhD!<9LxsBjCEs__Gxl^z$Q`~` zB&-

ExOwuoOceT7C7ZbG!FP6@~X_y?C!YN0%sQ1$OPlZE2dbAPemOiWp7Z>3$xX z_x4GrS?idcxWW)0QZ4buf0lvU)!G^Y+uk|x@o^w0aU2ULC=8}3g`kvoB-~kOJ3`7U zDBgI9-^EpdL1nZuBFI3slmJ|Uwc87H_rl1IlKxVcrDeKN7T^9YT!Qz?H{liF zEJY=%GLywpebm#N1(s82Ou8nO-@rXFD$v5ZZqrc5)Ixdqjd zX~jBvjB3q1&N^|DdWuP7+1OI;n5S9x4pc{`6AN^9p}I2NSneKFPo@{k+lT7Q^kex4 zP|q*}SwX?n5N0SVESws_jAWgSqDC`gSg~=`c;-3Q`3uwpW+E#onF=yfSgC2$bY=!C zGmDzdq_c8zsSIWwE5CsHAG45ER7@>lUSwS=rCw%UVO_mOz0SPBx_OIwn_0#x2P>$R z%sZ^AyVQHkYS#T4>I3FOR&5>i5wo7vz@#=ZAG4k`QJa}9tf#HiHs&+d^B2^1W(TXY zi`vb6$$Isg+QWRqdi##bV!mhfexQD2_ObduQ3sfVtf67*XXXfNbc{OAoM26Up-wTU zSu?ZLugp2tw|VM!CY!ae2vV1r%d8(O)K%sh>*p`(Z{|Ad&jxjqxy9Pvq3$yOvi|K+ zp%=2zh@0brH^m>yap>8?uFfL3ih(B^fJa-sFlfMEjE*{FYg#R!*UjBIurw>2J9y3c zLp5QbllXM#Ngt{Lda!KkP|f|278fnjaqTlNPTfEh1(37#%7ei(!x4y`rIiI`w+|h5 zK#g8iRYm3JaV^;i`dF!XA1=(UIOlQXPv?I+{01?{c&lDn!P10)qeFnhU<%QDZG}qu z0UdeKtvS|TgcF;&YC3I}Ux%0D;{jG@42lb14#lki+1D7sWJJL$zVk!N3X9KDP)eB; zVIGSSWbCDfO`w=XQSA%+{oQL>z;%kQ zeA858B`{ISZ$Tpee3q?i0iFDdL`w+DLN!GV;7@RR$>1e0bl_LAO^)ujJV$AGy7482 zg{J$z6pz~<&*K<6Bws{y8mVFb8`q08VdQ$+7gZE4td#88N_5dtS*F5~V<)cF5??$i zfBl)8A`mR3Algkgy$x6$YTiSd9;*3w-#eDwqZaiPI7I(T{%q!2(ux$>OT~Y@9E$zR zobD(9ysDe5Vf=Au_*?_dRJa2zwOW`CfZ|#_@TkSdzLLc)(QqvkA}2N^a#Moe`qhp- zMA?Y(WS_}|*k%GjPWYqP%W4H*kX(Vp>0C!xrk9Z|17gcSrlv~^n3=TCvi410{;FP? zPghQdMdyor;;+W2^h{d(^Y2(=d~=sD_PIa&-%{Sj-$SWsQP$(vD%1EAR3rhA>%2FR zc>V$a`cv$0_m-bSzZxJ0Wo`+gww0)}52r?xME(Dl*q-M#DMGuK7RuV;B}W=f05%K(Rl*e~EK z*S~C!qdMSW)7aI~>yuIzdCj2yt(X;fJwm$mN@q8=+t0pC_+hdjf4*U`mpzUYnb=3? zRhODp;LjM^*vg|DW_(Oy6y&_lmWJm7oMnazG~Ma^xY694IlUKf^*4C~Is+pqdY*wG zZmL-$M$R^)g67+`d2e9?NV#UJ`+PiyG| zBY*Bm53jNwY5Of>OYnJl?r_zs4~~1T4>@Y^8r2@leJSAVyGzwB`s7A;$GqIJqcbnh z=lQhdQm?F;Lxj&&2@4yszv<iY?YGZMufJEGh@GHJ&swMjY`{CG5Q zix8+dCc{yTEh`Ecf&hYV&B;0j&}@&)ncB(8!~VHa7!!_)L5*{PZzsylbh*sQC#EjH ziZMd})DgGbWDt(=?WxxG@AQxSL=c?1sk3Yl%H=#{AnTK2Un~e`B|RON=G&xHwUjLh z+MDaVvb-_=`Qsx4i(?gLrf(-W%cD3|!ek}8TTn2MpWgM9z#}p?ZlyAsX1o>JZ-D?Y zN;W)HEv&+uN1rJ3rq7|=spiM@F8~5m(+{_v3vE;<7UU_~U&h*sZ=oL_D5D9VQJn(4 zTF$BFHKQqyWn+j0d>FO)JQ*Wlt?T6A+^A;_qlK#3M_0oQYP#^FTjZbzI*fJ* zQah4GV+<7;_519~pLX~O?C)rSkGoG=;2>Sf#h9A=!;nq6`(r`686SOq=`9Q-&*+i^s;~I?&QvB1YISf z6}L4?{@H~3L;M3@@_wP1I!i=wRfHj8o8H=qkI;y{Fc`nh^yJ8AckXW;Krn({%2eP= z9Ph?r;UR*9t1==TpgOFPZvnPD@GgB8MGiu7yRE5Uvm6Vo8<)`) z-Q#UXE_u51Hbbf3Aux4yFZDj{p|j6sY%JPQ@G_(LQ>ppKd?K{-)Bi^ z-#Cxi?B7IF)<+>vWY}TX$7w*I0Nq{t1Ux&hJGA%T26*HdLUfs}0kp}70^X|2O9F!R z&jVlRW6D2gH?d(4W=H2jjBt`3KDxS-Xvhq+gd9a5$Ee}}{zbT~Ph)pts`9}wlxf5_ zjP0>1yhVi6=F(>Key!tjhHFDvp7?xCZj$`mNp6(P;rI15`av-K{s1Q4XBohq1w?T; ztr@bl-PN5UkVT=4oQ*_JQn*!o@i@PO$&ucn z{<$b?I)!5;h2%?(_X8+6ox_wj`|Nn73qa27h$8GwkdB5ON>c-MNK%rL0-W-8mEBO+ z^mEq^70s{aqkD+^Mud`1N~`*g1crc|X{q85lL;uI=ecC;NnwS;coSRk{r54hE43)) zKrLy7PLyk8QPs+_cj!v|I4l&YVcCvNw?dhn5}00MM*=J7RssGecWtbfb8C=_TV{_9 zR?G{ys!LQVq9r*m+ULuxd{*EV2rMjds+}h1neaUD$UtArA7?(4Yi9?SfQ6CjT4e_-BC)u-Gdo4)xYO^71^%Ft7amWZ^Ens!oVB>l=X}Yhe)*2nXh16 zpr}LI-E1@IU+nzeGiKtvIj)x!@=}k7^*cNsLzo5J65f0;BZ={rO!>Pmw<*hqY7^OS ztcvA#A>?Fi{@&bz^`SfH`G3ffViiwce7Nr%%%@^Nb~v|+YM4T{4G*wqQk4fVuj(g| z<`qVjLJ?mEZh~J*KTv1_sK}Ra-g{FY`LufPQBVe>1M=IcJ`HnSNUbl!F1@`&SNa}6 z!Kw7fiW7q#HoaMcbotaBox$Kt&-&Cs6r=yc0iJb-MJ+?N$a241;Jo$Ql&eU`*G`y0 z)a;%ouH%O>6-@SRcQkgYQsRSZQq_Bn96jtgk!fHZc;_W|s`H_)^{3}^eq~q|d9H~4 zEK9k=65kA1sm`{^gpqhuE;_t1(C6!aD-nL60r45p=>~huZlmY;XZd~Q

Sn4dB@0 zY8^x5bXM19(%Krf8Dz^3vikXNKKJmge2S-!tcV+mx{ht{)@!wPAObRg=^DK6T2Kl( z{XZZc6z-p10c`P>gt%SPBpZ_V(Tx6ttu`!Vm{Pb88sV+rOC97%Ar)!Xp9;Ws{7(F0 zOn+GqzX~?pdUfa1^m+7%v<$EWB}VHB(_bh4^12=U1S~Y-w>_5Ie4qioH83mEHGsHj zq>_eCAq*`VXB8_2qd?qic)z~L#sqS6774b@BP>qaw|F=#$N9s?mDQ~P%`S_Dk?S0@ z^_{Ak*g&2EMr_eY14Z9~*>C*vyw+KH~U1eQ6^s4}lD`?#34& zn_hEqH+z;9SO>hAScbk$Ev}g4W+B6C3l?j!%_AH;(C$iZcg;~1SI+u2Zrtx0Fp<2K zkFdvEK{W* zn4Jk)jcE6LNX~q^Z|Gb%^(NR9w~VZ1&wK%Zbm6gAr_`@bH;w2{$YplT#@VNM-4uyR z4we3WS}&%;0!k`>R5`I=jypZ+GmRKOd~(ItOHMnKtS)jtux=x@335%Jq!1bHXgP%L zOONJXoD)V#sShf#7oDZ*YQs4fkxS%gzl1<&kLe2EvUkXyL=t2(Kw5++1bk?+Xm zg)n$LG-JZoP%P;NI^)gk@x=_yjcD}8i8;|y zUf=J_x8_!2`{rizeZSDu70>%l69~tCXC{7}{vxM?^uFY=hL2#8DKxPp=O^;xN4|2QY+OIo9Tgq`e2lTm+ZUX}#Bh7#&srUaoH zU7n*2&E)Z%ZElT-A%`tHl<6Ix9Xm8c$CWEex61ivo1Jhe81^8(2rP4nUN5&t2d$mZ z4Up(xvcaS%{`LCW8IE85g}66;G{H2RSZ!0u`C!9S_6b)GXftu2kT*t{zLh)jp`85E z4mj?@^{kdbd4dpPE1~2?E%fekwvd(q1cMv(XxcKEjD4Z=s0Q63`gS-I_BaXULnBjc>&GsvUdN>0>Q{lN{R>ak(kdB9+)jtO)f$T`6)*UGn51{Iaw~LO&8}z5KXyP z@oLU@(ii@p&$x^A#)T%C5%Ppk`j9Lt!O}Ja2rYSP7>b zFBQkC#h#;xd!92Z7arsdig zY$1ubGq-qm(CF%2j&zf&h&RpA6pFfLR}44%W}L;@I0yd;f!Av68=O+-;rK4SwR2FM z)a%PQ_WGi$`3my%qS1Y0pLP6_oXwE5U5Tmai?`Sp63D#v!y0jgJbCvWXfv>j<>(`Q zSB`^@hw*8ZcIC*^C@zOzFw*i9?$$ZJfbwatwu$mtRQ;FoK>zCG-0AZ9i~#nmll{b1 zt4R_fj)3*c9x}1#T+<8`asGg?qI!Ki0Kt}36R$y`%6vC_A^#PpBiB5v3l^HsF$Fc!{##*w;`@BM*%K8n~N* zQ*z+HuChTS9Lz6AIX!PC&;@o=cEEUDBzPna@fvev&tl(VkBDlWSCf}=n3vjEhfcay zlxK(DaIvwl?8NX~o8n*@pLp_q?0cxmg~M-NOL%e2Tt z4(kP{I-_x~mX7(T)Fq!!9G1#B3d-ooC_;geFc%k5K;JHU{m@a)LC#2NN?~aXp+)5n zZA9{6q#{5IoUd{3&nir%)RZT2k;2>~r`K{WBVx)O)Jd%~xw%tAwO=eS2M<`3*0 zMf%L8ekjT`hawqpWCKHtO;dXQSzu{a{yOSRlorC3Y+j2}rX8<^7u<|fj2WgF!#Xk< zl*GlHzEr&M_89#HG5t_b#Els&++S-wwl5)X;>4G8g~-&L4kh#Y?x$t0*sZR0oV=g8 znD1Q5^&-&7b(>LN7z(aAI+&~UB($;FR_;!06~#4n#?tf4_kVVT+i`}nPtbNvjL>Ph z)9k~*HG3E0KwFO@{+R4*MUsh~U>(#L&Z-cv#B})H&Z$0IAJ5iJSxX4Tj2KH`X6<~+ z^0{5|D%`3G1u(03Das8-q$k$s!zOKTglFBXV7x}^k(B!|FsJD|AUecWQh8cm|7(mA zJ0b5~5r{-r_kSWvY4>W;Y7c35M6+O#bd?+VpT2Ew{?7d54Hy^r_Gh2Q$>z)Zm6I%;YN5ZhNN1u!^zsVn+Qc9wqY++au&O{k#;_s+ZOWm z+nC#cWYUj_!qj5A1r#CG5R*d3|B!r zKqKL|Q+cnCtoUgxT-(d^LVspvxV9G*q;x&h$F#mdn5{1y`=_J#sZH>rLbBrpk`6DZ zt1#}lcsC<3yz^7Q1N42~#(vvjLE8O!SmHowNP{yjR7d5OUR;zB-%o#omf2`86FyO) zaON-AL23x1dhNM#NqpP--&Y>LHi{&9!*C)re$$UYnOA2gHpcZ3J5dL5rQ(GWX1@2h(!$oIZRBEp3c5m}_VPVQ zi|(e7VZiVz${}<*uOByPYl_nUYCFU+U0Np6p^&1yi;o$Gz2C}t7 zQ7au%6o%70XLLOQ}kot0$l!-tI^mY~t?Ns-!WK|^+Rco&BB;XKJl!QPZ=skS&cwh*cGvbh9 zxCPsASCM!qrFwrG1$OKX*5;aROcRn9;P3yb^ziU$aV!0e_!04s6avUiR5(@|0;hj} z>+YrT$=Gtl0DiDhQ$V!~V=xP|STiBJX<%GTA&+2AT!XcZ6W1CTTqxtxu|{9)>mWP( zq`HfEN;qjs*QGFA53C*~r>?mpnEMXro9^-{L8-1hzUO%wgLR&D9gygbi*HQFAkO_g zd%?HOXw*phB5CgSExwUbVuTlBiD_>wLcp z1M6$47fCn9mU)Z!`U95x9acDQ)p`)BPgZ?x>{c^dKG}~(lw2z32OTy)>b3d{2dAf3 z8UbOe2;gYZ6OILxdgpmVvK(JMh6Q|3 z6(TeG<-Jzm#!yVbb#meCw+QbdGsp zbM+F!AQN5UT%iP>+n|-CBGp1*ziHgzubYvUg5U2O9FL6Z_1A62+^CC#J-LzdT~|8d zqi@K1>arm6+=o@p{L;ByWZ5`lbmq{=+4umMyq}c4Nkn;r7XWXl3fE1HO_7jqk)e|` zUJYgkP^kU$q|GPrp1A0MLXzklO@X9bQZ2>%-0dX%!Q~QIr64$_;#_uQON^H2W2w)ya54Y`18dg9@_N z<{_oI6RnJ&1#D=uCIB1pI|pT9IdnAk7~{YEl{g264`BO;q69_#dI7-J5LsV#k!O~d zyK>XjD=zD3D~gx$klXqT!PR{%;7|IsYG6Xc+Og9cbe2a zPyE;2W8As!TG%<`KThxZ7}$x7m8i0GdFc*kO!B@m+bNB6@CsevSquF1TaRx;-UHK@ zeB~vV!Ht40UNLsMnl&SC!{pqFm$nCoI&t|x`6`FG!w)pP$H3Yv#g=Fo8M_U)u*wKu z27p4_f5f&6eLcH}NxIcfQvgw`wE0=_mxa{vR2RfF z2IZtG4e@wlcZin04MK6I56B|EeZ#oc zw+YI#FX!l2FFz7V{c+}}!`#hy{CKv-O2uHkrw3mQK=}^bU$unSw8IR(d!4>5fr{m@ z{=8hx+3EujBm9VGUwM&dV-X;!@>jnGGM(>1wHIDSJ?ag;;bqMtLbLyn8D*Ck${6hB{6FJ$BRLYdN{p7_idsA42}jnWx#b2l@tcQ6&mYp{oHF85lR zQS-^-`TGxwgb|1y9-;odU_`B7Ha-NA7v|w@qn=YUUW&T+2=TRg416{PJKVswkiPq{ zEsAI?Xsr|oxhY}{g&hE~lWi-?WqHuc(*fuqg*?qG`Kj)iM)X|D#Dva2b^Fr3c_q1q zly!YOPasvDN2q1Yv$IzT9{n@BkWPF4wfIo8~IGb#}oS zTKb`~q$$#=TE-(O{yd9T_Eg6h+Y|paKDTf${oUEZ8nPw7M+fY*vQ!F5x5yHTZ9}C; zZFv2Nb~D7ORDUtn-j3(_)IJS|(`0Om1?$_qOmp_UAo6VBIbEYbLI4A`FwtfU5AIs)t4Ap#{;RUr^&O?@e?^~ zg1PU#X`0#O+?V^F^&`A4j*JAN(A zGV`l>NNrqGROvU&3Lpe#hE=@3yPX!tRM8+sUR74!*IH4{oKIo~)RC_Id+ss<JbVO1;sHu1S4r=EX_-KqsV;LXnsxmNwI+b}5-_{C%ouo8T&MP) ztX32i>kGgpSS6$y86JsWDnnXRuE5P zI4YzakHB$%6%gl*6~qf9@e(o!aaebGo>73v1&ZOR0FXiGNZEj0KEEO%c`OruB%8az z0`^L5Z-P@45E}Otke6+{!wtlY0LyZ!MorDDXiQE6A6JqL2Uz6WLh`5V;gtcLu+SDw z-rWS=pqwjB(hsAfJn%G}cK!dVY8r<9@J;+58 zZ@{S|D>Nf4$o10|iCYvErcC+4Htz^TNP-ooi#PeHBwl5ZO9yZdR#Jp6d&302KPAHc zt!Z)Kb(L}alN_+wc1zerSR-j&mH4Zu{U{F>D+-2@7MtLnrhjC=C#n%;q?MqXg3`sX zK4A&SRpcUnL(lpKY8S(~W6u4@mIDF?|n+VwR= z?d9bl5rqU}*Ap^lo@%AHM{@FW3Yp~a0j{6b{uOw^p26g;j6JDEreRFsN$hWuh*}%3 zh~&6dQB~?VNwQO-M+oMSL_Bsvl{3O?{!eQ>UOQ29W4#9Uh`RaHt3}g!&D`)jtWrnp zkkS;QIP*W)l)W-v_eO3%Oy@2dy3H-DEv8))7T4E`*9-0wnv#OuKw^1 zt+Tz88v`;FHR~=m{W}2>g@84dK;6j$lvnX=EnvR}4cHgD2>5&w0N#L?a(yn~k?0x{ z`e67)(h}hO$$QW4MZgY7PduI$nmByy=&OY1N8(4FlMYW_HU^A<9YeqX&t_sr6Pl0ds6}=^FiUJn&W}BjS048~(kPPnp6lJzz>6=T1JxfJ+ z(0+;%Za=+2jcaH|orYo{2}gSmwsoUAOUD$s73*~<7NJ&X3C|y-EQ>N6@z29C0-;JR zO2tt83KmQhRuh|uz!Gy|IV1$7+A|A1LF4GrFAaAmgsfK7I3n`v*k zoRiHdts*$Sz7Um7V~auLyiZ=2(i$m*XNpY>sc(j7Smyi0tnbm)PtLzA^#?<{buYlS| zFG3f@F}$hi?JeI^O3qyY`>zk*FyM$)K z18)kYgbO|t$s(@W_!{hY(K{440o#PWqt0(f6qO2uLu{kcbp-g6S+^i$@|u@~(BzvZ zMi*EGUmoUWcSa(asOXjMx3AJTJlf;O(slV;&1P~aOybYx(8+Kr$`AnD!1fGy@^7H}-1xEA=;hIsatx z!m=GIT~yMf1`-S6;2DT97Cd_Sl)BHQz> zuh4>Ga^Xgd9L*PmOARxI%+;3f`YMtl1ELvYI}|0xCYlD*dbij~6RdWv%>>k;;pE@d zi5>}|l?M2i$!#T@Yh0eb5T4C{%tl|Tf47|3i1`I37>}p&L=3NBbJZ+qqn67~K?>J4 zxC(6Uif&qX*+GZLI}J@JUS(P--7bd~&Bk%$su_Wp=o47N;EE*P_sR*+n(3!Ifmdf| z9_-Z1qu~lvu|VHlV&Uugy%dSYNTZ=S%_~9Xu)Tv~Nbkxprn+;+r?T+Pn6kUDq3&0Jf~+ zLJ?|4W4RD&WuDAZu^VCGtSz^!_9DUSVA8IHFNfT)!$fpZ)g?uf_Cypj8@owe-Zg`_ z+uG9i=0Sa0ukGO=8nmgOR1?t;TL8MgQu)=Bu35KN)28X~A6lnNC6{1|=G&LW5TL$E2?y7R4(&+nEjS zvh0aXecu+vL1xd|Z_WVkac_*%F#GNGX@PEfJ!I%@a^$*&|1{Ewo@fa_qyysy2{aU{ zQ|)@SmX;3*pa64&AhiUl<4DbZNDeD@iM@U}X^B;^!H-9>U^h1wbXbTL4UDAfLD{(> zt!-J-a_;lti;|6!_A@%+1V)$Gi`G>_9VY-eD3BWUJMihmQyujjha9O)>B_C--CVwGs7p%dEPr@xpk*jw}Ry|&jd^>6D0KJE(Se&8W3(Qt`75c z?`}RAFPX6~f8@cnh>C&PaXkUj=zhdpMU>?D$YDusZpT^35O7Cw62%IH;{Zy@@}`!(>ekN9a*p{A+94pZlfyw~D4 zaAt0L=f=ockfm^op;G_5SfZ?7%p-zqUo}8X z$3eVZ%S9WHKav~9iEk*e#UY$Bu2G$s^{WCp0i<|7`%Qq&db6cqvp#GItd8Oos944> zc0BW%X%%&18nulU&N)2(YcJj)l-57R3OY}iLE|{`+)@C z4%97dksKOu7b=3I`r5=^Dl}fyfjR}JApnh_Y69HLa^0})>r!yhRK5Q1ryEkezn>gR z$U1cX)Vf~-25&0|b$FRMDQJg`Qcd8NR7;W={?XGkXRAVp8yd=zg113XwOMUYYC*MV6Js8kTs%Frn)HT= zQb$ws_82Qc0b;$`>Y(7^-c{{-0f+gU=#ypPk<~Dgr5L$ah>3r2C+w+g;qPPcl1ZgG ztKY&6+6v2e`P$B8*<9NW|9k|ZJ9$r6R-dJ<--B+LY=pn`M%8a07CRR_d2MZTI^lx> z`jk!gbx}VyQ+a8w_RQ|E66qAkhFw9^dBZha_k^Oz35b+`$|Ijf4+xy^z|Q z3LQnTnMe&IoToMPWff?yAB5Zg*!SKj(>&7iGv`x=N2vsH_)T#g;p-#LeDqk(X@Ctg zQ?>M4Fq!oZ_Z)ja?`b*D{W>J-gmc70jL9Fca6Hr&-v;e^KaJ>ir3r-ViYws}}9oUt6w3L5t8@m3GTlce9wvTXs+`w{FuH#+j$tNJl-4Tw{U0Zv>@ zqXoK)JWd7o8RhPp9QRm1HK$skVV7d^U*q_}d^LMC+blQX%iVtyU7ddBK)4i$XY21H z%MF`R@TT8VOCnUK{t6p;fPkqFZ)Kh0em?O0LH|?xwdo$Ah@|zp4M=j(QDFt6C=PgIc))qd8kS9zU3_y~ z15fvdm_qDVEN8pn%2obrWd2Wn1DerRI<~v6ZhL0+Y}t;ykQX6jL(kXi=TE6te48a9 zL6rl8-|Cg7=%?6l2esFfbhWwygxKSnW#rJ0q13gt-0!0tAwv7G(|Kj7%b!5|^{YpA z>f{9k>w-OXNb%K!ZHNzglBtHmO#5W%s>>SqK2C0Wf@v4)Ta^-dD!)L38!U88a1N-z zb!jSCe&hsgkd7uh$AT;sQAK0sW7H`S>+;#9nBZp|+f0Y_w{Y19`(b|=F<4`E>02!L?^KL8GA{`4*<)URB&<)L!?vk@7W4xAJKsACU$|D<* z?6T9$Jq)>^O?4rIPYtwIVM@C73?qugF=9BaVF8Jp)EB9;qzaxf#CBi4JV&W{g%*~~ zQP=p>d>r|)P+1v*q*^S6o1K1mqmWWq)*}+`FiM&lPTp{h-_+sqink zL#$z5YKVFP^Q-Qd+LDuy{7ouf5R@f4^<=wwcIzTmiU$s6g45I$n|IvwF^L4c1H#`& zSN@3*fBBwlunT;M%=M6bR%lc>{KyATADZgImY$myjW&GkYzwDINfi7rW~wzQe2Ag2ql4-8c)nvPo<{E z#EH(d#KwUcl%py2(XiB%HDY?)5#(ZAmVzKIHiKvsCWnenT1-lf(MwPi?W&7As<;rB zzK0}8h)#)h90yGJ<`k`P!dLa==(Nr~Ra#b=CT>2?apHoEILh(S81G4Z3MJ`8+%X~G z<8#Q3nmm@~hK*jdCAubWCdH;`Qyi1iwCjlqpxc2hA3q~gOOl}?m7NwBpA&w)UnjNx z=wT`?jdEgA6A1AM5GKbZJ7^|RG1=Zeb$RX*ulDr8+Y*xtssNK@Vj5#Ovnl<4^cUHE zG@_}`xw`kXALoW5qTj~W#oo%`KFAPZjT}#LYon$ph5drEfv)mY1&bqNVke|4lHKaT zl%p<7F);~sfZCBUnP_%QmLu(OG`6lXeL~d4d{`_%?yGIzIEvzDwChOR*hiP_*NMcG zR6~XMbv6lbzMA+pD&<61GLpLZjZi}k&5!qJHy~<2E&rtTD;EA6^`mv5A4^=x?@3uY z9H*F=N=tYgqIfh_F9i?@7(IG2o#O>21FcEectdPV1zSJ#&v3VYZHB(al~DU(`B5AY z*nhoF{y=A2EGwGs5-~;_F@mISN7JHz9ZiZpTwzk78jz5hS>xl9_!(uHTQi;{5^HH0 zLv4MFTa}a=#hN5AaN1)vX&dcQI5phpm`8}ai&T9T(WuGDn8MFW@~uw?z}^YNz%<+= z8Hu`rOjv==Yf7R_t6^+KoJaD}AS{L;O8NEUeWWlffGT%guJibp*}eZEPM?XD{x`nZ zv=EU&j2@T#Ii?t9k}QrRQ)T=rSkwAA7qiiBJ*^j6q65l(_wv#z;s;*IeeZrYo@J|e zG%i+z_2%Gp`$W*(%5IlcGI$zo@zP>CHR-_(uQB6vHPbWV)lxxfN~Q)CE+$)%ElEdX z%T^cN5w+U#ht)2HRa70Ri}~L_zBv`094FP3m7L+sx))MhGC}Z&qx`eHk4ib*W(rGQ zI{5g{&FHwS>4ds^qU~k0O!Ax085kfIUHij?nK)=Pq-gdw&Mf-YOd6PQmR#|m3wQN1 zvnJqhn-~Jb?p2(Pi``>tn-bghF7n2Q?TooD8g1o#b^lj`8wyD}X~gg9sL&wze&yrT z4_=a0hlfOqk}~LWZNcmZ)b!%G!UDar|LWVkO-*(DydEp=L!94#J_Dh#j|&ipPWP%E zREXzmt9@hk#$NUTnuS84Kk zbCM(;4xHoGx|SvS93(`I`+jU};N6?B&iMY3*&eG}V7RX)277q)N|NWZ@zY$C{<|fm zjsC6%c>GcP31)1d?zu1JXyw>DHxeR}(*k$>7!zcs-c=HDn)t^A%+h=lrlT428lp>d zaN;*gYF1N|3N~#2i%Z62yy;IdbS$cMk~ta|BvxU1%D+7_ns&Yx=fDB-AA5S6*qz+3 zoKB3E2yqrLsWQ5`df_5%M^g@3B%NvP_$YTJ?{szU8`2R{5*TlNdO)qp;84G}qlo86==p!f=!el)&=JtobQ0&D0Zx;>=RgWY5Gzm1 zyU2^47gH1AV`GOd_m~@$_))b##f5zHthTJTG@nTGjwKa5s`eYUQ!?=m+~;M$6}26R zK>l7#P7WfRtNNI*Wa4=={vf^e88dfEvn?VV(tk|nIZHnuRB(Q_D^^k)+Eht@Pj=Yp zBcZ8GHi^d}H;^H1j~EwxU4M=-Mj>Y-XPYIiWRU`4oXZj^Fej{a5#4Rayu2(-6`vQ1ZjMpx8O_?+PU&KH6Sy34)+B<51{j-D@EjO> z;MPLYF4tnz^T#_pF`)2)KsZ*r^k%G{A0kMQ#vAR~;1VK91~$A|FqEKXjW>JSYH}?Ii_zJ9F!*gp$jnDE}+@s(Pexw3^5V zZB~JhEM#4F6S8rLJln6!(t1a%hypeqP=L*o%p_5BBr|E$0?CvR5}>FcQYL5eKeTSb zx%^zJ{tSWBIR%$~W2D0-RLG0eGG_x`h#iQtU#xXMf(cbkq#)&03i}tUBfd6(N`)Gg z%ZB-h9nB=Lgf#XDINqHYoCGYa=SA#;FK3(9x;>wb7%OT|URP81ipG3?uu&tRtO+0# zk3XS8=(fq}kNu+8T>0qKi2{FNO(D+tYX`tdy?xnF7?otrv?^KZ0HMU;Qu$tpT5q67 zWXXn9f(BR7WL$Pic`z_w1C+=!tlqSHc1r@eOiDv%t+3^af1PFQ9&^grrh4U>@3U84 z-tl9VE|XV0+s>;{_E>|4%FELx%CpO56{nR*Z9(gbGV9d{{%VqHiRKMIx{}{5j?z&- zolcTZjDod-6XA3Ehffb%CXMas6r{*bLpy4ej2%Xz-K?TBO|+n3b2wh2?{9J@nW>_) zDN>Bo6LCxazVU6AjWy{UqcUYI?yX8SYTh0Rnv>~Q%GCIuav3e7CgCWk#Wx87wy!8> zhbm6rtfop1OW;mY(4Q|p%`Q$}P^DX{$0vBvy6Ws2UqN?m3YJIZ=VXz7Ie~9zM9&>)4TE1Qva@Ezu}A8 zV@MzLH@3Nx!8gq}lC0gV*XwM1CxG!98MS06A=nKvcbF!vm?b~0MM zy2Gl^R&)a1F~5=~J9);c+YQO31K?Nm)T7sbb=#T7r+6DXXWXk-s$YNgV-Ax((>lSn zh{UmMfzVlJ_mx+q((TD259A|yGxiaTsvmTtPRT522`n;y zX^UcmF}B1ckN4=<=;K7>0GaO8-{Bi})e+wlTpX%Nm!19JJx<{j+Zu~OxjKzAyKLjF za{G{o$1Z8i19vZr5lS5VpRa7T;{)PDnP4`}l);?U41rpS?onb%C%ExV1*F?59qme5YOj%cYQu1p zy1|8gAxiZ<*j>0i_RTr%-UTSd)XwrGl)K^a*^ls+0&LWJxh}d3Do!kwYG@5 z?_!?x)pT(V^}tLlbOG=cYBCG^wxAp5o{9Hiz5)?upp= zS#0xdm=z2ZtxJ$lFkd%&Pmmb1(qpEnl((+;%9pfsN-9|Ymg=Z=D}9koR`c4qV&B>r zsY)niGyiGA6U#Jj6b79CLp$9hz103&s(AMi>BKwu!?*-Fq3WMiVIIv=t5K^=bbKsB zQX_5iZ~O7#lqJn1EMPvgY>8oG&)>S3m(pWSoD~5*xOtZmb;p)=C8P6~b?V^IKpW5Y z{5?1G*&_g6zdrF9AStCNA?~|5&*N@YLtah2dhpIIE=Y$n;}TDr@yokbphpwW!svO( ztTU@u6qU>W>m#JLpIx~`>n&TWT8zi9rkgz z`X$_ru1PZ&WJfwxHhV=be)BPz%J)m5h+sskUtdr=rY!&A4N*wJ)IrgNxdHXz3naSa zr=#f8p}RgMSZ-HnwVPzfnH;h>U58eXNdXOY{noB1H{LDX&$7}9p&oiIqf~70SfY(s z939eo*nI(Ai0xEZUm9jejcM$S1OCf}LIr+1YX*kddR%UYhSxL+EYH~}K;6eTFEU<;t5=JTBP)PY>wgG?Xv z-lN?J%_eac_}$ELE$2InDDp0>(wF&tutULqA%i>@ao?^!D7e*TVVU|SNY1Gjvd2FL z0vRkF_3~u~-j?cX@@ez1v}NaGJIlQDJ6c6< z+LI|do4{we<|TV3ZCz|G4;tz|mm7O8^U#LP+j@QBV`twi1_L>Nw8_7in0>$VP@YWLo9S_BaWsO32UCTMGC<`+~uO^KPJ_x z3$4HFD?3oBOa_Kt;5g0O*(SgP&qmh zdA>T=QqtuIk0i2=uR0m~s`YY8u77zkv1Sxv#8`TOjd|elbUO zvR}}5n~JF(mJ4rldo(c|#?OCmgFRZRsW?+C>d&uxgIkyEB`-2L)3_gh$V+zaw*oJ^ ze~~?JVgJbQKVM6iVh@-HZmVtWbJ3#+=^V7YFu$WDeI`g)|vDwSgux=K0v?pxj2?UqKl!#6`$IuSJhTZpkieE{J#_bs(`Lemy<`YKb2|C3lWoT50^G zqK$E?SiML82b||$scA+HgWo@mRF;QuL!SNvP;h=h1@;wcB4NJ7J0iF5PXMSKx4*Rd zpzkJa4Xm|$NsNX&oV3=vl8*b3#8ad|GdLO+a_LiS0G|asn1+$)ZH%e(eg&H0BJy#!rn7MT?Vy!`ppK;qDlQx`e_|g7VIV(iA?BLmrWJSc~ zb(uA3wYyf)rLr!!y`>S5%Uq3dx0Lwj8f1IW&*WqA(cFjvfkG83YjX%_R@1bPu=tti zU`AZ*+)pv1V}bFb)mn_7Bc+^OF8#lJ{s-9;8?WmU-GFZgC|5&FrbjS zbco-^H*DtGX%9kXczV867l@hoH5KDkuAU#Sxl(mC|r#o`IctA(`5W0jmUcS5^+l69OaYd?M#)xO=kn;884x^8Z$Bm=vI7cMj+wEp# zY5xc##qHwEtPSi*`^Ee~Z>Q&jf16gqZ++D+zl8fL$qU{#Ic2&a(ak89PpZ>$p6%2% zxhSVb@uLxgvG;n*mCTF1FEhUlm4?gJg^e?6+r{-RHA*)tokmip^U34s6VEw$+QWKL zT2hN;ny^r;+Q7e+f^YWio#8eQLIx;U_6)93CTO6s``A{+eh|L)%cdR7EHLu#y{>oM z_1rJ3mxi9hq6Wmar!Ho+f8MGQUGbWtM|6&Rs^@=I@qqRuXy4Q$jW)eB3n}miuK0MM zxh8`Nk|s7Vp0JdgsKe2tcqiiTq)(U@4-g|r4-NCQ%zhHH5^_+v%xniIoiHo+e4%Xk z3EtoQu`J%JzZ#|*2TQ74rUu`!A>={q*E0P&dQ ze-HQ6&BX=~tBE|@>ge3ltYD50z+7#H$t%|ci2Yt1|@!UysOOmM!-%B{whHWh0 z(K~SM?M?1g7})sV-OvBE_{Yw3AQR^#x{9$1A2vy-R?{|2|rGQ9rEN zC_&jXl2YIdFXWENAh`!fZqc~!<))23O(?0$`3hXi~N>uOZ)a#K%_N%<< zuhsLrEU%vQs$y3Cy2=Acu+r{D7p4w-J!m5jc9-j<6t2;IbeCp~7P}gKtiy0?9k}wb z9rBE#Z{H7U+`!V!R?=5h{*Zj}UL)B}>KC|onX4;OSpCJ0sZ}Jf~2?n2+3Wr)$uuyQt0K@7WbizgC)VOKWs3GfNsLxe{aWQpvW^E3u`}w&tJp zcsp8rQchla3@v69Sx}$d%q!Lb9WkGuWgH=bd<%XQuShYeRsb}I=vX4Mnl)T@P|d+; zs?$vKAvv^4GeWP;02QiEf_;cCKs81ipt{R+b9<~coM!Ln5R>K(5*Jug#9wgryl1#$ z|9|z2E(vB|rkUHLs^v6%9D=uQ{@O+r_f zWy?K&hLqt{{j$kKL2njG6LpdfIf{r7#{d9&{i+BT*s2UQ1fI6avHL}OyxowB;^m@p zsq&CkiJBoaD6|>ML{evMT03Y{WbP@-oDOaa?RCu=RjmHFsoYG?Ku6hh%&qzc^bU*^ z=XEKa(+h@AG5*3IcY!!a^Ql1Acuv!9SZ`>i z$Q8Yg>=AU{N!6a_Q*oceG#}8Sa%3?i*%S8yB`f!rW+4G7v614Q0|C6xT|4x@$87F< zMG!B4UwUBPQKafxlO;HN4H!FXDHAvMcs@s39G_1g+fN-@B z2cQOmrq6~j;F^+pf(8B!)I4D0~99g}p88<&VS1Q(RU4-Z;9wqeC zow4wgx>Y(O>s-2Bqe!3nWTGbN=*GF!bzQt-de>+2FUD#Ypz5~_sg(ZjP_I*WqyG#ya$`10L2TRGmb@_*lO+VF?M-_TrK)4X&)evGuM|T+w9O~2VC(?EI5uwX zF!c!P^BwY~ewj}u(UN1|C`6Y3T-@_*H$#{B_ws6i2j3|L!N+?V=pls336(1iOC*S& z*mK+rFgES0GRdJ}xzbTR`oE_(OvWrOgJ_fZGQy{gmnvPTo@h6hCKS@^A=v1g;BZDr zQ@}M8oe60_)?vz#b4^ytYRN42rt`(aT~uD0Kv~OEH&Ou_mx6NkIbykP4xDbq*?=8Kbjv(*xMO z0WdV_Gxl(>%xER1H)Y@)yoz-W-n_?gE`4G_yfkv*Ve)#O1UsIk{2&%BT84&esNXRO zes$L(?`lkUKpn!NW-23|Byo40p@N0HYU%P(V6ov!>FOZd$1AsXNo4=kT&UZ0;2RW+ zCMYs0dCg_Rcbf{4#-d?0xjB}XPTy2}=N#>cX11F5viGB7E3_({Y|v17G%-MUTr<|_ zz#FG<&5Sq53?RmGJv!-5gj4K^{TqE4Ks`z^91Z&J4e)ag-1Y?aI{SM3HVPMgs;G3# z6jCAi8Dz|8!ZzsPeuR?AKL2tVRTkK(|ga9Pb%3s9rT2;jFsvJNZ6<;&I#itLB)mt|>SNw~yyaY8^#GJjU$(ru&a*&Vd&saFNZ z-t@eJG>y?mE5U4jYr~`S5sqVX`WI~Siv%Ujb600fh;Ob37bh9FCUpb@jPygJ!n)dF*t0ue z;4RU6xb3D_;r=D1wMGQvp8dsx=qq<3t4j*z7W#ewrNu)(J~r+*mG{fa5nO&SXE0D# zIl-EF7dteuQqCJWDvYT<&hd{c*oliX%T0>uB*+POI&+rj4u)K+gF|GY=EvR4&1mPk z@#QsVPfJn55f2+kE44u>q|_86q2&lBJia;B5PEe7{qYL~fW*H0HOI>hvO%}`_?N$E z31RC7cZNxw9y{73RWf&LeFsv0{rCVIT636Q-z=_9nfqR2oA{#+}adm9#)HMDXY1Vv!UKa#~CSu;OifO1k8;*dBtRlMd#BP_+luCuwAs`w~I7K z<4%IX{9026$6Xyx>NCNBl2e6*+e>-Y{<|@TL-|V)^?B%&!qjB@aLT9MMP}$Gk)^!j z#|sfMjOUOVz;3S=vcv_9%;O!TqGxVb;sJaRKKi7C4 zfP|?-+5!x}blaYifw50dtQKn)le7+)jA6!nKE;o9@{$mzxhXPJeIk zgCt2xFzf~;-_J3>kZuHiwH?gSYBI_m^4(ZwJWq^{J#+C}j%55TRwIe6U@vwjCtnDT zc8+7a;QP=1wx7mOmX2!e>plBzga66p65hYl+DQZA~c+%<9k4p=uSxB8HK4hzvt%^JuB9!xA>o5 z4!t^dC|CY6ciML~{J57ezRKi$R2b)xjPEr3a>vF$1;R?UghxX3oB@2f8MJ*Hb!qm*IBaUb7^V$$ilw+A<~ETc@v))TGqrqnp;Y!L#G)*Inn7Z zPd>-hK;x5@9Q2z+VPn2=iU8oPKu$nH;~IkZ>?XMTFZu8DCkMIG#ipO6-gp4d=<>Bx zQWX2Voe~?JyuZ#eR*s&xzjN{oa3H|ljSd2NN2UT{5?6sJQT37kDL=2GWSUQ5`Wg!k zK+EkNi|<(R5RFluXnkgAnAmN$eVz)IVt2_N`+psu4{bXgJm;6Qh&m_-o%qKeq{0 zXok`k8;L`qRwvXe3`>!#4 z*9_78_?yZe^^_?ciCoz|#Z-PA1&OxJ9L)+HmX2}- zbUw5EJ27n_MNm@L_Jo!=Xl-J*g7YRsqQ-y5}Ld{YoC0c>lZg%Xzp?=5mlK&gUKHU}?A4F{ItFBr>{cD+Tb2si%fcAQgbOZBE&(uZf8?q%PMH9Oc?&kssfNtPc&@Os0B z%1rh*uGZ(kr288(-}Y7|Q=n~-(}i;aZcoR!i+|la4k#%T@ouOIQc~k|koi9!e-|*Z z3xqTd|Lrk0vg%-d5ZNP(i8c6D5?PJRbuWp()Ak2p)Ih5yx<1dbE#Pk3HmT8}AycC6}X4jcqhhaITC~LQ#TZ)nZ{JVd#4^$TTRqIZKouB|_yS+gQMFOMv z9TqP~IS?a8B@Y(go8{mVig6{7g9aGkz+>`5C4`RFg5mAkPBqvBVf zUrA#Tat&?V9Y+e_JzLMlG&e8D{n7CGSsh&jgXaB}A--;l&EIR7hpI*9BJ?cbgHvaL zh1-Q5op}U|y+M5b|Ja;HTNg^!Im(TEpo|mcLVl)jel!TK(Kk@=+kgx$9n_dP+}}oi zTK1_hc38b^xb&0n{E4snWuvc;t>=MbpRAV&WN+-b>fS2);QqF^sU|)7gTe1f_?{0Q zA4p2?Z>+3*3!WvNuvrtSdv7g}9E`wz7aiOZlCr9@kS7>foY5?TtR%GMJ=b%?0S;uE zVLoD3Gq;+(;#fII{AW`%G~aEsL$liT4S8KAFSWBZJy(-+_~9e(!wb!2v0yZGn}4JE zSFRo!h#)q9%GFnLYm|(Ks>%sNPY9w4ssK^(i{iv7lYmm#u8<*8omIaJ_2k#1@*AA(H$V7*J z97ZYqqCiz^!OhU1p`rt_nU#y`0nXew;=aO3czjZ7<|h*1vP#sd=QMPQQIW~i&_{7b zwTtXzUtd9Emve>eCX3JmcW7QmAZ$$Tj;U8l#50A=e)=rPAMhVxwmJ8T{DFqPJNW*U zMjgIVyIvev6OOEnF-8Dbdn;q$P27k|@13B_B<&T<|T-IB$Sh6_wCm^b%#r)*5C zQb|ix*^_}Va&C#h)yQ7 zKNjVPu6MCf=X@R03Z1aWA}^|dh@=Rda#bWotRGs6tP$c72P9u~?h9@QgQF=isma83 zS7TRw{kxMb{?ru1Ux7!H;{tD+8xj3vJa2Yp1a16DJCPnZNhj*K>JmExPRQz=HX!av zi2)P~Y=qX@I-&8OWi>IYhT??P( zcLYDk0>m(zLZFp>S7wvZE>55Mew?Y&QlnL@qJkKKjZ1tgtrwY*$GR zwNxZ^Hw$B6CE)0<_t*`ji>2&qxD7>AiHSfx;Oh*T16LL2~_L%c; z;XOUhlPCLXO;Rni?h;c8g<7Ok-;egNKj|T*DhHai1#bXI{bMoW1kqtyQkZ)hYHdZ; zrq7**JIKcLLB8>o9GKUl6huy^V2Mdmc*R9{s)&6G)oC4-`=4;fat(3D%JCWX&PHk7 zTGKN!85Md@jJ@%TxoGK(px3(|9cKwU?;0S8T2;s<=CwvygO)oxDE*ISoC|e!#-Q)+ zNzANcnV;WGyi%0ofIY{gJzuL40{r;?#UDFPHPt{BlO6u1 z%BhC3rdGC|l?-ofvOeg)-Q)aWRE6^j>LtD@8nPc>dtYp@;gQpC4hm6+l#aG{A)cqfnPfkI20mCD69cM0#D{-0K%A3ythwo#t>+;Jr8HiopNb-+Q3&80z6GzqZuLN#huatJu7j>**tCxOsj>e;>B4Kx+Y;(KWvl|} zW6hA|XFD^}`;N~Z5(WpavDviF|+q1|=+<}K=ww!4flYShwXmz7cf)(x{SKJ&h` z14g6I;kH*FFZ-d;;{K2NyZ+Dk-b z0p8%q9I+8zRb~nrij+#B7yl-R6;5;&Dj#gG?L&Na+uA6lzCGjKufF@OLfF0Urp}Md zam__L*B-u(z+kAB7f#EHf5wMj(^3Ky-$=g_TE8K#^V`AJ1Ik%zU)RPNjIVp&=U=Nc zR5~!8%kM|;qUHM4?svOms&#`3J~sT*{pJv3L#US{f?0163h@v@dlO@mRj{?Kiv)vG zC;N2~L0(TS!C{+W5J_E^x0$5L6b&a-)NZtoOL&$zXYLlH`_+P&RthcAm2sJ$WuDSf6PMB z_(M8+4DU?S!3(K;r+-??8d*H9t8l77KdI!Mo_wKSU~Oaj?oaZAorur~8NsovuNz|^ zbb@EvW>kr`E17yF^KoFlEm4Aymc8hrz;Ob(Z2s(AR#Pn_bCi%H*~Xv9$Km|so%)a4 zSZHpjb56|xkDw2&AjrF$3W5%z&*5qj|K#N>qK38gE|h`6)`7RkoX_!TE{ZNYf>H9O zHh}7c776Iz!Q@trDqYOdT>Oqw%(QZ<+J+OQXrHvLOitedVOqt-jx%4+6skc$(c9k* z(KqQGK9*0rk=#nS<^B1e*EF5@Gf{+egsK(j2QWKZUUd%x?g^MQ8 zjOOk;fU~nxbC~`VtAiePt*h$JmmBw;({}4cq-O-m{6k|!uF4^%M1D2@+-;ekswUSj zJ70I;cQ9eS3neCel(@BSeR2z=9#hC66kUtl^=a<^QFN98O?X`x-^PkD2BW*X1f>}< zQl%!{tsqju2B^RW6J-n#TTH?r6_lQT=}<(6bcc!?14KkXVtakR-w*fGJ?Eb1d4A`6 z=wv6Qd&WcoZ8NRTQQDGAz-;iE)6xlo8QD>N;zsT81E}u;&QR)TXb9%qGOSVYZ#5dlezT@6>)^d2w>*26M!QP8k~ z^O&nw|E#eKrdvGAUfwmugeR3$e2r;$PXOyRUHM|k8~w8HJu;!(@{tOuk*}E6$$wV0 zBBaueFPCAYWw9kua7P>b%XFsy-!m$wkx9l@JRS={IYl7F#f}1fazdLL9;}A|ns5i) z#CBNjsZS8$&Sh?qD-F=V2DZ-81JDrSWCsIob*5^5h4hivJJVgd24`cZcE211sosdtw_;mI zV&@mvG&|wF1(!l4TI`!I^{i#3;q2i=t7<+;DEvaj&nW}p^9AI@vUFqfj^?S*H@Bg1zMC=Lb5eL^3G%M*^@O9mXh-1==SGC}65O~HNDO>GhtKYk z>Qwj`FR>)tliaX}<6Y&wtXuv=Qf8Mi!N*pt7nQ7;IAnEr>1}(xHNMUXvj2DzI2tl8 z=enLOAeKiaSi*Pl!M!x_V+je)s=b74 zF<|dk3mwm;hV0sDEs?2hm6BAhdCFHIbd4ep zTGE5r6Uqq>`vMvaQS;+?*vL9dAstEE?-iW53GOVL<7Yf) ztB%2M7#N9Gl6s$nUALrs84>3?qcO_nks^2p{)ov3vRu^(KQQj<4Bi3A zKidZb(jGLZelw|9qM~AlGbDHvb>O;|Kh6oBNNj(%RZsCs31=dOK9oc|4m)q|;g)Q|fzZ2+cs{ zTiF_? zu8EFip_66L#5~Y=ymT4U3B4#G4HcsPY~KKXzRJ8*yqtP+v-)os+d(>V*|Q(X*Cz>r z2F6bMMB&Rp)5H}7kp7j?Pc{uz|D7T}ZU8oSDem2hkAOKzM}8OGru zs>XV7g@uhsq&lgl2$%+kQ#xuESHt~bK|fc;heKFX>izgYgv)IgqZj^UdZDq*I-q-NrZKVvz>A=lJOM^xK zxNHO5Z`GUo|HS~xuMLa^a=wKtvnXHx-ex3C9e2AUk#~J)W0xmr`&w+hNp@L#EhP8= zy4DR%WVWjUb?$KC=?e(!RzVY{>gxrft_U66;5Q;A7e)oXU6b^yV1tYETGc1GE(+-% z{Q~{OKmxT#XdLwAU_0@{_2Bw)q+d?TuU?mnbP+Axy+jax8C?x8=Ia&$B4X}49I z);4tKi+qg#w8yd48IrLXN$)$8 zi}Xp3bY^6i*j{1uf%Kt*>$ND{`JajidS7jNAD-(`c20jYi+d&-@+|2M=y)%~u!DAU z!$}T!?k3teTi?@H!Ve-i%wUc?w;T2GLr}JUE-EG)hKqTL1M1GS zts%(8UC#uX;XL4y5jD?2F;b8Jz~yx+%dYd+hjnbj1Yl zuqX4A`?1dgylIP_Vjx1RO;nxwEmf4~kyOdw;$lVFsvIC7&_?7K{WFK)>_~ zRO>Z|Dw88nlA9@DNH?BCrI)#i6+>m{cGos@{rK2<6Uy(VUX#h54;qV-*G;JZc?BM^RPQ9b zd815he%~VbyEnvgilz;i6=CH*lswXGZa#gD!fWxwq>${ZbVP}@2@uXipUf7{-FweR z;Xf1hNq)o6we)+fg8M9Q=#i1ZjX-!g8;B1tw<3f>?ML)J(U0`ZMF*|dTk5^Ei+Vpz ziBhRG)~g*%E|Io5Yc`gU=zCubVGFj4N)RD(=A|WJNruAeBb;WvUvdcvj_EHGKB~U{ z#S&mC!FvLht>1}`F<7FkphrUma}eFrnd7}K{?#^m-Y&qb;Dd4Xm*@~J-< ziRgl|UA&3|f7zd#j}+Q7HUGSI*%a^a(2+uSEdRAxtkDL(-Dbvd%vMhFqsJAu9ZwP! zRUSn-W~;8KFC{a0JmANP>Ax&4&JtWv$nmYw$J zoBghG#Pw!)qJS!4CNmDPX7_uxHH_6+b1@>Ye|Kei_I3NvA&6Q@@L5f>zw4pCw|x|po( zCP%7)2f4(0^E!o%eP_9lUH7xN)uu$|&e;)X3jx(h!VN`)T3cIbrDK32rfDLqGKL3I zGZc0B#)O@0-{wq_L;#yMB2w~5tjzDVtZ^$t&7PoNGa6}`(}kkasJ`_!YBI`Nv5^at zb^T+aIpYjOJk!4W4XtAP5RZqdm=qlE%y&o1Bm5@e-`xD*CD@v7SvU5A66D!=;sW#7 z0xpu9uW2~f6h><}IOu)agJVsXd<)X^?Ag z)Ls7HEV3MW#Hkq|mu#YM+OE8NI9+%#pih9gpe*Y7W7_^FF#nocCWuFD3#@`3DuBH8 zCw)_jIjaHf!z#<0c-URa3rVOo{D1X72+EVW>@&+{bU~+uI zCleA>o_%`ey`TqC)Xk{X5H+(rVNQkl-cjqrGr$5vhs;ejGfiwL5S^QU@3{YOrz>qk z=VGf0-EPRyUo@}eP3?r6hcKra!w-5(_qZRytjt1ENDB%OgV$3}x-5xIuXh}ARLAv+ znyHF?syVCp5_i|_DYNEwwW^xv9e$=U2a1zBRAg#%gi?#i(>4VPDw&9KA*A&;g&Tu0 zp7G-|348_fQgiU9U4P7{kk4=Z;Qvswzo@OM>&@UAwuPVZ8FYbi8jm6QH}@e_PlLvt z$p!+%Jf6q<(^@s)A@MTM^2pF+s?zo!8O9R;`Vh2DB=O3%aq>9ce9tDsuGahq&E5zi zbF_NQ$ON$UTA6!?H$Ugp&Kj`>F30!(QzI^${GQ-r8oc2gKk?uUQ>~KYm{my;`Hq;7 zLL#-d+a|svMCt_RuI`-q1lav0nb*sosgOf|PW#FFZUL5~Fo_cr@|%p*6rZpW-J58afes18`aTy?Q5AfO0m3`)B>$PV%QAX7q+JH4++hb?fGT zNUCRf@r1?tJBq{pXL?RWQ4_P>>U(lz}T1 z7_rdk10_E`7>V5x~7QQIb>T50$DNHKr6>Ap29p)Z>oKo1U0zyh-9B@2$7OT6BdN!s5oB=`p z9=g+eX=GzUzDPY@{Xm>_j}&P$c;KqlEX;GNxw~46-iecdaqE}vDV<;;Zjz+m9K^m+BxbrUt$nc{+t{`j zXtCk__1-YFa#NrJ`-%w%JyW2>z5YhDv56ic7sKL?fQ-Gay&WAJT@aF|=MQ z=*tD?sJsl8w6w|7!`Rgtvp(=M$bF(W$gD=)FTksdNu2D=V<@wy&EMJ|5qhyk&nQG> zyfkUwdxl5|aze?v@HsV> zOY%v?-ElF@N({mHhq1?TQ6h;|!3sD?hGQ-g@qv)WV-lu5L=X-N2n<4q@Ro9h#%Ed)sg1qw1e&^g@UP8iX+)3Y7o8JSxjVS^D@wZg2cN#mIF zXpS*F8(-WoZ(4e6COH@c;7m}{{{e)687r(W2-EQ2`@ed zUYyHP=IwQzMaHK!pTsXIEKRWf=zhdq8dtJ0_0Mf7-?qXV_x>VHhY}A^GC+bJ4|ABP z`)mYu6`@px)G9I+ddJP0DVI}Q&tY}{WAq80_I!W8KH5$sVOuha)tp`Kb%p7XCc-{? zlHeht-RxswjaTnF1GnuE5bUtaD0>3)(2naVR^^ROVKWmCrcN`YnvVM%={Ro4IsBwzl@4kem8V^+37*cmJk(Me8@~LamB~8*E^r8n-f5 z%%Z%03G(6c)Dt2!v9xI5OQ$tQ#ClOM`ddk*ByHaPPY>5y3AcJLq!&+RBSSnz@nK=Nk-5b;;py((AW!l2T&4T<;Xg$ zj?bOXZdmH$6bPy$?e`sBbh}6MS$55e(hO@^eN~k;C&E5naj(PiWJ|VOppA^}d}y0O z$v339-ICFO#;^0ROrs6@KH8f*no}3lF)_Sraejz=RS4GSKY+}IT}bUBEx{txHU4OF zg^x$1X5R-AC1`MwKrjP$Iqgf%zVKbw$%zkzL6(7pr_9*e{io47*9XusV_UYg+#Z-` zon?^zqubaMkBWc9ig5#Z(}%A$o}i-ZSc&31J(<{f`JgWhD5thZ)crJaYu$re=qowq z;lM~I@1ngN>PS3_=bVRa-KM7Joo~3J13Fa<#oh(e)yjsj*@Behx{XEbi(-9dRG@g?fa_L zh`SOZ@_Tku)?UsP>q%Em_RG7wIigG~C$OD0{jKlzSrT$tB7 zHR&pGF*fLy_RkxX2}Vwl<|F>Pu?^OQ#*NMBak*ww_Z#0esloW@7y4S!V6z1Rz|Li0 zOQK1KEv?{z1{r2$((Vf_qO{WdbUxqFZmiznl|s8kO_L#jNbTGx$#Y6p$kPP{h2?I{ z?AM61n()wW9bftIl_>c;!O)50d}0iMmL4%#$86%+A^;|K5%-rFSUOe*TDrzXBFG>8 zoV$?y_VB1xA&{@#Q<58|_z?x<}{k?kV#n;?o=X&^O%E>2(yRm>x!@M{THVr+bIXUaq^|tWGmpK*=an@jKxE< zBYb}Z*pBVHt3`VI;BULuC(|sG7xh;(*Oup!c!WZ<{k^xY$xq<@ePM!1iCD>TSofjQ z`Q|9_#%0Wf{m8oFtiwlt&eetjHtUGJg4>IX`CW{XA>5G7>A1(#VV}m}8QPx<3m-3* z?p{#;sc@JLoxBOy5Ywiuu2VLgqoiE-m3t#xj=FQTV`y^EjDjr@B3F0hWTN&|9~SRQ z@3uP3_B?r&3z@>`L=;r@Xz9W}FrV~;g(-K_C>$87{iGKZ+@h5RE6 zTs}nZ?sfRicuyV5K~V8M$2T7CJa}qeB4#OsD^`MVQy8Qm_+fTiDRPDJ6vm?}Zxkp>3l#J(}6t?Zx`CD>>% zrB!AyRLh7ilc}?-t2rmgV?rv<l|@{>|;{dh_Xc$)LIoI3aqI3Qw-uTH4RB zr^X78&E#5D`u#KE}xvBIj#)kS^nx}E^Fdxk}xO;3g$S15r<%?H1h0QK8T#e^%}U+}yc7Z(A9i*$9k z>_=v0v<=E4{V$E)s zEW8Mtc{$ZHRy3XEHK!5=6n!}(*kwOgzePt+a3yvu_|GIGW3$J|7i+GLar2yIuZRbP zh97-(aDUc*>5-7;12M*DDvT5P*n-FW;0?R&{pQw7xiKOTQ0qEN-p@`w8V~lt!+AyF z*5@X_>r~rcV!YeZTO)hE2If zZ8Jj-LN4Xza|3?`AK-E|q2*RmUn?IMZj}DKLW)2gV&xw1?)7ABqCJAHtSm~(zdiKY z%9Tc%W)s0Wrgph%_;~!`M6upd6$)qZeFHdW$@~g1IarbLFTIoPfqGAHMcMDt&MzmA%6}$4L>%H@R2d3;nUr zc>agkoEiHIbf^O~j|U_+C}q~YjDrzf{m+8Wj+jR_It+i3lnD7G61e%Sm7z>%;Chcj ziEzKG;@Yv_#2ejYphN!C)?maDa#gOQB6v7{>dUDTsd+=L4zD)9Nf-9-0O>hDc&1k0gr36_-HDIh=<;-ARfpCbV*xnA@GT~D|} z64Y?fFg?IeZ9o4mnM01KENja>M}#Ylgf5MKSI2$z5R$YeAFd)0q(IfOpmfM+A0BkH zkzllwxFZ`m{h3Ysh$In2y@K{t4!H};+AwthK1)=Yp38-@)_!IZ*^*4WX#OiJ!9N$_ z3=*e7_T&TgZ0pO@9GtA()j0RsQ@)&>LB{*`oVB60dx4Kh4BvZ-H&VXeJCreX0wzJW zy$2)tAPlkC!1b{Rb?D@e2wFIxi(943O%-x_H9!Cdi-_>>t_ih-m?dH%Yc>#`U2{mu zJ;9+1QE!T`>*f+KHl0ipXe!a9ifji7l$`-(2gLA)H3f)5qNmD2_=WJe2$L+RdyP=d zHE5kw6J&?yBO_nr2~VF3w)OsRTud#43(|Cag^y>m>h~rInnJoA>8RbwA$NcvAi&V# zv=W;OSlQu~nz4tqCM^P|EBg&KP!mI4C2fUHFA^ZUe2*<;QFG~Gh{foJM43Xs^EKwZW zKS7GMZnF!LVVE*{qY&pjY_zWBdBuE_m2j~uee_%zBo<{?VdU6j&HGe+=w#cZ34!3q z;ku{?pTY#}@bgJsYk|-sJM&dzK&{!fZ|vS-Re#Mfy7972$8)8G;xE}BP%3wcx)D1J zPZRimK_urHtRVS9Ll)bd?njcoSA!N#w#@3h!*YY4 z?+{VUb0#2;BFEv@83%d&G!PMe)iRsjT*w`SvCNwgTDWX!cdj`^ETP@u8S2L>IFBwX z?kG*M3^kr}zt95Te?G0(jP)a`eBI?WnM>rpKR*v>NUwZUy&Ri%)qcGEE}u1pMo=JN z!-Sh|@l$_Ek$Xkzw)b}SH^HB_OBr&ueq>wG<1CSAh^T@TP%tB;j|eNck1amnajvF$ zeN7onXmEd^bnyy~E+knL40P-OzMRn7HiJq*V(XE1?Hl;x;yU9nr;tuAd8q`GPE9HC zSl?4T0*YUG)Vn zQbWnkD2bbF5szmR2?!t@uATBMKcGGD%cnW1@>M=S6I+u@CRWLe&Xt7iEV?>9w0?}G zBVTD=VNUEc=}La54oHUOJAm@L+f!ac&luaYu^~A;WgB|XceBS)!O*!hSbkc3;DkvZ zli*5TyS|X5&6Uq}{#PG_!|@tVVB}2WRV}}Hpmidw%zILh-St3rX^DT#A8>4M!K?E@UE+OtGA5dec#LwYJ z9f1@YDo~{Dohx()P%g5WOgeMrXVX5RIAhEc!B%CBao{!YW>P?YV?HN4(@-O?xo^p3 zjWeoz=sjB<+1n$pQsV2@LED*NqtriCp-^I7hnhqJa2N#R5aPH*DMDuFx|DoQ&VtTT z8UjHba9mK>w+4GD2A8lX)MV@z!JG@?-N!5X&Rdu0Dd=RR>Wb_%OA$JRn>oOfX~GV0 zfd>c#2BB$@E%GJ0CMW6~{z&;n_|U7Gy?=Llvdlu=JNn=PO7gT-Lh|!qgt;1>2zI#V zw|ig|kfeltSE4>Kv^0T8HmJ~z@{1~$`4z%-np9elEkf@)S($yBDZ!li8MlwYQ%j2aYB|;s_dNc|S_@v)&xAE1pTp@eC$s=`~lWl9V z4p!e4ey07WKt=hQEjSwdOqr)XujR|Ro%>s1yNqYA3#}whZ*iF{wE;T<+bh|{<-AY9 zr{}8!t&>7A(L)o}3vy9JT`4gOHGQ03m&umRF~{C@*`Mza@TmyYgh zO342z$JB|Et+6l@D~Iaz`Qc@Xf$iEj_z4&)VgTRaMuM0B1@HN}1@^^+z)ND9S+Owa zT?xoCNb#$K$gccZFuis#Sd-w$TTqb;Td}3fu)d#6$Y(jy6%7IXz zOH^@(NgXznYYc9n$bb_KujDqNJa;Es^&gmSb!3cxgw>y6h zy!eB2YfJXB*FdS++zjb4(2_s2rQy%xNK{78t53MS$}Vi{fw0Vwazn+Ax0PL+0!GgD z&)rw2!h(5GSzIEa0UEs+NabJ8Kw3$nfGt4=@9imPbPKFP%j2O0P(e#dM+sbKjnpAp zQBp)X&SY&XUVf%WMmGm-VgIE?vHskaj&H$quLFv%TKt~B9gR)sL1z0)mn4LKuVlPj zSzRh-wf+59{2+PxKdlo-Ub>6O$rhb#6--f<>S(;F z^L-TIftINOrTKow5#Yk=m{*Ji%3m=L;Kf)MN!hd+yBP+n|(p@EeEdkzzeT zgxKHx!ZY5r2Zd4DcBA=5@6cB-E^vQ07sI*9D=tabT>Ei(<6Jj*a39;jUE}i~i7Hhj zeBpPsyP=$B>7-z;x$hpQn)*DPSjk8`0^~osp%8RA68KZYdz;5v?;z7Qx*%i>rxFAZ z;Z#?D$Kvvrg@q#($LZZcQF-c1SoA`e!nZK@0EIiW&AxywN=}eoe%7mWy^5X>qEJV{ zQ#zs@OUR?%(BmX^DGzYx&NFK%jOJkX32}yA^?t%`d#t*j^^w+;d9_c(bvCwn`%56I zJEHF5PL=bMWBm`ap78p1-h;TLY|0Uwqpy{Fv0@H}xx4U^LWGRu#73M+&M~)Qx{w}l^)jAD+#r~bPAmM)UE{hcr>!=V*y&Gp%F!^snDH1{?hp| zB@$eQxKa}kQG_0OR7Lh~k(<^0ia6z$$M;PolLJJ0}UJykn}V)#3VJK*AX z=L#kYq6KR%AsTVYvaSjU4v*IW^Ohze8zl$3xU*wN5egSQ?JIdLZ(LQQe zr>LoW@}Y%C?bqMHmB-nj_9GPz(#7&iiUg^$fy(@pvJFV=ZkfpvGls)s0m+=Ez4xnk z9&xYb@EZvQAR*h3mDiB)m>%0 zl-M@2kfc7fkz2x_Yi$75`fL8O7pB;=7qnAf|dn?7EOF{0R5 z2GWR#%h7~8oEUxqElS(yg{gefyPoBB`K13B6}N98)y#{6xuU>RX=5t6mxZ%f#fv+( zOU1nJN_QM1-=uqbNIT9!;yA2nIoe`CsRyPHGDi(I>!X`#{qd)oR6nGNS5%Hm|R0ha{Xgu_4;3a5to> z(SROg?>6f5F(VFMfCf^QUU>0bG25KAD(}R^nM0z|kRA7nHq0Kbk1w2J-pxFl>RB<+ zY(@CIFYgKu6b6V^bhjNY{4SWdtHbCtdyb7&X2`LMd+VsD1@ia)6#5M~e#l0BcPG|q zDk+IiE^wYvk*1dS#;~c#GqEb*LDZrd%n;u0Z$*s>10o?WI8qWnx1H-Xb|mM$?}YfX3Ceoux}xv%i3Z5E zJfT(F$haBXV7;F?PxHu<%R)aF)EB0o7G5<0h!WxXD2R6iBm<3*Q}@S#>1uZWnmlR- zFvG`dW@2<9voby{>LX&u2VwEQdYNf^!t_=4B>=%a=#A$cI@$L@yZpj$}0z5E2V~9nfcX;2eU>GR%QQbEheh% z*DjJQM6w}f)4&0kL_Q>v1Aj36^}(c$+H=@K0_ur9N8}v021@iCuOSiG{$b$VmIf>( za0;TO;3Wsy(Scp&an@x$oeXZ33BHg=Z{f2#InOZ7_t;D>+J*A|caj3vj^^wt@nN`= zL0|-20mnnL}%A_!s~*G(WlFMTkB)V2KSQe-WE z_&ohv@mFkVQ)8)KDRD>}@5S*j;Ao8*NqjQHLo^%jDtd8sbhF;PlGllrUQj~$GsIxu zNW9P8IJl22sJGV%+aWtwy9s7DxVZhLUA3T&m$k;?1)bbvflT3AFE-=>@@%?T4c-Sr z!hS6(QRlOy+SP0Bt~73+5r0oCOT4nlBJfF#*i>0j@?O>ufyMTkTPuw7aLf@IkMaeZ zB{zTj74)hk_J+Sm>Ux&@&O2fwGDj2ZDBgB(IP|$LQF^k=Jqo13)FOIJ8Ag0&RJF*#2vkv`sG+WV4A zkY`rqi+r3umvj&l&KU@+E#FYypaXYZLx8b1{ImXDPYNN2Z~mc*FSjjKJ<&KZfc9QI zwJTl4T=e94U9Rh=q)uPiB3V8~FM(tiwbyfk28a>>pU;&KP}kOT3iFGmg=$~@MvL7H z(&zzMoc#@YQ%vg>5H%^?b-wMpzC_v3#U2i>{X;tpBj@bSP-@>_{QJ029nS--uX^l; zxA9OiV{{nZcHy^FvIN4ZF3%nx-@5tO?Yt1f2|Za_7#^?r*6{*1Qi>xvU}0=5HQJH*a53Nef%0Jb5I@UHUj2^5pvg zzE&%C0jF3|YMfbxgs0E6qblFKePMl1g1HkD9CP)2JhUxZZTEax-R*ZSHb+A=3e!*h z;yevA?#ckW$Y*wjzV?S^c162S z$t&1`x`zv1 z2NvpZXRLX=CLxP+$^hGTi&@5^w1-U5FVegbz4Ql1zt8~Sk$!_Ip;2PVC$H<}=*57+ zR~3-*sOpaH(_6*@iHI5~xPh8>5F#JGi zr_Xb6+KL{v{KEz`3F3Sp84T{h*lGr%&wnY`HkYk~PC-vC*m7n+;Fofww^B;GkR=JM zDRh$*{8OWXe2^6$^^M0mPpu}Woh31rzJ!jt+|TRzsT>$@g0bae2i;-LQL`URD21SKIJP zu~RU329SY;&R#L>%9S?0VgTqk?-Tyvl&oVB$EAGc!AI8U7Ab=%r}3DyCY{kOq^4;X zHK;ASHpW^JzB|WHE7TRAvoVauBzfD$@p?DPDJ=Nu@H`WZ?U!A(KhPpBuogJU{K$&y zQw`fZ{5su4#{HM&jZ4S$JH5h|$V->p@n4+97ZB63-?StyANw}BTr0g?;w#qmH75J; zd&Ev2Xa=sag>^d$&mI?w?e2&N*h$L$WCVavT*kSIN2ipH{vkx0?F9MTR&*0%Fedbr zsFV8&sJQl>6{wh=&}?3g*OqCw59-hA`N_Ld5RfVnakxod(FG2d+uUu0v$Eb9!|jTH zPw|UBGlMSAustetLf}=J3t4%u`0n1l^hmY`U0T-!!stLFU(4E|b9)eHILaE?MYY2=a&-hjG&UQbjKasHG`-mr9T*_BzKXb_op^Bx`XyC_3_q*#emPhS@+S}_ov

gV!msL3?TOrVDQBV@S)!T#_v6fZ0N^#o4zh`hlfp|LL<{{C0Q*iHu?YhkK6`UlTdBm%s4#yA|t~8^wA4IID7|WS^sPURQZVlIR1k zufXXJmR7(0ZgQ8ptdz;$TB7#bKO(>9{ih`}{Jx9SeN;CFJBzdmrrKr1U!aK=lDltW z?N7P7P(C+hla#U<+v&cA&pe3=Xtn#CTN-syXz{f^iTH@Yo7A{~Q3APL#84rqkF&rD?yr(JoHx7< zfQ#=M+;lsMrVFF{3Tx#-+h}4GRhAs}eV552;@fAz8XeSdJVWfY$R8$eN4o;U%G>2{ zPkF(g`nP)<1@8a-ui*OaDBenQYx}yYz<)nm^YfgB;y#Cf(X;R)1`>5+aL7FcM&G0! zFWpvbDMW3I_#94Uz7*lQFC-AfpIcXmNyd>&7OB;8bO#P!XGl?@nj4kmk716j^w1`|6+Xbf8tyS?gQ5fPx1^g zYdb$`&pA`)vAUab3$96HYBjr1yO_r=k_yHXEGx&XmWPmH`=a#j6XyaHepjM;Bp~n}C9AJWG^JEXuJl4QGfp1)u=oZj|zY`oGEEMc1ld zVS%r3ni(#5OfF-2HA2{Xq_p;@62Nh*9AFre%2{FF<6g9C!=>F|<*`^iJM&j+$@3ms zg>3n3vO(A}*-CEqX)2QUs@y7b_K>J~+rVk)t|qlDNd0SpT#u$uvuH~2sw_E;*91)N zy&fiazN}KK+F$iefzXNCck>zxnzf3m{Ftlqibo`c(zk?PLsmQZbUi8$TA6D9z#h$@ zF|PU3Nr9L%8;Rj55m|~NE8YTq0XK>nz0jx+Ua9xGLOx0d{vBb6I#my5*_9Gw@vllT znoBof}?v%&<*)3&>msx`@N@}? zco4~W|7Q0EI7cb)2sz(MjQBTBn1|Q2odyZyd$B0373ytiqQ(~mEA@#av~B07(>q3N~|4 zznb3#Jc>^Ql|*%S%k`W*;fEC6)X4Tv z_Ji)06?rqfiM{l$FN^#vkHeR;&WB9Vntd^URSYfi0dl{KlT3SK3G~m+qfdGjJMh#K zIWiJ3=77XP7Th4E*2o8|O}@F6r3?(dDM3~|o?$cCei<6Wx5d10nVr(Cc?X`oqo-r6 zFIll1rjL3VWmp`2m6z-#jXoSB=1MK(!2VGPHaXEkHvDN{~0`}eOy8lNqX!srn0=7pX86L(Xw zb{{)7?`4TE@e~4?Qi=P=PxT1Q0Au&v?NqCBv!C4PBz?Wv$=tkcC1?<@{7 z?+-zPLn8E&tOKGZRsQeFRzy3;LrMWieY9u!86pp%S5w)3QL12q&0Q*5Wx?-b zxxhm~zLxAZ1Ue#vUbBrJU^81miDoY{f;8ymejHM0zZkT98p{b%LGH_gEiaFZ{R7bvB{+bJ4I;kNB6pgzOyYVkI4wxr zZ721}kwqKGbY3y@y@hK@66SKENiy1#fCT9`kY@;ut>GI3&FA#tiJD*bG~20k(BcKh z`DE3U;;Oqs0I!!Shj=dV7toSEwSvdmKdTH!2pSMBe^sXSpCOMebh@k^01pfB4|9i{ zb?T25h7=3k9r_+vFrc$5{|E4U*VHy(8x5mtbPLL86p;}lr9&E}4HzwKfLMt7RS5%76sNS( zA5bw zK!4$X7hK*TH5bWeq>{XG3gQbee(jGFwvfXlBR&96YufpCcM)5F|*f3>|iYkS|R^zB^ zP&COssV87(Fwo%&I9h}ZCN;kWQ)7wXR z#qQ_4=1|_S-*Vo)rwp(MIUhb!KCy>5!=EW5>`~6x{*fK_SI)#FWr{t`nfXSUW&g+d z{(~~dp64tqQkK}uoRy!HRrVU^*Kf)?`wwShld{GB%h}$c{A2HO_8<-UI0n4_mhi1b zNd+!GOLA^`10jzT3HNk4P~>R~SG^d3b$0-i#YbdJjY$f~*tvswALRqrx^N#C&lJOS zgAq40Di>z)YIM-LZ)g;4B!7Y~`7&eqwpcs8v+Ln6FjTeTI<{>1L{bBMab!0ptaEDdhRVdfMV6Wc z)l+zJ2+`~!O6DF(|ImMhDZo(;iXi;klqy`2tAS1Z%CAoD{1@dIW^as~T&p z5mgBYFA3|=iww^rssKq3t`IOYX93A1WCF3Z;5%$&PJH)2sv9pAPD)sihVl!{pJC(M z7K$db$Ga+Wx3Z_h-)89Df~~CQVQ-+`txNt-5Matb;@Q5HRs;|?;I{O>A_3(N*PR(N zo9z9kLSguFUoOAbk|f*&f}!f*H805ubHTL=1++!w3vwjT6`***WZCxM9%8}b;I8K+ z%D@4dUuyym{&U@`&XWviu1N4te-?EOQ~Ynrvry3YNYaQM=uq?4}PiHJ<`?G6}6Dv}wH?8|;`vS(l>P z>egMTSRB)8yO$A*Ie23?BMGi14ZPs<2hP1X12EX+jLR$2WxUAnWNzd^nQP7%M*7;e zYC!_&rg15E39?31FajxOcJ%K}F{g2qSyKVeIBjbD+bDq+1!~7!gd2v$d^SrDf%X{C z&C%$+n=9kfH^s7Vp3mKUZWYNZN8H$)gYB%`ocN23YKJvUVMcGZeU*VRqYm5_L)JFK zWlQEdyUKES@#TegOGQb@(@}m50v(IdjWT08O}h!)@7U1q&oxo9NvcX2$?KIp0|S)0 zL@JaVcMB3n^8^$4eynM}%Kuo`IAYe-6J$lwEa$QGg6UL$z|{ktZ>l}H+ekoSk2-4U+Lr-e^3tCo@+RVN%?Q_BS7x2RCdFOQXqd5kt77dEH@3U zt-O3*(yZi5+HBB!Ef(*nOWxbzQ&jjMC ziC?8y9W7cq{W_fBZq24c)>fIJzDkYnmtxh0ds&Ny_c16(c;ll@p&X!aDfPY?X}Dm%@`X zm(NMD&lGs^XRiI&Gnzbdy~a1EP42mm0RM5T|MY}Byh30Dj`$Imt)=>i>)FLCi2OU)d4RomkOK-72z;e}9$DP_d(gNlDs}_DR%7k$H(5F7-<)lDDfSM;ljnor^Ye*BI9VcrwGB)LselvO$6)aoLdy#V93Bo4`HJL zXGE9R$%w?w9En~%^nY8KViLytyNTn8QbzF+B=aXHjl$6ne?|{i?aV&{=j&jBwZb*Y zhCCRbm;Vd|)W3m~&daY!#X-jy9vBG2qN9vmm!lJ;xcPFrBLpOZZHwK7le;U2Q;>Ds zjj}zh6MV+VxJ9E#>A(YyQDn?ZL+Kjy6M6&|V*~engMXtQnK!H_S>Q14LsP^@VW_(# zJcz8v>AH?9)tH+qK@NS`9)AGv{l^DdNB>bq&p$Um&P0}U&M$>CHab;__8p-WQFG=& z13NtNA5KbF&hbTiouinCQGOnDOO$^y9!jGee$p&6OV%w4e5N;=SR`{cvWMs`WAhXy zTP!Ya%}@O={I)p4H?qKbo$l8#znrj%q?PWtwwbC`G<(a1FH?MgeA)i=S3r7Uw0C4{ zh%9e)50oRjzJ+9P)^i+@m1;ov?zvXhYvO18fW%Lr5oP|5o2oeVInyDu;K9*s63%Y^ zSLBglsaX+%UM@E^DR^e1V+M@JJ_9T@*REV7#OiQIbi7n*`<~VhF1A|Qo=B5MK2N|0 z?%t??2C9WVEnB`mg}50Xg%CGW`SerevrJ^Bj|XUbiJNgrnt+rogDQcS?_Ks0({o?e z5P9$#*uzPe=D7Ii%4Xy7``DHKvMhtD9K9X^!~hj0p)YB($-*)P_js=iZV!(9flHcp zQRe32;_q@9%9r|YgZ{@#1rDz`$bu&)(ncOH0zvY8H3(L!UF7>GD>+BfvdrAS@|MMt z^yg->8N|xQP_N*Z_b{(DO$n@);;YOKncnXH+XacLG!$3#x^(pp>B$+bcJM6t zbHAAbm{ZR@ zv-zRk-Rs!TT1?sx^j6yY5!u1KL^|nS8qAx%(Fv*#H|2~w*>VMgoVh!P)L_Gc84NCt zE~YmgW3&M_W$|(p@d>1CHkZU(L?%VBT;9BAv(r4e9>&%&YBoTQP_hq%q*-f{QTjhNH(Q%O$=&G+bgSDBy_XA?2|J*aZ zrvPR4QF)+Lo7W0?Ht#+bw68kUnX(=Z5p9Q-<9gWcFZjouvG6cQeV%6&8Aqr5VD z0YqszYqlgmvFq*oT~ogohs5W!fXsVDs-gUhpbq7H>MpbyySlapQnUi{i@GN7TP^7V|eagd)fI*dG` z=B_ApTVM7-(fj6%k>In&R;a?&`!$&4TWDaepa_|*u*tv7eWe}*%1 zbS=g1{X@U)pt9bOt%xrI(_&ZX`$JlN?)4w02VWV|nLJWjaJogL$ISP=jDE4nMvM@A zC(@}=5IFjD?sZHT0`)Vyadhuz?YHJm_{umJJaDs?KQ(owmeD)}Uv%B0E64%o>C6b4 z0w=`*MO*8btoEb0H z2&i;yNECer-PY;p;bE_i)Tc)jiL{Rel3ml9&@RWK09S~ZrW)CPJfHj1OH@qy)5QES z_*{!XUIl7sR@$N;7IS`GVN;&CG9fmZKPJ(jnS1yaLDT?v9K{^?ay^e>tx1D5HaMzV zTF-v>5d5p>cLSmdCZo5x>y`DhYeOmce>e^s=z)tFCTZYBf!+#ttsX zAgKnur|<}P%VY3yLR~JhE7j=~FYh=%Glc6OU-KATv<_hHP#NkYe_4aX{&tnXSN*31 zSH5=Vj;y?w6gL;$`&+Bi+zy`(u}mgw4Yl72b2a7zzK9M^tq=~UOJGNL-iFe^oHl;W z(pC!wS_sPz)+cuS!e=Cx>qBAHa+L)c4y6$hDGsepx!tv}>!<2(_uTz36@jKXM9$TE z#D$7h%!>TVV8o@&hZgaBydnb)51+!eKhB7Cegyofj;cqn;M_tBzQRcHSttMt)*2ip zZXi}SXIPC9aBCMjy;gH+IT);0)wCtg&gYMfBtbJ}V@b%_vM*Gx;9m~&6YGe~@9?ib znC3HpW-v@%mFc4w|5gw`{ylebBPt(GS9{#W^NxY2@8!eQJC>IHIorAf{daR=WyYMD zbMMnm;R3`Q;j(JWUtxAtAC6GtO{&Fl$Na7)UffE9ryXyF3aQU0KL9~WnHCymC%A;R zWqMLk)rSgKgv7t+G2fM?^Tt(4yZA25Sh|}t)kDVS>I4tmV1DX)&Xv0x^l|EG`#~PH zt6~hrj|o=m)5F8@&%qcQ_=nk$r~KEgoNUr^gR1bQ(%Hsc)*Bk!ES*oH*QK}pO61QM zF5DVRTtYMqPXJj!X2h5kp)0dK(;)PV!nK-2dPbU&dcAh4?BH*kBN+(D??mBn!Iyc< zh^T<1DfpqNV=IA7g@G`a*K63`=8be{+>4P(PQTSS>we>P`rc6z zNJaa1eh-8PUk)*gJo!aBaMm$o7Nsy_9<(5RdE++fOY-bg@wLDuliA-Z%X2JOVN75~ z_Wrg@V9=BiR`q>T@RZ3!z&fD6b=mKxG^4~=J}^%7L{bpoYxth%wkuP0A3^v0-D?CU zfYM4+kU=J0d@%@cpRUJgO$@niIV)jBJGPw#vuWJG#XH>!I`m+IL2RK9-lLUSW0|?0 ztM?wVhleCc4KBLGZq%E6;|;~v{``eJcP9vQKJ>~l&^~6v!&eC;rlbC@V)Gee2d2(4 zN6L&3JL3tOXZ7n@^PhRV`WGs z?MZk>;1u=*nc9FtHL{s;ZTyacHA2QQxk zu16C+*23I=N%>pNR{6tUUG}${Zt`0u|FYV@A@8s9Yt7Fr_62p8am$a@pW??q^XOPM zsS|Cpx(v>zV76lyoIjC|-p}eVPrp8E=1XurpgDOdeyq(`=TyCGu+VhxxjEkH(*$(5 z?3uDvXu+31rI~wufn>EZgfKjSaXVw1%L|cYtD7gpC0jA}>rx2+w$)(YqJn%^ha({0 z6%^Jq>uBep7d$kEL_Y%Kq7>@-OX&s~&B2w;h8&m@^ZQcPGJ~8bLj^ORY|KrSeLog5 zlTFY2Vtb1KA4tUJ2E`AN>0PU#(jL#>!4qje8-)s30~P^;lEe;&l=$zEtlizR!(O$& z?_MasE5+hwi2AU`fApb)XQQPG@j|8VnzP@(3YD;nw*JF_f3EPpcaxh+)8O7r{8#Nb zKqh#(H^*Q%Ml9}?s8;|_xz1@kxKf9Cr$s3W8S&;jU8Gi(8VUJ%s~ZG+CEBsTI-UY1 z=8`x0u6BC@@cYJ$0+^5{sb@?Vd?EWP<>RcbnS_IQz*lc3iD|K=<7`u>&Th35YChX>s9 zOb)uPyE`oWIj%}Iw61%+efr!mfNv|BlH;L=GLG}~d5UA2orBj89zI$l0*3NYdZe(8 zVwYGno0;R;F9_AGnOcb_ipVG!_?y?B?sYg~k(V|(+}to(I0}l8%Yv(`F{c87@bQeM zb*~@!fVWOd+6Cpc>0d^rF^WXyXI2@4sMfU7boNcr_n7aP#=WZ!>n7W;eU$!0P^bDC zM13bHTN)(Joi1g3*+AnHN>#-75ZkAE(v>_dwv`TF`|{b#il}56H>3PKei)@h#*Cbf zMVu4Q8^8;@Z6^lL+v772{`UOeGzJ!|v!0Mvl>f#4WpoiW-F-mC+O)IDD}!g`lVe@v z)+-w5Ri1%3Mvay|Rn!$Z?&dmoNq>%Ue)!rP-ywUg#jKZAt!F)*xlg#++tAFvP*Fn6 zxkDgffa96{al}#C(^Xzsn;`H%VG_NT6E2yynj(B>>ZPah5Z0jd!Ws(QGh#NC(j-UM zKJ_Wz`co&?zGE0!s9z2%CZishx3|C&d}rPXYyC+#O#}7wegGrlbJ8mGx3!F4OJgm( z{vlp~w*-oKq*5iT+CwL;=4P~R90#Vlcf(r6z`k#D<#+Z6_x2jtALfpPW@bTG^^vMS zruzTO+d&6pZ1h5&X&trIQ_XRleys)zjYSV>!_2N;aOg9U0?SP08`dojfx&J=9)I=6 z6p)q8f>Yy0HyzTbpL3C6Dn|>&24IklW27hF-#Yy-aCrM)G5@@!g}++-IwODOA{74u zv3CF==@E~jOJaY;`0kkrj=kI-?vDD4UMq6Pjl0Xm8d@~fy6e~fl_xJ-h9>>6ZP z);jz^_yTz?J8u5&9o?z;jpxntxdT34jzaay!2{E#YA&cx<}{Z?#FvXV(2UPv^eHqo z!{}LyWBlbnQwTl(rfn}i!Jm8{N#tu&CDml8K_Pcg0#PF?m$h!(+sn>GvAwg}q6-T< zW$@PG&988l^8-hAM+`r-_%W`OrJgxX7!xp1&WT>Q&tDP!0a@};xXSLClZ~(!t?KE` zq9Hf?r`$o2BWky&6JrJ8y}`ya({X-+m{@zaSHBRm5pLMk{o2sWNi9}9XMQ35}aZz%l}dd0|m^R&|4XU0NEBQP_O7?-cnX8a&Wz~X#F!O45$>l0O$C|E)jNu3OV$dV`gLv1&)kc)zZ%$lK ziU~Sv+@5{Se|Q%U(9BA;erj5Q#;G$CNs6*X2;G$LCBTy6dpf_YHpF9Z^I`Gxt9A^m zySv>!`&^o6RlZcBK<4HD%886xkJ1h|>d5M&Z8ITfzT@bqmcQaqLW#}T)y4Gv><%rG z?sB-RgJ#1WsLYk3b^)Fz z{`nyyd>wXwHPKs(@d05YVGLs#X=|NRN2?oy)*9y2+Uu(aJk_dRIP<#5xWOKcCqHv8 z67cj}wOoj3*W^z=C+dB9SV|i+^;pJhM=ymqwMz9~dZk;W=DoBU`<{l1^lh?y!8^*T&WbzxlFTUyG3R!pK8s7oZHtok zk!it6cGh9E${wi4B6ya9(Z&zkD>g zQ?gl%)+NrE*7^X~(fp|SKt|ps-7&l}Q?~-)6g&tg-b8bG@vbxBjQ1tG^PYG2zP=o# zDGH%1i+?}+yx$4k$2@i#MvEA6dk#K&*B(lJH0Y(cVs+c!*pZ8h=iA;q!ck?GZeLmq z7~y%;(I&RhBl!vO5d2h!$~BYjT7m%uO?Gmi@Gl0Xz+{{zP?1W0xVS{$+{qTe%4y@Bs0K5!@^h|f|>w;z8jl{x(KU(%Uhxa{GW!_ z5bucr?77&!VwlGL!e2Qv9jsO%>-yO}s4(X{q%zCZ+{!APgEsX-TqMHswvg5~hZDFz zu^9!4uB?**8Cq8SZeh@`Rse+OOyurJn;cqVW)d}Ntv##p`Yf^hV;jfp=UO2KCi>do z3T@*ZPbHGr!@cXZ^8w(AuxT)MjeUwY&yyj2n*X_T-U;P{uD4>GLy zR!=Kpy2S>Sa}C5NyrBkzWTp?@uE?Evx4IAsU+y%BuR@|d@e6Rp>+4(eZTyn4p5GkEQ(oufPtlSfx_ z?v@>|hvaG=8jtG`k(%U9_*i-bmBWIatDTFp()>@FUK5T1xIZfmOT(7ue0Z+HcTdha zLzlyBWVN34;|We@m^k|-ExRI;Iwn0MOYNcEMN%ILdd5(T#NrXIo5FrLN6c78E;SpZ zt#8{Cu#7==Xw}-EP;72{NdOGS(X?*pK1|GT{C-#UlUcP6i({!h%59{N zugq5Nqgzdxzzn}o-A`bn4!=K61-la-fNAq1bfGB-O~8A-BaF6SMPiMO_t0waT1Bhy z)$$&lE?p>kQ>eS#mekwb)tax>rQ9_PAsO}R%6T>aZQ=5MrrG9;%xtyGcL?>)ctC9L zH3?g}2U!x=v0^o5x;C%%j)y80$TMmGe6QN#i+h34k?qGF-3(j)jJLA?4Idwu68zG+ zQFOt4_xeMdkZXYQ_jboys%A{*o9|K(q2)A9E8C>d5GXrZboJb!78I%C7|s^&J;g|6 z9{gUcO?I!cvC!#Z;Av?K4Y`MI5LQUt~uM7wTSI^_hAAREjh z|CvsK?8x&GW=d`HG5b*oTyFPi;)%G37dBUEAy4jFMR}Nk?`PF|;w5>=jB-hqmByT8 z)SdnRg6QTL@x^+D4TyJf2GM~KW(1>8WLaCFunxP{PD)|gQE~7J@;x(>@N^5-Msd=b zs&IKif_D$iafy@nSH|IxMb@}DT6hs$)>G`hI%1y&w_->Htr82S&*rD+xrp&0{G_!J za1V0rA44VBb#HJ|cJbc7!DgtcMeT)2Yj`V^()y`Yx{cnpTi({#7Q$$`4SsB^l(Qo8 z(5(<5zjYdhwA!g9y$u1j2U$2bjreAfe*wx#Mewp2VMsZ{bgtj3=#O6x7urPFIQ zR+i^oS{}jpZeG*Uq^1xtmx<~AHj}Gr`-am>mh5o2c$Cq^p#&z;pgnNoLXJxPMD1t#*4BE!8T-(kT0#7!=FJ zeUi&g%OLRdkxI+iQOiePjrnQykfn^y)?D5i7xd_(OY+{YBC418i{0~4xt7YRCMycE zzG4`V&+&uUSe6DY@-RqFI<04k)uKj9RrQou!w@1J`!R3^5u`1&z>BX3gx-T{Eo^X~ zEy$7`7SwM5nydGog^Zmo7`eYH|1?nM1Af5<|o&vnZ=dkhbXTt zf=oscs>wu)%GR`nEaw)u2Q{>mZ1{*zo(9A1`W)VCTf&G1#O%Zn2h?<7!EfZ_NdXmk&aSr!8|#ak8i#s3~QgiN1J|dWrFU znPKyd6JW#xK3G$;d51UT?LK2cmUgW&MHj3O*G}l;7IRD|bRFy7n`TY?-Phxbwm#?ecAanU+h4;i=KY^&!5NHR!9#TuNQvG^Vb038Uq{O7DkgS}% zkAjMl^0==m!*Scq%E`sUz}tZ~el~?+202?=+t?nlJ34GHcX$oR-5-K}J2aHV)4l$@^<`$N2B=0Wq0&^QMquSTQ%9dtVYp+oc z(CVc%1qM?60|JABMccx}+M}X*22Vk;aq$U>Ny(=N&H%_O$>kMg7cuD2LZ=2YB{m@~ zuriuI4zL~&qB3v-YF0My(n>o_ERBstT7rUuO2C(J;A+VdH!q-Wc=$5!MfW0G}VXLt+#J02c-bLtyYrWNI2wBN7peJNpX;51CE{F2LWxE5gd|-??$?X4v)n*KS=k~-yi8HWt`@;<_Y?K2s=DId?;Cne~{ zeZ1&i7r_Jn?r_5`JIwd~`5Q5(!k*r*VL#L%!D)#v(L9^e4MM0EJY1|P0*1T-M=R-y z4z7I0U4YxCz0e){Y%Jt$2-nlq{-|M3k=K>^C}of5)x~_?)A6o{SLnX~d{5B(FWOp{ zb=H*UF~bXegF}cw!XJO(X}%4oF7mX&&-Zx(*bxk({mIEFrQsj)``>P9LRyoI$$ibB zNim$t5-4?{6xWU2=a=AU^mm-UsTg1~#pAhOev+Q6%bQha$FIzW3EqYQuvh$N;Q(A# z`4J+$x)?wRa>2F-c)*&cKs9UT$vjuN{vrh#zg3fx5V1uXKi}E#f2|Hi65c*^lj7V-i%GEK5@pDel?BRq zc8qvHgoiB_ygnf)C5>N{6I3~0rTg_b} zP7v6VbDyJ z_=>P>v=~1(3U&E(1S7`onwN)w(itRhSiPQqztV&K8HPQeFYnZc5d#<~EDrD+n80D% zC+Y!yeM?j#)^`a_5W4^e%8+|1OL)?K^y^qLtRUboh%!bA$)3Pd{4Bk(Ib6fA774iU z9UHM)ey&xdXqJ{lRyrxE6Yh+GVC29yV{BuM{hgIzQMPhDJR z92~L;ZmaAAWk{!?ZKd!c+p5d4D>7-RcA`cq4U&_Al6QrvO?sPE+!TYF$@bH%hgcynE6_k|%k-x1A qM-TwfTeuCzM1bEZI|yEcBnkkhKxZWI|5wpv#Pf4t&E@pxR{sZ@>)??9 delta 44770 zcmW)mXIRqj+s40a1Vmi86)m}^$90~c>+Ik|+xbw9U7_tj7ytpFK&^sU93=nm z@&8Bl-z^1fKdT{cr-&(RTK|1-&6pK0LrD2??s*v=k4I{th_8_VcOPqa*KXesvLp;9 zVfjEXwWa@R+RdGii!XuBPzXRho7Af44-_NBKszeLKPWg;JnPUwS%w1jqSf;|AzqY8 zWoCX4sz$HqLLwrQBCH|77D(#_dtVh>R*gM{0QtSe!*|a~6x2{miZ7)OiHqA)Ewh^# ztMX#zGS`b%7m%W&Q;p=l9ED&ZAlDKI3Jaz8$LP)he%ix;Pbg5c>l5HL1nf{7%>X>g zTtnjSKM#us>YP8BY_heHqb#~!SSagLAMe+e`Ji~%EH*$^-QG{?RI zvVe38AobM6PyKt~?tv5PNMGMr!Pr;yT79G#nAM-GrvmI%%1SN;+`u-nn{ll9+_}#A zJc8J6oE~mB!HDM9HlU!tFp$zidp32TFm2Yui324sP~SPka!WBhyO)m5n9Xo~^Oc*A!4N{=fn8fGIA+zIxx99^QJSew{1Qxm?1>9% zyb_p^nciu4EVY#vs6l_iN?`aYmSkRat=#rXENhrVjWhgW;P9LMx9Rus4Y8G0g70bs zxaq=O=&U1#Ke3*%cHBl472v0G4IgGXk5RJh4XCj!wta@&{3m8@PuM$Yy(l@ApiMWD zv8w=n`jvP;$e}E<;e|DWND-TEvOzo8ay?iX-KS!DlE>aFysZ;9G(R1xZ*_q(t6ZzB zm23GC`g2*7=PeSILwd(0Iu<^r9<2povR=!}n-*)XyR6jnA<$V3=KY0L@v}woR?$|m zc#C-V>s;B{U1GXS-hk!^?DuG17iv@tTZMKpW+L)Lg(7jE@m%3DLj03mJu7B6%-<73 zYi=B!<;3MYh{$OBvlq!monCmWR@KDgGaucT8^~U3=u}k0l>Kao8!MtLf{w&C^(F|G z%t>(AyD-+d7{C@LqJ?I?SUh4#%(d*6?5>O*wc{#7#w@>>y{T>34p6U4Zr!BnTJQ4Z zvDf(%LR(a_v5YCCElP}sryw|R8dW%FSe3tmLp;N1(4-Bx9t41NO>HSIV>?W^v?+J| znMkS09xMwE$nJ}o2Q$QN%`_9_*&WqJ3mvI;kO3}KMP@1sSA*svBePT&@@4uK1{Sud zWZ@TR%%J=Xcx`6-h3BCc$G=yz7pK+?)MX@kOj3sFs>_+HXeR7hR~xfNw7B$LIbaYC zSN`1`rxsfpYv}x8W~6Ji+_f!15C!n5B@(535)kM&LKL0heExy8cy*05o!Fvb2Vf{w7pc)4d(V|nb zY~v283K<`bp*dZSlv#qmfm9;9%k0g;KsoK5$n#LGA#F=u08=;6RYrR!{yc^ZqKG7{ z|96zrrk1q~_aq5?cD8=D{}~B3dLXmkg}u7U{#9i9+3kxxr+qjyXyNcvxyuStHKi?V zqWgCR?kdy3TSeTdwWfkvpIPb()V_6)v|@>gkl}5%n}aj!Gc7Q z+J~DGf43im;Q0rm=U=RBB?&sp_Fav5ZPaO!L!a&`2BWxNM^g$^F<=EX*d8W@{;w9+kMnuuS{#zK3z5y=X?W; zuw-Iy+APbKCZ>sc#)E$!@Ad8Zek{z~>+S}Hy4(zr5h^=-mSWg&F!T*#F7#{H&*{k&edLhw<%p8VQklV|{W3QPS|O@^P?<~=$O(kv9j2G? zp7s#$5~EbprXAa?JVwoJ9U8rpE2h&{VK;2Ku<35$>J___r%M|&V$8C{I<4g!?AwRa1PhBHpmmrxdP}GTOAaesY2teZCH(fMw4gDj8MFc}Ba&tHG?9y<98j@-G zAJ9yCgNGQq9>z``Q7pxWNvR6BQjj9pe&ZoY>;4=f1nl+vT~3tp;ugtD?cx?mo0h78 ztb`)2!Xp5XI zTxWuTy$1PI+mJIMvk|?3{&_E}upJ@svvU#M{VQ{RXl6R)Z_D^VEh-S_?iuABz@63_ zw*H|4zJ1}bR!$bx;!Ab~XTFz2zc@U3p#&NXX+i+!f|`iZ29`$OdGp7D^Tx*vem{|Y z!~gwwU)r60UdP9MYcWpSBB6g?jE#v}APm!3_yEH)OVZ^@iF4CPF2oIu=J>!ND2d8( z9@H$nvO_vdrHz6Mf*dOsydahJll;Bt<7hDaITu5EM#CtaukYaCRiDpa^E&DgpE}?Y z3gwX(*{DJ^V>`hl2@0@kx)w#-ADAxQsu8h&0~xy}EIeu_2302ZicR%nuHH^~qVSsx ze^Q_dPFwvJGZ$t!-ok?$MJK0u8-jBTL`T*3_VM>a+kCHdNGkX<J9SK-p_PpBs^r;?4T7g_0WKij-lCs$;WebN$n>0ONU$U1GrFsB5B<#TI zP+&=6-CdOG-cO%$E{l3%dVA2r-{gX_-)Uemz46hX4iX&DzKUTw_WI0J2}}lKEk`hrd3Yfn*Oa zp8&Y9PK{w-(~3#26wi0@GoHgUUnBbrova<4Ag?GNOyN#Y0Rf6td56gEa2*hfk0YDj z6;9(J(eIL>?w#|=(+I`UR4)ANyD%%tnHTTs5So*-HbUrWk$6(f1rd2o{nw}71;e$& zr%o@B{PKJb=fRU+o{mmW$sgi15UBsr#kJqL5KoDMtR0yJetNi^utyY2HOc_1H;f!P zd6PPcT1FQMT6EZMM zhj{)b3c=b)c>QX*?EGb?&~6)o5+n{2p4!YAsQMgwf2iB8VX2cKK5JsVD>FS?bUZ)I zffSzc!MRyFb$q0-Ns0R`V!u=7dAuQ&*l?nS&r(V9CV~+)r+5M5nKRI_)FC3fJJau& zb9um=*I|oHp|~7BvgwgGRH0 zc7gdfd@aA|M^9N4j^DIidnHNmDj{1?yNu@97jhk|*T2Uuf<;PVegt34h1O`+j)!V| zlbHkw(drT3$TgkgDDDfmy#bG&T&)+07<*KK?EBG&Tv}hsm}(N=LD2V0sF5$(gLXbw z4ste>s3PmAoNGv_=*1#lxc-!kkw~0sCpY*^oBAGyP9|oyD}JkZ)=3!;p=k$;a~!e) zl<_j{eorl%7J^oY7idJ#vs}HR-h_<;i;lNyf7WXwLZj9jSdhkX?4Irh*x!z??{!%Y z{nG3qb1eHTZJS0nLu!Z<43%*nN_b!|nNOj{mX=(zu)~(TtI9Jbs_d+XHrm&ALs*lg z`hocpIEvzqb7;aX-K-{hu)7;@5V~uiYt2FdM?xtEDafLGY8%Mz#>Xf-%)xoG=Kerb z(#b%Y!N$P&ewp)hhRb2_g<<647S(H+#!R{e=^nGGc9w=T_$~45FhkUo*>`F6(losa ztx+(|SXvZJ2=E6U-JNe^3XkyUg$n-TVI^lG!c6|Ffk?E&A*{sDYZ+ogr_ERIe&}|E zWFC|_kPF{ZbkJG^*FXw~z=e)m2N!x+KPVcjr7BhnVfM}#_^`N5Fuh92+~}Zvlx)h_ zAd`>R5=$hCkol&CPKk%ZoK~!bkyp-V3pXujTi`9qA)n6Db^WCG=5)Q3$kmYiL9e(@ z9v576Cs>=`*4$Ua{7*rKAFpF4`D-|5D}FDSIc!Wp$(AneB=@`!0cbmeEHYej62ala_Lym2!h~>By`bBSA;C{Fgx;&|Z>gQFc(W{-_*H z1$0X|l#QCSVXjfe>vr~#3 zngar_VU>2rw?*l4)hZf0XDk5KR|~d>C||7K}JQ zwCB&I8`0`%u`1Q1ZAq6hXknjI`Y{07>xU~F*;`@USH0!!mY|#JU{b94>BA+F?Q>Vy zVFCM9B}u+u^Ulf2=&18%2~VTCbY`!w&!@DXW-i2?>z3pjD!1$LlBS>*sM|r#ej`U1 zJ7#3|kN4H&#k1@b4TA62GLoY^a}VkNho$q(UYKR?z^D}Y?D5;2Lh|?71)L(n?_y!< zgQQ9WZ{$v!sK{MdFz=rECG(gGxBkETBZm$-P8F1&R@i5qL@PabIBuAis!WY9&3q*_ zRz;S20}QCR7EyLp?4d<^cYUnAdH4KiVamsNc9gY7l##IF!2A7|@5VnZcz*(wZ!ll+ zex)4?kI6W66n!bs<;K~h;*6-F>&S@Hv_RMo$KljO>YY{z$upqoaGFk--muOA$mUj( zJ-+UROc)~`y>j5c`_aRgle zCzGV*vqXxFbMja83E|fdC1~x54{0|1=$w1m>je6>jLbnI*b;N1KvUUt{6=Nq!AnKu z{;Mg6jy)i%q5yEukbNq!PrJL^;R5U9<}*g+to^LZ+0fp^bk)dV&t0o%%SR>4}WFvIqB6k*%(Si_m!wISeh!tpyGI9y_;hm2o)+t!J6POSFGa zPM+J$89-liBV{yyW4(T;T#H)4$zm=)kgDW5NJw-{=PZi9I`fghIvM~xmqUvpBHx~q z6lctq1HS%*&&c_G=Vk*Ru39KUN2?Jtp)*{1rIYymLChp$UnS)LDp<_USrFT8N%k42 zbe0l>9_*Xb;!2kTSX&YMl29xqo)Ke*>2{el4V#>jM7!B{1b+h!WUj)~Vc4GP zo3mRxUEiX{9`PlC+`0QAapmjz*Nruq>GKfAdRTsEgZyT%llkl21r46!LKo38TsIdX zIlm#D=LX4ahYsW1k<1P#LCBce=aZQauJ*E93Uc(p>17RK!6h2X-z!_ANPix!2|xdV zBhI0SIhWkT+*5eownU+v{8lT{DwhB#LyBgu%D{@IugbxSX0H&R21sTpcPc9GWur`+ zy2>PKnu(EZNpQ?-1wAg&H%n=VJ-PXL&h8g>qDuFJ*`ZQ#^n9dxYwTP|1o$;vHCNm3 zl8_hot$_fxljj}+N2)r4S@F!}EMuQ#_(`)$x1h<0xx5zI*=6bS9hkn)DOghJB=HKC{Pi6YgBpk)=zS~)_nXsJ=4e2nb@)DS;&o%7T8cJt{(>1v@%V-0_s89`wb?=C%kpQ@4y%=fdNB~;jZIvY zVy(`VGi0O>;^}4R3!x{g^Jjp8IvZl)Z zE$sny155nHZlr=xO51#}mW$YJ*%6nV#IwJSToinRsRa-9Zsz%r&)+oc-*S1C5yf%SVTR+SYPP%MnDOk0aqMVYTR6Jq zP~ZyJ+R5qoNsbS+kej8;wg`jmq=j4cpUO|K%N)OYkGlvG8OVyA3-Kv@Zw%~6rqzEN^aHp&C#PZ+Wjjtfz;Ve<6jJ^%9R##$S7uqjwN>3# zN%lv{(6@8a_|~#bcjHyqjjfKl9qwKOKoa80yoGOYejBHkvsB2_UE8(^-#ONzMQyd( zb`1-!Z=G3~P-r}5)!~L@f#twg>E?%j(>AxT_Z{c2Y`7z+3)HV^zU+RN&vR{Hc0^qD zNs;)JpQA|?xo~VX&jb08g*)*O#xN+m9v z1kn$#mW^Y`SP*LZtk;wVia{FV?zu(F??~^nAJCa z>kCDc<~P^ry;PJv>*186=+b%1_qJLQ&Z2= zI|3=8YFJ{9E~s?6Q_W~g3tJw8*C~fu5w}}&aD7$bv4tFyEUJ}Q67f6 zJ{77pMYtIJdAk$oEtgR^m9K5Z@u8rf@>M0dZ z*0%|%&3(tg&0|WUj1D2Nk=bt&yvkm{?(AC;^u09$`>g84QV9rz6fy`-%j{FJXSOaP zaCKHzNvqiF^G=M5j=gEABXKGC1Zie8Oro)${U!2uWXCFdWs4w)BgHlSQGepz_qm}) z!u|M+-l~R#WTpPMC*^JjuImTQH?n@Na413onQic?m@ThRd5IaTa`{R=Z)dl{IgUAV z9R^yVu<^0d=H|;$?~J6Yt@POw>K4t*=am|j+q9LBtjgwAvY)G-q_|z&FtIyT_e#U4 zkSlwJP9PO|T@R4f{xf%?UT(DIx2&V$LB0OlgjRgFqS*1zqL_)c+*!A?h&VDlC8IsD z`u9jq|H!<~01k-l6VfnToX*Y72@*x-&S0m+nE~`A?BV$Nt;1*`Ru3Kz4NUROKE2&G zn+v=o7$n3!1+--?r8T@)`JV^YsF1j-=AB)+Z-e|;-?L&|zL#8;!0FFQrC|ck$S<=O zB2{dQ|07;YJeRXz`Wmgm#TGB3?3I`LW$$5+`7d{NOm0CcGD@v?ykfu2>`n6N0eALB zcAIxM{a9@NR_>zX{5eLUi=fyqH|_*&+GpLqD`{5IN{!vKX{gz&Um*_aLT|O}x+#6z zJ>seydq82Z&3^T~#5cWbrsKYtglP$kLisCA-A`&;Kah@#=@=*tP3-B>DmzaGv_JKt zPgHGv7)x6((akZPQZ#L}IDw8=2IWVMHv9g(td?@ePnBckTfx|uCU2b|@<@x6tw=3@ z)0#I+$W?5!eW1aX{Ywrhw*7<TXM zFL(2@tsroCuLZ=Sg0t^{-rn+Q=hpn&GvwrgVw|0vg`rSas$ehnlSjfnFc?4e1KaZL zo6Zost7J_>w=lxzMOP#yPw4}XGj*@9Dy|+x*jh=`gNYUp_UHZ6KI6Ob(EIbd&^!A& z5IPJg4)}hH!+iP_ha}TgQ|dh>+nZ})H;_V^=H9anN(^qc5e_h32bnpYgPikkhrkN& z4(+(X4)&Zm@fHn)JOV+vW&X=`WUS-84Sv%G@fs%Ri_-WEY+RUmSh&VNx1pQo<~=s5 zw+cK_oIhd5W-hBtL(!p}jPB06KliQJyrn0S3pZ@W2N-|lpIhCshXJ2X#)+CY|8AZ= zrO_?na(!eH*N^y`L2R($F|eF&LiLuZz2z4EmE1!~V)+A)_k!8tKjp$a-XE$4b@q2= z4W$+Jm7OWil62tnHr9#gM{-(KzAlnCzh)Y6%12bHB>bUoXYT5}d6Iy0+qC7D`-fZZ zKs6!TG;M>Pl$y$D$qN#o4yi=al8o z7Mh#!0eSN>;H!@FB@I1~Q8DFn^AYG@B22M5PGf>@o>Rgpd*-cB>=x)eW02ouGFBqG zRm_ktFH;Q9c6-R}4->q@*eD%R)v^4Zui!6u9gknuep4_f+th;pF+-VWy5D@{zWJHL zxi~vtzqj7;F8Llv54E-Ir6&*N>gCeWTgBMcS)9!>IJN@oG&Gg!z8?&+Xo=0gc9pWY zXN{zOmZST1#9OFhm0H-Ox`qFJH`2ezvyHQ;IU|?Vx4ennJ-PhKbdk}N7`njVVkbX7 z)+~BdyvJ;X_E$vWSGfE`p1Q1PXjZ}lsR!*GEGgP`U$g(?r{T821%pq?>YL;; z7G3XxkQoiZfiHG$*Y@Q=-P3B;o9EZxq0%=l-3o37Vqv zj&Oe7jYriwHBB_jxSjApI*G`EThIhph5n~E0be-4{T3J*l9}C{tbCgpHrC{Rv3VGF zkABY^Hoz1Gd}#0go8!S{+d(%?bL6y78H^^`QeTk%_Uq((ME{qqtWA@yE-?mZ<-=)t$N;hpBa|kMYT)1(j5dL6M`ZZg< z(TeXU=4YpU+52>cp88|^2-&}EMgmhL`IeP2woi&_P}c+q>5y*3!<)kk2{L8sw?L=( z(tC|}HCU8iA}Ln8l)iQ9XQQ3)vUbB*{h$WJQ^ff0CWDDqi>9sL>xdO-#IM6d_MXsz zp82S=Y5j!!vMWv5TlQQEdGHY3*R=8#&ADX#bJMBRmYi)rp=!bqg`yXySB6y(%SQ4) zS=11tW2$_0 zThZpodxNd{JtcBzuz)#I?1$sEqn_agbjv#r*rn*@$QxYPPae4yjY_FL2zc0Tag_YN zDeMM$tJPA^c8w%<{)ZMg95nj7&>wztlc@M2Ugj4+cs=9z8R@7FRi)_o7rIhyo5@*< zC9=Myq^e+?_M0BnJNf%AvHJ?c3B2mjk<6A7sf)Yc%c(A&Kr$wbDaoT#Wmyp0etliw z`kW3+xd%slSs+sS_N}GuKO4&sIrQrh^31vE zl}%6}&*`cTJV!TI*nx@F%FIe}z{mbN&2ncv)d;_&8x?f6BFJyF1bydld;j@O@dE1ODm}K` z7h{_EfLggT6_d*oZ=^y^MY-etP>Td$btgp+bvD(%_>#Qq#3#c0x4mP##GZ^hOX7R8 z#hVonug}*G>Odoc#)ws9!H?B)Bf+Ig7~{qo`ZQAepP+K!nD>;E%^nMa#Jmw)UC)O9 z)n_+?uC#%$_y2uXXw<(P)dUGTJ0soEvrqNNvn^vBH~m5S?B}4_>^MZ@r*C~NW<#Qk z??N%+8+)MhJ-nC@}cY0DiOw5pBK=HfST33&ivat}$pO`js;WT~FrJzJ^o zt5l&DU-D@3nwmPqH28<33yrO}JP@>!r8Xd=3Z2qEWV=;ISB;C#IZR%QU50;*5~gwDuyiHp@*`b5|FS{TKz+X@Gf zzF5&d%x{Z>V`LayHXQ2rv;-9b9}O@{Kc(e5w41!^$-8y2rM?6grYAv8R@C<4w{7ID zmA|bBv&mf_V6C1_348@Vo#OQBREk4oMj6P3dp+G&mi1uVrorbwcx<@L)-33L#}@u2 z2w&t2bIe-~4>{da+GEk4h%YyimlU39-;miHMrU`616Peik?c5NWK-aAx%5dI_PiOWt(FDm5SpH3%Y4&MMN0J1e(4F-tc7;k71IZQtbj~ z%WhleFpe~cbg~$@_eKLtub^ZoGgYZDd0RcRu1ICe2$|{%BdEx3i-$%v6ha$*5^6Gr znKAKMqT~b21IW)guTD1b^}tAnpt2O|L6~6KLJ-N%8;h?58bZqTEDd-K+8YGxhF}*4 zs$rj^qLZirp>IgA3DrWYe8@rD))}JhIyPtFt+icHxAn-dY3d#nhdPde=W9JBF%SP= z>8Z_VRXd$5yoRm|@<=%(qrKrPgOKK}=`xSs#4|*t=#XI+V3B@Cxx&lL@^gk6?$k^N z{~&g|p=NRz}LC= z?4>V8Xx1$E7Bn$m#gvWjJ^LrpDl+|5Q(;pS7%t5G3lD5;$3q&92hMZS>m4CwZ&KkG zFYk94MDvbGbvC>>{<*AAhiRIQEy8emj|R&4J?uzB0uqw5`JKBT&G6edn*Lg--n$n; z@S2(rFyEi2L9Tc$I(-$WwzHJ)nx64b*V7&SGN_S%Mia{`N(+9Qp?x1L zk{>eQLU8a4Ui!1cg6k&pa;ein)9lA%*EOX#lxFhxE;j32o|!I;leE^zL*x$;Lc9M} zOV?K~vhZo{Z*6}_XjzPv>5%WOoPD*t*(ou(o$@Pa5!Vi!@D(EE3jRC!#>uxKW1yIz z`bF8_@%!Et>SVdHmDkcX%F2QGG%+|KGg^~hSsGohhSYxm{^xwh9?RTN z#@FVBU=K@~H4&(;H7e?y<(ms)PT>%X11SazZ~lXfj*IWf8Y#%|?q!t#zDORh%~2Vk z;KBt72yk(raDYEf%yQMM*KC7Cn1xj1)$e8bupkzc!Oi25qL>#8b zSl{Xu7ar-@DpoWx4r|RwAGmnp2FKYb%TrUkxsR*uM=mP4S^`X>(NXA(U1FWKDB~a} zQMgVDaxn!sFtkjK&v0Ty9rbuWjREo_Y2j$G_s#%c=Ri+jX{WQdhw-~`!zb~ohg*>{ zIiEq?_scL=xWJeAkK6kf%WDKO3Pi7-5(g@q?Fgew0x6-=2liPA^G?|adl-V?9)>ab2H;E zh_9~-_b;d}G$v+uPWa{bUY5g_7mVgizyEO-7|HMZI8$e)(Uv~H%Ca|N*xO?Vb9EeN*{jZ$BVUEi zQ=ZsiBM%#rHKSH5QCbDE!_-)y6}Ysc|Q!*Q9w)f zv*-Vm(mB)RN>!wfnJ&U=CHqY}$Bmx43S8P&gD@-%JHlyVuDGoEY?z*?v4q=zVzbP7 zQ(NZZ$sCV4;ZnjP#Nf1-pLeEF?+ExRaDo_+m8oL%+QRt+<~2MNM7-W+C1|OUpyEFs z3l<70&6=XzBeUM?VnC?hIP_Nce4e$lqkDPZ{KJSj*@WCinq4^EG0*ocdPZVi`bcXo zBB%@x0orU$b(IPvz+-|&=zxB2$xcIZ0W1GjjU{N8kV3Gdrqmcv8JkmM1y}i>KL91; zn?MmpP-sX0lY+5JepW8IDk)&4;!t-aw6LJShtCA;3c$45un8f3H_?94Kl51K4q@gV zm!bKnjh$|>3&VxZ72lwx=ES23j19h-*pA6C`{|42>xxHHQE1dKUrjcqk%7VSFUnxg z%P=u$zK%H>&GqK51me!lDThBe|z2YsDEPF?CimW{bh0Hr$bR zIOVs79fqDYf9RUe=}jvF_NR+zXV12ACkX{niAyR%l--2bbjb$DU1A$bs61Qv(&|WX zxqngM<9&IP!C&$3`*eiTo6N!6;_7Jv%oHSNw?X`svC$bWXRB1SN*Ld63O>K>`}}wx zQYmb}J9{y_ZHM^Ev*vS|VGn`b))TUqWY0_&leONnk`@xII6=!Smn5g1a{@2I_fp&q z(<>HV1A$r`t3~pk^kjH#zcZg%OANtP1GOQ_M?4M;O863#BGQu#cmIplvag zs`gLou~OdA@hSksNSSgQDN%bde7l(F?(gqY=T8ZB1uQ2LA1B?He%J0jpqeNdobV@# zN~2f~MJYmM{S;261G@wMB||`{>_Ju_UYZK9OtfM!P^GF<@~g)&b(XsoF>!WB1F8~Z z{9oyhjrzO0122pK;h7rdB`PESSAspppXNn-9YJNr|KukC6H0I% z1lnr3)q0Dqo14#egejgZRt8^(uzWpY6X?h%q)R0)< zS`6rhYlV&WV$jkJVy;nF$>bbdQ9hJJd= z7w%m+=*T{$b~h4{y=`s@=cdy1Wp`>p|U8*w6t*_*A6%5!-HgW8pK=3xjHXl!a}G@D_XQ*LnTbr7X+8a zn;(Xi`L+mthLs3jTX8^W<_~tP?L`7S%16_go81r@`&{}@SZ{GZMDwoNYE$5@SnN4g z1wdodKpB;TdPzOgS~K)#4lLP^OT7@G`M|sbyHUY8%4~QWz0%ar^h@@+(c&pm4=+Jf zZOLOk8Y?raxjmzFgz)}bQ>n#k$bRW|GePzn)_miQVDTWI{zUdg3tM=@O08Y+`R-cA zXx0rS+#S&wm$VYf&6$(mH{Mq@y1G%*XG&wNz#PNtul1^jJIvm+TPI%0_fg@9>^R}j zE0%1l8X3XB!Mi=qwgVkjagOzf2G@ zhCvuJV6MNGu;b2{FbmHX%7f&tD;nBpUB1fxQ1Nt?_|2(Nhl`UYeK_*0!t!Gz;fYbG+9q!9z}3%w z<@Z*Ccjnd6x}iM8@7(F(fzADa6S!TT+0_T(7gRu^*easbc3^8N2&wxU(rLoA zVt*iLZ;!y7H!Nt~TdtHrw2a|pB0kmDGRrf{Bv-c;HreSh8}`QRz{Nu2Tlbfp-Dk5c zMzTnkslp*?|ZYOQY3%xH8C9e`CeBVHbBxL6R^ewcQ#tlW@>z4 z)j{7Z;xnx%nt0urUl9cZ%->L-p5Lo`kZTsTPqh6Y;_k1~&O1?Z_4&SFe?i_Pa*6b+ zBRbogzg&J&f3-mvt^L{JXL(j09{QIce`L$X7ZeLW|DN(&v7Caj?mhdR(@nuV`{Y6{>M!hf zvH4^(Gx_z|kjuj1Wm)i3ytQx-#TZ9#4^N$(mtP5dkkc{DR^C=Ccv@WhDU4S3qar-9Th1{lZ1)P^0x zXihrP)hrM#kuBSLScm7jNLda_lx>#p%(&Q;_n>6INkdV26iCI21lJpW>BC7760_lx z0X*4lQYHosDvCpoQjZR^k0Ka%j%JQ-DXzy9#0VS2SJ?i0%>GfFlR zegoUt5RH{|lDH9$V};|+S_44-padk;g2XrjnbE&`+DYeGEs3tH`Q@5n)6#UHongw0m!f7NAj)hp_N17V}D;|p7*yY0|Q9Tl3;1M@g=t_j_ zN5`&3+2sLkn^BDnHf0e~l*Ub8l})pg_4wPr&AZ!t)q_(}Xw zd5v)~w?%PkiPe6C0FahX9DCno+nTbXYS60gi?SAnEDhKqwGpXh=#OzI)igR(V+dRg zHRyBKSKyY;Y26N(jVAfHCBkFk6K7J%0C|xhTN7E^j$~9L8KIw|6)Krrnz#3AUAuFM z!buLX6Fz3Yj6m3!`q8vXUrMty_B|WYftT#1gK2 z2U6({K=k^T#zretBE9`yMHK`QVmr_yPw_+}{k2BiT5Slzzoyiy80>i0 zGFV|!0*zhYsHGs}BO7XT;>3Drk4Ne9ex7#2>gsu74O4NZKr$0tos~>gH5y&l$kQ1^ zE@z6xBXqA|^)Fq#?NQ`WH*vWX#h2n?)gj}QpKzW%ppiBGSF};2TdK;_RU7w2bQ>p9 zH&{DY@le52u8b@3B!90VyQ%OAp~K2a7ea`g?ZF}%-Ys@P0-!@g-6I zLoNZQy!W0u2nmb8qn^3!m8^tnAuF=P2zj@P=RUi~T7s$c1Bn|nlBEk-PdES|bHp~*)<#=Q zP3N{4>jUc~@7+6hlB!ix4B8SAlpp->wQ2Hv+4*m zb2skDXSPVOzK=|?)e|dp^VLP_2-t`;qtHm=`9#PqL(nPwlM?=rdO=O_nUz%o%#B3fW-5xEo@=lWN)!Y(O3;*^_?4V4!kGqJ91t zSh&{Fm;vPN3Uiwiua%R2KPzB}YwHW-jC37Fv)B&yqim!j0(;n5{QItc)8ofJl{Kao zx_4?w#9ZAPviC>36Lgf%AyD&s%#hRPDcS;gULH)F-4`gr|Q3I$bmS2AMd zmxyiYj9?AB9vTsI+U^)4NV?BPk?gB=Si{C&w^0Tk6IRYw+nRuJj@UcL9E*HU$Ec_} zpp+wdb%Fhj36S5xV0#D)w=I6+w+q&cfPL*<0zW zoDT+Xx08MhsYg~pb)-&WLvsB>uP^RH&?S9ui~qnYb=rs`I9<^<>~Vdg)bSmTb0L*G z2_d()wR80uE_%0O4kE%GOK4#dcjU0pU1C$2`rca$4Ij+j?P}3*_?5E;~motTyDF|J!Sme-; z*}Un1-YZW{_M|LvA3-B@DwU>JA^VotvCWYw0J&uy2}dyFYI#sZEG&f_by4p&Z5 zEd$!RnmUJbCsK^At{m|5sCC8d`1|N#&1}7qvz5YMlKCn5c(VbkXpRSlVDWn8dyww& zHs;Fs_`O0yqK{&l2_WCzs4gfJSnwa@EGFze=%&1>eR>b&1kBUj_V|BEp<>wvM`^8% zilQ8vT!WK^aO2c>_7TCPct-T$0jFEixgNYBI8UI39+Y(P3tjn&E87s!9NqccI}@kj|vvY|0yaSrmfZ0b0?xwlslBjUb+tbro~ zz^zY~u`-fp9C~cp8z(t(kOiA@UTUbJT@az{4qXYy8g$w+n+jLR(QDqJ@s&|d!uf|= zUeU<_{Ox__1xNjYsPUO?T3O25J~vDZ zKUL1&Mf;`w!5#1U{F7n}{*M|k*8hpXy8d#TSe=c0MY z-{Ia9e}EV}um|zt7&XlVQJ!icaPP0Oq^4DSu3AtFqwcnqk=ygN!cUC1GToaU72kdi zBTwZ?nmf@OHUi&2h?u~D7{>YX6AEdcPfc7kRRu<0%ZbaZN%PBsmfcvjrS`8&ncp(M z{!8~C6fX z8aCEz6HU8!Te`E%>-8iMByPq6lsRh9sB`n{#(Hd3nrydIfsyJ->GuZiHJde++AA3; zTB?HKM;w%(qE9bS#mkJ_dmih2@=Vc29f$8KZXMrhP(bZc3Ump~t}AKzw5-(Irr#a$ zM3fe^A{qvve2{O(E2X1tY2)(GKF)A>(qY7tg>$E1-0yH9Q5<+zc?>>6i`GD-*+Nnk(NxC%RAeHpIU7v$aHZ-v-;6=}qE) zs|@VagwYLx;;x)0!yU*{IP%`;%vJYUC&70j9=WfN9|K`3RmkOtn(fOwZD@Y z-mC0=_Rij$B)i<9#GMuAkkU_~VKh*RY$}^d16kRrbmtHfGVXr;1JCPuy`Jy$Jg?X1 z^ZCBN)Znf@@_@dsM24BZJX7jloZUc#-H{tkt%3#3+15Hau3kZ=ilP`c&imtE7oovE0PQQ_>g(<^_Zxi#>EVK zqT6yJ-6ibIB&h6|#M9=dZ?3`Lhs;C57(t4k*?#4{o;l`q>;{^kq(ofyIKE`Og~4+$ zwTC@2`bIlmW}JDyyo~d}29-(s=Si!$t_N`dOjf+T^R&z40+b85NB1)bX4T7}bG31N zWu*X~K}QO#odadm7_=s>gVa(u}fK)AS5N6Dk#azxB8Zfz_3-j`tf!Mh(Bsj91{eyCFm3 zsA%Xym&tn7)?qk8s0B(xM!SU%m0yDOlHSm)nQ#R$r_9KEUQbkHAy*5QPGkjSD4+Zsg!2b$buyiujff=o(5})*V*mBVP{di8Em@bm&)(=Lz zc>Df$Hs2nqN;7>uQ`D={usvh8ujxNFxJdDg=b{dtXeV$0^OjgXC4ed6?k#IYWUZj% zt3aJ^8Px24oj!}(aT+TMgX=AaP6F$KS!zF>v_%f69E}Xg2wv^_8TwJLhx(p}PV#kT zK>quipvj(TlY5&NIo0!_1S0$ZAJ~--zNewVT-VcguEAY(6M%g$pzYzIgF?@H={*1S z90G~!!HVbncW3utEUrVQhjMV8>4Z%V9^DmZpb!7|ji5x%Mli#?F)DGi?|AH*hRIWr ztU1Z6Gy6puqEy)WjiQpMt)P8;y{1uH{xQAdq8V)04#QykDj}lkImgzc6vH#yCsRpj zL2ZA-3P_G2F)!U{!Ay@3wQ-pbpls#?H=?M&p z=7)#~iF2(sA@rIT_m;6;mX4gf?$4*6IKipytiu20ecIGo3oVA9G1Lu{_T8oU- z%PhL1(Ge31DP3$=trxfg*KS+GZ$?E|7ROsV5$^$S8UTTvv^7TF0b;7ot!71k&h(8< zbAxWDQGhoa2s#`_($*Q>bu&uWUUbfEPKYq7z*To1dF^U_!4zwo?(v27P=)vGMCVeA z*GR6EqnhY&_BCUD7-8QxG_5O3dn##(>6`LwvulN%`B<|a>b>_%e)ziFBDN9@sn;X+ zUX-qAU;2rHToi^B#BhD$aN&Le*{&#O(Q5PKy+f%G}AhnS5Ld z?2lZ)boldbBTZT;9y!F3Fv(By{L7>CSrf1cFMmzxbr;L0w9Zqxs1eW{=Wk zNKT)|E;O0f>`;$>6bV{?N6cw{TmM1sFD`0?(^ELP!#NyW*l{y|x~W zog>m>!~dj#K+`|Vj(dp2n}(FXK?dB{_@|+RwTp|uc>b8t0Wx3@ z6SF&^o81%C@Z|UeIsm=cPLl;fN@iK`%Rp04E`MEF;<$ktXmkqf(lP_Kt$Uf%1aM(!gkV1SwVm8O zC1s#b?z@XbedsS>kUol$!Vid#YJsobk>0UbzvzSm&jU9>Pwn+4;4anG8h$%GtdTz; z$_b$R)>IF@uA<^CdoduT7~>#9JTD>onlL~UWdd@{JxBOue9XXAtr6-f7Mh_OHu*{h+!|;fQ>BtBMQm$;q<=f5nNLg5=? zv2OX~QJJmN)AQdh`cKxlzfa;T)nP6dX?iRy(_{ZM+6&&}H~n<_x~}=$?^WF|NAJip z$X7&NiU-Z#I90xn&~%q5P3!5H`#od~of}n#@~qSI1b>_vGBmvQh0MO&ty{$JEiRQz z-vKpSN1%h;71l`hHUvy=C|^u7fc?@em?2XGswEA%VL^o~fd~ zEhZGtnL7XMJ?o2OIwxJqbdYxyo&EZ7iq1>ty*pNzzNqG%P8gbU>?y5yeSL!u#IQ@zz^o1HPb=+@)YuQCz^KKn0)u$UI?@twu{^(gBM9(KzT zo#b1Ev?vZ3iN*l2N=xi99}+7p7jm96N6&oYjJ>*>Ez)5NR0)||(M(kFXtw6kh`$rr z-a}q+7H@vHyU?avJak5kxYiE86Ae*Ti~WeOnESmDLa*zay6kWNZ!-%|dXz;$v^f)| zV{?nm%~Lf4O-X7Z07x7?L8k~%Vo(4#Bf2fx0$N96p&Vw13&YDXHe<_LhonytSHOZ! z0q3|N6H<)Vj=Bel=SJo1S?2lj`$DNH62@Cpx|s^-=g+>L6|onRz}b&rv|5Wual=&-yvQVm|MM z*j*qH-UFXvYU1;vwQKAa*v4z1_WSJsG2Li4J!y`Uxtt=u%3+#{G|(OYb1vu?-{dv1 zbg^zeunbPP5F+SH8u~7Ep;v0QwE%#55AV>qKPN?06G`j>_w#r(HToly#!$K&(tdh! z=C5zD3;GQjWaozFe2E7=jDEQ3sSa>a(@#_2Woa_DnWVsOl;qlmx)_h4*a# zkuj0x!Q@{@T^FvOy;e&xq&HNg-1%=-U%w~gN#0TY~~W*4pB%Z4A*(5tW-*GgG zc{-SFhXX?Ca@XAda;^p98aIhd`}hPrns}WO~^&^JsBgu@(6v1j-UK%P=2?-)xf%{X*a)Z%i7m z3lR9pGFGF;39=WvNo;8H0j(dvP$$q1Ph>weV7j#FBXO)ny!=w|i@#??X`0M));8hV zG&!c*tqii8@()CV5F5YpdiTr^ta2mQ%bfjxuhI6lAm5O<1Pi9}(XT}M4fMdHQc-Aisf8WiRS<42SLhm4g{*JE)*$L^yDQU1yu|))Vf4o=5YNK zGz$Fh4$tHqQfWR~cvT@_u7~`Wwa;og3CU;TW21bd0U%r|uS0R>R65Tp+RKuUjW&4=7BprQGqrziB{HBF##qK82YuTEVnB|>)|$lI%Z7zz zMx#oAR<=I24rFgRp=o}qNGb1*pNz!%J0J|8trD<9T0a9sW6}8NO%)Y9#|OUT{OG5D z7~H!W@CaY|{`coysf&-RQAOjuC(R8n0>xNM;rNg=p+j>VDi=E8gic!#m$kjrBzhpuey#5Rr4)kBFqXT*AEH( z6xH5IspRLAxJ$WHETwI;fLUux@p4$fB!5#I7b$E3c*sj?vH!Vb7a~U!VkC3=ew@AM zhm|PtVj^rL2N6#H1g-w*fo3H;Sno;~8r9GJHP7;dZsIgatX|z?=5eMN=XDyZ?Fm=cD*-!zkRFAST#V*sq=v>t z(1Ubhau17#obc&pY#)37maAxRsl06W0sp9O{}mD|v0isO;qSSUJU>hMoVsICx*9X) zmpl@>2PQTc=a_eOAc(NwY}VMlhWOXOi2E&SQYjt>tqzpJPVx{f2IRR=ZW7*Z70i5uiExg1NECW_&Z4ng!&jU6$DA#|J2-U`VL2|= zUhh?{+wz$ebD&uHS&l0T>gI?7)(Bs95e`WG+^-ddUQ8p&ev(qlS~oi@MrD5H6g6=8 zoHfMGBPQyrcIriu*B(_`Ht5D(2+yq?OL0}~F{Ry1rSESHfxL*^Qkg_&dg z-U0NnDz&qGC`4F~nEUoewIXqMz233mP~u@>Uf@P~%Av4rn#tg8M zDzoREz?BrEiQ>x=jKZHC3rimqz5Sqo86n+C|02sIk3B(iNy?2?*(q?4!IQ?8d$}+k zc`o6Qr0=|lcbb8?$k{xH$$Lr6(Jd>JQ}?gYP0ja(DGuk!%I%cP$}vAE3l zD9i|r0mLwExyR2hQI3^dMh0X&FSFw^ELoLr+)l4614C*os?Qer!ZRcLsIP|8L@h>( z;NZOyBNs@mlS4nbhsb7k-E*Eu2w_t+=Oy)m&-{Xf8zUZ%W8o@U-P;?V{4Ufqy5R~% z=RP%-GZ+Su3AP3iQ}!BEJ(%z3L6>I?2iO#eP+KLe+w*hSkw?6w5{7(^5F5}chL@Eeop^>n5x-8&I(a2ZeXA+|aS^yx*Lcz(AE z}H3*A+`thVL#4qL2(ZmDWMdj4>Mfz*u zj`U6-y}C#h?NLH{$Xc~DFl;L!=0z6{l3*W8d&F?e&JM%AdSFRks1@^xK_PMx4xIMQ zOBzgnFg@cJr)s>m9-h|} zW@@0WU~&bj81P@E^pZY&7C#U=WvE5D9v=u|`F%`DWzhT42N3!vIeOafsST#jLcpO4 zXoJwpG(row4W&N+4v7|lCwF#beV_)J!gZ?ddrM2J1(LGI z9!2kKukq-oxf$^=1Z|JF68Y#=%U(yOc=>QPNpnuH!+aV~X92>gl{_d*(#&3B(0m!R z;TX7i{-zskIqu%+gfuO|vuYT0?WsTJ?qkHd9n<~bOPit%eQL~Pw(ob2Z~d0s5qtc2 zY|k`w;0B3-G%K<-fe(6HRGg^X_vjoYY8I68Yy_AxIeB=woI|RPT4(m>&*gu)*u1pCuBuUZ%iIf`kk&&sfwAw}=-vJ+luLt}{7 zHNHVF#i>KTlJGK{mtl<@WeT6=R)2!Vpb|QxKk`clyXf87^=ne|^i}zFS^A zuie>>Y%zZaz9mf7Y+e>qE!0Z*>Bvv>fbxZ{j$^p$MB~f(na>BQ7sjAfa&+c9*@&@K z1lJa61@=aMg407}XgX{wy9}uD3Qkn^2ah^s9ggQ7Wfj9-y$cH1^(Q<~Hap6EpyHOh zO4$zCm43J|2y-_k2i&jv58==b%hlntW{LVNlJJf)g`unPW_oz_jATJ{L z?A=|rKGr+;5~4@S`qE1p5_vAy*c_rGYKJ=fmJtQ@9BH;`tWU3o9ybacC=u(ivkm8& z=Xi1PyP1#{lJH33%_ef1H2BdCfyPz-GEm^!rM+a~W6Gk3s?O5qg?8U@lS8-6pRHRn zLZ_4Z`w4Ov*DE4pr63s>v*$7nbNfxB&oHy6Z(YgdrQw(=J@o1A#t|}$B_#yxuM=5) z&|Z*OQl*({?Uq|U8E`XBnRtUdbA?(8y_r9AZj&WaYUd|};Cf?3F_7D$c@m&Ep}*32 zXs(|tFs?FxEX*TFUru#8J%#l{NngqK{4`af{Iqw!N>pJEo(YKtC~xEmvP&68vlTWL zy{nml7V&j^NcIh=ybvE+8xv8!3~jaM@a*9Q+4m*tZ_Ydf&}h1c#P}o@8ad=93n^aL zlBMR^U6WXxNf97thUfbhjr&kcB}M^ZL%uLzC$RZSvHuPVx}c2TF4>pbU1t*tANi_6 z7*zEWgd6>LVEEA_p;E>OAJ<(bZaJeJaQ6XrRxuZxCjWc5=>0%Q7Y0_yE$Jz;$O4@L zCuA(26P&&o-Fict*hLjMg#6(=B!#b+G5#yO`L?%9MN|yPdQ+h*p%V}`x_%bly-7!| zTM&L!0{?TSl#^6T|GEkJgL>)J8BfZuDmqf9SCCp*Wr+8S-D|>lUy`@({*Yvm@yxVt z--#rpoz?1UO$71iQ`5Z@&Q8S_UcoH?Ng3@@7Y;ZL%;0gvQ;god+cX7+fk&I5=TO)^ zor(k7ODSR}+0eaWz?PN98K12X2a+Y7_1#9avm>-8xy$4sE}F`4YJ9No$uh9Fd+z|s zth6=NE&mLS_3h52%KG7RFdP98e}?)kmU4{E|6Sxp0vwBJu}DGdh0O z?_F#DIOn@lVV)7_J6s1R=TAsw^ofU=zss(L(JXS4^Y;vw)~~P{>iVjKh4=bnH#C-& z>92!1!!LO{+5Uy&rgD`UqXE9+1=}(gdd+w}Y!1@;4KXNAozLvNPyxNs! zNr@2zCdTBMxVNxrwYL#;1cx*RBdg|GzMnvnyA+z+*v4~S_FF*IwG6#`i6E1tbL?ekZ_;~6TqO0z}1p3*{2_FBtht6Qc+c6ME>GUQtZ$i{U zAI%jO;yC|oe{iaFF3V$4CIra)VV=DB-DNWcat3ce!{Q z?rS|}*=O#u_rte!hpx13;{1ke8RkN}vSLyBzs;rd^|J^#Y>r-P!${_xvZ0dEG!w~Q z`h#Y&#T~&tTKwRw`}nbSLik3RfsQE}d|Fk0qETFqa9YWKR?aP)vx1oVBjZ>)N$o@6 zBCRXp{2py8=r{#lGb$q*cFYa8t_T|hzXR0PuWhMR?~=k$C!Adzhl6S9J8s-7S9zG- z{KMw67xIMp3=nZ}KTnH1Cu%;8Ib#y~6XoHOy1WH^X-xYDh_jZ)n|j_G+rJhitUJ?# zSCEw@Jb~GPv0GUJM7W_k2FfGA6UwBT-Jk6P`W`qAXPdo)^H`6N_TJ{fGYrekCyh8Q zmQ#)zJs-1UmT+eQ32KIIu3$sMTW0!(tF0=_tr%Ki$ps1QrY%Lsb59QN#a$Lr*K0{LTHRwwm4^e42<0M_@# z*zQks@x)-mwuBEj!;<)nU#aQ#D3cc;@!mI)l@jIph2Q>W_e8hcXGppnzX4jt+gAr; z>n$&BNEs#*2dRUGgm7zP5G27(Y0E$ApbovPFMt=o@)?PRUCbUCXf#!k>H}zm` zhi1bJ=d@?3+uo*?GmZzTCl-kVuFeR@)f>0OP+>P2T$LqU!%&I($^)^oMF1f7gz}~c zbT8Z$v20NhiE;!ksrvZ#i!hIPMd`b)JZM-cKr+W7wS^URA{LGiAClpGCBb7uB=?F? z;m5t*Bv_ZNQyhEloAkCLL;??@Swi_i@m44g(WQ61;5kW!^ADU#V#bMs=)(eFo-F39 zlc*BK(A7D|JD2%^`m`r^towGfKWT^h_O?*ct=p<1diKA72@ubQ59d-KR6hUM&9GK^ z=+6&fqoMtGq+Qfx0>b<_orYjx78Z$bjUl{xIEacFWC>{qsk~jq<;4!DxNe_!xh zi0i!j+bQ}vl?Bokw#I?ne)4;}{Ja5BA@i0}Una8`1OWlYzDl(*Sb)85^*7UYai*lN zEcUJNJsW zkE#bN`@NRe=$-8Tf(7uF0YjJTj^qQ-rzF`fpw2%5P+@ki`|V)%#3vWM1loAlD=_NMH{jB#ZN^tN^N9KOXKR54lM%7S^Qg2X zKz%I97n(6(Ai>@GHt~G=wX1(xARzj#1i>zT9^t0w@h~8>6Im)FB014apT^u6kmCDQ$WLYLB)D!_Vc?v>T~dJxooCO?G`?m zQm=qPduBIt2<^_?Cj-f|O1&RQVsLTmmeHEk?Wug7pmKz~oEN7uOr+bz#VaH@E8HOA9MeLh! z#3tmR<$^3_qtjoTnt!o)UD3q8+c$t0^|i~(6VkqAc|1uzAo7t?sJgmY0hfCm;-x!s z^-C-nHT^@j*Xb7QPlEe*+<06^zeFjJuH2;sGwiY;<9vblY z;C^hoIFk>9>}EKs0-A`AuK3$Vz{5JM>YaR8np-f>xQ)ld`__70Pzw@c#)S?-rz&UD z;bnpOZ@MywAT{5^^X)~z%d*D#88de(Sb*zi+WAmDK=GWPiQxQEQ8v{=vT=jJ{zvM#+8dDD6m03@96y5o@)JK5sOB^7^p z)(Okf5)>%EKmg7xD(ORoAX71Hd3W*Gi z;rM((sYll~STl|A z%R~kNAua`JPAX{k%OB@om=szE?g=pzH&{fZI`vr+;5sc%hx-7He?55OhVH7~eY*YB z#Mknhw-^Ohpn~O|q!-W(9ZLo-C>L4FrVgE5uto(!7p}kxuEhDz=nm5eXEHagFJDv^ zEnvB@Jq-CbW8?P~1{+ZI!>|>?0wLQ*JkPXp=qR4=Df(37*RA^-moXsFT#m*Ob+;1k z(`i=e?m~7@#W0JS*{Ur8cR=-Q)sU3$!d-e>>7NlmFd!rgd%O2pW5(z~uhVRTXywl> ze1r-f!m9^mcPx`oaWdk41zx9Vg#P+u+Wr!>TKSNLqLM#g}8LL0lFV$$<1v*dmj{?Cn++Kye zX(=!mW#Lvu>P`_>3|S!0ehLbDUQr{~$wbG=o?+9^PQo6+^{wEE+(}k&PAvo?8R21+ ztu#LAQ!^SxQ%!TiRDWXlzE0}6zdDV&eM;*RO6$DI*F^getRd04LaMO6`QSJ)atLa-Sjeh?RSdG@-6Wc^zHocq{bH-vY@tFAKu z!lTR6 zGPc5_=s3OMMsS=k$LC*|`O$6w8tlJ$eEgXT98PDV6`x}^3!O8Lpd;h_bAcOIUWl29 z)_)%SNg16o&@vC$f;Tq47A5w9a@fs9wBe{2+r^T@BjQ4{3=3w2tJ{?G$?FiCfWrPwK;eeT&rN(yqP~9m0GsT2%%=bC_)SH@0uJKb^{xN+#$WLcGAg&vLKKny(k|lu6X; zoQ3I<3f~_teO@JNlK1DpU?V6`6rA-J8~6hXh)!kY+~>oB2lzay=3r0(704%>gOJzs*nqc{Frjx#N9j8nMUc zy}xKUWZ*P;@B&4L-rBt=Pkteg+5pY{T-gvjoog~7^QKtcP8I&J-kR< z(tyy%NGFF}iSOxefWrE8(pTy8?iWF0k>L6udA#WeCRm`#=p}55GA~wPRz6j^d1{+y z3mOW`%x$>-a*#gH!I(a)wFe0d(14JcAq@kQQQtJ&SS=5Cpg_QrbR~#hZl*U>d@z{n zeS|sCs{>vuDP1S7ViqcSlJ^{QKy+5NgP_MmXMr0Gm84TJ!iS5j?K|F2(wtnnFV+o) z!??t6wG3)PH`1iK@E2-Kp5PxoKj(Jn9vr-I%*`0;#Me&l`v-MnbB!~(4U?W*xFYxF(_HYTVOvB%liW`nA;{?8H}n6cviSow}M*g`uo=s zlAAxVqn!B6;*=J!V_Tu~REnQayOhV=)&Yyju4`*}A<69ao*}S{^QYdmXY$T_QynN$ zr`3?$bA&tT6G07O`)N+1BvcOMcIz$>mTQrgvuU*TtZ+L7Z7z=(GpWc`T)(`bv_TY>Y+PzMrPK!oPsZ#4~HYrM8B6Izz~vCHS=lJoC$pWcIQaYdnd1;u!oPm9p}gX=5sF1&Dud;C$*?j=S1EWHdfyv z4Lf9k-@&#H%>PY z&7C{w`?21)(TeUnU19`>YwniFw&>5S%l&x4>U;Hx-7RCMu#K(zAiZ2R*FWNiR`N^Y zkA={tx#yXXg1}iyY8#K>Dd;KRT*-mHN(pfGp4F4Wpxi%`RZ2BZj?PL#yxe{>ihs9_m;U{C2UA!?1KO&94Kz41BYoMtycqK;LLg zFyg@#F}$rw+PQDQuh$!uOfW0911J;t9FoYPUt=QUJSzphLFt39(W~RU=^Nw&o>cHU zq()<)f&3P@#UQ`d5-RWnQtq0#Ok^ngZT&OyM$qXM*%yyt9?9tcci%(#rr_l(9(DpwvaBw=exCNIll3qj`1=IY7S>fa|v z%2NHq-D~us7RCI)^f{9t>LAFuc$g97gU5nCDf!AE*nTVqk_Uk^z{h6GqIuW^{~NO> zE_(w^JP$nQINeAF_CS#M98~QSxF0JAjiM$3&2?H6mE&Q$gLy)TL@~S06}c{8eyY&@ zN8VFiOwO)Kkg#gbBOw1n8hzcK!^zMNa>XR<>vXAp*5=P?5G_Bw%f@}sVccYx@JW33 z3>;aRye2L z?`53rMUaSyXdN+{eMuFZdv8{nxv5E>TOd%w+CupLYBmK4O!W1b zpP5`vZ%IzuqM!_rxsKh7JZUT3o}(H+xph2SS$SBZQi_)nl!yqj<(2xibA1y$h6DLi zXQu*2C)lc=#JID|`(WUxQFrHqhXluTrxgZEqC5PQ;Yjw|iNqz(lh~D$=*PSG?H>b) z$Kbez%+-HRIb44Ml*nN_0MEVvc4)z8Jeswvs!WI069Dt|h*g;+biZC{tKV1aXw09?G{Q_E`p=uT48YZ?%xxReg z1GC=CyW^Bzd`~#NJ|X-FZ2qQ+swTygC(^Y(Q+~3bT`uZ7lEWsegSos#GU9=B=>tb# z7X%>P=iudY-^zEtsK~)SE~B_CH<&!E!3rOE*@Lu*I`2k!cNJiBxBMYW=C&rY5S3|N zq=Op$!I!{Jk-$s&qa7S3woIyCf!j`E=>e4el`R>roX0$n;xJ%M1c4E72`6-yF%!U{ za-z@~xKgzcH&_%V_{HT)70{!*`B*%|GA9GW ztLg>&v-Y!Qz)i4h+FVSLmR^yTZu;0ND!<~*%AQWFiJ|{-mMGP(LT+##or5P*U+P}7 z&3Sr8HKMTgi%kSPYrRF2S%EEb_{zK=2%~s{`2{lA`em2yTi1p3dt&7P3o8&4)fx`w zFwzmUNrN*({2R7#Dt~|n87UD!vskq6f7N}R6214&cCS$GE7;JnEI{W2;TN>8?o5xY z#y8~TxvRS*;|J<1AknYNo5b9J5dnbLuex%TYn!=61s!wPhUdRAd`$rggCHaFZ%|WD z*AOSKZqLOp-@BG@PbSYc*#y*-`_dcz&K)}1aOG^dzP7g5B-9}`&^aVJ&z;EbYX!??zit8c zVk#>-AE>Fnwfi=9bk{n?fte`w^Dfw78M1g5MnLsvFTCEm6&sY2+qx0ba9#eN% z@_XQWa)K%Qon#hMNULG-xr%e#Dc&+yDCB3vbEU)BiAc!Fk7K-2PK*;!_^l2WJ`!Y^ z+VcbDanX_fqbNcDbQ6(pR#(8OEub?O;Zr%#Q*yaI5~5Ia*Ljfzb2z*MgSuNZAHW&Ewg4zgSIV#nX3b)-ZAO@_Ci$+Gn8Q$kxwgd_Mdxgw&Ncqym4t%@3n@?9hS6DPB+9eZ8hbz zn`zbSbaKzEz-3-f#8ATMsibiLIDFzw)ofYq$2#I$m;tB(`b*@;g4uwNqgV{2{pyx$1ZP1Z0L$J& ztyZ@PB-98s*Ye-$`if`WMU|=XKCI`rFECA7&D_vEpQpP7{8KZD zVDO*;x;_LmFkaJYC<+~z6?8MtWWGzpuLw&fjrZrOKj@*)S{f|EKYo!APcgxxkk{eY zk7*btU4T7xB^;A$@?p|vbZf|BqG=tM2%>;Tk#*9(_B z^^y6_cX9#QES8I3K+9)WO1`h6jEL3p12KC~kl)ozwPvr{L%>_}fHYjhLbO(%u#QfU zCffxbuXmtfW+`*Rao*uG{cw_$Mib+{XOd``Hjsz4lZaSV7TueouW^qoqTh6>u`O0= zwHPEQn#W%CoD-8+R#t&f>SDf&CR!dV886e9nTc1?wbrw#GfgtE1ju`M$MgpZqwrZwZ_Sb6*aY*9MzT=V=Z1 zwgD^z_r<7@AXK`}AZEiwTChi99&gVD58PC{m|(D?n-nMZo#NKNdVK!R`{Ej>0HtnV zY)T$jASpLbKVmHW%$ddt;w|#r21TS*`5}<$LiUH#Gum{x{skK*cwL}*zW;z~MaU31 z_Ze{t*1C=3!mXl|2cmDL+hyjm}SzHCW&~TM?z+wjcpJfZOmIm zXCGZe9ME-T_VajcNDgCQxGj56a|0mu-IaizMnZRqsRj7g;}R(%Hxw6wJ2zQe0=HyF zBuE+9C%8ICKX<;bFc6?>tbwmKeHINk+@_atKAD^4iWndSgKRT2nB2@jFP59nv;VHxgDW_s_vmzztBzDf#4v4{VYY%wk;v99ZpgiS@6^j~Ly^sz+2 zcFz+$w}B&J^bIlGgBwSA8$_>KVll8JbcgvELBRCtUP&_eR@{Bz29{)=JCoVS_*{sq zyHa15 zDgXY%^I>T&Mldbnw^!r)2bLHOsZNKhY22@Kx_FBQ*efCYL?cJ?r|cP$6lhl3TdIlM zTC~fV=zEjft0ebMkl zUy0RbJyo&7(^o)J6*42OvoHoJNFJ+kN74sIt-w^%(w1QT?$v;hFu>UL_YHuA@@B6J-fH-*E}=QjL|J4gBQ`J53{9L< zHym!d5gNqMr#5j}aAzF7EXGv--o89fci!k%k{PiOs)FGv8_0%Up{;W4H>^|X-{_~a zqsG)?nKz1hZzsK{*&G9`S@g|rm}8u}&oRKXQ^$F(gIuag1PRg{QP5ooZCTx?h-w9) zy*bZfOL+J|${w>UKu(E0Xc-K_Go_Syi zWmYuvN50j0Y^4?b%cMdrKAC7#F!os)e8xnxs0Xay?}5YKCL`!)kN!4ZyZ+%nc5bWq zn#u0ir~vp!L#9^6aLr-d`ASo$K-LKnQ$~uIleu8syjh4(U_lq&1ueXl!u*=q?C4|2l?51U$`DH*Z))K$5fBJ99T9 zQsOVb-Q_CgFP56&MlVp?+x$>0LBiw_rwWcv=C!AME_m{3VWG=ZWy=I7KXKIF=7Hwx`oTZ?a3UUAV z>I)}|wq-=0u@S{3N`QQe_@E-T-6AP)T{QEb!2`}5x*j5Sp}J1#X)KtNIatWK7`N1} zpr|A%3+8AMhpP?>o`G^lL)IHO>_Zf~sWdskvu?4VDHiRs3I4|h;TJ=b!o(!&G@7J_ zJ8s}cr=g?oJhpE4_q^AS`b(YWJv2C-5j}h0_?&ptz$vM7i`qjvB0Fk;@8u++%2?q% zr?s@DcY$lPRiHB#`eOVu|8TrZ^si(576dwH$_Zwh?OsqMpnr3L&O^a z#%q7Az-%wXS~-Ue{W5U%MY)8QL0^N;M5RE`TCL-gq~ddl)VBwZgRY-Ks=C({u*$Oc z61mwg>vcgk&lndJ0k*@P=f3?zGcrk61e^#+0DRK$F1m=aJv%i!v%h_Wq9K`T>1Buo zLefQ!wV{`=`XnJp&A*=|$GRttVS3N&u?J!lGMSr3o-IAU=~#-6$esJZ`d79StW8_qXu zpP={(SKzcob80DW?6pT@s{Pf=IuGoa`8e;kVYPGlAHioo=wb4U)~1J)``N#uRoqS* zpB*}!(0Gp~`MP8c&XvDpJ7Om4cNyz-SK8sfcqmv^w-A{k0x;T$z;cG>!v=`97f!jc z_)ms3Fs4{AQ<9i(HrJB{C35W2E@d&2+7(OS+574$IvRqtt05YwXAxQwYgX);=Y%mQ zQ^Y)>gIW^$o;BU6AFIWd+;FhmKT_uu=kh!&sRdR}oZj%?pjf24UG67z8N2@F!n zx*WfMbmP_*j`Dsd^R~EE5K_^2m}!2L@672Bj2Sp`b@}p-rQmmi^i8RlDW`@UxY0I% z5T}ryL{pE2YtZ2ZP<1O)Ex#`r5f6ot>gw3pyAXg_ZwR-~O%k!Q`ZxNBPq8WhFH|^# zW|Z+NG)6-T9Tsu{y*&*BTG%eO0EV`F7cL!iu}+_mzoFm@Muw&39$q*G6vP~fUZUjY zW>rq7YiRpBup)hgAGO10e3-#(e5A+%mlw={I5zQiu(87w#3V^lfC)IR-6GJ(m zNi58_TytE+9@3y#dS**}=I9ci;qtWvfht2_WvYlWAii7}@-L{W@cG6_yQM}OTyb1g zv4>JIs`427Vk7a2%@ZG0BEUn&?}WwzehBK-pn#a!z7Wf_wSW=D1!pUt6fLAVw7Rti z03Yyc4RM8x+rOFPhG223-+uUy2&-uA?MvObjwA*+x#)6q!NNfBpZ`ks^$Esf2NSSW zz$aCV@>=)x_R&_}Qvqw6Wm-DT0CWU7q&LJYO+@~0=q|&W?7}#JKkG5ZHehs(l3!iG3SXDeXIebj1*G=!^?W|(T-UkJegFRQ zuOzSWihdFsaS(bg#|n4&;Ea6Dv-?V=JvO4daEb1vPf7y85 zT^bu&sTekR{cPns%(R%*U){7;JP2Y5acJm8T=e3_A-BRY8Cztv`_D;k8sx~X(83t7$zHfv;p`ByzSctt;7{p^_FAA;B^BB|1i&f`)jO)b{)cGF^ z^a>Kyg&qs{iioO^ z9Yc+!#j)cPsNhlBF?M1SHJO&ePEDg8r=4J*JVi~XWw0|rDxH?a&d#Cc((>5(d;Kf4 zLNyu^Pklmr%5G?+Hi5Kec1tVu8SOc{?FF@+_LAMvN$sM& zV!wVv?WXmx-}X}9(cZH^e5Cf#`q`fbsDrf6?4d8zVcH0Lbc{Mqn_y2)QKxA$?Afo> zIodb&_a9UaZJxcbNL`}+WG}B!S82c4zyDDG(*9$wty4E>|MosBsoS(2_AWP2F$sj- zh!edrB(20FUSQo|AFos>JPh-xOm2JP1oN!u!qvI}?y9wNpqa1|BGIC+aNy9f&RP7x z;$JpAvV_ONz++H=;1dcx^I!D{F5+NBwZ$T0^npbC{XrI#1npOIxF=Os6OonxTU?%R z7@@bTp0~3WAHu#9J!<+bT2A;33ak_s%sS&9ub&ceZ^#N=QT{xmlrOSol6kc>W+N)4 zCG8C(^K)V5c9$J*b5I{MHSj}win?_9q>>ebze4|_P*VnzRo%XNvUicUVDXcVZR+AO zrq$~@(@N&?j4(oPBLvG*_|()@aeb?B@!1s6)K5t0|rU11-Gp0 zCz4;VrpQh66$M8(YG&S(9ePUxmJ4xL>67Eq*9GbP?vz_%33QefZ*vOW>V^=JlvmBe zoT{(L&YfHk&KKa1E<9b<#67aDTKjimLZ_N8b9&F5G3ydpw+4S3Ruvk3E3*B>o4bI> zH!c1B`L?YY#!+Jjo0hcNVKGn)_>O+M+*UxPJ?d6F#n?Kq{oAAf^6N<3gZb4S1&31H_o)I%{MFom6wfM z){aEwPi1F<0x4jLklMUxYcm9*X@$8nDqSHWSfRu~Ni}>W0im7yh@iQX#cl%J)?&wP z>NV1Kj|^)DEVk(sq^tVj7LVA9ERFh0kI~z`)5SJ{QE9%1AiO?Ee%)ye&{46>Vmk+r z)^qh$dqNL|ooh;h>SCY$YWneAY=!~knXuPm~r?Qu60)UF37#M zI}*QpZEWTmN8+0I>2;>N1GY;6kKiOgm#MJUe>IE)?L>nfdhx42SrhCDDKO8?%Q%%$`1-Uwv>YgM zG*z~AJ(Jo$QmCCW^+UV6Xh5QA*hBJFONzo$4Lq>UMm8s<&hj zl;(@e>QgpEiDgvkjah3Lx07j~=O7tb-Cxti3xxK;JY4Pv>lcnDl^j z0l|0;IheG)k*BTcVsGi_+bL9VIm89v6qcr+73^CafUaY*S$SM>^gIlpPs%e zKxpKzX6A2R?9gOday#|L_M|9cqJz+#j?*2s6Z$2vbNZKFx+DGrIqvlz{nVBH-CV8|1w{RcbvdQph1Sp0 z6>@Ta)JJpupr+c?Cg1!*h&o0;$wij;o^74F8 zAKoBBGQ*)9kbB}j!q~_ct!)=VO!Lx) zs_pkx>vqk2-*}?B-UvBAs!L+?eH^#9%;Im6N{OlvB&f;y{$=hbPKYy4u+9Y9)pa$@)!2Iqh6zM2=&2nwpX=Qfq8V6FgQ^eQh7J~e&ipiYOJM`i+X zHUEx#pjY#t0_uIM_Dz8~9f0F05A-@~{y1ztt@tKG^SH_zNGIqW&%9aC0N9d-X1B~* zkAE~XZiz6hd-rkp&T(Sz!NV4WwU6gi_^j%Q2s>$ge-pGQ5>b68ZR z$92q`=wyNZtzyA{K;{T-{%D#M#R3R zn&`VjwBtsPrp~O_Nf|VwHgX)K=FQBIsi`t1qshXHHb+fHQPpERLsf0_4T}{VDAXM?_!@88dONTqy~`pjS`5-$E@hYhKC*<6eApqefC$a;IWn3Hi%8 z)hv1c5r|JJ^WeIY+8TiM5>_QF!QV!~e`I#oRYcrAa;Y7Ve! zEcTBL4422sr^!Cwfa}T)=7ZMJzJRG(`XgrE+b?$dYAb*y(PkUUGBN%_8Vx?9;xi+R zcbgykeQ*dh_ezY9jUh{w%x0jCGABMRu zS*q{n*e5e=MHq@WK7w$%IK)d+c3NI!63zF5zKGPb>aQ7!|Fiha;@& zAuD?>2e7qg!b+d1kJQG7A5)RbULPK>-%IBI9DVL8cI&~{ zT~A>BQ-h*!@sV0Cn*IYt|2QmP(0?)4HF5w@%;{U}5Lo=#obT4)!~^l;+AP~P&RWTNp;Wl6)aRL6>2CJnVqarKvWDuwJvj|P3jO;V`q`L z;$&Io`?jjtFUNsIZmc*;Mn2p7#kKj5%{yQgkhQfr@+qNUECI9eb0is#X#9@=T`UX! zo{=?6fTlyc!otMyLw7#b=XIH-^*p$%!c ztX*)e3eLFm?$S@=zIn*jz}uWzgisU$@4wpF>F!l*gE@WwaPU~`t&4$Nf984?_=5{( zEv)QX1}f#evEu#9&E)NOEsc_!LXEmC{))wAw9fVe83X-&j#Id`6R7q6vUSmuBiuk` z!*bv8YycizswyUMx~Mbu{mz=@GnnKOM+a!#7YhVyE-i|P);^DH(?ykiIeoE4?EdGG zxuFG&?6Z&rqY0$>E@}}zg0ursei%S&0Xi~W-r<8k3z+pI>wRGSU@AcE-4HMR8~FNqGA@- zu7ZoQuGMi@VdM50%KY2o4#bi+FaT4VU-ash8H!5Ys@8ebfcoyLL?G<;%N8IO=+CRH$8?2(hq zWP4lSQ=r$#1El~C51&D>lG$b8IG>f+Td*$$d8o$rgrYD#RUDWM8NYYT3P!B z*_RR<`MF6E&IcQ0z<5oYn1+LmCAcB4^rLmac7oLD$n$XDDmM++e zjo!-pI;l0KA&FYiy*l>Njc(Xl?k;}Fz+U4 zXXCwssGEAq#J~?0ReJJco}+lZ;RsBSvfvA0qiqB5ZF%b53jg`=}S~|DI$D zF&`N)>x`}w>5$85yE-)V{&OU=6;%-pSaY()rvmm!*uhu(T(6A|8bGi-dWNWaIvBLb&Viy8!ZU+o5x>F@6{ilSZwc>ymI>);;;|3u}lKwCVp zfLb8j;Vow*>h`ypzs=@_zq&>2KF05-|GK~gx zuo&3Ohma>Jtp$kA?yzH6?3e^2oM)S_WfUg<3n-&m#S{NA`-OTwn1*(LIR*+YPkbsE zUj8U8Y2&&3uU4<69X1ngcbsvKzg;iNGmj4#7w?~5CLUOn!nJRC(zZ%@RIu{>8zZe~ z?&nC+M{#=?zw9i+K8_pdK^535_pipVy>@jT=>bG-~O3plklAHFtbpGP5*3x z{e})KprY1KaDwo?pkZ;X=rhbyw7;_z1AomIgAa@@HPUHYYUYC9+7^~=82PGGgyID* z_ zcc^2C^8_-P0|P(Jy{nVE!njih;qMldO|s@qbI4!Q5)!#lssGi%Fz2|JI_}13>Jq$> zGYRAZIWeOy#Lg=XIYyD=N|)wSDcN+B=mya2TI2H)w1PnTG9e zIINhh%g8y0;H_kVwl|*17K_!?`SHSOhEI4kpm31HOaG_q#uVwG=q*)w!d`XxC{Zj|TFqCOZCp?)OmC z7ELL8_`$esXwMH?H}ddoZuf%i+CHTD_^-K_>`=|66LUY7{pV)Uq1kzq+=bAvX%n2< zM;F>O%6u~9FTl1bIeJYN?C1{*g)qyTIDBD8LlJ+oKLWZDs0bD;5(9MeEGyAE?uGUQ$&KD5ylbPxp8HD(x<8_K zW0NVy}R0$EDeN-`Vy2l`|29jaLF!UMO zDA<-~hKk{1s$Slh$9ZTkzdjrDO6Gl`_}$r3|4g`?ZkT7H0nB zA>QAGD-iYDBE)*GCxqEu6+)Ry3Bf#*4LNrL5~8Y!T&frdQi~EuwX!H_PZw-bhWKji z_j(%S^vp7tenKS}{mdmu;ivT2-a>0oUcl)fC(UP0prl^Vxf6mxa^???kel34j{d8V z`Lc{n+`_@IK_1rK%Qo6q%)bO=GhU}o2_&E7Lco|aa_k6IDx_R`}^W+Wozfk0$CUk^_5DDy1+T^_?g;Wg)S!#UIOLE~k!ONhxbaT;C5Zn~`Od${SWFlAiH zDUAqA#o2_(e(uYmtYRbcsr?^d!{n7F|1-=!e2BE2S2H4`?>o2n(Cq{Hz8!yVmz&>~ zVJd?$0n8t9Z%`NKnq-Oz0i_>WJ_>c0NyUR2qW|II{EP3zVXUlPIIO2`ySjVG#I_{h z)`o5WUgnel!F5_%=PlUiW}Q#!;S&Ck$9N4PjZ7{xNb`Y_@1q&NASN%bH)90tFNZmN zo$~pMA6zb=60uLD2rvySP`&eTdMr5%r@WdPvB%5Sm#cBh{`{2E@Q>hU?1(hk@qntH z?}arOYsRl*x&9u^G#pqULf-__8jawVsa)7=l%+$~F0c$sY|#qc$7w{#{pHe+s9Zg@ zv3T8wpTAsB&iaLiswPCV_1(68DW1dM=g0D3XAGORP%gyvEVr;*dY<3Md6l~y_x$VW zV#@Smd^qQz@i6m5`VbwfqF|-~Dkiu35^9K&h%Y-~7Uj+bkz%|D?1XYsale`lohPR| z`t8vz7dC-bod^~Q27%=JD^YIy#{7pRFHW`iP8HKfilX~I)2iWS?-ipnNwk32A4QPV zjMf*xBj5brCiH}xu3uDhc?pyT*z&0#+pKE{bko({Z+G5jm~ZL^Ff72&@ls!H+@y(^5Ue&QH39aq?g z#=gwJ(&nAfInsqbA7;=Hn%Li#T2|4rvwJ*?WOad~Dqj@b>}yR}uu&cz+E9K|BbrT+ zW5DE7kFjEZCwTFBl@`BGw)>TmerNK!jTI8XXy@#=Pd4z8 z9XR!as={+n@wE!k#$B{A?m0E0SK0&hgZ}20yAQ-$CdCM0>}!Y`R>l9Qnhog}X>tAD zSAeT^9l&$BG)5a+@l4S*!bK~ut$YJUffTBkhul>$kR zKvI-{SL+bN^`K9`HR&hyVvv!Dy6d0XzODbs`?Ta;1I078T!&+GItQyCRav@U4=UU` z9;YD{66o0uv;vk ztkao-c)mH*755Kyn&pi@=B<#pCq~tJ8#ertGWz1uX*iD1AHFH$xS5&| z+%;x4oY)uwUrx&QpQaExB|y7cmml!J=)%@5FL2%KWmhKunsm#2L0_1!t4M6Y$HyZM7V`No}}S}!0nF(gEW|M+!1SP+EKK>0c zfq22_tEY>@()wF%-VFRcHzF(W1QSUHye((No$#V~MZTHy+m>SLz)*g!CG1&{4+#Th z40AoLFl)-&BHi`x94o!A&iaTTU#nyEZ%aSPk%7y9$)@}#FBI+JYx@k@6* z8VPi@JHJe)i&w45qzdG8j6sAF&0^EQ_&wF29xI*`b!c^s*lId9Z0M;?C!X zifjER$xXTJIC^n03rhHCZ$s(wxZX)7rh4?C^)f`LfP$X!8jo3RzXv=* z)-&C5ta{Ry6}>z!5zQ6a#Kf-hqIUiSyK)McU-Vr zX0kcwj!N>Z$C=f|N)qYsku}PEjxk~&-!-h%DHR9nN552>2z$|Y`Nk0Y@?6D%Y@GO0 z0gUj8Uj@0+R)Y77*Ba8KKQhUbhxg&1|3dxLm;7};p&iZ4peDk)Asd<~KQo9!fKAqw zpw**3h(@kEmXTX#1wT7~z?}v4IlPKqIMW`Yt(6Y*_fe5~sVn&q?i*+zm@WkFNhMO< z=k?+KYrov0y!W*K6J}#>hGrcGFV{O$>(g>juV4+RxkQ#-oIL2XtvDDak$M z_Kp0`RuYsSTyYh>mbSVu@?Kp_R@UO9t4Oc5j>&V`;%F}M`sk9t`Q85TrGC$4o|`oR zxa=d4N3mzL`pu4%EZ!CezZji9>k5k|=R{VZ?|dwWsU0)qX~h185xE~P@67w`9+)_p zKt3XZEc~NRIKUktKYw%N1e6>z?DYil;KQp9vAX`aeaphZ$NR|&R#pa^>&m?k8QGf$ z77K>8){qk0YpR=WW=#hpwhttCerc>8B)k>lyx0~`Q~8?Po=x}&oD9DeQ+RhB@mU@ld2aN zhG;oyVX40l;Qa^(ysB-{|Dh{J8rR4rnT7wzkUwPU_}BMWPm2C9yL345J<=#}+p?~; z7;>@B?%EIe#ue~L%x2b-DBS!*8uko(E_=&$%z9PJqG>oSyu99y1Fd@#SqETp^C(uO zj;p~LVgmNXr(Ipj)REsypNUydm{_>5P7~({k%n3LN;!e&en${t6N`_K1g**@SUteQ zy~)g<-D0w*3%0Dkwyz(tXO3BCYh-&|k=Wy8QVed5flM99Z2tIGw*4Dx(&vdcT3FA% zG+biOn;_-mgx|~gFPUw!4q(F^w>ba%G*@-*S_`vS;@G-)cr|WdyAa{vcpwE4y=-|V z)w78iVlDcp;Qj#jcN+kK<#$bP5pLaGV&q`7E2ki<$%ah6q9ga#oRizQaHE0#W%8*H zydF?6l!Mtp7|}l=?u|K`c_tRr$^PNTB+Gk~t=qU?AIH>>Lz3zhugp=L=ZMXm+e=q? zP}d)$&EGF0jv^0Lbf>xp)HoB0XE+u6t~e|7EX*XEMDeifY0KxQ31#k47{Aj%V_6C} zgbn3;;rjAcLioaYPS`T!(sE4QIE)$1>;bK;m2Y}Q^Tm1oHpSRAk1E^xxea^=pj=bP z(8DX8Ih<3CV#)i_>Duh=qW<{RBQM3Wt&PQezHU+QWKDbYUcspKZ3`1Ek-MD8Dh=Bg z(~i;_In>Yc_9%H$0DZR51icbq`t8AhdEjCAj_g`-N49`QRo3Aj$*F4!$3J&znt(4E zeZf|u9}kuj-fIh+na3P*1NM6O=>G&9?DoY2VE`j%SKBU`a)^K|<}0uQT_{c3)BKD! zXakav^_at7;+oQxYhR;Xd3j%z9kOFfD6899J?0+5trpVZPTJOD zzoxJ$ouvnnsZ6L`Ehoud`#;$|uQD19V49VOV9=kO0N#tRoueFgZb_7pyiR=&f#}9y z;4PM953z)`(5){Bp*)AegwupIg8m%jxnoSgz){-m_;UUQ1c81LCYcuc?EuSOKpt8u zdPr@53EAz*&%bR*{%S$}L1G=xzpqqE4VREl-Y@N4>T_sV5x%uLvI`GW z({ewrMcg~<{V!h}5V^YBPd7J8<>kZGlFa)9`qz_=?X~hh-3a>_MeE+(MiC8roD@We z2bT-NuDk0(9dA$%faoh_N27oF?BL{B7*Qzwarp*E??85Kr{C>SHS3FJ9?GQr8EeN% zIiVgzv{>b{hk*MLH17yxWXs8K!wQmekIwRy_$ngFML|WGL#K$XI5Ev0$6ZvAM(R_0 zzEuzJJI(s45f@I7CC)kV^NMx~T-eg-RO#gUAVxJ+_C37-ZtO42aDwXjGdDC~2t0<$ z;P%qG?mM1;Bp?-ri#4paPAge{J$~)P7@Pv&)jhI z$7Q0cyhlLYkf)8=5+ZsaLCC=mI*wm_ru4nwwso#+9K}J_y7II!-%8eBa~32yKGk!r z;P%E~AJ@@7VxWUiaz-d5hUbm7R+=prZ|AT`y5DH=&tdjrA;du5`9~xTx0I=oEG_3R z07?_7ji)%$w<1}x?G-JO;9`Rk7rWRn3x}iI!8r5(>`At|xZpI8tJ=Q0Nw7##UyXf} zUn}l_V_%#D&zD9i?CxWf$G9SEWnYOs8jnhPN@f8yJreGQP>gG|-09+2#_H>Np4VB0 zs(fJWd08Pa+3F$q&ZggUC*fyhISgY1-Z+h(wvv4C*uJ9MV#dY9~$J*~)mM^6H z+0OAT+w77X9m`6A+7fig#FWISvb`44wF8od>SWo@>7Z(C2|@Nv1PGKFauMWS7Q&p> zAWL$3L+oR?j?gd~56k5;(1ALpXM0|*-HD>Xwas#BIt6THA#7Rbbk3p;3;h=RPIkrY zqs;|1dtR=Mn7JWbEug|iRqJ=zLaWv}8+c6xzI{|_RgtWPFYM&jqWsN|*`V(Y&jkn7 za8K#r6FRi}4`=c>98l5$b5~#9?4SHc7!`Kj#xK?~14yqshz(e*waYN-qzK0GN^+5% z&86oD>NK9*WYlhbL|xt#J%3{3A1=K;*wMXJ^4vO2p!MkyYvc@~d)DNdHF6dsl`=*t zZa;7p)RZ1)$RIUj$u1}6ZvPEM;*`&SO!l>gpFX$*6V6EGdyOK2Y!$U{HU!k8%5}Ax zt&Lq&g9<}40NwYLd?}#$IJp7T3Y{oLLRtV*^10BZBfnU5*aBzFs<_Pc+H<;UxF)|; z_}=c6Gs;;ath4W3TI*^G^LLCna7X->hVW)^-dl=}&MW$KCv0 z=ghBt0os@0r^fHs5wIF0SG5>3Gzqhpkhi2xX3KJ8EGKyuIGg(+F&keBUw58vr|doF z77PDPD(x4RUuMB{+J`W{$>2z`Dt9+vUY-kd09*+jP*+difNN-EY@)4Ufo|tH=9+LM z`9w-zRD$mtJ}wZP6c!#48O@D}HQN^i_?_e)4^0h;k5ku_P*)uWNcM;JKh&^qRXeN= z)&f8dS3=fGUO_QHX-idEB~T3va-AA=^Pp0;4!Dp{Wq_cyyPboh)6T&|hfU=&wSM_{ z+wOv%HbYb4-dnfWCXDwbDz$+W9-M23|WF|C>_M$ARy*4iXMgOtHq~w&H)U@L#P67aRWbYWC2Q?_hM=;oDL|bO7SQxD~?6L$57`YsP!FB+1 z##gW=-eOJwRc81y&|LuCk%9mh0Y}I#6pkj4tmqv=Yq4;=*Y5@>Y$gI&Itzn+uee%v zE9%DGYgeyain@5Wi^17RMHSly)!rfz6>uO@|>&en!sOLebw)IAWNN_6* zQrjFuqQb0_l%2(wrSNByVf2A#&Y#UvM2rVu2F|)#`sxFU&T=yPgaNEGdN)O{VE|U) z{P9kT;J*QsgCo zmY@KX|1=DE43obKf16bm1XyeHXl(KV2X#gPXpPt|N&$(6a6zI@s0$pJc3JL^MJV--& zs2j^(c8KrBNto{>Y0(u#SOD+T*-(V_Es&aK1TSS}YArbK@V}*vBZ$3T<1+8*t;c|> zNS=Nj2 z0ij2!yuyc$0DQT)JQix0AES@Fb|S&=5ZK}?(5w6j0cbk&Hz-Oz)`T|WOdAy4&}I?< zatntC`jGfF+$5qFD6F?bHsS(N#4w2r7=Yl}^&-%O4N-~YVVodLkOw(}6pbd)RaLnZ3=!cpa|w`DJsw^owJ{eV9?0e_L=keq z;>Z;51xrZug})Y`Z9!T>{TqnfwD5_Isk9wCOo+f zlN9D!^LnbO7!&Yoa!Mp2fn`tELtI^Lf*#sPE8Ss)t01p>Lsb6!yfH*U#kLkS)sdv^ zQeEVXT;qAhL8zRZOcG4+=n@*@}ZQyS@?^_$0As1`UFLg6ijN zA!Y0SMj_|09czeB64P7(gR9E2dgl^)vWT)=qL7&TL1#_uPXXKyX(;>+56$;0Iv@cm zGJQSURGT@N!JL+&OTpCFS^2+Fly_*n#T9qK4q(iDpvY*)h4~V^| AtpET3 diff --git a/bakapi.map b/bakapi.map index cb0614b9..8915c5d7 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:50 +Created on: 15/10/15 12:30:06 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 13fb:0000 0000f070 +DGROUP 13f3:0000 0000f070 @@ -33,29 +33,29 @@ wcpu_TEXT CODE AUTO 0000:5410 00000058 kitten_TEXT CODE AUTO 0000:5c80 0000080a 16_hc_TEXT CODE AUTO 0000:6490 0000158b timer_TEXT CODE AUTO 0000:7a20 00000235 -_TEXT CODE AUTO 07c6:0000 000090ab -modex16_TEXT CODE AUTO 07c6:90b0 00002406 -16text_TEXT CODE AUTO 07c6:b4c0 0000010d -bakapee_TEXT CODE AUTO 07c6:b5d0 00000bc2 -16_in13_DATA FAR_DATA AUTO 13e0:0000 000001a4 -FAR_DATA FAR_DATA AUTO 13fa:0004 00000000 -_NULL BEGDATA DGROUP 13fb:0000 00000020 -_AFTERNULL BEGDATA DGROUP 13fd:0000 00000002 -CONST DATA DGROUP 13fd:0002 00000076 -CONST2 DATA DGROUP 1404:0008 0000010a -_DATA DATA DGROUP 1416:0000 00000599 -XIB DATA DGROUP 146f:000a 00000000 -XI DATA DGROUP 146f:000a 00000036 -XIE DATA DGROUP 1473:0000 00000000 -YIB DATA DGROUP 1473:0000 00000000 -YI DATA DGROUP 1473:0000 00000018 -YIE DATA DGROUP 1474:0008 00000000 -STRINGS DATA DGROUP 1474:0008 00000000 -DATA DATA DGROUP 1474:0008 00000000 -_emu_init_start EMU DGROUP 1474:0008 00000000 -_emu_init_end EMU DGROUP 1474:0008 00000000 -_BSS BSS DGROUP 1475:0000 00000e02 -STACK STACK DGROUP 1556:0000 0000dac0 +_TEXT CODE AUTO 07c6:0000 0000907d +modex16_TEXT CODE AUTO 07c6:9080 0000242c +16text_TEXT CODE AUTO 07c6:b4b0 0000010d +bakapee_TEXT CODE AUTO 07c6:b5c0 00000b60 +16_in13_DATA FAR_DATA AUTO 13d8:0000 000001a4 +FAR_DATA FAR_DATA AUTO 13f2:0004 00000000 +_NULL BEGDATA DGROUP 13f3:0000 00000020 +_AFTERNULL BEGDATA DGROUP 13f5:0000 00000002 +CONST DATA DGROUP 13f5:0002 00000076 +CONST2 DATA DGROUP 13fc:0008 0000010a +_DATA DATA DGROUP 140e:0000 00000599 +XIB DATA DGROUP 1467:000a 00000000 +XI DATA DGROUP 1467:000a 00000036 +XIE DATA DGROUP 146b:0000 00000000 +YIB DATA DGROUP 146b:0000 00000000 +YI DATA DGROUP 146b:0000 00000018 +YIE DATA DGROUP 146c:0008 00000000 +STRINGS DATA DGROUP 146c:0008 00000000 +DATA DATA DGROUP 146c:0008 00000000 +_emu_init_start EMU DGROUP 146c:0008 00000000 +_emu_init_end EMU DGROUP 146c:0008 00000000 +_BSS BSS DGROUP 146d:0000 00000e02 +STACK STACK DGROUP 154e:0000 0000dac0 +----------------+ @@ -71,7 +71,7 @@ Address Symbol Module: bakapi.o(/dos/z/16/src/bakapi.c) 0000:00de main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -13fb:07be+ _inpu +13f3:07be+ _inpu 0000:03c0+ INL_KeyService_ 0000:065a+ Mouse_ 0000:06b2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:498c+ MM_TotalFree_ 0000:4a22* MM_Report_ 0000:53da* MM_BombOnError_ -13fb:0920+ _beforesort -13fb:0924+ _aftersort -13fb:0928+ _XMSaddr +13f3:0920+ _beforesort +13f3:0924+ _aftersort +13f3:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5410 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b50* CAL_OptimizeNodes_ 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ -13fb:0930* _finishcachebox -13fb:0934* _updatecachebox -13fb:0938* _drawcachebox +13f3:0930* _finishcachebox +13f3:0934* _drawcachebox +13f3:0938* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6274+ get_line_ 0000:62e6+ db_fetch_ 0000:637c+ db_insert_ -13fb:04a4+ __kitten_catalog +13f3:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:663c+ LargestFreeBlock_ 0000:66ca+ _coreleft_ @@ -183,65 +183,65 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 07c6:00af __PIA 07c6:00a8* __PIS Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -07c6:92a4 VGAmodeX_ -07c6:937a+ modex__320x240_256__Enter_ -07c6:93da+ modex__192x144_256__Enter_ -07c6:943a* modexLeave_ -07c6:9452+ modexsetBaseXMode_ -07c6:9490 modexDefaultPage_ -07c6:94f6* modexNextPage_ -07c6:95c6* modexNextPageFlexibleSize_ -07c6:969a modexShowPage_ -07c6:9796* modexPanPage_ -07c6:97e6* modexSelectPlane_ -07c6:980a modexClearRegion_ -07c6:9922* oldDrawBmp_ -07c6:9a88* CDrawBmp_ -07c6:9bec* modexDrawBmp_ -07c6:9c52+ modexDrawBmpRegion_ -07c6:9dbc* modex_sparky4_DrawBmpRegion_ -07c6:9f26* modexDrawPlanarBuf_ -07c6:9f44* modexDrawSprite_ -07c6:9faa+ modexDrawSpriteRegion_ -07c6:a122* modexCopyPageRegion_ -07c6:a28c* modexFadeOn_ -07c6:a2bc* modexFadeOff_ -07c6:a2ea* modexFlashOn_ -07c6:a318* modexFlashOff_ -07c6:a3e8+ modexPalSave_ -07c6:a43e+ modexNewPal_ -07c6:a48e* modexLoadPalFile_ -07c6:a570* modexSavePalFile_ -07c6:a5e8* modexPalBlack_ -07c6:a612* modexPalWhite_ -07c6:a63c+ modexPalUpdate_ -07c6:abac+ modexPalUpdate1_ -07c6:ac26* modexPalUpdate0_ -07c6:ac72+ chkcolor_ -07c6:af8a modexputPixel_ -07c6:b018* modexgetPixel_ -07c6:b09e* modexhlin_ -07c6:b10a modexprint_ -07c6:b2a4* modexprintbig_ -07c6:b420 cls_ -07c6:b48e+ modexWaitBorder_ -13fb:04d4 _VGA +07c6:9274 VGAmodeX_ +07c6:9306+ vgaGetMode_ +07c6:933c+ modexEnter_ +07c6:93de* modexLeave_ +07c6:93f6+ modexsetBaseXMode_ +07c6:9434 modexDefaultPage_ +07c6:9496* modexNextPage_ +07c6:9562* modexNextPageFlexibleSize_ +07c6:9630 modexShowPage_ +07c6:972c* modexPanPage_ +07c6:977c* modexSelectPlane_ +07c6:97a0 modexClearRegion_ +07c6:98b8* oldDrawBmp_ +07c6:9a1e* CDrawBmp_ +07c6:9b82* modexDrawBmp_ +07c6:9be8+ modexDrawBmpRegion_ +07c6:9d52* modex_sparky4_DrawBmpRegion_ +07c6:9ebc* modexDrawPlanarBuf_ +07c6:9eda* modexDrawSprite_ +07c6:9f40+ modexDrawSpriteRegion_ +07c6:a0b8* modexCopyPageRegion_ +07c6:a222* modexFadeOn_ +07c6:a252* modexFadeOff_ +07c6:a280* modexFlashOn_ +07c6:a2ae* modexFlashOff_ +07c6:a37e+ modexPalSave_ +07c6:a3d4+ modexNewPal_ +07c6:a424* modexLoadPalFile_ +07c6:a506* modexSavePalFile_ +07c6:a57e* modexPalBlack_ +07c6:a5a8* modexPalWhite_ +07c6:a5d2+ modexPalUpdate_ +07c6:ab42+ modexPalUpdate1_ +07c6:abbc* modexPalUpdate0_ +07c6:ac08+ chkcolor_ +07c6:af20 modexputPixel_ +07c6:afae* modexgetPixel_ +07c6:b034* modexhlin_ +07c6:b0a0 modexprint_ +07c6:b23a* modexprintbig_ +07c6:b3b6* pdump_ +07c6:b416 cls_ +07c6:b484+ modexWaitBorder_ +13f3:04d4 _VGA Module: gfx.lib(/dos/z/16/src/lib/16text.c) -07c6:b536 textInit_ -13fb:1244 _romFonts +07c6:b526 textInit_ +13f3:1244 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 07c6:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -07c6:b614* pdump_ -07c6:b676+ colortest_ -07c6:b6ca+ colorz_ -07c6:b730+ ssd_ -07c6:b84e+ dingpp_ -07c6:b8c0+ dingo_ -07c6:b956+ dingas_ -07c6:ba04+ dingu_ -07c6:ba66+ dingq_ -07c6:bb06 ding_ +07c6:b604+ colortest_ +07c6:b658+ colorz_ +07c6:b6be+ ssd_ +07c6:b7dc+ dingpp_ +07c6:b84e+ dingo_ +07c6:b8e4+ dingas_ +07c6:b992+ dingu_ +07c6:b9f4+ dingq_ +07c6:ba94 ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 07c6:00dd getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -250,10 +250,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) 07c6:01d6+ vscanf_ 07c6:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -13fb:0000* __nullarea -13fb:04f4* __ovlflag -13fb:04f5* __intno -13fb:04f6* __ovlvec +13f3:0000* __nullarea +13f3:04f4* __ovlflag +13f3:04f5* __intno +13f3:04f6* __ovlvec 07c6:022c _cstart_ 07c6:02ff* _Not_Enough_Memory_ 07c6:0431 __exit_ @@ -263,10 +263,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 07c6:04b8 _big_code_ 07c6:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -13fb:125c __argv -13fb:1260 ___argv -13fb:1264 __argc -13fb:1266 ___argc +13f3:125c __argv +13f3:1260 ___argv +13f3:1264 __argc +13f3:1266 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 07c6:04b8+ int86x_ 07c6:063b int86_ @@ -288,28 +288,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 07c6:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 07c6:0829 _nmalloc_ -13fb:04fa ___nheapbeg -13fb:04fc ___MiniHeapRover -13fb:04fe ___LargestSizeB4MiniHeapRover +13f3:04fa ___nheapbeg +13f3:04fc ___MiniHeapRover +13f3:04fe ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 07c6:0903 _fmalloc_ 07c6:0903 malloc_ -13fb:0500 ___fheap -13fb:0502 ___fheapRover -13fb:0504 ___LargestSizeB4Rover +13f3:0500 ___fheap +13f3:0502 ___fheapRover +13f3:0504 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 07c6:0a78 _ffree_ 07c6:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 07c6:0ae5 _nfree_ -13fb:1268+ ___MiniHeapFreeRover +13f3:1268+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 07c6:0be0+ _null_exit_rtn_ 07c6:0be0+ __null_int23_exit_ 07c6:0be1 exit_ 07c6:0c02+ _exit_ -13fb:0506+ ___int23_exit -13fb:050a ___FPE_handler_exit +13f3:0506+ ___int23_exit +13f3:050a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 07c6:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -328,7 +328,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 07c6:15c5 __doclose_ 07c6:170f __shutdown_stream_ 07c6:1729 fclose_ -13fb:126a+ ___RmTmpFileFn +13f3:126a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 07c6:1794+ __ibm_bios_get_ticks_ 07c6:180b clock_ @@ -337,7 +337,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 07c6:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -13fb:0098 __IsTable +13f3:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 07c6:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -372,31 +372,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 07c6:240b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -13fb:0516 __8087 -13fb:0517 __real87 -13fb:0518 __dos87emucall -13fb:051a __dos87real +13f3:0516 __8087 +13f3:0517 __real87 +13f3:0518 __dos87emucall +13f3:051a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 07c6:241a* __exit_with_msg_ 07c6:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -13fb:051c __curbrk -13fb:0524 __STACKLOW -13fb:0526 __STACKTOP -13fb:0528 __cbyte -13fb:052a __child -13fb:052c __no87 -13fb:0539 ___FPE_handler -13fb:051e __psp -13fb:052d __get_ovl_stack -13fb:0531 __restore_ovl_stack -13fb:0535 __close_ovl_file -13fb:053d __LpCmdLine -13fb:0541 __LpPgmName -13fb:0520 __osmajor -13fb:0521 __osminor -13fb:0522 __osmode -13fb:0523 __HShift +13f3:051c __curbrk +13f3:0524 __STACKLOW +13f3:0526 __STACKTOP +13f3:0528 __cbyte +13f3:052a __child +13f3:052c __no87 +13f3:0539 ___FPE_handler +13f3:051e __psp +13f3:052d __get_ovl_stack +13f3:0531 __restore_ovl_stack +13f3:0535 __close_ovl_file +13f3:053d __LpCmdLine +13f3:0541 __LpPgmName +13f3:0520 __osmajor +13f3:0521 __osminor +13f3:0522 __osmode +13f3:0523 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 07c6:243e+ __fill_buffer_ 07c6:268d fgetc_ @@ -405,252 +405,252 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 07c6:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -13fb:0546 ___iob -13fb:1272 ___OpenStreams -13fb:1276 ___ClosedStreams +13f3:0546 ___iob +13f3:1272 ___ClosedStreams +13f3:1276 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -07c6:2cdf __fprtf_ +07c6:2cc6 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) -07c6:2e32 ungetc_ +07c6:2e19 ungetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scnf.c) -07c6:406b __scnf_ +07c6:404e __scnf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -07c6:4331 __CMain +07c6:4314 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -07c6:4397 __InitRtns -07c6:4397* __FInitRtns -07c6:43f2 __FiniRtns -07c6:43f2* __FFiniRtns +07c6:437a __InitRtns +07c6:437a* __FInitRtns +07c6:43d5 __FiniRtns +07c6:43d5* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -13fb:06ae ___uselfn +13f3:06ae ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -07c6:4456 __DOSseg__ +07c6:443a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -07c6:4457 __Init_Argv_ -07c6:44ba+ _getargv_ -07c6:47ea __Fini_Argv_ +07c6:443b __Init_Argv_ +07c6:449e+ _getargv_ +07c6:47ce __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -07c6:4814 intr_ +07c6:47f8 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -07c6:4828 segread_ +07c6:480c segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -07c6:4913 __LastFree_ -07c6:498d __ExpandDGROUP_ +07c6:48f7 __LastFree_ +07c6:4971 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -07c6:4a9e __nmemneed_ +07c6:4a82 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -07c6:4ab0 __MemAllocator -07c6:4b7a __MemFree +07c6:4a90 __MemAllocator +07c6:4b5a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -07c6:4cd7 __GrowSeg_ +07c6:4cb7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -07c6:4e4d __AllocSeg_ +07c6:4e2d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -07c6:4f3c __fmemneed_ +07c6:4f1c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -13fb:0022 ___Alphabet +13f3:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -07c6:4f3f __lseek_ +07c6:4f1f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -07c6:4f79* __dosretax -07c6:4f7e* __dosret0 -07c6:4f85 __set_errno_dos_ -07c6:4fed* __set_errno_dos_reterr_ +07c6:4f59* __dosretax +07c6:4f5e* __dosret0 +07c6:4f65 __set_errno_dos_ +07c6:4fcd* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -07c6:4ff8* __get_errno_ptr_ -13fb:127e _errno +07c6:4fd8* __get_errno_ptr_ +13f3:127e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -07c6:4fff __GetIOMode_ -07c6:5034 __SetIOMode_nogrow_ -13fb:06c4 ___NFiles -13fb:06c6 ___init_mode -13fb:06ee ___io_mode +07c6:4fdf __GetIOMode_ +07c6:5014 __SetIOMode_nogrow_ +13f3:06c4 ___NFiles +13f3:06c6 ___init_mode +13f3:06ee ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -07c6:5069* __set_commode_ -13fb:06f2 __commode +07c6:5049* __set_commode_ +13f3:06f2 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -13fb:06f4 __fmode +13f3:06f4 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -07c6:5075 __chktty_ +07c6:5055 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -07c6:50d4 __freefp_ -07c6:5175 __purgefp_ +07c6:50b4 __freefp_ +07c6:5155 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -07c6:51b6 __allocfp_ +07c6:5196 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -07c6:5366 __close_ +07c6:5346 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -07c6:539c __flush_ +07c6:537c __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -07c6:55e5 fflush_ +07c6:55c5 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -07c6:55fa tell_ +07c6:55da tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -07c6:560b lseek_ +07c6:55eb lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -07c6:563c __doserror_ -07c6:564a __doserror1_ +07c6:561c __doserror_ +07c6:562a __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -07c6:5656 _dos_open_ +07c6:5636 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -07c6:567c isatty_ +07c6:565c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -07c6:5698* __get_doserrno_ptr_ -13fb:1280 __doserrno +07c6:5678* __get_doserrno_ptr_ +13f3:1280 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -13fb:06f6 ___umaskval +13f3:06f6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -07c6:569f _dos_creat_ -07c6:56c3* _dos_creatnew_ +07c6:567f _dos_creat_ +07c6:56a3* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -07c6:56e4+ __grow_iomode_ -07c6:57cb+ __shrink_iomode_ -07c6:580c __SetIOMode_ +07c6:56c4+ __grow_iomode_ +07c6:57ab+ __shrink_iomode_ +07c6:57ec __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -07c6:58cc vsprintf_ +07c6:58ac vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -13fb:1284 ___env_mask -13fb:1288 _environ -13fb:128c* __wenviron +13f3:1284 ___env_mask +13f3:1288 _environ +13f3:128c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -07c6:590e _mbterm_ +07c6:58ee _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -07c6:5961 _mbsnextc_ +07c6:5941 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -07c6:59bc _mbctoupper_ +07c6:599c _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -07c6:59df _mbsinc_ +07c6:59bf _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -07c6:5a31 __ChkTTYIOMode_ -07c6:5a9f* __IOMode_ +07c6:5a11 __ChkTTYIOMode_ +07c6:5a7f* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -07c6:5b71 __HeapWalk_ +07c6:5b51 __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -07c6:5db1* _fpreset_ -07c6:5ddc __init_8087_ -07c6:5e0f+ __default_sigfpe_handler_ -07c6:5e64 __chk8087_ +07c6:5d91* _fpreset_ +07c6:5dbc __init_8087_ +07c6:5def+ __default_sigfpe_handler_ +07c6:5e44 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -07c6:5ed4 __EnterWVIDEO_ -13fb:0716+ ___WD_Present +07c6:5eb4 __EnterWVIDEO_ +13f3:0716+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -07c6:5ef8 __flushall_ -07c6:5f8c flushall_ +07c6:5ed8 __flushall_ +07c6:5f6c flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -07c6:5f92 getche_ +07c6:5f72 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -07c6:5fac __ioalloc_ +07c6:5f8c __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -07c6:613a __qread_ +07c6:611a __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -07c6:615a __qwrite_ +07c6:613a __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -07c6:61ba fputc_ +07c6:619a fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -07c6:638f __InitFiles_ +07c6:636f __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -07c6:65c3* fcloseall_ -07c6:65ca __full_io_exit_ +07c6:65a3* fcloseall_ +07c6:65aa __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -07c6:65d4 __prtf_ +07c6:65b4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -13fb:0718 ___IsDBCS +13f3:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -07c6:7744* _ismbblead_ -13fb:1290 ___MBCSIsTable +07c6:7716* _ismbblead_ +13f3:1290 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) -07c6:7760 mbtowc_ +07c6:7732 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -13fb:019a __Bits +13f3:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) -07c6:787e __FDFS +07c6:7850 __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -13fb:071a ___EFG_printf -13fb:071e ___EFG_scanf +13f3:071a ___EFG_printf +13f3:071e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) -07c6:78f5* __I8M -07c6:78f5 __U8M -07c6:78da* __I8ME -07c6:78da* __U8ME +07c6:78c7* __I8M +07c6:78c7 __U8M +07c6:78ac* __I8ME +07c6:78ac* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -13fb:1392 ____Argv -13fb:1396 ____Argc +13f3:1392 ____Argv +13f3:1396 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -13fb:0722 __amblksiz +13f3:0722 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -13fb:074a __Start_XI -13fb:0780 __End_XI -13fb:0780 __Start_YI -13fb:0798 __End_YI +13f3:074a __Start_XI +13f3:0780 __End_XI +13f3:0780 __Start_YI +13f3:0798 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -13fb:1398 ___historical_splitparms +13f3:1398 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -07c6:7a40 _DoINTR_ +07c6:7a12 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -07c6:7db9* _heapenable_ -13fb:0724 ___heap_enabled +07c6:7d8b* _heapenable_ +13f3:0724 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -07c6:7dca* sbrk_ -07c6:7dda __brk_ +07c6:7d9c* sbrk_ +07c6:7dac __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -07c6:7e42 fsync_ +07c6:7e14 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -07c6:7e47* _frealloc_ -07c6:7e47 realloc_ +07c6:7e19* _frealloc_ +07c6:7e19 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -07c6:7f41 __setenvp_ -07c6:80cd __freeenvp_ +07c6:7f13 __setenvp_ +07c6:809f __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -07c6:8169 __mbinit_ -13fb:0726 ___MBCodePage +07c6:813b __mbinit_ +13f3:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -07c6:8244 _mbdtoupper_ +07c6:8216 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -07c6:8252 toupper_ +07c6:8224 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -13fb:0728 __8087cw +13f3:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -13fb:072a ___Save8087 -13fb:072e ___Rest8087 +13f3:072a ___Save8087 +13f3:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -07c6:8261 __GrabFP87_ +07c6:8233 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -07c6:8294* __init_8087_emu -07c6:829a __x87id +07c6:8266* __init_8087_emu +07c6:826c __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -07c6:82f7 wctomb_ +07c6:82c9 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -07c6:8342+ utoa_ -07c6:83e3 itoa_ +07c6:8314+ utoa_ +07c6:83b5 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -07c6:842d strupr_ +07c6:83ff strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -07c6:8462 ulltoa_ -07c6:859f* lltoa_ +07c6:8434 ulltoa_ +07c6:8571* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -07c6:8610* _dos_close_ -07c6:861e _dos_commit_ +07c6:85e2* _dos_close_ +07c6:85f0 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -07c6:862d* _msize_ -07c6:8648 _fmsize_ +07c6:85ff* _msize_ +07c6:861a _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -07c6:8659 __HeapManager_expand_ -07c6:8b62 _nexpand_ +07c6:862b __HeapManager_expand_ +07c6:8b34 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -07c6:8bb5 _fexpand_ -07c6:8bb5* _expand_ +07c6:8b87 _fexpand_ +07c6:8b87* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -07c6:8bea clearenv_ +07c6:8bbc clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -07c6:8d20 __Init_FPE_handler_ -07c6:8d59 __Fini_FPE_handler_ -07c6:8d90* __FPEHandler +07c6:8cf2 __Init_FPE_handler_ +07c6:8d2b __Fini_FPE_handler_ +07c6:8d62* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -07c6:9022 _bfree_ +07c6:8ff4 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -07c6:9047 _bexpand_ +07c6:9019 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -13fb:0748 ___FPE_int +13f3:0748 ___FPE_int +--------------------+ @@ -666,6 +666,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00023020 (143392.) +Memory size: 00022fa0 (143264.) Entry point address: 07c6:022c -Link time: 00:00.00 +Link time: 00:00.01 diff --git a/exmmtest.exe b/exmmtest.exe index 7f0f2364066cb74c3b7e43280a6f68209baf1633..1bee195c01605d32f852dc0264b645b285381f7e 100755 GIT binary patch delta 25972 zcmW(+cRUpS8^67rb7!1!_MX{$ZxRmKyC`MGod&u?`O20(qB;&q zLe~9y{XTy@ug@RvKcCP0dEW2m`4m0`-F^t7IQ!uN4geqk1W4fLaGvq}yZrx%{);?- z8AQW?T~lE$ZCkv&hMLn2g#z-%po6eH=E3jM{Ug*UUTngT>u)MB7z|7Tj{g7#Fx07@ zJ)T4#DQ++rxpBw~8PWue0(Jl!Ie^^*0N@74A0?N73Pb@oVWFf@^(qPw2%LSF3Ty(w zZo@a}_?tIuA&tQHx6ocNJRi=>py98-8%7L)fw$k4p-JF>STSq~5ZL~%1*eFhyo4?^ z7BJ8wCxnE3j`~l`yTuxJ!f!>)|Q*8e8}37z~|ncfVgI4ul}46ZHBO?&7P|NUb4t|X(muiWijzDWLZer{22x-KhJM4w;RAt7-^ zgcr=U;;l>A6hV3?jhX6((kMxA4Q7R^eBGJ}E7X;%0|o(puu@!M;G0*#9xYDxTl{Tr zJbg`)s%=kO`CX0dB*DZ_=&Y&+&ZvuK$q{4R=7>s!dy%3|V}D#SDFmsqTEMxkQST@T z)*WJg{>sjkKv&3mie|M<#bV%Oc3bq0w9L^^)X1~lsx8v?7La65`Qyg{9cU!?^>f{` zW+P&!Gu=5=Ss2Tib^tT>$!#L%U$smI*+}2oTa&rh~r`1a7BVmHW)&7zg&`BWroEp5~>f#C0AUn z#roEXi}bwpunkI%)b$0DBPC62W?e&EY~2x+%quG9wUZOgo#jACu7t8T^(1oTU5FMK zqAOEWhj^zw-vxt>8b9XlEc97KMEmMUl1s?visw$V>gy&{670cY8^4D{njH69lhnO6R^Z1Y~jktbfPIf2Fo zhA2jMh32&*0AFy%UNfu4Hr8+evo2gr@(o^5GKFYa&qy>3@qob-*Djx14^G%lJJx=b zySI7f1V+-ED z1}$851?63tkf-TRf{z8n=%>}>T<%N12UTZK@Z?h1G5SWr2~5sZ)6x{6WxBv%{G*d| zV;zrD_XSMGM*))ul7y%xWx3|1M-(T9g$3e%l*k-?pd7^+wa%Jdx09cwLqX1G(?J;t4NBsHqimYC(JB4Z-t`}jFD~4%qIsfjOOD}9C)_ut_OSc7_kf%U zJCwc-CsCy(yjp$^TtezAMM<(Am(vVFh7k(rTbZ;^?% z3VAYqUM%wqs#Qc2jyl*PfbSNxkgGjpC`Y?!UX@!fr^`(eqQ5rJ*DWMQK)eE46DKaF{--?!8Cb;5s(6%O3iqIi%mn;faAld0<|U==33iY2x}O zbQZHC5Vz;|a1DIxwfTjt^Tyv|UEnc@=J2O>w0thT-x!<&dha)F_lOr?c2b+hkH+NE zh1H2>?6auU!J4L+c;V4cDg1@Uca^p>+*Z{>jakcBwIE=&RS9;K*W=G0EFB zjvwFT*)?rFMC-cZBm{I^)L}m3vtz~z1AtXAc?EFbi^7?^>@AJG*zW<3CfL-3JnL~w zX9%AxNG~y8Kq5|MEJ#@YO}7=}eiO^nNTHUZJH%?arU^nalNHW9ZPzz6d=Z3|` zk!?QTQZBapPL~Wr>(;cjZ`Ws`YJ>AfX`j;b23lH>?K4MzkTx(uYN;I+1l3u!n;d)Gh_i*>o93qpQpVFe z_QsJ~UuhaQi^gICktk5*@_U(xA3I)7Vnv@HNOC4gC=enBKOmcXBT`B@dp1PFc6&|OVNh&&KbQ+`LhGgW3&+~r2s=T{{M2MJ(u@Z|<%n|VpQ zP}Pr`(klo*j!jP2T*u0D3EDBr-%OuKW2i@J1&u{Nj}Gl0y?a?`2?~y|b&_<+*j4Q{ zoJlMNX5{S>SCw{**&abLx+(FgL0rT3 zF~Q!L??1TOzJ8UPp!F0jOZX@UK7QNNg5uZyOwZ^o&5Mkrkf6pfI1^rN(L?`57(2s)sghks{o>D@tBMCswzCFWtv16xG| zo&m;Z)SH4U_u#GOhOD9i6dD*9<&@Yb&3Cz8}*-l5?b z`7iK|$CDIOFd!w;wM8lF_ll5&8WN)RaIqH+jhG?e4O>^qTy!j#*7wLDU8qX+nW{LB z*9(Inj{l$aY_$9zyu^yoZ=JgKXgF;?ol2ZCTRJ?D#HUiS#aVJzpf;5nq80b!nNpRR zd9Nt~@~k`bQ`b}Zie(|IYezb56rXS-26!NO@Yj0?H@5v3tE2ip4bzp^4jIZYP6WjB z@o9#oqUB7u%G19K;vcFP%pd+mJf?lR;v|+~=_qBe(qVX2oZ2zspp@>B`o%9)1||Cb z(hadD5%@DoWz1?IV)0k~Q)lg?e?LK3tYI__+yGMvKV*~KrU(_=ZHJ|!x(1A@uSG90`n^7$FSYns_kQUTbBU~2p3!M`hAmO zV!X=(s~mgaRr~$$?K!p?MvxfC@Oy*M=5V#IpbaTIv%5*abm!7eG>^_RfnH#nUyl4e zafnE2_?#F|0iACKrDtd(xNDM^$J8P%c#iuyo^f|T`)oEz^Ov~}DbVuzcbqgfJIPGH z^$jwkez8v`<(IsLP-@BUQ(ynTTMkRARuuMid1^R}zQ1y?g0zQG6)VSuamw-K=9Y_e ziaEW9>fkKpEM3tzT-!0e;Wa!g&h(l-XP!?TmLzU6pV}|8@61-gM=1%z<8H6+kEuCE zUNAI8`-@?S0(qH5pd&8*0HkZ_bUNU2@n7RQukXUG8O|*&W+DAEn^BnhRAx&nZ`O`0 zELCddxE59ceC>uA@9-;+M|42)Gq46lN+>-bUPL4jI@`ds4VV-Gll)u)!HHWr9-XUy zLoy>dC(K$rctPqyf*j4$S6U4$*A71O!HouBXCQUt;LkSxcV2L~#*s@)lAHw_6}J-} zNP~-!Qz>aT*Yj*qs>P6geN4bJ<#aMG6Q9*za-(pT-e-JK zf3-|HpWrx^KkB}W%*cm*Vy4Ch^CYUwH2~I)fc>E1$I%-xqrBoz3iV1#1D;Cwr~TUE zp?2~p8=N0eI|ixfRE<#fYEn(ZNA)vR=G%3d+6xW%Dg^Y`Sv<|xZ){*Ktbjb4_|C~# zrb39Bq2+r!B$tzIVqJz9qA+)75cJyyKNZr>w`Cgr1UOj>X5?SJy-NTzi3x1;OEXOK z1g)Mp@k0QTVqb5W9GkK`wOAn+ZUXK@be|Cz$lNM?O}Q`xXp*jxHyPFaY5Gfc6sCxvztB zpvcy~YCX%GzO7h03Y`2b^yc*WfVk(^RaQBot=uephtcUq-vUZcn4E4xpcBq(JHsN6guHT5JMo=|(*q`{h+XB=iu zQ0VeEmeOXbZx1+1#L)^r+i{Fc+g|v4UkmVitN_rZJ9R8|dLJagOmX>L=Y(%Zb<3M{ zC};8tU2!4iNe+9XZ+sNB%c0#)p$+8)U=P~X)7y%8Zh7`n_(eC9VdVKEcJb5SE3N>I zM^)qj4_D=>A_HjryWA}K6 z#*()_iXrY4q;rZrLSyjiz`1-29Mw>QlQ-rVX$K^^NBU&M!!(z21_F6(t*+!hua(E9 zi>-@UnM7O$r?#gQn)3S-Y%}PbfcHy(GbZ0J)%@kvba|M`1sC+o{QK1FHr;eS()TSUBhYM~qP+Tc9}?xlR!!b48-i6fU@yn;*@RWTwj z9oK7yDgGr!6&QIX5qJAum|ww_b4imVOR3QBLHQ$4DMe?I6$04l13u$pawQ6zqQceG zy^#~N$s_iDOWEs?%Yx9BQ_-wvjjjtKnM83mB>G4z5tT$#%ZKNo)yHO0S*@J&phJzV ztT~Fi#v(#6+5a63WUw# zYoysf_A1rbx2wS?9$kt4;HGVLRRn8E`m1x|4P9rTQcAOu?foM73%o(+@c7Gnk8V}n z1t)wPvHjFs8aBcNHWuKzs)Oy%sc6;FZImg~<0~TqMJth(vBO`7+72`)QWw@a^-$W_ z+Z6ru#a^vEvU5c;l_0PMQa)<7VUZq`ragceK7#t_a^W(t3+J`Pye;&l)GO?^e!DgI z%}BMIIQ603)F1ITCtG%IsyU2f^tFI5h0u8>5|3RxW0H&JG@V9r0UGa~z9W6qR*<2{ z3H0C`ONPv%H!NwnH!KJu#FJ7@H0}Hh(~d`3D!Jo(`t_&eFbZ!NoQ%im{ECY&e=}0F z^CcBJRHOk13d!2{C6OE-%W848{%ViV@e{&Xt5HRZ4iA>Zf!*0pC$c(U~)Q<18fbmC=>h=Y~zLaEMBP#Z7HV z+zC$G(rw@p5wuj>49W=p0Z6orwR@1@5DU4LV5EMNWT1Y7thd($kk09GdpZe(b&&5Cr0I(y z8JCiiU{wjBJu#w_&#g3V$&)2Rd&i=;K?_o#MBxv4@kWXL4f&H4ttn}1gb;R;&j=JA zww?!S`gx@elXz-2>Pq~{#M+av%!-tSrX?jaP)%gQX@mq@mmSi%lpS?Y4QTRFO4SQ$ zlEogTwl;Z_u?9`StwQi7Xr+p3YPX-P#n|Ue%drMk%f&8b$#?@ftYM)Ng23`c7}Mtv zCJ@=sSKzaiDwKcNG-ZoL6M4ya2?ea~xhp_S(~Jf=z12l#4V$Q_iZx7-M~LdGT;UeH z@=omf6;+fy<0 z0PCi)ATpwpUmvwZ0L0?B0dXlp<*#4@OvW!L08ZHfJ<2Xp1cwH1A(4`RDFA5ZE)uZc zQ!+5A@b%ZNMt`CH31qjEqz1ul7HF0aY{AtJ75GOOa)!>s$cu(8iM4ZrImdOUS(%D0 zPWSn*+1RiK{*-&0yP2I-)GV9)=O_w-H;C3|bM_W=|AHN5x(nCHD>pe~rldx{oCVmP zGQ3q#k`~QTQgGy6w;;OPG$!TQ>G{uOq(;QbR?}PKJU{%(4SFve=&rJpJeZsK9$1;C zDPlSoRPZN6KjiXzW*##vU_JdW-EXiX$(+LVzrb!&Ic3Ar zqk)pQzpp*hY0s9huDY;w^&VjIs-8eNt9^<#C^+7-WBi z=1g$)+Yd~+5)f0hn^fY-+jMMQ*p`g$Y=xXu>2AUM9VLs{_)fcDv_E8fGL!dD1xca_7%|K$Wq))oSBxH*0Th0 z`s0lS4n2<=L5JSw6ksK?&$iaw4cr2Htw3&7H;*wvML_}$H z1Qf403q4XTQA2^$+r2qdGfd-CR~z#-*C2?l+B&b)0|9)sdqGuFlK=fa9vkkm%ZN$! zVEB4$RG2~f5WBTzN$8i}*op}h;p0GDfgC-q0Jk(9Kh2Z(C@A;&PVp7}Q*<$YzrMC- zkr#t~JP8eAkIbmguE;#VKYxaVWuOLht?p`eO?p60t0-{^r?4M!IjMr23@1QzbEk($ z3>_lc5--DdG&*$+$$u&pP%tl`4DaW^TpB4|@;;FPF-LPO_td?m{ePui*1MJbNV>-| z)B#~SUabjjVGIEWeI?xjit^NqU5Xq(I+;p&ip@$%xdkC5?GUatQc{bNrZ=ZKa$!fB zL4W``O2UyNfRhh)LZgUBEW$399rU6AxZIF{W`xTz52EGaaRC@^d5W-_;dM;^mts*^9U*%7K-O zhX5U2N$hA+=GnTVH9$rqb)qEppTk;TRTjuBH*wJJV4c4C%ya84XxzY9Qrk0i{W(Qw z3$!>5(;i>EaG}b$rr~B&p`cvihV_wj=PP#OJ4*SaIOzzeS5YP2Avb$1w~3WgBl2a` zJ4d~X~L}?EF(ys`P$Pb2HwA?^p*Y-*lCdbYr7~uhwgRa1ekdWzf49x= zHDdkh$?H2@qA;6vO?i*q3zUqHmu>1udw_)7b;Zz*ys~q0`+*!W!FQlK+M&i|vL3{c zL(xoM(=bllY)i3Uy|5j#2^6?m=JY%rKe$GDe>@Ifex>C$VMf<788rj(qd;ej^x18b z$WY9WOFQ1|YE7n=t+C|0%1DCLBPQzToTT5AHJpKixnk|m8b0s}Wf~Ea)f{aSKr+uJ zenKoG*Kp^USyLpPNIY_*H44d1*%@G9(Jz?mX#2h4G zO+ph?7@=gPh$i;ErO$whIWC1Q4vL*;LP|iHRK!=F=jLWpr_ZC|NF0HSz3;k|2r#*D zwtjMc-LnY4Nm+Bh1oh{LmNu+g?)iot9xD*p&=a)WsREU?>jZK{Prh0@(BzmTk~L?E zTubtt^9(owzEmg1cZy6wp_h1-@i%yXq7X}P4g$e&S=!G!!le69MrRqNp7)TERl^|E~9 zYKqIQ!Mb_HHv_Jlmub9@@6*%Fc}%!t3o|&B$%zhhXEsFR_r3{=v?hCI(lyZX^wr&V z_VArs3HicU_YMO|By?-KNE*7;lEHMm%`}wWR&J?l*%rwX6~wih2V$Rb-Ew{9a_5Q4 z-`~#;|JiWDAJfMGA zsaI>YI$3%F{%g>cnDsycl+Y+@h+_xqU$hA?%95Lm@no}PXKlp#z(=oqm+2d5JJvNl z!fwbGzN5?jEi~Op>uC`@c4MY)i2Y6`Nr6uQflPpX-(Wz-Tnz+r`~wG32wcrO_G$pG1b$p*r?HxxE zOUeeKuTudz(a{dPlcyC2Qd!GlB(pf`lfFUV`*j_$eleO(v%Y1R=43eUOOl2y-xq}U z_2A?=oq?DwicD?m*~I&^niF-)z;gxYuM9k$QMHLgbo-kc>8_2uFl zZJshQ^|!Qj^rKm^PWID%uj!y>UhJb&=xv!~LjmP;_y{|66M8_TFP?p0e~g#SmP2t-NbCW=({);1w&-_hqw0Rya=mep zdla;3lyd)=OKwW0s6b)6{zbbr3wgOUWKPh=;w^!S0%jid-RzPqT%XF-&dkS0O-t&Y>A!sQKH3D<1EPQFO>8(z{v^E9UF#5M! z{fK@$?K9F*5EZ8SPXSfJQf+fj6}J9?9;r{PO#jzG=ilKO%VUO{V48wHp0cDyIJeKA zSWOHTIpH5H9dC4+ATQbWk3Yj2(nPq!h0g4C3zNKrgnbMJOj(tL0@8=0w2xk~cFUB} z{)nk5me8OjRjGZhiI1+6Gf!vh68w@9feB?M9@H`{LTiO}*(a2H8w>YUv~C8^)l<6W9Y zv&JAayy!wKvIzL_yz7x_Ed)h-rw+k@3*z}MmLdl3^x zr!AD#?9QwcHJI)R+ajlkYGrb8`f6Q4)X+ruDNOV!>lMc0DW!C`Na44ZG0fb|epN_G znCqW_Z+P{+b;gHqKEurjIqEAuZQXcYDg*$+O z&q9yAx)-E-T5}{U`11BPKL2#N<1hQ-{BWiCoU~O43+DMDHX5Iwn(fh*f2urdDVjVl z5RziE-L!s6_C@5+%4~!f=x;sPTfjo#Wp``%@jkVle17Si367H<$>oRtrrQBZ zXoCyF{U%AgK6bX}hEJ9Yckt$?+F6gvUS_4?@-L3c#LPzE)a`BzKVF_Wx;T-Kwk>^0 z-?2m14jZhi+TB6Rd<=a(2q?MoDK@N|RE`Z5w&?s%_ku0fVz8*>GeuaX?KRKfz~`I7 zi??|~{~I*iSp52WF-I6aiJMM{%5eoI~a)m&NaBtk-GT$BJ5K7Mdrjsyr7Fe zq>NHSq>VZ3nnD2QwNQPi%?tZYM^Di&!>}Wo2vCO2M7vz2^#{8hfyc4`rm`)sQ*DZw z<+d+yS57oF<%csv)hXnZ3=9!id#3PT$MVTGJfPO2S*4*RDkUVLBMymc4j{InMP#CM_Dst~qU6@G?$sCn0(M*faPL@&w zW5Z8vgJvlh6Y8CX@%&+ithbV;OaU^D8Zj#exG=@9{4uv>6=a7rq8|tJe6$H>j3X*A z+`!_hU-bwhvwL+Qz_|nN--7FT<0^1S%_&CYDdAs%h+|J)-{3EA)M&QbeJ~rddF4Tx zhF7Y~ztMEc3f4pTWZKn4e~;-|7nKPJ;pUz&(u4AY^74mppg=!3_Kl0XuKJ-@m7>nSjeKo#jikCkvqSDRSKsi@eW=cNFD67J`Ph!$zK zP?|$30H)tLhv#>oV1Vpl@dG6oU^M~S1&@a1maUXue>3>*jx7Tu{7_Ap%Ax?(fwY$R zw}sZALs%muF_Nx#Gt2$)Ktfze?yNw?<^DKcz?h+Qr2Sz+iHF?P^J}vC==QOW#2+h- zEH!=5yaA|XE@%Y<__I&9M+NThCV5fnDIJNsbP{BkU8)fY)U-Y|(Ze+pRSPMZ6=&zM zbz7D);oVXr(Q@I1tvkJT!1P}c@;o>F3OU~oaK=gSOg#TU6Ik8na6!_nauL=r2+04Q zNavgfGx>!D&8^&ydfG#`(M_g0OuzKaV&6QDd_k={nsdXCuPZj zv*fBi%LHt5#S`9BAE6Ck2t5^&tBV4XM;pS z@PlgK#r6IRDzTMVhICP2XTcQ$TmaxinBj^PCqMaaV~F1Zm{+LF7k9|RZhQeyvoCao`E$HO zNhf2YC9Qv{TdSxLo4wu1F>1%Zo{0`G|Ih4*BvH9aw=e;Eow6KvG5)1cv!tT6lB7rW z#UrhIs*;W|CuJy_h6V;|T6-EAT1S)t6iFA)W5*<;MH8x2Vr=#T!@?a-oJ{Ahb%{mS zVPnY=)`iE!i27y#Zq(JitbaRvXVK|xJk-<$RT53S*-<`6d=4@Wp27|1)fFuWc(Dq% zh6a{_5lUGnDT`bTb2{drnW@rkJP`kkJ8G`}4t3tnYGG1>pPoKZ?F%doUSk|`Ebh!q zfK$ir`upa^YK>JNJP6q!eSr>;k5%-bsY|s&g0Jj(tg%_$32^>CTXzhbgHj(EMMHVj~%u*?3 z|KYhkU}7~yYg{og^+VyVjNGOP8ZHo#-oB-5J@&v?aW4GPw@qUB?R8elI#jYQ`*khu zOAf};_q2cm$oPrd`UP*qd_3ZXdUTbs%9Ykm`y>sK5pU}mi6o=b2$WX`0gNyO)9>hZ z7(X8^p{o8P?>oTES42h}6b_LorS!~5}=Z5!kv=7tv}=*2EF^ils#RoP?sf&%`^+Tj_`!lio9M5>g~&XVKS(F(@H0 z)KY7MlJ~_F13V}vG9~YZi?m7~lN0$W(2-cPOXObRzM@h5Zby z>%Pb}9qC)c53bDCQnvHcV0B$<`Qd5Oaw)CbB;8uGoaudbL#y?N7pk~Jjrp{sZ{Fx= zrfMC2LcXZh0!-Uk;8(MZ;K~X@EroK@?7j^`dYQJ>HODh09*CmoL@BFkZ|MTq{VV%7 zJ)QFQV0oc8WWg<5*bA&@hYv?3uQT-lkGr0)LWSF0cKKE*UUcU*?@svHb?RxL#g{7L zJ3w7cwuCcIqlVL47?B?J$dR2@)TCxXXyBKgFqecUm#8J=z>7>am7H`M6-_!hfC0%GoGUe+0N@dy(@oQYs zURugIIt5a=IWWvfVxS$+UPA*bl7WLa6`085D*=Rik8sVx2$DAg7M8SibtV7Ing75J zw^-3DkOSBj^#lIZ1!*|TX>cXKo|hTDs6Mee5yNfj)+#vsFlJl}iF}-SL)x#8SEb|+Fb-{R}{LO;F;-#@5im#Kdfa&(3q`r9nrhu8G{-u}f_Jjzt z>=xg~J)cdzaoi1kt1Y20CQEGHNgH}2ZrKB;TNQ=o?pij6uaLL>FUK+T^G0VmKmGI9 z8DHaQAc{{gocF4F;LMPyT(ufDvne-GGpb`#e?;%nma zuAzHfz1P*I-U;WI@>y-2;QMI@g^J$k90mbC3?LZv|o!UcdN=u$pE^0-X= zaS&aZyZR~XWWl_q7@VJWwX+0FuG{P{4{^Om`L@t|a)IBK##z*VZ(zIhIwF1x$G!c$ZeZo}gihXn4GJ&Zg|dOHLH4 zOyA+Eb;=!u2f8;PstC4^(l|~a!#hd_7m1GcH)?b*jrbY8j5lEXAcTO>}vgjbbU2u_Y z=|Kag8$VSD45nRcsmNG484C|u5pEs|nNX_erYE9Y4!th?WN}4Tww~Q$-*Y`;d;Dnq z0LEWpseJ5Kk|hO@982*7P{2@0rw#F%>+J&SEm9KqTUpgH?LBw&5#oX)!CdJs)Ge9N z3DXQL)#UiIkX6%L-}Ce2*b7In-q{r<7R2!?GAd7ZCd$2MOQM zg}~cIoK>X+z_u0{mnv`Kfb=9Mtd~^ZzX0tJn>>Ap%okOmt}Akz)W4u=7J#e|>S5c1 zQdRzwwx1iO0CVmJhL;@&2yB%X@1;iG0dfUSK+KhR1oF3JO=zuL22{e!2OR2WN$RPc zateh>jh&}{0z-LY)e!fAz$DPC8%DYaTv^-~rwu5w;VjfC8+6KndOJaM4bHY^3+A>} zzc*VCiRj@vf=0FQ38kU}RoDX8g)l1wYd)(7o#1^Txsp(aJ$4OSkqgZmGBvtpC^7%5 z^6{qJPge=@di#a8Gv=u0lSv>ZyYD}^q; zLqrz2BSrN9b5TY&UGvnbuuDH|A0sb&)0DU_(NjS0V(G~XHdYs&-9Jivr7!gLTj1F! z?L62$amxi+-O=eecFcN}ZG_u_C)p}p8wd;AWBG{YkAMAif9KbLm=?s|T&Z=8{7z2K zw;}y^RHylxvs%W$#uz)BU`A;sn40QcqqT4|)kTp%q-4*rH+&TMi+vn-U&-CY-VXnq zb?eQGNcm*)eGYdS*S zAVc%Nj6_i`D`TgT_$$7G{Z{>wTs9VUoUhKX!pt498l}S{8owk(V|i~-re60TyZ70= zY+0*G!SC3N;k{9|AbaD&K;Ddi`kmCyKxfABXfReyyY_A(|8bxYr)6IHGeSblM8xaq z3&D1Idkeo7!|P+xOclbW%SMNA3ona>YeCMgnp=BA;+A4KD@#W$1b?C$?N1LlX+Lzb zQ8$&(f_wd-I=)AjXA4q%^ID-{R|hQVZyN2mPWqJbmfW~|U+N7!Z<0ytf;EHLZFBC{ z+&9)+k7o4ypJjIVwe^02goc+sPMvx8U0R?i3;8c;?$~0_`xkKmW!aNGI3UFTB{$)} zL=W_N)*Fti#R95WWV3<)D4_oK8c4`0~ ztsHI(Bj(00BNAYOHf=*9a~avx?ebXJ3 zcTRXS;t;rG!I^fcb}Ya7{PtTJjY{!aE3VORAE{36cJQLh#WR2lt1-eDp1)n>xoCxc zy|h-KjzKaoiV_vXw+od$yc1@)Gm&^&5h?sYDCcD>$7uGA1?D~iz8Qg+!iCJDtj+!J zlKri1fFnlQPBFfN5hm6h{HvyQ8L>)I6#X8UNF{zg-v}Q z8D}qXl^2BWrHAs8&th)_vH-VFHzGr#UK%IyvpfH++hP*uXaORgAhBm3N|!`9Vg2p= zA-U`6W)rouLnz7f|ckk83&M&b&s?vBqivr!xu*9y{Uh&kHK zky_+1<%_0Z@)L$#*xRtKnq!q>JZJA0<@t*P3bC0k?fXOT#k*2DfH&uHjd^Z+fan{- zlSej_-;PM~aqkZOpa)ExGGQbHtpiV9?8~0)bX^WR%AIA{OE|(L7E9+vW(cFjv~?RlnV`hk06-4BHc2b^PbYjM~jYM*!{w>!ASpL z2)L__bji!v+d!%zXj_5m*8!0i?u)uXoH&zL@3r;5Tk3kW^;dbs*G7~QWvK~fgw zCDoQrLBH|)XWD#42l=+qU!WkbJXwvjesLCiT$;)K6CK;~WgL3f7CMl{W!m)O16Rm^ zoaM^9aXmfk%c;v$g+5sg$Zo`rx#O*IVE*`W`Z)48IFGxt2zg+}C7O@Q8E5z_Xh=IC z?LDhDDBS*zy9t=fm#D75>Na$c+S~M}!0R7t+Fbp1A<|E|)X$2Ut?y61lW_&!;F_VW z+kN4+4uq&+E73hBKgO(>8j{#}KK~XD0bJ0-=W+rG&-b(e?gQAHwt8+JZaJG0K1e#I z#Bb+90J3Y<9U$q(81mCItqPyFwjB0Y^{}T1j68*z8~C@0uW|$>tg1Zl*ls2I2@C7k zHYC9lx+)~~Q=TIsEz~X<{O`L$$K-so6};H$+`(a1ck14IR05ug6{XbWz}T(pMk<~0 z8LKndur2n_)I-81NiesV3+Bl|u&A6)2#9^ql{3N0<5UqPZs>Say7_XldRb>ElUb_+ z&3=K8tysQ(GS|<4^E(hXItEODZh7~f=7F+=q661P!<1m`9}>enfHtK3OVQT)Em8mOI%n2seN=Xc&3h)DuWVx(YylrLSpV4D3xgdysy~Ncf78nv8zud|b zVq~j!omGEEb#sjHS6?cr3;gM*PXlN`-aE($Md_y~yAe>FKK&5U zUS`@;+)vv{xAe~%4Oz#wk;(qeALBdjo46O>e1b04@2~|yQ#hmEv_$uumQfQ`fCbdY zqQc0F~Udz97*lw)N1*}K2J)uH@X({Ood7I6EfKVqfRL>fvcO^i5`J79IZ z8jAn2E=_iV7AsTKVxf1Y>t2k+^$7Y;iRz98NfT8b2KaeSqU`Yl}v4Qor!0jhZO z5#ETLBTY@OF@R;nt5?!B?sb_`ww2d5CB*HxJiW5e+y^@|`J_;~moko85;`FO%878_ zE}T5A9-e<8xybM=&-ZBPvMZ>=w*Rw+h7wfNwvdig@S!CBf}0?@4LKp_l2(VGiO()g zWb4`QzD|yYVv21`lVEdHIjE!33ooEy583Gj=lgqvu}Q$T6%Y9N$Z-CAoPt=C{Ly~A zjqQI;yyq@IoF+-kwC-k$NbHTG9>?D{(aE1k0$G zZHgO(lN9yw6Vc|>dYh2 z6`bqNVT=k`d{WjJT%7RiFEIF}T!{+h`xhyqsg-UyCZ#R0NvWbjc{tY||NiUww~(?p zyTkMlE(o3#f?sxEVS=@17T~$bfe~Xffn?Y8d#lg{U(7i;xba*UR6B(+hD8HWy=phJ zP;y}ZGn{Ak4YcTs0ezs6ZxKn5VQhc(gLF&7<@JOo{vPSpA)>jeZ&hrGew3aUcS=|Q z&;C=L!jX(e?0a*X%$~RWCvtthWeppkMo|%1Jps{rkS9n<&;YH9ssR?4Dgx@_b)am{0Ckry@jrGq*5l$i_Po1bmrcRZ z`?odgzbIMR^!P9%#MR&fbm@#Tjq%<8m2@8dP(OYgzwO)^hdXi`lucT$Lt4nXUysM{uXw*+kJt0{dRI0f0{?44$VPZo zO=y)(vF4!*Gw=1z!GnV`bk40xPlW2)dZcfI%=iC;krxX>9y!oPc?xyk!kW ziy_@|0M&2OTqujbZR4`mDIf8($#6v9z1j9z>T&fAZ4S!I51a{JW89mr?tP|+4W;hx zq`$+u`RztGI%Ln(9|1Fx$8U7(f-f-l$+#rT6e<{%xi-)@sJqKa`F3nv%jp}H7pf;3 z02(=f{}X~bzAFKNPYpu-t!rckhfrUgKN7JDlSMoZ6P%Hrj*YdO^m zWC-($OSUP?u3kenTfA-+vQd7x1EP%(4 zj9t>_D7=7l@eg=SJ#6{#1wQD+-dv^i7mr42L0e0jMlfzF9pJh6rhVI)^HaY16HlbM z29`g&2)nx5%bp#7aew3dW)uVSoCxopcu{;Ts#=7IOT4R~$HxI`6|l2~oErr4UwCOZ zDp4{51cf*GB3C-{zR&%DpewcZZJGm%v`s2rJ_=;N5Oh?jwHC%voipC6(PQG?wF?zs zR~eOzV(krXr{Pq?@)R46a`IA5Sfxk1}HZ_n2eziM(V z@Asha`trxI)OS0K7-hc&R!cIlB(mP7ZYs?M`fBtVGPgF=EX$}J<6K|xY34xQ)+b&C z;Yt>M;wes57i*9CN5A>aIY<`sx#^$OHyNqTtyHnyz7D?iS9-Vh`*-R4RB_o6olN#f z@a*up{7x|ugov6s?gOL}gV0YE87tDou>=S)pJ<-`enKD)L8bP9e<6BRzO?wS=jJ)K z;yO6ZCQeP>UY=&q@$?g8&mm3=VviR-g>YHF_l~>P(KIZu(SF-*@)u_`yz&%ka~C_G zv*z4F?E4{JrSQWLzf^2q5z${9CGz=kFx_vV|IJ8|l~i7qo6X{-S@*4>yVjM07o;*w zml0WafQMv=UwW{e{2F4_1r-Js9#m_JH#N)?$9-#wxgbSW>dgdW%;L_RSQ=1Z^H20b z$|Q)nBLZr#S!>57U5}Q*(>RZD=wAC^ykYpBQO(Ry7aQv2PBwo^8JC`QL4r;^Osnm4 zS^hOsG_9Vtx<0I6u_%vcRTMOz%BS(1JNu{D%THNVty%A>-^C0Fm&nXY^Ke1iidlP` zTRumep91&UtR$E3r4rPEtFSm-!yR&++#kgO1+)RfVuwr?N0!G~!#a(1DR8T;->fUv1qu~vfzUV6n zGJH-o9bft<;v(3zH9K+?3Jm9T!jN*v)WNl}jg9AgwokX9wu_LlrD63U& zRn+_1X?6(YOdWsXG!II*(6Q3f%aaf{(AItAOz?dUI7;^vudtox@o`10DQfyK6JM1I z^{q*tzk&zJgnvo@Eb(E7sw8!`N90OTysW`=cYjJz#lTZL>R zpt7WK^Xm8)$4$JouUQO%wd4 z#!9~w$*Oy@;h4n%ffF-@bhp$6~jd=?6YoB#JSx{ieE4-$jJI|}J@~QwYS~=V{LnmF*B${%3)!0byoy4d_Aywcv z%Y}6k5G+1SLYkZ<6Km#{McXp<{bi|?KfJlkV9NprUKlev>6kWE%qH>W|mBcPbUIw}FmgmG7(tVKI}>UTUsfTPPUp_et16&6t{B zJx{a070h8`TaXSv9HJneG+B$Wx_?`_7w3&Q(e&(=vLz108qQZ z#uhBSn-hDN{yDoj_eDR>?mxGlw{z)%B7+mSp{lJrjN8t%@$5LNT=v$)Ibuj=jrE3G zv}Zd=VLfmBvTfkrH z;@+M!k`>XyNx$A+E$Tkqm*l;Zuqz_hB?{9-&f#GfLhYdJ2W>$cPvn8NkzkZSyM^l{ z;=m^W)Xon7(VV|6{+O)vnA+tGOETPUtydQF?(bD6+l@*ZQsO4eJ0wS9(Vxq#rT5HX z@7S$jghPbsG`m#^gY+rLYE?HPAU_i=9C>gy2`OEyHSu&NjN7_WIE3NW@Bt~r3>1W7b@(SRIL$;0sWdjgW5`oZ#H@s z2}K3*pXF(G3i+>zFZoZbGsR=r!Qw%#Z_%X9Rcw4VTVx{xh}@9K9$}*v_jFx6ekinJCEt z(SgvTER8FH)7JvjpF{jMYVfx2@<2^0*W?&tJ`ph!RPSQZN`-Kg>Lmu@&xSd9G2Q^F za=9Tk;hGh7RdO|;Gk^zFq(K|2xIzZ2;hWqIRiODnst3+w6HJwn^yj9vuD0`Hn0Er- zHjpY&9GgfC#CzhWpvF{9(y`KcvWW87z+twqp6(GaW{dMM-{#ZDhh})@!of+^+T#x< zRUhXEy;kl%uFB`=UMfiu1Vr1-pKF|#3|>-+SM`%s#HS2M+iHm6Q}uCh(i)XFypOvUDfok07vCiFaOxwNdSddw|A3iaz$yqR5FGJFBrM*&rZcWBv+2+7PgXIwTDAO60OY^V7d}d4``c%^pwJ0xWRRnH0XdtvU4` zLT50f7JS2wq;MJ%GP83(cl-je(L6_N6W7CS)C-bYvSDJ43uF@wDscE9F6g0#t zWBM-7kG|Q}^=4rE$2JcW&DMmXbbBbt2~yuiI8qum#NjnCJ_jCqt(~PnNC`mQ-)yXy z@SRFWUi*4G!h@oLOr0T|D3n@5t>&Kmz&|-TFBhPg>^phF!p~uGuN}ruii-vqvR;;edGs&F7KLCVi}eL z$Hwx2VN^6UIEH2dxwCMM3ekA8pR{|)#Ex8K=+$AVk;5$BEtfcZm~Bjbn;u+uKJV~M zljAMsz<-Cy0jiG3>{KoF8#>&z&0lml8g=y~oY0`ue3N9p1Hdm&JX4PQZRo|$!Jsd1 zHQA(#BhzQO!4SY`p&r$Y%=o$`%w9KtzFz<=WJEDDdUrT?i0#dX84?e~<>1lmdily| zg^SF<2ZxGSzWOm0umIbl-ZL*UKO%IkXdc@&##Q`^>Z|=NX|?xb!M8_{Kc}*d)6z)s z^}C@sy~=d%`OI_GHBHFjx}!m8O)_g_93wck*Gz5Yd%?Z)+cw^q)l-5bkJYVGegix} z1iX#e9-qxzef@N&d9t zg|7ft2L=gw&V$|bo1+D$O9hT00FV5ZW_B{n2;==+X)HfqJwHw@K2oM`i6J%i60|<} z5)9db48ACa|C^D56A&#NV@OT{ss%m9#ZBO8;T^;95%^mK#;`boV2jY0un0l4MQlu5 zf*{!`N;42;k_ugwKvW~C(={}SfEG!cenf|;OVXq3 z8xRdiMs#Bn;!%<*-OQY5L9(PkL@$yz z-N%B<(1Bij7AbM~J@dPQ99(IyQBAue24kt#CBI!}l#28X6JuaSjhLk{0Bois5 zBzkfR@jrlcmY$kMJV#2W15{!LDU+Uco|sL_px(L@&Ke zyh5VW%dQfyk*?FrZxC;iZqaX75GzSl^y)jryQF*c`!&Q`QXRei0kMJ9NPpNwY$mnP zTib~3qz-y#7qOevL+^b=d`x;m?_&`ANx%SoaELfe8lgXZMjRzQr;oiLz9hY(k53RM zNw4W|-V&!s@96KRi8G{G`rJHmfwV|pS|)xVtB@egT>zP&^IOWLLH?Gq13hh=Q}#5w3q;hPjmg$tbSV3RSZXASTygAfTi zT55X_Fcw^eB~%}6b@S&RT;_elI+F)hxqJsSERgDkiF_dAyET*hX`;%T=J}S}Nvx3( zSI1xMB@PE!T!^fUJxvo_fU-8+=(Badxj==fQ%>sG{3gNBU*IojhRQJXF5?&feDVnY z86m?R_j{qRYhLy%GBv@_DWI73Ylm5hq%oAU!Jf+%ifw#qtbD7l;kJiI?^?3b4;#t8 zwQ!8^+{(<|L7>shWRbRWPbOrV?*Ut6Qgd6-h!-tV(_jUb^S#IR? z7&j<5jHU6KKBRkWY zj5^T|w$m_5`_B#u+mdVt??}dP%T3yT%BmF`DgMAK0GLr4yhe)-eY|fzx!eJUa^&3& zvJQQMX-y{g6}v5|jaF9-APDV(FF}4I%JV5So{-wSvy!2l^_du0*5jdsY)xy`bF z{&P5nC+DEG`uM!Ipfjgz)8tzUp){6K~9 z@&Bj8QKiThb$+ZC;2GpdV0{p1+!>LxyxyXuU&Ktf2nL4rg5_oI2Y(Wj}EAH!W8 zF33cOGtD)M8E!s8Euo>_qGF)2D)Uufjz^8^YaS@PsNqtV6Oo zyJe+%8Nquo1wSo5IAj%UYd?4N5N0)T^x!cQvHDcQryF!MZy&dWMThlN-Bx~LIX+j0 z+$Sve6tVkNA|3NHyqhvRyP5gmx3>@iH&U`dl1F6pE!)CyftW-FLL0Pg_`>O`IqBS)~^K%e_ z%9;KlSQ~-kg}HDUgRTTzQ^A(0d#;HxPKr`N8#y7n3;|UZ^D4P=FBh&R&Co>BH6WVL z3JTx6$(!c!5Cd%C{=auS3n52dVN;qL6k_`I+v-l_D=K?({G$u`b%JC(@5Y@fs zYm!SCW7^NZB;I{Ro0gb1V%rE(H+)#2Y;_)DHNmCbTIAO8@2t)~doZU{b3O82!%Q4s zUH&e2je2&z`{Ce`IXz=+!d-&n<^Zp^PERScB%&-cbO|eyzdw^d&Qu3URN}Vs-{kje zeLpgK1RebhIKq1MFCmlpBc6|84p#H7ms|08brqiZ~ z`F`iH$xVchI?=RM_@d7uw-{V5jHnue^e)}?K0GBcoxtNe$s=_>O~UV#Po1cGwv6uQ zs!kg2g!^=r>wN`U4lA~m5>Ux)g5~m53fdES)%5{}NY34?^lw%ZFpJh2&D~k0-3%P< z_JHMHtd|>nWy~GEL*kiq^Q+I7wnnpW3Z6hA_Y8>25=FDHlMd8^)>79DJaB`d3)O5m z1))yx9%%*p^XaBf95@?3O?slG1XkXeeV~o{LgCsjh2^V*c%RwCz6`?3q>gJIPs4)K zPq`A>~2l#sMD$;&%m|fH*dfdm(ts{@oM<-E*rB9<)U~>1T1$R zcjUl7-*muhF6+#VU@_|fy--_qjdHob8_mU7x_gLBf2;so*UP$UJ3lo(eL87tIH&@+ zO;#{YUq729#~o{)zV;dSkLWIy%ajACSO>dlqhW~WA6!;idVm(3)7o3)1jM@8Zxa)n zn>A2DdB(rJhh(NenY~4~^3)$GKLrn(`Nrnon#%=Q;iFdej#icz^cb`n4ZR3vm!BSY zh{hh@!i?)BD<_#_$6b}ul*6(tqp><$L(1AtNuJnoZ{aj$!z|xuto;^J8PJwZa>kCI zgrzC-X2nNi$y@u%#(%G4MG{V@^zA5rzOI-wTr_~}O_~(o)@xG=uqaLJJ$=+98f&`! zR{7a|y-@71p9rr($@QY^*+Kf*u|T$;ddFL2s07f#!NIQ`G&uj-h;Lrro_}mOTum&p zKscad8M&w@vcTa3D-bZX0eBfE=LA+8JQU}AAn<5m;)tO~&DEZm_@wylX$jqVj-9M( z39_+qFCt0GForBhv5a3gRp&=0#vMtwC2(%q6J9RS`3XG#A{%fZ@C`I^G>h4VHis+l8lS z_=JtO2b&vg8>`I0tZCEtVulK?4Xj0O4XEOr`opWKt8VbB_QII%*V3B4t|}dA1k2Zi z??!yr%pb;t1Fm-n4;N%U5k8%6U2nN6^EtZwlN}^XR-7PyW0X1;DQ*JmiY}D=^!t_U zU7I>-?%$BUX0w>;!1r>Igy!MDVNYC~DmIm92j86q!fF zsKVfz!<|M^++&R^!^KNVU#O#CR^}6~pKb!_krYgtYB?KvCSFMEwZ*gjqRT6*F|bF0 zt~IN!OzT;mAR^M-&9m4gS9(W+4Kn}sj3BU;pY~%JMiZG^Tt8nyj?Lq_R6A$VJ6d^M zzs@-v2#f9PCYxjIZ_P>C<=65gvoqU@CwC_wPeXDq zdHcSDQsK)uj;tX_*b())B1w7msHb-R27&6nW8YPI9{X|3W*k7Lv{iR?Tzef@P#92C zKC=aB;5As`2~>YSsG|$((iwBLd0ST!F1ZK8qVJxs%uu zw@4eRQ2o{b*wL`NBgfr-h7+8ydV9A?jTU|Ol{CmH=d<8QoD zxrT9h@U`b&rnh6;QB4)N)w0Dp>Z!w?&->jgk*PmESvMd110PVl|AzRP%6(PTQK^ai z{>$4x+d9uwPyN?X@rn0$L5~V~1o2oIG6p&iVvA{MAJNg(W9u6jYO4K1{wvO86p_jQ zX*`LG_umZU2AzmoI0c*zXGcaw8!Lu@0@!C$!jeK`V+aT88Xy%A{=7|(sT#{h^?0u) z00JS+#H7t-*>dth3Mxv zh|QM0tD}>K;B%0m^nm7s%Zbpi!IPvus|d!4nAl0+OhO`=lC+zg^50ny)uF=N=Gh6Q z{_mN1)#e5~VKspghF_aZ1o^APS=ha1sM)L*p)B^zeUNofzYfyLt59ev=&I^8iVGBA zP|EcWU6QrOqos_mf<$sb!4lx6e_t9NN5ii0uK$EWuYK49U4%gmtM64_zkM^J{NA5ZeWslGl9>O{)Y0p6T*N=??G zyh(H_X%C6gfL?QPxTJJN@eMTC=|Y^&nK#W&4fVjuBLry0+G7r(?SW1qu3kJ;uR98c zhAQzWMTk~!@HSqAUZ*~am})c@>M?-oMrhyDxK5Cdkhv#y9m5rYY&<;D(*doH7;n5Q z(DRqWR!RM(q!|nC&v9?;Iu_1?&Z8S|L3_;6eOmPnhTL}17+AAzrwSI8_9tB9{}Jy7~biWSOW zE@}b%@7ovPf1%S$dLVA9m^wQQ^c_gz0DuiGe8{qG8eDpRk5A_^yu9}DIrRM^ z_>I}L^7#dr(%PP~LOtL1N*_EC8_^Lfr6fTs_#q_-3RPsQn?)vpdvH>0X^f$O2%jE? zEny%iE-NMkW?wZ>`=kzzGh=gL%mI1uX+bvlvZ|!0h==DMR{Eb&0|9_8UR=#b?odHQ z$XV4zW>MT)`Q;L*-7CU|ea+mDzjt1BbPwUGtY>TsP%Om6qJ{T)`<&Gs?Cb{wj&*F{ zu?vXfB1PQe<;;X#;a5If0sDk|C5Sw@J3P25KrEy^I&#D zuAn+u!IQu~royFH0~D>fcdFoq?b%wqyjTN2Y4oA4h^R0(pV$%%B`%8p#wi@_|M|{l z>I36OSwJJ*R}Q>(;CV@qfaPDZ=hg!SMzU3u%T9oWzIMBxJlH(KiPrD&VFwzoddnqc2DWzKYZ#&7IK5|n3AF0je60sEE;ZhOlR4A76XNz6t7UwDizl{;? z%znQmSz^UuCXE&25@+*!sy1C$l0MQ=aw!9Mxv5dA?}meuIv4*Ro-coTUcQp=41(Zn z*@il4+P@U)z`b1wdJa5r`w);f`N&Gh+?30O<^=PC5|p* zV}O7NNbUc7{`caZdvVWm&ppq*xclCx_&%ugK8Wn>Ck=1_00AICf)JPcl>fir|3>)F zBLOprmQlO5=lRqv$!86eynZMYP{4!^!wMMte=7D4QKAGe3BRtruHxh4gGs@0Z+QUp z*A%Z0UT(fJykIaA3NjjknH>(qYy)=k0H^~1pqA^8Qb<4rq5#~mP-3WN4H*ao&eo*? z+d!~u@C_QS@VYIe71&b?{RD>JfD6#6xZ=7I#4s3mt*#PH1pk*Sfhh+9d+Iu{$_O$A zIEQa(m7{blS8=`jQH)X4`wl}44`Ni-NkCrScwY5EWtKZGC z0-s%J*>(q9TCok6&WFSjy4Gwar>bB9l;2B zCSGe&WL9G6c+huOyB#?V0C%h97? zh^)R=(mh50C#fkXFxXH3qLb{Xl89Yo9t?%FiT=5>^qr25)Y#&8A=y%$A8**aYk8RD z%@T&T4A2Ql@>K8b5MhG_=S;KIdQ2<#6~Lv5XZN}QfkY0rGyxN|HMo=A!(_DE4SywAtTTdsq}`(E=`pCx z%r1gvPTRgfH?aV|fx+0ZSA18Ru;$e91FB^6hMF3lOaKRf47;`y1gKkivC08x+VMF) z>hw)=GiM%b>@%+NxYO18x~Y1V&aF*S7|ljuIe?Ep#?cnN97PT6;OU|F1R%~{InJUR zWO3?5u3Ee;bp;jBGZm@2{@`QL0s5oqd6!!5-_D> zVEoR>S-;7aU$dVt>mA6XPC6RjmZ{prE+IS3(Jd_Q^4=Gxi9eI!`dLrptlI4=(x9Md z=L|oQnR|-eywrv-yszG4$N9RIMjzupP`fwG75i+Pm;fkpCBx0vr{@!mrdiObn6Rhx zl5ZwYPp(^E%!tkd>0W%bE`m?j-|e%3Q2^6GLZ!$*m98vm@*I2TV9HRaM#b?qITCkl z6!SH4@aUFa54kfiaw2t;YpHC0t3jq5Fk z!y=%8CK$I`1p6C0Z!3?6TG3>zKu7 zZ_$yRXY~-DB%#&ut~t{&a&qk3}kSK~BOA z)pcD7c}?gHz9S|B?XMqRzScDd$KUOl7F%@DljS74w$GtMGJv73g#t%5xekY)TnfC? zDbi^D(;jNBQj6!|pcB$*f$itlB_u9jDt;<|~C*(6y|zQMBd>y}e6Q_$L(ONYRU*aZ ziFljV+j*TK1N3XOBm320ePf=8Q0$S+2Uk<=wQv(y{b*Hcr(|Tuw%FrSr`mgL3dCpm zwCk~QuTyjEt{o_`l=AFdLt0)-@f6S}!XSc}LFq#E`<^z}|E4sx3U&P<3Yv$Uc@$yI zcUP1P|J%IrgKVzHny@?L1iS^%o;W42oBi)ResqQZBP$y)oV|(?I3@YQt}sT!lystXSxO*l96Ut5pV zLf}pBZajdCZ*c@GcuYOE!}^kRZbnX7_ZfT*41AL1}DJn#$LF*0gd20eOi604*CtX+^sd&vZVIAKTsTof)$LYtrOl(;?(;PLED|kPxT7<# z?-|L4Ag$aJn*C0G$&!a_<9@%dN8+gzl}i3s_{rD!9F&yymP$)PqX&#`U!D?$f|Vnl zNyoYDw5S_S*CzsNvUaB2RJM(ubE1QEflOUmaE&rEh-G=wu5?i%UiqW@)3UoJ>AG2~ zX^Q!CK_k)j!IM6GznDDpVe>WPA56=noKyn^YndNVve}>GXe||01(7jisBu2FhfMUz z)Lpo4?TA(X%5t2Y_2LVB;*1zS)(4GI;HQyWbl#d>{z>bU_Wn>l@AC8f5X^+0V8;@v zh&J~2+3he~CB5~ASsVrq8C!dRw19C+){55)kCLc9GK*{P5rEKVdqUgnx_!}zy@~@i ziJ?*MnKo`bKlx33+L6?1J$X6VYh08#IiZ4+wZwjK+F^%F@edt5Xfykq#D zI3rVVV8~>U{;9r>eP{{>q&|!6SXTLyu_Og8MP}V^`HrrFPJ?l&hMgoHS{X(s`e#sx zZbg0K**LCh-}4|Y&)?P1+|0k7Qe8`bU`_em@Hf;9RSMpWeUQ12!;s~2Squd$U$sOx ztFj06(`s*~y(jS+NDqtV_m36#t3<8bw-4DUcRXxG@I$oW9j|s#9A(zGqfYuFQ+ihq zL{wqikciy6Gd*-m#I(9v{r!KEiEkIoum8J_82E2YowA-;$|x=Mz^}+rm|vf%VB$QD z{6gpCtlwO{ZrxT6KTiHsy*wF+SiAL@<&7JgBOb{vqgB0f5xHw~{H$54S{{Qo!`cjg zNXn{?6b%Tqsf-!*@8oSZWJrEEv%?R}AUgM!@n=6t zZvnpO%CqUZ1L;Z&I4uDznx}-I)ywaA#p)D*c=?}w(<$$tom$P#9cJnS_>HKJ zfo6t5hr583D-2^Qb39!K0$#srcG7F)x+m>5;7K-^ECbHqiO&$XNNk#6*s4urfSrgg zPT<6vP0y0vm;bVPqqt_ip0c)}dC{NTGg_TF2B!O+pU=Ptona6?QZVbY|F!3H+_6+7 zTbir9kmY{v@Bi8m!0)3>*dNKG08E0Qru&409jhde4oY}yvLuY)&f|C11$#p9^|jL#t2A`JcN~h zsCZ11O253uPwD0FQ%(8`Ej@O#w@O_V)i$7R6~!D-BXk?+!WK@pbkqQ<)^P4>CzyUK z>O}>kuj;y;j89cDFfVk9)v+~P6Nk#sVY28B9ziSaHu$}g-Zh(T^c!g%CsJ5@Wru+m zk(d@1+LASmC=hXc7$QW0NDywCI8NqFhF2-%nUscp5jzWN&E7onivPm!CZJ7L(WF)P z_o%6k<#>-fONSx`XgOQ01pi%7%Q&1>x^{1q94XkM&rS@(*}g!Y1P2$<-2ht?cY}$&c!vz63m%COox(4{4-~1^=ChVlZNOK}~ z@ly#^nShc}HHxCkvZ4iV1e#W7x0!V~?YQ-P&ksXAUm`kxVVti;xMA=^-<}tbJ7jNb z&9E!!keIK&EJ=Hi&P?K% zMFjL>i5g$)VC@ZGEe{B}&DkEIHPkiOf8GMYFy!YJJZ46g1D+8h)ve+)w266xR|0K2 ztqPy|Deo#de^dQ^Ru4D_u7Iye=Jljj=c7;5U+nlcmpPT-#liaqEP7S$kU>y zgk|&zMc8K`ewy(OuJKWF7hg&>7at3&DzZ9nIzhComigHqbPg(W!%!d>V8vPq1nc0+|sKMk!v_8XPc z$xY&dv^H~QJ;++$A)*T_b}De&PaZY(KgW1xFrM%Ahn%^W9Y|ZWin%3VW1yqz*Rg_6 zM|INz!LhgoS zwiw@SoqeN0Bj?7g;hnUWUpJyVwu^5(9)s)a0PQ(e^L(@UYG7EQUhF8N;&*V8uF5B=_iRBsDBo^bW*H4F{yOf?BEfC9Ps&I^L-rvPH|5lD6B?9i9bDL_ zQ>f`iR+Jj6U`*6aeKT13&$lx&sbGj;0LZSa27U_SnW%ADKGN)ju!pmF`$w+c1PTd8 zG$Cckq6$X{SJ^!W$4`T2^|eHqntM4+%I0oS!g0v@l?03R^aQh^G2Y+YL0qZU<#){sJU6S=!x8XmWtuOt@uqgLuo}I%!|84IrEM zVaR;~XmAw*n8L6Z-a_jjiBoq=6KvZcCGlkaM7Gnz#^b6b7&CV$J9!LLk@N-XwErmH zB+>LO>@m@}2~y6ET9$K?j&8ASS^)9F_SyQdwhIbr2L2bm-}-g8;&#na+q%*P=};&t zS`)N;0@C(PnS^M|OR!=>sIEpd(&qWLO+^w$>r*3h3$!K!N}Lueh&M`PwG>9{kSAp` zt+zP^2Ssg)0 zP|W1hRkMPLbk^}*>r{sdU(XJfIT=FRFdnKrd%Doi$qET zVgR7n+epCP>2lVD(%Rb5)+*8E0c5`uMij678)#Mt?3lz37imOr4TqCpr0<3u6N$4T zd8*=5tS;pcr%ysxWo3zjm!7>auQaPs9?_m~x)0(3ua~)*t=e1I_WSRt(p;dUU)>cX z7`LS(6fA)Di{wp*@(w+&iqd1=Z3{OK*}&uiJG}q2Ra$+lK)vl;oY&h6`9W{;0-p)D zZ4c!qz5y`PwRuhBf*k%<<3ldbzA0en1YF4Y2T={~F0{)k(0SnGBs~EuiODNn&=6CU@dxFR+hqKO#n9~1x0uht3Vna zX$*=}m>i^(B>$vPcwCJRe0qJcNU=~#bBWVUhsPPc~Nn_3snmM9E6=dNjgKh^p$Lf9B>cR3boXnAWEOy35^VmJFT|w z&SMpxASNq}`w;X(u4nn@(nET&y_S=%)rqaTi)$P+6rBN zC_9XaZ)B#w>m$qj8n_BDc7*lT;$>lIrWeyoLykIT1oL|O!P3-5BymriuQ~U`@js(rX0i~2Ca<||CcavLh{z*nE!6G_f8`$p276uq+N8^cC_+W1U z2)EI>ZEFyN9A71C*&hOYXMw$v+N?o_Av?a{%qQHzAea)Jq-l$*Q27}4Kj*u(ilbd?D(lf{(_*yr+V9& z|80mg3HQh^Pk5^?28Vb>wIr69Jpl>G#o(UaMv7*s>DnT0_w{*3LrrTKcO?3)lSZBkSLt zcA0rIrG#dwk?A14Ii@`fr9+0{C!`(S_F{)cVRzR@cKTS zqb}9pN>ft11~tiLk%JCAKd-E$ids?lv>$Hco#b7ziO_Vd?by# z*UtGKg?;#}n)v+yFrX`Ko$Qx=Zu)2guyvU-UfxFLbTn7?1wJzY${l72m)v;bQ_BR6 z%^FL8_F9EJO(t*V!prDrt?w5!Ym9L%wQV;*3arHSxI=NyIWuD_g~T|BGt^s1wtCRv zZEk)WYcx;%TdPkVQ?rBF?E{R52Xh-5>#M*oEh-;~JmzcNZ3y(pFE|u|^Xqp9q*}f= z237yg&$eLx0(@G@8~#FS(Z=3#yG-4Da+397FuI)fjlA$-_~#iZ`ubh=**fm2(DRgv z)98cGr^B4Cr-h$VZW2A{Ik>z+G1VZMcA9SHD3~WxcP&k2jhiM{E$I%uaEe9)gknES zYA*1#*M?#R&5k^CnV9sueE&eSXdG&6?L+ z!IZPD?PS6H2ipgE)WM;xK~PQNNlF|4z8vvqjS=s!#&alf`w2`f#Iotgc*BJtCE^>| zE$J&gz0SM(kyjw+fxzXoPw^*W`O?6gTcg?xH4q#+@Ws&ALSj!gRMuR@n1B(j*4SZC zmvBCUQt5>2T|BQ4L08b%LbnMKum{?TsMwt>^1I76IO57KpqSVM^(|;P4|%c2eIzkk z=S|%`&`QyRkPXS&87o@b#)%uL_OZ)bF&jY8l?ErF`HZO{@|(}cal!_fI`|1)%ZU^e z#BYKOHPWBpbRk1i_%3mMIQ7~Ln615ZVqGL2QeuEY&f4%iT(L4xF^A%YJ68hB1<8#u zInnzj0Yvj$YlIrIa>f394ivO&Fh@u_ZTv(LoUiZogIGD zPCoptXA+Zu*)$1FPzzllsYL8>?yx@t>Sr~THc^~|0uy2a$|Sy_dWM&mLrFpt;YgcU z9*!$}EfHXH;YIUY%&ONF+(t9mmWz9UO{0NrBC0u>U z*5+=RB$BkO91e`4~B^XZHL!sFMmHyWSP;U@yI9C?2;=P0fRML zi5{w{6TAC)5$ko#9s@wcSNE!Z7#zBBSwQi@IT~W0--NflG>c0f?}X*MblwedQ1MCEtD6P{DtO&m-;ZX9YT}9)TFAsv z?ksS&r_fv(hP_V0$^m7fpny^aNN<B76o zs1`jhQBJ) z+rZGosNd`uz?>MQ6A0?j!z9G$;BCzHGBU{;`!1(L<8P=h#hljAN;6%KiA@He4+|RA zC+w+8zrZu~h5&s*61W$yc`>tk(;XD*fNTU%a>QfJb1-Fn8PHG$H?hxhemiWzS3Dy* z2ppq6?+*v6Xh;c6B)yklk>-ijKCQeV8N!voSYOsz$)duhdGr=@LuNp`vu1uzjND>) zRTzSaXm034ow{*BYR&bin{12}D1pE+#0FRCU&I!dN#C4k_TsRLW-z2LV6{xSdtbV2 z5BGgd&gZu(pzfBi(J!v4U?N1y+$zr!> zf6x$zcVA%Zr;Ks)0T!>M+i$7sbw^6CmC zp;g&V;+$9p?-uEJGJ*h?w$pH44Z(3{A6WOqeQA=ZE{t0H%%keW~c*#N7po6X~w z30F+w6n8-VZDZUxza{*6Ir-=j$3wmfx-ppzdBOJc^9&xS+eQF zWfNb3Ul$%Wsg!tAOIO#AKWyxLirt+3mS>}T?i<1in4I}M(HkTK1 z<@yook@uAwC;QO4aYrSTfw%Kq@w7P;TEK}{I8LO#z$uPG6^dto%o%h!GpW;Ot5e6L z>F1|>^HWzOc^Pe5r#f)bMK6^gF5FF-x@floxTk=m=LN0bEc7mF^v;X;QuGJv>mP{Q z{_-39yjK;m_%X5VO#U~$-YweU!bU5ZKr>vkaCp_&I6VTweVa!hO-z6jJx3dwF=~%g zLNb}otIs>6puU1+-kX^xbhLH)0R1{nj)$DA#Qt`@kGjM?_LTKA3*XVkJT`3WAamrT zxBuck#(ItBkXU5r+`|{s@GxB3zP)-EtM~cku5ACjh{8M_E&rMCm7d-g*@s+#LT`^b*apd%=v;9kAL~qeB-|xa3tm>E|;?XUt zw?Zs_ae(X}G5H^=Fa%Qp&k6|c(2nE{+UNhpZ?a7eT6}-AFGD9ecTJ`ZRydFTp`S=D zab9g(;10Y!sa>Y(km}ng=1=e$1`+I8WXDhRhNWJ`6Y;!uFNYqUTzm~C5Gy8o?Z$~6 z17@CeTBv=kA?VGr`B-E&usXa9^xYcyrA54-90ht0FpA~W{4B%9INE(5E9)nKH4DJ- zqkldj&KjLj1vKE#x^-wVoD#&R!`(DWi{Z*VbJT&(=whKW*>wsXc_fspqqHekh`eH z{2Oc)*TeE^4+1B2QT+_+0aZ;8ZeA@&rac4M$-ZP{-~xrd?<2wa2}-bQ_1=MFC4&lu z#edZ8Km|kc3tbZp`G(fsC_2yC0(h|A)|X|-$P z7CN@YFSga~6i1i_tF?9k==^t?uZBPc@3FB({e#aiSz_RiV!Cs-m?2R1=R7g;>sS2z zL!a%$Jd2C?Gd~XfTE8~m`dv#*Y68nP%-kAM{Kz!ebQ~h0e`*fx$z0^Vx(K_JadG@2 z;Dm^gKcuXI>qccdm_k^9Bpo%B`NoB|qpRVx%P?#0;|OGyVD~wNPU{W3ZSUBNEztRn zmNWrI?i1VRSb>wfZH1>p0qq>}{kF9bRV#pqblXUXn!U{-fio6YM7jHZmqIU5FSSBR zmy{ig7!qLR89M`{<)F?bf$C(w=DOpDW0)$8siy$3VCJ1-*YC(MGbG^=nT72FUJLAc^Hx;y+eov%A zq%cAqkf@7!ZhqFpiJx%oYw3v*nR@u2nL)6aPx5WkPpV)`w0ZKZb>(= z0oQknX$<=b8BIcbX@I{W5J6J0yME2rD5+vviE6T*8K-NcU9s(u@Tk}%nYVzypY9f< z6Rv2(Lde`IfayCweCO?BWZf>IZh7}Y&*-3%y?gJ7+?s14MSz^UmYYAcq&LG$}&|3KkI9w!s*V8PqTLLjP3|Uvm1WgjQ!i#rDS-vzfhYEujWC>0%g1UJH7=_ z==gJ-#tRUc06*04B0kAzeRl&^j!wsjrtQqA5XH17_)TR_ z=^FDgNY#Ay?wU8@g`B$eOMFH+@E!thD8sESObKoE%K+yIg!W;>4$L^MfXYUDR|?+W zCzMM(6&o#Wt!dq(re@{C^&rJ)96uYKd;0Om?L*RT&wF(fC?eP5$QPf-zY;x=R<>4= z_PnS}?^Kswc8obC$JI78FwoG^(puIzqzc%VcJVrPOuCVfpw<&37`PmE+9CH8pU}8V zEP4tPOL}CTCZTOHZ3f^)?Mmfz&=1a`GrIUG3sChTs-z=VF;8+90-ijBE$}FtS`cRW zmky5&E&@v{atgkac~;D6eESCswSMElc;0(a&9^crc3C_NQZYibao+lH;H_Z#K`Yl{ zI%pisgx-!mQSe5GS$|YBF>j_|udh7~kn8L}xy;?Gmj3f9pTuo$%@@*WGn>k!nsFzo zFd#JciRGWi2da$c&t2=argaq`%_}-jC@#2s**5{l`xcNTy<*!p-vZKrmZ`kb(xx+W z0%<8Ag9Ck%5+wyV;Zv{$OV&_k11(CxCc&Gp_cg3 zmjk37PnYD@mv(mWs>i(GYaVO$%U~#l3x(1qX+4it)*#Y~aj*LCWVNX8w1>dezKC4= z^V~`JH<=eHy4n0Hy&SQT$G6s$`v>OqcT4{t2;GYpDv# z$avr}nid8@i;3z09Y}nCSMwxsZrgiEcrK!ue*B08QW+Q05E*#jp|iXPLSbJeA+jxA z#LQ60A4`3CJ;f#5My&1Ja$#>NJ69D3Owba-8Rgxo6V~@aPL!Wc|3TWYyj9F%q^=63 zH>ox^+UEuGS)Ms9ER9%x=)OAZBNv}3{k$#OxL2(c+e=99688$Wmb#G4I)hN@@x`MN zo8ViTxoHte_^S<=hY`jvZbWV=}QfZQWj zDl${WF_;dqknfvYSTP=|%!Qz| zX5inq3W>0Z9>Qx5#K~y~02ZlH>uOqY+Sr7H?Nh9Flo1Bs5?1&zgLI&V*!RQ`Gb9so zP|B1^WOeRETs@NOJ(3wS!z6DNri)MYtrXr!&$*SlMMUXNnC0yyaaycV8_%n;2c2`s zAIm=IMus|Wd|c7{7Da+PiB$D zz}>yv8_x55sY!bvM!ydZq=z>EG`ZnQ6t^Qb_20LgJ?;!>zstzjhVWmRYg|g- zMiJtUYwfzE(xk~ZHpEBtlj-PChurbuCHX+{8@@_NR7t>fe2J{S7=pOuLx&|lxUy9E z>8$j}vo|H8mjIOgflU!y!v%`$4$En&(5l%tnxJ`a**}KY-o07G?|%MRhYnKfLHBj1 zFWjT4s)9|A2)9r3$SzhMTxEFijJ$L;UKcibZ4mpN8FYf|sC)A1*5dy^x;!Tu+B1o3<&fnCtKn#BH6(00f0TM9$p8SHZ1jJ{(KZOEo93y5;yYJ|dQq*J9OSraf|Y}cg%t5a*@dA( zs+sX2$8Bkr_4TZ4r%be^C02!~y|d*J_%D|1`gSExa?iT#p#gj%{ROLhY=G~E9thT& zIaW=cudJ&4iUOAH^bdmIohpA;$YS4g5vDcmBe5*$9L=Y7fmETO1ehsMsxh7x*^xI5HyYo9xJR>?;YwNT&uLP{|;;F;=Z~TL@=R0Py??QVd@MjLy$NIKZM z8MZalDC0k&+|t6BBzV9L`5mVQ@oLY*-Wb&ZYJ^KqUQs#V7cP`Hp?3E1Q1HU!dz8=8 ze<|VHWGym-cW##Q9{k8QwhjSw1%l#Vh8rOs>BfmW&8{~g&p}mkqd*P=0tr(DIH%K^ zlfTtfZ?>8jA;uGW2z6UfE)D3U#;Fw+wd`85ju7;{2kzQ~yexMx=QMDXLwVBuLq=Dw zNSUl1uHNW=_FL+J)XkRS0yMGSDWRv@!?^5VGS?I2aq?FKNXF?LpD_{chJWP-AUwMQ zVWBd*WM*OzJb;KYFXaSH3eo?A3{?3zNCzPREsVamj{uvqWkskASf&HA*+xE=H7C$& z{_VkGf#m->>g)osT0sDHW8AJEGM44;lKa6V&h@_Ypl!g#9lj*}h9 zT$-dCvdjYs)}s)j;5Xb|2Q*>)zid5`?=SzLYVy!hLEf?FQz8~}j$e3p*Uc+Kf1VKd z-&7WablvH;T)3+{ImL;QtU*+{OL>v1tqz9(XTn&%gu;}u_nzB+YZ8hO2lHK(PEwr$ z?8HDz^q<}mbLRn#LHar;7Y}bI<9kg_uQI8;2ATxmFZo0AoOLxN&pAysOcj~6)wL!ao9=&|{OUuRc|S*h zlOu06G5-@Y(mu)&;l!>F7EFUQYtuNr&d=%j;0ny)y2eD%af%#QbWZ-WC0Jt7MEvVx zt8SK}Jx_=IHDg(Z8hGP^%eq*{qd*q6186#9{@i*6!j9oeuyc-r=6?Iw`<-!8ydU9| zWxA-C10VQ>d?WL$$m#_7xo;U)?F!5?@AdiSncF;zz5>cWuir&jzFsYup!NYN?W>$w zk1p%$DV;~N25{%r7=B#|?;*aY&prs5zE~%l6`O-(5-Z@gqnM;J29G00@56uPaW8s# zKaM0$p;@oF1Fj38N7)-$Ek^-|iYMlENr$}Z9R!cW-9OjN$aqG!5Pd}45Zc~KokdO_ zv3wtW$JVmkE&dWB0hVRc+ci8}mK(CA>dM6C4T2qlLbeZ$lVq(J#;z^O1YsnLZ+K;LN&r+NP}|w>U_@}^a)gF z{l5c0Nf1Y~TgvN=&`*e;O;j{Ds*nLvh#u}BNUXG$iC+XO?aj{xoe)YF)Tv~3Y^x3M zk`Ys&1`1+#V14w+c%Dx3tR}b|f98{q;i70yTvP6WuA) z8%Q!wBs@{bnd%kpF{@T?+|kS<{-qTNk$)Vo3gGgt0v$kuGeH z5V(gz85GO(_hj82;`NA#yr4NvKYJb!dl;1TFySZPtJ^wJ7x{QbEaDSQZm61ulACK% zxA3x^Ylh4O`Dv;Ob8vnNmFVW53(Wcx0V2^yL%7zVeen0mT}MeAYmtB{9qkEf08nxi&ZM{chrBSB6yo&m{k7$jn5AY1cwGTKiLGFZb59It z3yJ3cw?B<*%#cc-jMKKe8ldE`-}$zWm%fl(CoOLKu52YPQGYaT`7&Bnk_EuXxYXnQ5FIqrjxXG&oM$oG7#rZE2HLiCS1$ZCveX=_=!XPUv;GTk?KIzQh z2&5f~bfi)ulUl~~`)`SY+&2BTrFD@tXtHDrt^|^CJG(z;yVuK0R=4bU+l6mj8@`+t z`iQVb%~!=i1)F6E1Z)efj|_->a2M_l6yVNH6p90HZYYlh!rOuF1!e5#4JkZD6_f)3 zP6VoZKrunYWDw9zHl39?PrzHlP)CHcwG0O~h7R}kt^Dq6lc{$@ydR$E&5}v~#G8n` zYrF{{*EWo!52_5Ip6WI;&AU|HD`im-$UhkFH3Hd=hVTJ(Fol2xhoo?Zj#w!p!YJp66v-llJa zI9evxjp^||$IMJpl)lKPY3+z_^E)zbj)jdGWsId;{8`}btwg%+^AOSt%f}A+e^f#^ zq>9Vd7ArY}=mfmL1LAfDQg$KQcf@47twI(86`)5kR>uC6xj)yXkj!+5r+ zt9A_n->e~OQ5f_G{$ET?9zz;J5G?NCGVtF&*f3p?@W)RM?RvPxlk%IiFbMv%3>H zgYABSXRL}@eh%N0TKve8M#q2&&{UsK8E2pqEYZLfQkY6B?3Yy6NGG66@!TrmZL>Vm zEf21qQ^~3T>R~1MgqQiCP@Zirq$~&-V5kKULMZoGspr|Kd8mdMfBEoc;=K=O_oS34T1_;IOVv!Sgnm?;T}Dij&VV_6@PN0x3R#M`1r** z{@X@ar)#X3v+5gq_O9(@ZcAIv`;UsQLbOPur1r^oJx@$3Jt`i8AMy5d*n)z&qh3=~kGzhnqv`+) zptp(kCe#dUw;}yFt(|w2+6!=`pTyaFyu4X+^k1IgCH4%U;zqi^aj%Ii(5_-U@vPjS zTh(zW^vyR}k`pk3tV)ZuJUzA8^!5G+=$5~v9xo*Qn|f)XT~YcdS0=)3z!SgQ!hQ-+ z&uTCi@XO4 zBZMlq^v-C0KP{P}>}SZ|65R@Q1=ZLRKWm{?fCTpl8pxZhlxPAsL0TL7a?eXHkMup6 zVLuwrw|}vdau3QEVf!rEvUC;+^-wu?6Ig3VT6E&JyeUAK1Pp9Z`2Ri~DZCJ8uN0-| zF_2|b_aD>x@cjKLVv|(oPHqk%_=(*fbsHk{WQ7%N2w9>!!%vJLj91y|2Ubf2s$hb@ z<%=%Vx-wZ$b8O_&DC+XN~^VBkB-5yO6{#6oPn@bQu4kw^b2ybHaGE~3tJAu4=DA@a(2>AZg7c%b5A zZ1Zr*@Jni|xe#4Spbu1HoG~m4e#W?e<<};JoHrnaK2<<9uQvGYc`*R7|71e@d2`4M ze@9;Hv}j~Oe%VaX?C+P#ZvAK6qG9d>R_|jtU6#Va&u{p;5Q+zJdYe0DyX*fTn+)fZ zHarxpuZh6P69MlRn$HQ`l zkJKHOQ?p5sO0a~kxS3~=ZXOOZZnE0{#Kgwb9B4^IScCfEV z;BJL>L$k`D)dw6y{C_2#hdOoQiz1FqvXxF5iEt{?xQ=7iH)NgPeLQ~u#q0fkKHu*bO05M|=#X2-GiP4ah%En$ zj&z7w_M9jxr?Nj7zF9Co6lA#VcRS57HrhTCJK6;F+l6hiX9$ttj!5>=s%L3`LDtb4(dx4IKj#@6l#DC$L2O z;_MMH%V8*l%aHcds(iv=3{8;1f3sfAzCV>HWd*fSsS$tgbg!15h|<7qoythR)iHhB zV&cobumRAjPw1`B2oWCsDfK^Eo4H7hE7DHVpYfd_eoaJ9Vvx!AhQN)iQQ^^drCPmp zzZqK7PJw0y{CoqAwR%f>Yu0hd1FJMKl;VJVGMGAG>}}kT6t3HmA}st(z{tazr%)y2 zs0JZE!jLn_y7C2mawcH$-4XdqC!*1rv$sj>mm3w+%KX+GKZ3)bXv+*>HXiU-%}o;w zbYGx(k{7Ri7kTIEE-N|yrgr_yW}GHm+c&F|@}@)}E_y~(DB!`U{w1qbKyb3p?jAT; z_{P(zp+F+bn}FPe`z_TMv~6rbm$|jv4&nogolGC=Joo0JGSxn}s4Avv?l>{IqjWz)e_yM?s`bv|jHPQbYDUEAu=tESCb26QdeyXhF} z9Xy#5z@BE~8j#BiF+L~q5AL+Wiv<>M;i{j|F zBP32!aMOHxSo;VOX{Y9jI1ja{g4{I_WxOr6y&%XWf1`O4{Q86;uL)`aR-j6?%uDHC zuW{0LdAbOvMyR#sYBv^e3JzstzP|R!uBY!4{!-xQCaWho`#0rE+E#jU5~@37`cI@t zi^*e?R#^8BMVpV+x)}WV7AE6eeR|EaiD%SqV*;Pxi*t`U;>moINgKGtPyGl%J~Bo9 zDUo=6Zj#HD1N-B{hN>ol|iW+0&TRmV*8ko5W{QXJxt4QMcw> zIu-(bd7GSsvT`k5KZ=QtZu^}>#M<;hn~WBBI~<1Zl{&1pnA+7}mwn~$!k(9=C?Z`2 z`uV!^k^Mz;*^haf5j-4&NnKjwFaAKj-AdUZZMUs@kFTRTmLeCD5uopP&8j3$%?U0( z!H(>g59e&Nq2|ehIt^`DnHQfDC%*_Bp&nU_SAH*D{OGT(FB_&Bdcxd%auHa$w)gF) zfM@@!pkdN|%PSwmYwFale5#=%cH3)=Sn<^y9NdQ~q9S%US@GV36N=kkmK!Zm5H|L! z>YN!9Zq?Ye)Z~;gxt9Xu{9HO;@~+)(hB8-hV93% zkWziJW&YM?7#5HdMdX3J)vrniDROYH7>6JDT8IO?VpKxP%DIwe60bc)m;nwcut}TOMYj7KYUV)_hTU)s=xpKdZpvJU{ zO1(}M{(Kjv&@^Db=#S(P{9Pcdxm~xmz`PW}C07MG;o+p@NfWjz(D&O>`upmthUc(g zt<7iu*X+m4Mu!k+-6*2*$j=O4@U+;!7{l}Zb1=g%v43jhrL|OnRkhp3-}COIS>&Jd=XKbO_nk$5XY>{CFJo@l={0NXbXcgp&J7V zoMnM-sH4P??+BL~i@oZ^=W6DNxOkrnb?fG)$?f$Ii*Bs)EMizYGWDw^bzFMwBpT3s z_M)~|adGum@vQob<+Wi!t0Z|6yV9;nr_cu5lm6SQ#1CJlI;;P({;K6=D9_wh)39C3 z84JIb$HS=lBn9M!{*;}Q*ChG|oZtp}M!VA#xeJP46cCGsqyq{?9PXTC!-SjYQL7N! zd+$1+$Jv#G$In_h&NW|+5`aQz*Q#SQ>IJzFd|q2PN_TCQa9lp(42s#)nM)Zg z(&T>;z$YW|9}>VHeNIg(ZHB!f6!G~Ru3HoN*>zsu^qihFBe67rBvS@1s>`Zv93+%V z#XTcSEwUFmgDJRLE;HgbcNkV_zTok#+$E-tt{2zirS>QfO4B>%lEr$u)VEh+*5Ay2 zls3-)@D&%)|C)O^@_ol)`f_Jx@-v&omykOp#QOcAqW<1+TOw&Wwg-wmpCx0KP$S=$ zrb2NN{3l6G^X$1zQ=?Qc377m(inww|(#FP z@$D??Q&nUlpQDd-DaTlm!1x~fR(~o%?_IQCXXf+`zh_^{G$q;$?DB<=Nt&+5Q=Lq5 zjK9T8NZiO=kOtW&*{7|N?xvtkS1wR|udH{7waA-y$O^)IAWfMPu0X{fkM3CNx++(9 z)=3C^WHP0L<4qAVb)ilqN45r4Tg?lws~03q?Wnz{{mcF<{8{H;+6Z6O%v75inMbJT^$5Y-KG$g8pV z!9h@YTioams3yoM#otkFe>I&)0p8wrRjM z`=`a5>Efd~%+AY7xYFX8tSBGTchOP+9NEqRF=NZ#U9A&hbu^Ju@^O?BKSrX_`i~6O z1$XPU7ssukhu`C#kGlO9dugY#QChQp9yNcIgMo@^z=Ob+k};`Dz)fi;qdGD{zuVJX zi*rs?A;1dgwC)m0H@>78rgeW&PffLRBP!*i*Y4hB?L;xSCRAS?KAUH6&H-D-f?lxY zM}jS%NIQ7);tz$AW2Je$IE6Q*ussx|^F7=FnfCUvtmYr#=;zPq1Ud7i)byMO&96*Osl!j^EaUqyDN3N% zo{g|8R1v!E*b>x?HoL;9tb8f`_h!t#TOM~xHCphl#LGD(-q=P&JP{$bF^Q(`5T;;w zX8|0@KiY*(W+ z#fV`m#5mKv)8IL>sjJdLzwJ2{)A!bt1Px5}g(zZ|kqomjA0@y~c$3NXHJ$Cv!eV&Z z9a#p;2$oRrY!W5Mw1AqGSJF#8Px)i&!=(Inq0&9Bemeo(WHpMJVNPkx6fUS&Nt`h& z790e;uYDdc@B5r1P9jy{4w28(+Pfz?Lm`y)U@jlPlVBKKFaiFf8i+{6=*xWk}3zw&J z7LLsg9Oa7W>*+uwx4DiAZNE)FvmmiP9iCRL^?N$0+EWJbaKoNyRQB@F z!Y=EX>8U{U=JImiJ}pKQad$Npdy}gJ$QciY80AZ!{lJz(EA^ zSdsvoC!rVJ{s<@d7K(fbuUkjM0ny~^cbop0+Pl5_l^c5XWk874ladhX1Gtyzr!I1E z2ecM*+bF^yYI$@w@{#O6W{wR|Wunjk@3L_>aB)qi0a&qa&{|k7o6Ow3DCSD#=DN!F z02{V-G{3yI^KuKz`pC`E`h{tf76NU~icLSjrrQiYV`M^Zh zbV!CwRneWPFh&Jmi@K|rF5mE#bDB>wX?ovE5@jw03Z)RJCwl$?YUA_Ps-M4J2s*fUR zN=9jp@t3~+jjAt^UTGNd8x;G33p{lg-r)TEkR`nTL_{&wG^)at=h%t7?kJ01e&YUI zm6yF0bmE93xr$^lbQckE7=u_Jt~ZGuCha|Q%sr}rdXjHARe^V3;3WjO{@aq8m~|}o zcv-nBYKLkWI(J7)-B6cU`y)&j+Yqe}`1o_fZZb{zyAHvE_u~8t#F{%oF9w5t zXmV=qsLyI%2!W6}%TH8B2>-&j!#S31uMa+HWi_y})_1vfeP_U?BMD@qcqyWB>&ZV= zbOm!(;8CNZtKHmF6}U6!3Bvunidt&Hs^N7r3Dt%CAJ4@rKp(d_z5Yqzi3$KZ|`Wv|81 zQoH{J|GPOyL$70%Hd~njafecY45Wu}Ul=D9O_8jBtpt`876ue1`6W-wc=nD-G2Q}$ z%(oCIbZziW38D=SMff5kP-SQ?UrYpd84s^7HiEAV$L}i;Ay|eN@+CwFmx+k_ibW91 z#3g(sBc#em(!MegvVC%cmc47Nf1qy_6S$S=P-TXSDw#}IW2kG8HR)OmZ5^^MU5}w} zKn4xzMhs&UvMJq+VQxXTq+2nLS(9z(whTLavIG4%l858apH=T8ox2Qq?!$szPmMp!ucG(Cb58AXn!$1q~!$no?9Mq&~-^RF)pN$FVfQ)86Y_mq-Qa*bI6zIxs1zsGh0;XXHkD z6NBDNZlSj_+SC=pv59C?;9AlnI{z(7C_`E<~q<>*7eI{*Rr(rZ zeS^G7-(vjyMgAZCH)DH;yi5PX*xM%`&<`0$91Zz%u(mrQci55&Tv&JL`8inaj2qPW zJ#e9_sD)!f0GHus&Fq@1+ew3beN*hE0;p&8acqGCts3{f%eDzV$3Obn%T_S#G0~sc z7nmgANeVYa06FKeRiC10gf19+y=Ga>b5ZP>;QQ(6S#^A48{roT_rK>V!+>e?FNosG zNUDIa(XNm}3(5A;sqD>$N1W*r_AgcynwH&Ha!m+yX@H&?5cu=HQ2)2xQBL!F#Xp-X z4HTbJK}a!^@qi2(TdbMVc9$M1{IGq>5uHp%5RCb_MXsZ0Ry02KdI7DzJrBgt@=orq z_xZcC?2lPta2ceqAS<$tqn%}Wq6*_OnyfOP8Vl>K=0gp$^N<;sa)Fj1TEvhhUDp!aFAlPliEl2le~{v=H^%GFvC$jA9!Y}y=OdD zM9FVQ*09$x)iZ+AnW*)wFTaF47q@wy-su>kMeWnr&u<#>^db7{Kb5mdZ9aOXa*?u&sgZK{bsC+HG-NJ43j`@9q`3?8?=w?D_ZGYCIj6m%3g> z{`1@DzPpS5{Kx#s@X4g2RxT8x!B|1q8@iz=e7|G}XBV!5f9p0ax#Dt?bT4hS5cN)a zq+?dIuTE^kZWc~${n;jASDJgmE4J@{$Bt+R=a;QHXz?!3fPa++AJO8&dJZfn!NoQR z3{~(T-X`n~t~r&`TjI8$_MxV70BPJxcnkQwSN?dOh7GB`aX~VS>q!X?p2MKl)wFL@ zEo~QL3TF{G?DfOu8mEui1Q#yZ*gWAPD#zu(t^Clax<<=?bCGQ_gqYCz$y-kAG$_LL zaWElL0-UOiu%E4e;|8Jyi4!2K2FPzaKg7JzW;a>DthnTOo^|agKOVH@mb+{qHV?lw zk7K^58VTehr3j1r<)_FfW2#s2X~oP-#A#D7e=ZmHAaD z8eaX6LdpQkvSh2z&6|4iWola1%hM}d%KZTdD|@TzPF_k*@C{eD1}rGD)(L^ALR1AL zqicfbHH%2n&K=Sf@uER%+e14Cv!fokwb>yz3;AyOrT1i#Z`Gt&X-&t~Ofvz09Fm_qigS>v9$WNuB(Ut}Wr7sgmcQI*_L0)WoJ8 zDa>r>UViVwJ4| zT$*P{bVT&SPS16Bt#?;Umk6j@k5s$E2>I&8?}1W)ZC?FVw&*s;EGzpg+U!sH_Pu6w@eeWCQIO4>-CEHoRqbk|hL)nM^T%3LMw zbjAy)=BuJ9{i#_c!_aup&Qcw^cjY5g=bh^X_uJsK5O+WyUee+}w+XlvxJN6PSE7}l zK~!4ZIQ~R3tS`q}W9^NqRKRwKvUHlQ}! z4t(bhW&KXE@a*&R4=o*Z*DjFH+8nWK06L7G6+N`Zal7$YzHT-S#xn7nui~ESCOp1X z_ptTf=lperd-{*n3x(YWb@rJ0Cit`ekja|^=3ctjSg_KE<=kO-P^NIMaC4lc4y0BI z6;3e=`?YkQkLsw!zdF=mj}`i>yo?p_1`Y8vt@vGhbPuZ1|G^lZ7kC=aRi~!)IBhl4 z`ZeuzV?kbJv+$jwdCO~xo&|S@A#kpgMO)4t3IG1>DeA9$>kZ}?4kvnWFh%)p2|0wP z?U?OCF>5#IsLw4D25UUF{YI}Bd6xvQ4MP^<)ECwscpX(poDIcJhG5e#U6hE8^nM&| znwvlKtGz&8_OknIf$Lq}%ku2bW@>VkuBoeLSPfU2=vW8*l31>6ReyYwnzMyg^JxB; z<+MAalui$UJ2KC-dB)awqj$@(Znm+VYSMUr&K*K12EA|Rt1MAG4?pdbS=4{+coqq) z>(hgo*GED#C-|%;e%-cHlbASkF?yNuLQ4svygUC?8}lQFXXhHcP#xf#u}x$K;bqc3 zX*#9jAsLZ$i{?a+Tmy8QrVU;(_pE0A3{|!76|~*(>TS%)wG8c8l0RwOHrXP}{q`a? zhHC|9NrGS)7n$nhpxW~_b}vTYf>CYdb<7UNHN&KeIx-@}A*3Niw4vi>VxSX29du@m)m#8NA;O{!1N0dP! z7neEw=?%DY2_zvIf0uGFtc-ayfIv`Bd0}7z3RNT!)cA4i9Y5`(gH017 z+L0I=E)t-&*pAj0ZAW>-!v)Rxt--McQ^Dmp55*L3C}JeuSIel*L*W%MIVE66Uqa6S zwVR{#Ny5ZL9hoAJ%un?t=Kn%P^=c-@L^V10-rmJ`STE*L46w%mDtDNcn_EM zw)-IzY>k61YM1N2=YW_(&8OiKr60SQqY(BB8C!r~zle%^q}o@(F*qZv^$zZY$zQKt zPJpWh4r8`+S#W3Zpi19M!HDB0(b-za_Z$E_Q#~$ScrkGcPJ=M7J98>0DT!9ol}rQE z(JCjZfs1oE7((b=qF8c0B}&>;3$Yfdl$Mg6$-T*0SoO9)StPUUTzPgsu0uWy5N#%D zPuN;Da>`J9mAPhhY(uig=>ElW_V_asmlKsvxXYkVa)q&rVgWDs}CeW&DcMI1wcK=yk$9_u6e3BNZC_u z=*V3X*`im8c_`bi9!y_msuy9GKefo?uvlvK|zpFqY9!?qsRSq;zAd$FHQ zUH}z@gIXoG`nRanoFS-AntHLMylvYTf_G-Y}>e2iHwzxE8)IJvAY@kH#H%0sooOj(#o@&(NIAe^Hs^v$B&o zrF+%W9)No1_5ifpzVmW|y91pI@_qSUnK_g#n9UWT`xQ+=8>K-yFY!bucH#cxLtGM( z@Uk31H)!0_&Oq(7KVKoGyi+V96I@6+))9%}6fwIZd5UHVR}M@*2X2|{A(DD`qr z2!gb2g=n5)Vdhf(k9l|vG`IXKM{+;I>?*PyTP*EVIR9d)oCTb{%n=(vNoAS%RIoVX zomoKE{-?dUq}fjk^G4hYEksT6%|r!P{U|=BS5cyeo){gg`5QlRnMH ziSqsI16pB1VoqunYdeEzbSqS3=e-<}tqCbVuSpdR(uNnjDK9geXlFsDUa#dWA%q?v zu&JAa9^Smg7))M$&}C*f_)l z;<4%7OOrtQ)a1mqwmg3BS^wE=0GO8x^WpQmg?mECW;|Iz=iYSoRqM{1hTpYu&M%(Y~??gtJwysI%&>=0AR%- zN?Xdx$p;-Os3<81tM-C!-nQ+qP1 zv#Gg776^R>5P3z=+Zq7ypG}YDJCaZ_GBzy!Q73xdaIbfcggfU-IgKFHh^y0xldqi9%Vj~()-yp((xf?+ko&n&S;Y}VR z&_~n(@Rgdq0ldnG9!fxfOaQSO59fRK6BZBqG7ijLg~Q&~RO#NEy5n4NQ@4ETALnbe zy3d%+a0B%i7R>iy)~%@U7`KO^xBg0qiF@dL%h9~wpo<2Jh{<^f-bzo4NqtDYb*?n7 zH4btScJj5`b(;nQ*sb8an52hiZZ*}%flqX#BVeeW5Y&sEcARi%Hw@C)8AtMkS)NmJ z7F(C#yGns&PCa*?HlYd|PQmn?wKa6fQ}WI-Qo6V)9%u9sRi|wVR^vSWfGYTB5@lzV z{AtI6JJ27+(8Yg1zyd#V-=)GVC%JnIp12yt9mj>kpFsu_l>hZtfPJk~l|KniIXjn) zXf9Ya^5ujAtJ`_7SwN5nYQ<0He+Ydom<9!){L-s104Dno-e?Ua01#^~=<|JUB>cZm z9Z(nti;~+#q9GjokY2h0^t!2GKCqy#cxQi2tMRbp*4vhkNxA;#}SQkJ^tL zaQ#)~1%)U4OWnN)d`v}~ls-kG$YF~^0Kyd2En+z-kS-x9X`B&9P?TRECt*n7h|3a% zAvX-wIN#JENfs!aB?pv;L=hm@RXLJkq8_L4(tJ#kFaYXtNaAYVatflt+b*gnWyH5_ zl-~lJR?isQo0fhYf$op0qexff{}Qqtm19LD#*6Uvx-6-mu(uqzb9}vxgh#GAiSnk5 zj}v%5Zo>@~VUEmK;&Au&$1wSL7Hv277vej=QM5f>wIiNw4A%e=q&lxfl+tHH_e zk%O$B`kSy$#tS%bc=dt6SQX{+y-uS;+y)!%LR^sKb~hS?aa0OXL8HaN z1?3HM+Ia!rEB23x8-^dLBiWH8Zv6i@} zq5_AglxF4cEEm`)NPqav!%TO#F8yw1BX&T|FwUM#--g9f>@$r{Cx z#uItO1x~3RHr`T_)+ufLJFEVBL$1_q&IxCA9s%r+-wj=33GzX`P$4@b-HV6Xiofb0 z-DmssCuUR~0O*r;5oiE>9r8mLGb@P%_#kcn-?akIycT+;27h*HX#s_ldfqp`B9{pO Kee*}WV*dv*@S9iw diff --git a/exmmtest.map b/exmmtest.map index 9fa522a1..7576609e 100755 --- a/exmmtest.map +++ b/exmmtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:50 +Created on: 15/10/15 12:30:06 Executable Image: exmmtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0ee0:0000 0000e730 +DGROUP 0edf:0000 0000e730 @@ -33,26 +33,26 @@ wcpu_TEXT CODE AUTO 0000:5510 00000058 kitten_TEXT CODE AUTO 0000:5d80 0000080a 16_hc_TEXT CODE AUTO 0000:6590 0000158b timer_TEXT CODE AUTO 0000:7b20 00000235 -_TEXT CODE AUTO 0000:7d60 00006ee1 -16_in13_DATA FAR_DATA AUTO 0ec5:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0edf:0004 00000000 -_NULL BEGDATA DGROUP 0ee0:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0ee2:0000 00000002 -CONST DATA DGROUP 0ee2:0002 00000076 -CONST2 DATA DGROUP 0ee9:0008 00000102 -_DATA DATA DGROUP 0efa:0000 0000056b -XIB DATA DGROUP 0f50:000c 00000000 -XI DATA DGROUP 0f50:000c 00000036 -XIE DATA DGROUP 0f54:0002 00000000 -YIB DATA DGROUP 0f54:0002 00000000 -YI DATA DGROUP 0f54:0002 00000018 -YIE DATA DGROUP 0f55:000a 00000000 -STRINGS DATA DGROUP 0f55:000a 00000000 -DATA DATA DGROUP 0f55:000a 00000000 -_emu_init_start EMU DGROUP 0f55:000a 00000000 -_emu_init_end EMU DGROUP 0f55:000a 00000000 -_BSS BSS DGROUP 0f56:0000 0000050a -STACK STACK DGROUP 0fa7:0000 0000dac0 +_TEXT CODE AUTO 0000:7d60 00006ed3 +16_in13_DATA FAR_DATA AUTO 0ec4:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0ede:0004 00000000 +_NULL BEGDATA DGROUP 0edf:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0ee1:0000 00000002 +CONST DATA DGROUP 0ee1:0002 00000076 +CONST2 DATA DGROUP 0ee8:0008 00000102 +_DATA DATA DGROUP 0ef9:0000 0000056b +XIB DATA DGROUP 0f4f:000c 00000000 +XI DATA DGROUP 0f4f:000c 00000036 +XIE DATA DGROUP 0f53:0002 00000000 +YIB DATA DGROUP 0f53:0002 00000000 +YI DATA DGROUP 0f53:0002 00000018 +YIE DATA DGROUP 0f54:000a 00000000 +STRINGS DATA DGROUP 0f54:000a 00000000 +DATA DATA DGROUP 0f54:000a 00000000 +_emu_init_start EMU DGROUP 0f54:000a 00000000 +_emu_init_end EMU DGROUP 0f54:000a 00000000 +_BSS BSS DGROUP 0f55:0000 0000050a +STACK STACK DGROUP 0fa6:0000 0000dac0 +----------------+ @@ -67,9 +67,9 @@ Address Symbol Module: exmmtest.o(/dos/z/16/src/exmmtest.c) 0000:0110 main_ -0ee0:0760+ _gvar +0edf:0760+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0ee0:079e+ _inpu +0edf:079e+ _inpu 0000:04c0+ INL_KeyService_ 0000:075a+ Mouse_ 0000:07b2+ IN_GetJoyAbs_ @@ -117,9 +117,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:4a8c+ MM_TotalFree_ 0000:4b22* MM_Report_ 0000:54da* MM_BombOnError_ -0ee0:0900+ _beforesort -0ee0:0904+ _aftersort -0ee0:0908+ _XMSaddr +0edf:0900+ _beforesort +0edf:0904+ _aftersort +0edf:0908+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5510 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -137,9 +137,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5c50* CAL_OptimizeNodes_ 0000:5d00 CA_Startup_ 0000:5d50 CA_Shutdown_ -0ee0:0910* _finishcachebox -0ee0:0914* _updatecachebox -0ee0:0918* _drawcachebox +0edf:0910* _finishcachebox +0edf:0914* _drawcachebox +0edf:0918* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5dbc KITTENGETS 0000:5e1c* kittenopen_ @@ -150,7 +150,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6374+ get_line_ 0000:63e6+ db_fetch_ 0000:647c+ db_insert_ -0ee0:0494+ __kitten_catalog +0edf:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:673c+ LargestFreeBlock_ 0000:67ca+ _coreleft_ @@ -177,9 +177,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7da2 _fmalloc_ 0000:7da2 malloc_ -0ee0:04b6 ___fheap -0ee0:04b8 ___fheapRover -0ee0:04ba ___LargestSizeB4Rover +0edf:04b6 ___fheap +0edf:04b8 ___fheapRover +0edf:04ba ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7f1f __PIA 0000:7f18* __PIS @@ -193,10 +193,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:7fb6 _ffree_ 0000:7fb6 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0ee0:0000* __nullarea -0ee0:04bc* __ovlflag -0ee0:04bd* __intno -0ee0:04be* __ovlvec +0edf:0000* __nullarea +0edf:04bc* __ovlflag +0edf:04bd* __intno +0edf:04be* __ovlvec 0000:8024 _cstart_ 0000:80f7* _Not_Enough_Memory_ 0000:8229 __exit_ @@ -206,10 +206,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:82b0 _big_code_ 0000:82b0* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0ee0:0924 __argv -0ee0:0928 ___argv -0ee0:092c __argc -0ee0:092e ___argc +0edf:0924 __argv +0edf:0928 ___argv +0edf:092c __argc +0edf:092e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:82b0+ int86x_ 0000:8433 int86_ @@ -231,19 +231,19 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:85dc _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8621 _nmalloc_ -0ee0:04c2 ___nheapbeg -0ee0:04c4 ___MiniHeapRover -0ee0:04c6 ___LargestSizeB4MiniHeapRover +0edf:04c2 ___nheapbeg +0edf:04c4 ___MiniHeapRover +0edf:04c6 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:86fb _nfree_ -0ee0:0930+ ___MiniHeapFreeRover +0edf:0930+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:87f6+ _null_exit_rtn_ 0000:87f6+ __null_int23_exit_ 0000:87f7 exit_ 0000:8818+ _exit_ -0ee0:04c8+ ___int23_exit -0ee0:04cc ___FPE_handler_exit +0edf:04c8+ ___int23_exit +0edf:04cc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:8834 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -262,7 +262,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:91db __doclose_ 0000:9325 __shutdown_stream_ 0000:933f fclose_ -0ee0:0932+ ___RmTmpFileFn +0edf:0932+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:93aa+ __ibm_bios_get_ticks_ 0000:9421 clock_ @@ -273,7 +273,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:9645 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0ee0:0098 __IsTable +0edf:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:983d tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -308,31 +308,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:a037 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0ee0:04d8 __8087 -0ee0:04d9 __real87 -0ee0:04da __dos87emucall -0ee0:04dc __dos87real +0edf:04d8 __8087 +0edf:04d9 __real87 +0edf:04da __dos87emucall +0edf:04dc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:a046* __exit_with_msg_ 0000:a04b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0ee0:04de __curbrk -0ee0:04e6 __STACKLOW -0ee0:04e8 __STACKTOP -0ee0:04ea __cbyte -0ee0:04ec __child -0ee0:04ee __no87 -0ee0:04fb ___FPE_handler -0ee0:04e0 __psp -0ee0:04ef __get_ovl_stack -0ee0:04f3 __restore_ovl_stack -0ee0:04f7 __close_ovl_file -0ee0:04ff __LpCmdLine -0ee0:0503 __LpPgmName -0ee0:04e2 __osmajor -0ee0:04e3 __osminor -0ee0:04e4 __osmode -0ee0:04e5 __HShift +0edf:04de __curbrk +0edf:04e6 __STACKLOW +0edf:04e8 __STACKTOP +0edf:04ea __cbyte +0edf:04ec __child +0edf:04ee __no87 +0edf:04fb ___FPE_handler +0edf:04e0 __psp +0edf:04ef __get_ovl_stack +0edf:04f3 __restore_ovl_stack +0edf:04f7 __close_ovl_file +0edf:04ff __LpCmdLine +0edf:0503 __LpPgmName +0edf:04e2 __osmajor +0edf:04e3 __osminor +0edf:04e4 __osmode +0edf:04e5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:a070 __MemAllocator 0000:a13a __MemFree @@ -343,9 +343,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:a4fc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0ee0:0508 ___iob -0ee0:093a ___OpenStreams -0ee0:093e ___ClosedStreams +0edf:0508 ___iob +0edf:093a ___ClosedStreams +0edf:093e ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a519 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -356,7 +356,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a72d __FiniRtns 0000:a72d* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0ee0:0670 ___uselfn +0edf:0670 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a792 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -373,7 +373,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:adda __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0ee0:0022 ___Alphabet +0edf:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:addd __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -383,18 +383,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:ae8b* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:ae96* __get_errno_ptr_ -0ee0:0946 _errno +0edf:0946 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:ae9d __GetIOMode_ 0000:aed2 __SetIOMode_nogrow_ -0ee0:0686 ___NFiles -0ee0:0688 ___init_mode -0ee0:06b0 ___io_mode +0edf:0686 ___NFiles +0edf:0688 ___init_mode +0edf:06b0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:af07* __set_commode_ -0ee0:06b4 __commode +0edf:06b4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0ee0:06b6 __fmode +0edf:06b6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:af13 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -421,9 +421,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b51a isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b536* __get_doserrno_ptr_ -0ee0:0948 __doserrno +0edf:0948 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0ee0:06b8 ___umaskval +0edf:06b8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b53d _dos_creat_ 0000:b561* _dos_creatnew_ @@ -434,9 +434,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b76a vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0ee0:094c ___env_mask -0ee0:0950 _environ -0ee0:0954* __wenviron +0edf:094c ___env_mask +0edf:0950 _environ +0edf:0954* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b7ac _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -457,12 +457,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bd02 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:bd72 __EnterWVIDEO_ -0ee0:06d8+ ___WD_Present +0edf:06d8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:bd96* _heapenable_ -0ee0:06da ___heap_enabled +0edf:06da ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0ee0:06dc __amblksiz +0edf:06dc __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:bda7 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -475,92 +475,92 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c34f __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0ee0:0958 ____Argv -0ee0:095c ____Argc +0edf:0958 ____Argv +0edf:095c ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0ee0:070c __Start_XI -0ee0:0742 __End_XI -0ee0:0742 __Start_YI -0ee0:075a __End_YI +0edf:070c __Start_XI +0edf:0742 __End_XI +0edf:0742 __Start_YI +0edf:075a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0ee0:095e ___historical_splitparms +0edf:095e ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d4c0 _DoINTR_ +0000:d4b2 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:d839* sbrk_ -0000:d849 __brk_ +0000:d82b* sbrk_ +0000:d83b __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d8b1 __qwrite_ +0000:d8a3 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d911 fsync_ +0000:d903 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d916+ __flushall_ -0000:d9aa flushall_ +0000:d908+ __flushall_ +0000:d99c flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d9b0* _frealloc_ -0000:d9b0 realloc_ +0000:d9a2* _frealloc_ +0000:d9a2 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:daaa __setenvp_ -0000:dc36 __freeenvp_ +0000:da9c __setenvp_ +0000:dc28 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0ee0:06de ___IsDBCS +0edf:06de ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:dc94* _ismbblead_ -0ee0:0964 ___MBCSIsTable +0000:dc86* _ismbblead_ +0edf:0964 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:dcee __mbinit_ -0ee0:06e0 ___MBCodePage +0000:dce0 __mbinit_ +0edf:06e0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:ddc9 _mbdtoupper_ +0000:ddbb _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:ddd7 toupper_ +0000:ddc9 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0ee0:06e2 __8087cw +0edf:06e2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0ee0:06e4 ___Save8087 -0ee0:06e8 ___Rest8087 +0edf:06e4 ___Save8087 +0edf:06e8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:dde6 __GrabFP87_ +0000:ddd8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:de18* __init_8087_emu -0000:de1e __x87id +0000:de0a* __init_8087_emu +0000:de10 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:de7b wctomb_ +0000:de6d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:dec6+ utoa_ -0000:df67 itoa_ +0000:deb8+ utoa_ +0000:df59 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:dfb1 strupr_ +0000:dfa3 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0ee0:06ec ___EFG_printf -0ee0:06f0* ___EFG_scanf +0edf:06ec ___EFG_printf +0edf:06f0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:dff9 ulltoa_ -0000:e136* lltoa_ +0000:dfeb ulltoa_ +0000:e128* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e1a7* _dos_close_ -0000:e1b5 _dos_commit_ +0000:e199* _dos_close_ +0000:e1a7 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e1c4* _msize_ -0000:e1df _fmsize_ +0000:e1b6* _msize_ +0000:e1d1 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e1f0 __HeapManager_expand_ -0000:e6f9 _nexpand_ +0000:e1e2 __HeapManager_expand_ +0000:e6eb _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e74c _fexpand_ -0000:e74c* _expand_ +0000:e73e _fexpand_ +0000:e73e* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e781 clearenv_ +0000:e773 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:e8b6 __Init_FPE_handler_ -0000:e8ef __Fini_FPE_handler_ -0000:e926* __FPEHandler +0000:e8a8 __Init_FPE_handler_ +0000:e8e1 __Fini_FPE_handler_ +0000:e918* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:ebb8 _bfree_ +0000:ebaa _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:ebdd _bexpand_ +0000:ebcf _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0ee0:070a ___FPE_int +0edf:070a ___FPE_int +--------------------+ @@ -575,6 +575,6 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d530 (120112.) +Memory size: 0001d520 (120096.) Entry point address: 0000:8024 -Link time: 00:00.00 +Link time: 00:00.05 diff --git a/fmemtest.exe b/fmemtest.exe index d00311aad194a4cd430494afe003727d7f2cccd6..b6f1a96e030857372a973860c5a19fb2b4e6e7c4 100755 GIT binary patch delta 26930 zcmW)Gc{tRM_x|>W*A!Uu3QHj?eG6vCJTF|DXD7)-?QuZv_ z(z}L1NJGecKfmAo<39I~^XGl;bIv`d;~u2-9t3dqGY8v&z#s@HMv%j~#{0kF|Chx7 zItnz5Y6vH`^ySibq+Zm}a{J(LQ2r$RBO<@;-|wdfM`+Rfe2G7=y{W)ru?T4-z8M2* zYNdG%c#(W%c%V=&hdgGC(qh?_H8w~*7c_kU0%16bXD)^l;vxu~u<(dbN+G}je|iUi zzk}fsNCrOadL*)82%Y!M`&vOEa&;IK&)V;Ag=_O zq00;v(-+hYTk{ZK72^W$au0jF@|YBJXi2W?)CIWd$SJM1iqJZJlXzyRt8cd!4BafO z+=M^fniAoG_O)X_dn4vGU@~28ds@)XEQ*XcDupXq3k;o;IF~KY-P|4N_EbD9DzW9{ z9~TiIR;COzbFSCNH#(Jx^C+;c+P$3Q3QN)MsJ8iD7nsu-9kZLA=a7XSzqiNkC9}b^ z0NL&jCkmpqbLlg;{pLQfNmCD!HX-n(r==K@J6v;AtmMh+;@g`3kxxo#>>0ZJ^J;;Dvh z9vI~6dg`~0*2HtJ@N5V1!D?JHW2ke%hs^F4h`7Wyh9&e8C{jqr^!B(K(v4arg!4YC z>6k^~s?6thr#|5{b4J{5lZge0@O~B%2Uc6hKfQ`kekv7Vr&<^dV2HbY#1pzOG)Q({h^<#;}=H2*6hG+RxfzqUrU4+QRurab^pLHIgt)h1$< z2fnT@e+A8o8i-pv!-wm59s96tnfp{g3oyTUF&lZA!#z0+b0#EWb%PK8Ex z>jqJgQ45DSk7rZ}7ws!{@z6i>_$)VL+-}AQ zV<*2u@xVw4+c)1S7uuz7RKyKy>{{7c6mCt|@3leDDnWC&_Oid{RXXWG$;*3(0<%Se zD)ypLPXWZ`iE&9s=e(WnN+7T{Duq47aWGe?;mG9A=hz|2XmOY_DCMSF#eIoPJHN$I zdarotl7?Nyy!hu!N_iRfdR)`=O(i_WN6^S(((WUwFLa%d(e?DW$S@kJAkpn)bIt#b z8DEDF_WUHXLKreu_wlGOESXQdqajyh=6X^!;3SVLGSWZBI~bbjbl$Vxitgoh3xV%3 zb)VSUU*J2)11;oE6G{4=n0IHbZZ<_t;EO_!Dy8ima-f%HJa-#uqYEiti0mb|zDk!J zeokekshveemX*jOgH6XzZa%)qNNuDVn=KA4JVika4$B=n^wPcAo#htfa7WEwY&y^* zLOk*&I@Oaxlq})S3RS#vUo!ox4qZqK%~F8qD*=wD>=}82Hj>)xL>Z~wE(ygXSwyC+ zAFKe z3*;nsYW-}y^mJ)$x|Q!)blONwOKgJZ#D^5YV$VHQ@3_8SdkkXs1|K~dPzhZ(r=x|( zZh1%2?~rpl+J~+sF^>HUf$CGaBRg@&xUQP)*oWe4ar!f=bg9c<+IK~d&-S!-)5Rn{ zVNX`e+Pz63;^YrqnI-ljuI&v$(!%*oVRbM8K0;}M?|l#YAEir;v4WkynF8kRr)`F< zu{t7Y0V~@`b$ATOE5i{0-v(*VoZ{cl`g=}%Vx9LRGYd4DwSne8C8c0r5UXLz;3{_9 z=CYf-d<&<_@mfMizB`)9(dJf$MMFFjk7r)7H_mJVRr0BAz5>YzRN@X@_LnCQ%E6k6 zHYaM*?+y@~u-A`+EX9aR5L^U$$=o{eI6_i9E|~XHj`IVdK}mqS(noxZo$1WG_`l=% z3MJ0Iw~b5|+Yxy6l>3kSZej1N&du3Z*1bPP{0xj8=pTABMqGKEBtwSehMa!#w!2iy zD{Rczg+_c4=F=4M7qrNL1ap6>vlHy-i4W;cm*A}F(}$I&+Z`u_qaaq~E|Y;_2RQIz*}l z5M1_-s2k43P{Hb%dr2gfUE{N?q99!`RhJR0u1pPLT8b1FUs*|*W}b;Uc2CQMq+7Y6 zXp$GCF=p2k?1TMT#QhEP?bh^wQpu*YVt%0M+kten^65_u?x*+iC!zo*-1sYw?BlEJ z%+uZre7amU&Qx0PO2a-&az;6w>4hsa@-l!QI%YemKNtkA0sqG?^dBQ#VkWDJL}uVq z<2rAjcEi;TO0&0!#bNe7bqK z+;$jJRC*i5T*-iLq@U&8SiZJ-sF-78Jg-az_CX>Q>l-F?>TWa>BI~D_X8lAixOva; z1G!Zu|Il!fLi_9aX8w^W6qFL>(LST{dlezg&1K1b|9c_^?l*_P?;CbdxEXv_I@3Rb z+I1bOSI@+Ayz*ZLaqxdOI&--GWJ+hP{^qIc5=YX{XL!=|&6W@Uq3{H|9OfgMO(meV zMzXSgcxFy*?!5O`aX&8iv=5Xg3U-`ciyVE7jgrVC{WV@93~BIsUxww8*5RP<+b~;s z^{`15!HI-Mn>Ma$qaxvf z^{bzeBQ3qZKE!MpM;@(QOA177DgaLom=_%i$j6RlF?1_jMulyhJx}VZ<+iz{%(F3% zW+>EvaL-JevZe`t)<8xK6cw_`+7vaq!*Q zydT$0YDf|;&#c;V?LzIQ4tCD7%%H+IB%1JVP`R9ruFh3Mv-v$~@INcBMWB^8>sfjO zxV$shrt>bWGc90V5?uI=5i{qq(J7vEF2C)Q&Qg(As=bE0%yGuHu-;vQZO(6t(j@%-vn=l>_ha)kLGs=S#@{pSW%yMq)-}aVS~%hWSY73^t3)W{r=U0m zC%Hl$IO)G9#|xaF$xeJ817^CXw$#SXO2v6I_00-G#!!OWT2F8*JFMGP$ceAO*w|Fm zy-9BOs31dAs6P@*vOSem!WeO>9zqE`U6=(iQ~&1I^?3j2h=d89NzAXW;t)DptC0DyNL8)vH z(VpqQZCMkXZi{pec}S4R5l)N6=?`k;c2CJnk!zT%>~N4{3LkuDd&ka zjkw86>#5>ZZBH7HbW-SvQdhNVsaEH!jUG}%c42kFOLrP7t1Q$u@tzJRCMU;WC-Ns= zzw2@|K2_1iSFUqQ9bT1Ue!MJnRAf&a#kkdF@M}H26IjbK`eoeXD|~J-b?-`yIQ-VG z(2k&NlWD%N)gwni7>FSGjn~}iD>34Gg?zXP^fRjOjL>ivyZAM5eiYOqTccnyq5I49 ztq!*Pi<^!GZ4h*(uTs(USAN@#qZ*sANj4C5x1oNS8dhWL&jsZ#JZvI?c7FHH?0~u+ zyDoei0Tv)p9iOZ9EOI}yM~{p{=ge1)w(^YN@{srE;n0iRA8ddNhrzr*OnLbwcuPz zON2s})-$6p6%m!GbGgIHf&r*JDrxezt&Qbt;PPbU)G1_QfpUl1+mn}%ehpto)<&Gp ziwij|XMEQjv8nXQUuNKF+fMJWeLR!?3CFo=(s|bZ=GBRShf0}h2felh%Rc`?l275L zdXb`{(b0+-ecdXdBFZ*Q(i*`BW8Z&FA~N^(E&AwiegJRh#&kv}uh7i^!Fh>G2+E=r z5m--{tq8d+Fj1+h$kn%|0ItB`BHsyLVxidlCbG4$|_Bx7cmunpxx!vVcD2c%Xv z*v_V5XJ^t8_pl<>dh5Q;qPH4PCg26?q2)57RJ#uavNG5Bbc;8Kucyrg9hbAZY6lUW zSDuKAgkN}|iftTzd&5`D9oFJ(hteA91cv)=TAL|N`iT3Sg(rh?PHrI1X~{yetbnVh+R<-eITLCZCNxwMtakKyyA`APKj zFYW%L{RUH|E$mzl?}%wr=bB3Wi|&#S$TGXLJ}WXKwlWswuPxi&8+v6qB80;n=llJ;mx%92eAHv28<<8B_kS%3?V1lyXFJzo8hE~NT9r0_K z{g==gd}?dleH&Z3LE9;k>~E@-hrSlGow==N^&~M(L1Yt7$QI`_IkJ)zf#!L>9$M{$ z=45wpk|0{_?6w7WVr;Rr6HysRKpt_AtwJBqT71Fxp=iXpm2;f3WBcdnsz<-Sz3PXJBe!vxT(e3EvE5`_y%tZa}H^Rpr%Y>H#+Nr%i zowl^WfuEyKhNZ-1^bhJt{nE3oxI_~dVnb9N%(g5tg3^x;W5VN6H161JuO$T+i?9;rcbp(%}W*C$KqLc;8p6d4)#M#w+sn{v&n_$G~TZ9HO- zh&Gsus!=27Phm)ScCg^@sM9hnP?$gfj^N6wnwGzywN z8Td;?enbXrx(sw5y4{BA)3ib+TMx1)Y5RpUiJq`41Bn*t1Bqs74ozdQ##cs{=bjt3 zxME@60cl5-E#yvia+Ys_mr0Q2)@Vp(%TEy1a*kM(czh0aGtnsM2H7C^Iz_K#2PBg_ z;C6flwr~{&<)bby!FS%lsQ0MFiMFu)2MPLA$7hcw0Z)|&7W;1SvL57pi@eMswB@o7g>bGV__7FZ3tTl+9qLygmsa^HE2FYOJ)^Hz#p1hxJRzZ2 znNN>-f{)5r;5!eK>v)Ac`~RTn1uAKMfQf>|cWFgJ)HyIpqGc|Wf^@koyG~eDQYR!N zD)1TVs-nb&-=U1JsiOqFGh6^=)an&_k1wnI94SBTkvgQnt;^~rLfKxe&tBR5{(WBs z&N?sY0b&ibVG8+1LG@&z*OtXWxL-V=c#)bHh&w{Un{W_-ggnR*Bq&D*n*m&0gc%SB zQgnxF3Uu)J;*65Xrh8+#Nb(R@pQ8;z94+2uR`3VhjvKXB0n7|XlMs~eY{Pcyg7AmR ztl3JY@}%QY!Q)r69}G*qfEX>CF_a~>XKX6(LmHu5Bx$oK3s`{vRn<0zOW-&qRAH)( zkOie#NZGNUJkAy1oK;Z*TzM==>Fx^2!}-K&|2eg^I7|8JpmA8E*OK5g-A8XDYYP%VT-G=aBaI zyQzYZ#8cUtCH%b?$EtFq`R1zFSMGxJuINn(G0(2prb77^GH1-B1lombsF0T$foU}J z$^bbB3dH^yI8d$WB{=muw@N{Y=Kd<{zUImGeC8i-CVk#RHr-5gg^TFLU8@Ys?`7ss z@EDloz;mYw)k8qaaDSV4UhU8}E#dqTV;?REcoWX{+AZ`v`Mh-YjgM1rJ%je@2AC>s~YA7j{8Q$y;HU4Ig97D`?;khW;mqO zq{AcN^Ioq$ttf7FqThl*hhgC-DW_={$BA4+Zh=~%mg>L^+3mIh=8G8agSxT5Xd-7e3Fb!Mu1S=Jd3*_ z*6IMVLRMbFmL_3QD3Y9_0nnnkKI3A{-72AwC?!gzhk1Ss%2arZf*H|nOB7KG+$}ce zP*Ex|esH*Q+We6i=^F46;+sQm!w>-mputWy*Fx+|oN-RB2ZIDOZtquR%N+gfAjVCL zX-XULX!0-{HnX^k`XTia)8(T!jVAVg9WLZz1Qf!SlM-fmPv-}ndcGTZxh%?F(kmME z%#=C)D%guBzoFf%?U|LFGk)|r7c>(dsatYKq<75&ZdzZJ7=a4IwdFE66aF+nueIle zSRDf<5t1NBcc@KU=gK*n20Ff&a}yaUX#Vs+dAFwbO)zr=hwx8xSbo<(Ew$+8r3bih z%gW=IT{zR)@Q^0j=<*4I+mRAGH)Ev|`R{S21^Hel3!bTiXi#=fNWeVG#mR%jvGhC+ayL2R=qo-Wr z;K~DOi%9;E@%|-fDlLG7&#N7DUl-0Y%}Wn%?!x4b#3t>*<$i_Y28wyqAH~yG8CAu4 zH{0b-EE&*OEe1Apz`7i1zKj_@8d%_9F~jUe20(GB3SiWZ{Ww&11_86@jM~*LiIS#& zcGTEvBhj|zag)aNl~)2BXVpxD=`V+qzpseDCT6EuN#afv=;hTH8>LBq$4|DhzQ6R| z#67L-{(cycHneD!Je_qG6gUKKoS{uW22xn<^=0{igC-E-QKs4rqi1j4RzarPYh>?x zZJ?gFv4v*|dPlzxoCmtAj9)dhJOwo>P`4zGNH|YgYw6!5$HScA-h#4~!^fL*@>-Y^ zxn^G)eR3x?+b6qxzzN7;PEBof1@x6g*+bzc*v9>u0QbE7BawLDKDUURLFT%#aAjUr zs>M%G?_lm|;^&4k?Of9PRJP+YCVtreaV%r0bzpQ+Mt5uW9G-VfWLy-Au08+1YZMf zW!zn}(ihYjzlA%8a$j^`%YrUpU6hkhIST1XzqhRT|&7)$VpRBG(C(O2<40@CRc z*E_jiqk^tru7&POL?Rw)E287}GW%0)@Z|M<&@FNu0Qb$WIR|@v@Hesu1soh|UDAt# z83NjzjCCzz>UN49&)UvH>^9i@ieu^h4F3_J;k{=E{`qBuxW8_)~ zx#B{xk1qPK7OJ(|`fS$;@7kiouRK75l^4<;MXuW#5X?&_N7wxWFQcfj*)ji20?6h$ z)+j)Yt8CrwTs9oCZ{RPT6mI-X5t^s(WiM?7B(qv6=d?$xoP4eG1dnl~VJ9E`(ld!o z9Q7BYSUuq<<097W@wYyo2~Y8UCI^`A#+>Vc5r0dYpvjll&lI@^Qkx{N znC!8}>~CI36a~ZOx;Nl)Pq$091gR%7+t4nz48c1%?>g)`NsNR=Bd*Jw$@eVqrmIWX zZI0UC-_OW!(e3_>DGr|5#s`FHX?4E@TZ+YpD|;7;H@PmzZBibx#=}QfW<@%KNjjpF zlP=A z1eup-!zUpTwGyT`8`HSgT*Ad^AO*2%A_A;aUvB>hZ@c5}?&?ldv$jAij>ow?gBbP{ zxk&J=@Epd5g~kA7GkRen7FKR2f2D)GJZ#%+Kvt*YJ;5JwAT9W+9J>K+xj^-Zi+E}K-hbAeZEFkC09j2KarvV`TC=N5o#;*^R4TbC^+T&Ee^9YDYHDJ-SO?@flfohRUs5%xc+R1 z&?)8ffZ3+&4U)~QG$c{tiyGOUQf+E_Ey`Al>KH_*#prB=^cAIv#$snxq?bbV^^**Wnby%V@@tI_qs5uYC zGd?*D*+GP;-gc8@U0$%>?zO>Bl?tQ4F4-F`ce$9Fa4@btSC{*kA5u<{Re!5p)n5%D z#j}1f{m-)W!JBUAoBKcM#x`Yqa;{2m1o)evE$EP;H*$Zpt*cnTu_wm+o<%C>(T-Wy zCTXCUu9!Uj)Ah>3Ox(&BvKfu*^Zp2U<5>ITkma%KtyD|x8KRyaxmwFj>r3Xfq+pot za7Qe=Ri2_`YZrP? z>Bgo>f^9l-0da;2BY@|GQ6fvw!GbJ)-3^&k)>4QR!f zsum5oNHPf}s$&=T)9CFTQ}iRh29al^v}QfyfXc1$cpY?IB!*b)JHEW z`*hy_$kUsnjRmzbxWGU7dZF;xsiw#;u;#-`3CawVI_oh}|AMd7U*$5wxqHJ~8l~DM z5PkE6OrcjnFT&gEgnfb|AJ8GHQf?`Ek#oaonTd`fy+Jt@j=Ib6oD^#G|HoIb`R(`* zD(^Q%Y+cQxa6Y-#%Iy83Pp|fIVc)nFHk&Z~pOCM`^*-ZVIfCT{8h(;WP1%!o$K75& zjr98CR^k(51nB^^WHXZ|`%Zq9arYl+Gc(t59R-u~s%UTNJWcE#5OA~!05|-7)u$P# zPtX3uZ~wm!hx)g?ph`>{Lp-9xas?hxPpw1{R>cZ#OHJmteK3)13Az@M5eX{lI@z(d znjv@A|>n;>+NIi_Doc1WgsUyGY&X4gi3 zU0`;MU{nwb3If{<;8^bP)jUy&Ty)*G#< z5qL>Z9G7wwIJ^X;*^DDd&59-WK|(%&ft_d5U4Y0(Jln1b;Artje@gfTzSp|}2RdDU6!VCArX zt^xnqwrFlNHHUo?*bd@QS9-r#{U_8s(zF_WU*yN1EjChByJ5*8f1BmPAAw5t22+xOBibYL!NCU-ao;T0EGh%X4q@%-K!u6lA=oC3%D zrr=ClHo{lr@BOOm$U#74g^wl+p@f7kbcCVrYHa-ygauTKqzRVIz(%gjWT@Ik$DMW# zre3bZqwlLnWV%+U=|RmW1sI-bM4WekOW}BJ-3xIuK9T%nPRpp6b$^v6Tx;P z{7X7R=O6J`5_7(d^}aCWXA}@2Srf+(n9pzpTNA{u^SQWS;+WEk$=uEUY1P>jfda?! zT|7}>EZSh>1n~%l*x&Jb1Z?*K71!6t9OUZ|P0RhLyK#muZN&N$QPgMT3h&6{PogS) zjR0@Q$C1RX{%?WbMMQZyxaAJRoe_bL(FSb!kw(l9;gJIw&iU8p5f?JfPt(q;3qSCO zkvKIZ`s8ubZ5Sv?N9YlHJE>*YA)JwlkkNjLf@RWcx)egKFA{gv;!KXBHroTz=xu>G zpO-lPllv_NVWFT_*8P^v5LGLXFrj4(2vM`MIl>=daYcml&+kI$1^R_XIOT$}ePNp< zRC%6gz-2kCbAi7y()-`LvcUN&s2d|w05Nvq0e4h}z!N%;)v2H+2+00Hev3TJe_RqI z`(TI%@&G$5Z7meM*hE9{J$N1kF_P0aE>z)zJ_y^CMuI@N>r;l;Adx+3%YTr7D0oHn z?iGtr=#-s(wV;JjChgL!9Yo0B6Brtapf{_-WvXV{WEB@mDq)XLu5Br9DCQ&HQhhjZ zf_D-2YkMn5zXM5c9dKI; zl6ek?iAJPAK4BM36qf!>6#z~0LLXJ+!ZJc=_vRHqQeOqpZ}VbKi^HY47{#8L{%YHe zrYTy*3J+LqQygWKad%GpwqS$x?@Pk@Q))=CW<(PcnkpKIRC#o>36vtqm*Fwn0V}w|1JrrUyHM3&;x}G4+y3@oj<5K}0ZksvqK(<0jUub3 zYr+NUAgt~bAJL8Lr+D+0D@Zu;GAzy%$zaqc);>klT1-iEpOJAAWQer*zTZOqwMz&sP~#(64{UfB!IcQ(L`26W$$$pjP=Cxy z=3sE)AMzV@RX}scsAVgOjHQ`hC~0;>dAQnCY%MB_Kah-!{Clg?xY&V zN`=avOxq_MQfFC{Dnn@HKW=Tuw_!CKQ=Ek{kmkmF8?)$lwM6D}nBdh-Z@jw_Hgi4J;xxc%O#|gPb9B}?2bF~1uk$Dz z>?2fdvDx}%x*2DkjsU|ozH=;pKT&Oa`OS@Uz zb~6avBF94@nEqPiPrC%+80b@!XqRB7LanodV3vfbpre2oNSuvHBnX7?&76jD0Vc5& zWZHi~JLjzs4@q_^J=44syQJ)lPhsVwBE-R^y$iotcb(Qy^$(#xVD|sTmo!_z_Y%$<2cucnXz72dUwr~@ZUql6&D0?(ee6fA?jNH z_l@u9u&Qn-y!5i9IaVIr)QEY1@K@$4_CUVY0-CSaL#KGIUwjvEmOc>kA@Y@b=}%Pl z?z;JVg#toA{I0u2X^P?rfh&H#s||N5)Vsf3CtZ_6r9gJR6@EaJ!~x0BK3`b*aAth9 z?#n;;pB;6V-02DT0Y@_4+IZUPE{D;_=ujKknf_e}x&4zn*}zRsHWz5{yIrwJX4OK2Kl| zPYur;I~4u!Ct(A+&Wjmdc58hrFr2u_YMw{dxG*$t^&A~(4+_i{8LajumIlY$o33y+ zl5F76=nsd-E}3c$Cs_4}NpdH85wDy((8RaFsQw@IGFohe0P!#jJflvUY{2`xc2>T? zD(bwJzK(Vq*NwCv6}@_~$60kXGQ~y*DXf0c%$dhn)@lpO?8Kr|QJ{xm&EHS%?(-ea z@yKS|j+j-Qe1b7{RwD_0N6ok}i=M>G+F-Q`shpB1E=Uo)$eFzeUiMIi=)X_*sC1i5 zmZ0}aN>_jY(;v|@yBQn$iSXViB!Z|iw(6ll8p*Ym6PbLh53dqs?pyO7&`-Ai=j?Um z0V1;pS{v2TB?V1{{AVV1v0OB1m(Fi&T`TAGZy_;qkeeYns4$J0?9NZ0U2W9RhdGVX zNCBRe+>>9!8Fpx)FSqx+87j%$)V+m;r&$P;Wfow;tJ$F@1+em2y31L7BBvJD6qR20 zoVY@Sj(t*+)AXZp4DbBI$sHCjvIHYr%0Ik1ToROW%xOAjxX=HN%HT*{Io6C=R^U{;ZF}Z(y7PbW-xww+sa6=r1REC?KX{Vr+t_q_`S==V`L_b2P4>t{ z78F<$N!#=2Ad8AO#YD?-^<>F(Q?TixV~MTLs~oE=nrTQ1kmdZ z`h}d!_yRgG{z8EmcgAE45FCfp15Vuv8&hEe4*sb~D#(9{8&cuGGxj;;2}8~VfS`yP&Qr66o?1nfv{~TGzha7ND-gx{ zjg72gZ~dOUmX5=Jaf@0*dS3ik2veC0Pe}W<1)-|7CMi5T{C6>O>^#r)yr&@P(iBm6 zt*weITeOFgcv&JDV7*`(F;M_b^!F{jIEE!Y=$=<;6XWk%+g3oc<+7}$H2eT!bbL9&4jkDl7;Ru$ zDPbr(3rKGkq`ZNEo2RrOiS;eTAf}~-zQ5W+H>1lxv4{Nn3lhjy6ordBC6;;?l9m`j z+i;17KLY(d=9yh_!Y`H8GyXjOb9KK5$&a ztrlLo#uR3x-X*d@^7pkNx}$$;)5rFT>+5#2k*A+>E-fBNrV6~}luOk7yEZH7#<@+| zA{Z=xjk>Xd`f)NA9(Jgub_VmS+x6`Mw@Ygd`1v8PI~zIG*6;DH6Zhg@o1(Ez6ko&y^)Nvbxa75|uh5(nzQi6|<6dHg08A>h5h4loJjGDv61H(D=#;{vt6E*Z%2 z^Z;?RmV-bhWXc;9eVVMG0tSW1U-8^K&I&bAtLZ#dWJ0lpHg)cSgU%yah9w8x_D*KZ zsow=Qo{cZfGJ}5@nVd?@DbZ_g>wVYezyO1>*PWc~!RYI^oNT~+?`E97ApqfEKkcZ} z@M76BD8D8g>N{7URM{bze5%ZX5{j@L)BZGM+dX$CXuaB8yTVqvVJ16LvC=@h@*}lG z!s?9icznW%d%`H2ZE$2Hp7VXdd8l}ILQ>`MsaEGEAuiqj`s;x-^F9_oD_h=b=Gzax zvDOKeFe`3rIDZbJ`8JK!12`8q@svO1JNmAc3h}(07ti(m_@{KF<+zF1%O{!4Aw@g< zfBDy_vTbUJ?M;_0(RSNL46Yrr4>h&+`U+c))*(pr*Y0SoRT)^XQ695T~~ z=FYbHbsqT;1qlhc?hs?EmYu>iNk$&Af^^^@AK5RkO+7d4C6RInLf1jKdi!Sg<(YNhkz3lKF33S@crR2O48U6=e zw%|0mRNLRM2%x@yOVN^w+)}yi-A{9LCn8HyU(Ufd{6EA(u`++xdl#O>3~lJWw@~uE zM5^Tu5=eo|A3BB^uI*A!E5imwMaFYG?sFDFi(&WL!D}J_-s6MCqF~mk+B=k5Ya6K1 z%cFJIF5&qVAA4ZbLb3ne86HxJa(unK$748` zzy)7SpVvRi`emEfcPZw-07UZy`FR?KO|cX*$g>gllgQZa!S~wKJ_W%(Z?O$tL+Wgn zY!25lSa`T&vqT5@_g-~fB*#-O<}Tew^F)((9#D*^?D8lYxmZ*pXcuM?EdC$3XA&+% zze=5h#nfQVH%UGb7$zujf9uHT2oC&qXxK5G2p7#~L2WK^42KfbaelA#l7*)wq%>Z= z`{6Y=jZpZaeZ*9p*xorbUhW9-fcTK+g$@-L+#HU~5-4l`sg@X(37yyFiR3|ion_DjFJDwnLs=l0SkX#KOiRw3o_uGikVxq`QzLd2uM*c{{< zln1J1$(6ALFP63tiq=)~x=3y45Rc*EtLxgs>Zj6NAA`-}L7>L$EVX=Q+mfn}&B#;bEh_I`Z}B7n!w1?r|P#V=+oob+ISvdd;l zBi_G@Ymwpz*GgM?bm5UtWO=|KS1cDsTxbAueNIM^BmGBKbgBYbB2{^5w$%!$TpE1t zFuO0UZXcb9r_su#(pn%0)`k&YEkU7ZdM*cXdn@fQR-7zsa8hU(;73jG_rUy~_uk%R z=GSsa(vVK*q=(xHAbXJc>MJ{YxYaN4vlUa_udqKU!EaB>$O-Io+h=m{v?-h^QWv-$ z=C6YI84<=j)#4^0q|^}7i<98D$|bqc5_MtRhET( zI94940nVPcJHu*pEB-f&M|G8&4oI}qcQcyw(`Q1?!a4=W{;mD--Ho;GrFc^!C_3I2 zV%Kn1V8$5go?F#Ev7ipJP$o{Z37REc#BILY(#s3e^d9gDbwvBH`z>CXM4PMcmghmF zN~}L%CmUiSD?4LNJ!2W^sQalHuJ0yGp*h0)aLQ^JpXTe+*0waMaj8YUO6V8MYi14G zM>#Uu|D*Ux{~DH`gWA-m5BT#C;4cQRv9lo5E7Gm|XupPOUalA0cSMN3Uf$x;KNj3? zE`~Bx{csQ^PNWd33gN(3S&Zcl7yQOn_yd&i{w#vKmOxsCKUr#_mGW;{zJynFpQ0k$ zOt>iTIBDlr`=_3T&MUd`|3_~nRj}dJSX;iD)Loy)^2)c7h+oN9idM{Y;I^@iXnDnwmR%rA$93%GNWfYM!~^;+#G3GxX>SOIPC8=&-6@>$ z!gBhde1s9bWleGt(do7&SUWg%a>ktYV0byy`*

VN5~tJvU*V<9uiYm-EAvW?&1 zgGcrCGMrf4^7`R@P0xF>o*^#+BQ3r9?%Z?sgGe5!zzI>!duDH~xN8Rc^yNCoyQueJ z`5xjV@8zcV-MtT$0%ufRm=uKqm&|h~rE$Ha{qf*Q5ok#p{>o1_EO$s6W1depf3;TS zEu`vrdA6NyG=vb=Bb^wRPK_Z)-tZG@F){2*2+j(32zk21@4uPx7onlv(0bmmJ;{Ml z;bqDQv^+mdb#t?d{8_t-TorVtx2p7UY%zSt>`%Z9bVP3WKLcVu^I`zS3CpuHHV{f9 z><*=Fk{1js))Lgh>~B*pP8?N<_7Q|!?JldVr3MHoyyI_OpcMZjc%fI6rh7TXvt~(< zK|kNK4*J!sx3<@fdO#Jw%BzOD7uz%0cUzM{8S4->F_KCC!x**_W2~mfFMvmf3R*{E zp~7^*8spAa@(!^O;LJ2V5VC-JvvD%MrlNcTDsK-}YML>iqwA2QM?WaoJA*nG z^03Hy3#vyv+|=g=Pea+x*rBHSWTL!Bc(Tgm@Hcc6^|~9-t{$0G2A+rv;hgjIKK2jX z=|EvItOM3{pjVc3q7h&3j|XorM{w&M-^XY0<0*V-->=!S?Je&g2O)ezVq2)I z^o2Uiv4_BSsqByrA?1c?hgN~+;WouFhN9XmpErTsTLqK+$2h}zzK?9A=*+D2rMJZdZl1%@pNs(h3TbE6pqvfu3oZ6QM~+EMVBl66 zLvfJ!@Nr|zes#AZG$H*4pvgZ;wg;7k-}G2*0QA4(Fu1eq%rQX+u4xSL{3iDU7+8xu z-sf8Cg_c!IiSa!D_zTxrtW47bAq~f5MM(u4DcBH9Oh%~sbwJeldsq!HxBuAX0x`t4 zm)XBoFvd>e<)H&tarF<|>o3smGBSx;EhfVt(*@bH6B6|h&Md z1?AOBHs1f%ZX3Y!JLSb@WDli{r8xswJ+#wTh!;fgz7PhF@_igGb(gh;2p&ca2$vby zYUx)kak4wL{+BMNOIeii$b3(6q?vuw>`yQ?$s>(#yv4E*n$rm?Z~qC@Cm^y%ODco! zsihlo@?Yd2SIqB-%j3Cf>R&88npsFV2EbXvv^{}M>PJ=|T(5|=h$8*^pX$>%3#pl| zSNU#*Cp#e3YLJYauf?7F6D5^88~C>fxwVSY5$%R?)>kNJ>Wf|qJUA%rScorYM7v3B zY3!JN&2BXU4B|qnTdrXF45J-GExt~$ZNQF?P;OZ&D>4{#yLh#doUJk3pWFuLX`V(J z#?YLOBh%q-?m$Q5*|(s}u=9>>q^>2A0gAxoo6p7{mQyu31OL+*xjV z03>Tzf`b1WtAbV^maqn9&@nP!yQQ>H+Aa9^4RUUpX%hwcK-G+0HC9Qrzu<+IO@i&a z*ci!@&d`^)Uo$33zcI{T|NXm(g6Gch49DXFZgH#ZKKYV}Xca^Zm#n>nzIgm?Y>5ty;wvR;lV( zwX~-4^TW234#!hXY6MEi8kRd=Ls*5y?0y-%jkFvV1uIcv*YUozU-t1_u3iZN#3XOM zf6TwEB+=vBc*qVmY~?<2M`{8IxH{D3FOKj&L*U=y=N2h1Vjrz~bL5yQe`<_d-;BUS zkPOcAqdpx0@e3cIc6V5tqp2MdedC(&iR&QZZ@+%jRB=^B)2+=35B-rgNo42ZxAOuH zPN$`B$bWpfc5CxG4Luke%=(xG&CjTNoXdAe9u{F(ib;LoxiHB7jkrAQ(mzsEG7-k> zx9QLdxeEN*@C$@8*E~qD49LXO%pT6ml2|3fANgF;uke}5mwa{-st=u<;ZIK^EIITq z39i9xr?xI^{z>&Y9ZRb;5q{OP!hdc?&e5AcgDiX5%p0S~7uY28&&jq=!q_s;{aa!m z+-Mj*sk2L)QS?k!Cqyq?Sszi`mt+T=c=Sn)v!=V+5csky-yM#A8iIQWP`LXsfb z-L-PM-Vij#M(-jB!({5B+9QA*a>YBkT+k=Nl6$Y#e@eb2i%8RTl2{)AQU%*_4JyKy zVfbW#yUeX3Q$gLe@DG{e?z$B!bt|ckK{xpUA_m3d@!0NS6^kHS*`6@2FdY}#CmA)9 zmg8%F&MKA_rxV3Vm;*c`$+7(liUN*xp;Q+-}mIyPU#0<3V~16=x#Jn;*|KRQXf;WydIKI`-$D0J@ zFc#b#*zP1N19L{3J5%{IeoI$_^5Ti?y{0XfD(xZnt`tRoX`)EU zP+3NFNewi3x5RLj5yVpYft`h|57dt8jXSft(A)H*k6ChRC+dotDu(&5tDFAPU`bN1 z_v$Z5FNa5P+5U^4z0d>!mi4Q?eEUXd#>hli^%<80q3~?``ZACdmOZ0ls?6P!!U@PS z!ji=BgK0hACS)M8lw`y>vt%B}Tu@>IR&EQXRXI2Vl zOSZ*L7@dGd6EPl=*Lcmu;|<^AY0My>V7t5 z26OEkm%~Y0=*?$r@cg_@qemN`7abY736U|bNti}c;>Uf-^9XM~0ROA*_QS>BnNzuH z^iHdOvtU`e1hcTWnu2%x@9*C%PY}vWFR%|^eOV@H+KBBMMwfBw++=AFt0GhmcB3PT ze*UxI9Eto86sl{uODL21E88iD@}!yVQVAl6f^17jgOM%~YO($2QzIyc+2wZMQ<@dk zmv!zOWc0HYrTpB=E`B==jZ(=E{CBRAZ+xo8{Q2pU@Um^=g|iXJm<(}XN&(D<4AOk| znvLk<-mj3aIG#4ds_vWO*>K$OW=$F0_23;Rt+DY=d^!L7UuiE|G4wlc`=a8vUI6z` z1xZ%6XDtA>f{agd?G+N2P%BNcdP;^AOH+-Z0O-e{iACOb6Y-lAxG^+k!G0bapRxqj z$p1kOn^V!p!me+BmNP=!Cix2vyHV#?beV_$vo7-95E zBB7x)2j1qb zDsiFU_R=9S=+7y_x9%wNfigNS>H8759$^GpjpB0c#uSfc8g&p}1X~w7H$f@IR@vO# z4V)i(io5n>_{x8cZgjqx9gcS7W(5pgEnCQCv{p0uLN z6$p&60^H9s6S}Xf#9K_da^RboG3@WkR&!}Z`^j24dnrUPPgFw&l=fL{jWks-r(YoM zRW-!^LmqVFB-v!j`uaL>2%hq#fgMqBFuPnZt+o_GG@1FzX=2U7PO>SHM5ZS>^uU7& zLx18{VD2q#(C;t+z=saI%s{QyGN*HW#ccmeUmR)TlJxaHk%8RG%^vYe2Zh>E^`i#hP#;kA&^cKICKUg~RRY zrsri_Z@zzAr_V_IsxKxr6whiFt6ELCvD~5F8~Q?*h6ycD<#-7{H{%ZG+q06}YNCst zLy*(n0x%JBd0?xP!+U1?rrQ}ytMlx_paf#z3V^)(Bv^;L=uiQ69D|nJU?oWf()JecDKn(gevrm{7V#@9D zkrN7d_PwC!s%P{UFmuD>5m%!Mln?vU=VTX%>*+WGI-bAllU!0x_D=#5cIm=h<#2Ru3=A>Q7>cI)nWB+ZD482kejQ&6I$&Q5i+nz>XF6-$@FZ40i^i=FovFCcuE z%+Z%c`z;y;?DATw*s)P`)trD6T6^RElqYk-e5ww1?Tq@BcfgsirzrvVohM=z87eIK zf6;V?B#Z6JP4;Rqls0jtQaHK7)Fgj&d96R8OCgYCkb>k@>hwAw^bi%?po|tO`DNR7gT5vUsv%raQbj(2$UIwo`!yGW1^ry`{q+s{QJW-uF}^hxo6|4)88SJf%_SG?tU~Lf%1Lg;D*} zX^2#^04$x)G4hx&-l1gyl81|47SbTYw_U=`TfyyN`pE&F55U~jr-0Uy@REBpv20ov zNaiezQ=##>Lt0y-*GU*a!AOr2DFu)9!$;xtLnX>#!{!QozDqV}ADbRc1wJ2sK64<; zR@pILN^?NTObR1BK%=#Ax+Z$>hOjb7{MArr;dm%4d>c;WOctxzWafs%f|usnvtGXs z+9R0z6sz9*BG(<0X&=cEL-w<$unOCwyJM&2Zc-jpJ#M$>LtNR8B2Qcfc}=MVhg*WJ zTns$CuWbp_I1A;nXC}!UKNh}GI3>P1w!_hpa&Myv%_&IFif-U%wce*?^ghzmT9yun zi3+RTOz`g-QECcmTFYur@urhYV_H}Fm!Y?-0EUmgwnYpU_Id45&J7~4C7mb>Om*64 zr|6j0wuJU94-sGb%Qjd8$2Qzs>dB`An)tUnyjW%9PR#*Hj+w~d7g5k#CbWQeYGf|N z@vEZSwL$Mo(+%5HQZ?OTND2^1F5x!ryt7r-OQo~7;OqXTp1E8u2W>JS27>j~KkDhG z9Ndb%4Q^rTy<&o5wc2MRARE)|C;D1D{y{8GfTSH>?F#NPTv=um%8l27$j0uFnooc= z$aw$M3?Rf{IMt1O_``m0P&@3%wspqM{RRS%)nkN@zJDRS0@Z!Y2nPD;#iocQXN;Iy zY5f#-W%;agGT*K5v1j-UV;2da4(6sau{cA})p90_t}a;QIh5$3ySb*B3PIzdyV80s zQ&h*Mp5R&U1il8JrVo0yKMQ9$s*1T(p~pT;3VD-~Adnv89`A+&%%{?IG(j9zI0KFg zw`8?w5SB#Iq!Tk2*fXb8t9`w%m6}#&4hKm|wwe++M^Jef(l!IbnqUeEb1z-RR5x?+ zi~$U@j(eGmzem4%ZKAE#ptHwt6Utn+q$mXYf!izXjX=*jVKR4(+SZ0Pz7F!K zUj$pv2Z0uAmWEcob39YD7M$5GhuTmyD8aYSdD(p-Ed%1sEG+acrimJK=_>bjdh`w2 z9s%EFvFyJ{aVi`zC&=*(3D4gWMqBaSP$2*&AW~I4c9OZuho4_Tw`joT9cJ{5BX#qJ zLb8J;q^OH(($9Y$1ZRt1uT&9VWG=G0qR_9{P6}_MtXkot^nAO+rC@EHTW;e^UUSY< zgij>9{zbQG@s-O$l22B?_gAfd$8ib>FDD;f`nDtEht_lulLfr%1w`<$NyqG`cjR8O z65f%ZUjT7dCP19wwX&f!C4yBL51S%MBO=JyFnTed8F__EWOZj1tS!L1k)GoA=W&V( z=im77jAn%wr};u3ZzZj+YXHYAEZ?CJ%r+9_i%k+7gu?MVzRJRf9Jx5wCL!Ql6Nv~4 zmtAvK>|^l`-s0Q2?#61Msv2=K-t>GAQ$C!6nr1=$4=*V+%Q;(>Gi9C%U=@85rR{&RkGZ*utu`R{{#KMdB0gyM3AM$Xa z6a%aihU3MIgNWzoMkn+pMF&JP$UJ|T=b4wyVMkF2;~NBAnU|YTZKA%Hbb?;+&qmDN z=Ro4dMP>bj^D#gsX*6n~%$>kzykSoqxq@}5HS>ZnUA)SS*Q|-{p0}YH zw5k$mKgjH-r=n}%7--$2WKc-5XtBHeMA>})56c&;z|iNa1kq2%(iiGw&OO&W-H;C6 zKhv0{w8NYe$S^MZM(t(aM?xSsE<+AohOi^soO0V1pCBWx*beGZI1xrtud)ZtC0rsPA>RpZCyU-cj*o53DV}o1iv!(-hF4mPD#Y4!h?$ zi#$qA%vODSw{ThEud&Fb6oVOSomlzH(Yh+OCA$M#_nXGyrqc+)!USAMTg_(=a%|ln(r|0{gzf>r3FWwOp7F%DO1&UUF zF01P;a@*)oBam$R1`LU{p{A;0y@?^;zV%zW?!#Fgb(gA+giTm}b%kcdKIw?j6Rp3# zFdd`bsmOh^8Wn*{=1gBdS$!#3fkWo>K69C=eH}&CxX!A32%US+ICHR3UHMpkkoURH z!4ZU^b>Qsesi@-E3MP|;t6W8(Drc3IIYD?dm75obB?Smj4v(dS+@%^;9gusfuYQP( z)?Tu6gYk9vh)94PFOp6WCiWes;(WFh;-6E*lSaSIFdkzr7Hu;plmg}}NhTROW5V0# zo0E8HpvPHdZps?Ee4iEe|9uUwO-yh}oLpuV2J|5g#IEi0N#@d{j@@>B zeP+{{`W%)5cl>}(v9$+aFnJg2s+ZCxjqc^}SQdm2P%F_5vHIh&D!q({QQ zYrer`ON3Hj@}puTTm{hhcLN}#5hjA*^R&^A$g@D}G}6@?E^q>DUZxH?cycCH1lD9% zJDio>QMRWOjFYP{qNKIt##@ELnYXGp5YBLqO#~S`yhW}bC@t@3h+#2e9x|@8_#JNE zJ7lIaQ9BD!x04pi^zcXM%cL-c>ERFTt2QRF<_nH;Px@p!g`>%kxuC zyRa7R8zr7}6K2=}>8;L|Fen*Ok%%Lox<|hjp{hGflGZCrp%P4 z5{a)ax;CgatAzN1UXehLGVyj->C*$dFH=6=F|0DZowLc;%5kCv8h>803P7xI&kLnf zM-Rw1g&c?|$nAd|A^yMq%&6|{-2KJLKsB;71d$cQk?4s1)n0f-pGX+A6q0f_{B-87 zH|QXnbO3*oJOY}a#aq2kxVGy|RJV>*d4Dlf97#{PdYPMZl$1G@P{i&)AK`U^YY)#WR2$S`lM_Qr+b$AXt!FkX;ZqTD$}pjSq>-Gh-{g9oKvA zhQQZn=B%E|M-mz_S82o6A8h`Pzsb7&rKvZd>)KZ|(dF>&8@ofsB>%oZh5VfH)dF@i z zMKE$NU%~m>x_rKCuwKE{rZjE@05PPI)(Y43e9DcaCirSN-}( zl;fg^lqBn7MUnDlxj2URk)M3wbG(NVBA-=(d<}8&T39pHn#zdmMP-r0J#tp*wH7@1 z)nyRrZ?fj>&71i0JN~15RYmbZU*h=e%n`{wC!J8+8U*G?4Rqbmeg+xy@O|L!AI-2S zW^=Yh`ODWt8_tqzhsP7qu!@*#^~#~C>*p%=?Xsa>&%X!4=}@){i#z)=x$n%R-Y;$q zK2-flVKeVzS?;Gha(e@Vu?mN-VonZP?Z;o4(Pi*-Lc*;Zx+G zY2Y=fTG}`rye?HwA7_9!q@FOs8RJhd>J!^|Qhqt5J zpT{}i9jQ*vI2XJt)y*B}LBivxo?f^McyFqYFU}8tk?Ma5cNveTUb%`3z+a;VUdILD zgQ+2*xG;P;H6jv6z!Rxa(YP4=f7BbXxSRMmYCH)?#wSn{lW@22$<*6-a4GmyY8nNX zj?bWG-o<6%v#IxTaQE>KsMK8CLwp`JzX10L|CsvZDXtJtDxwyb;GW^1Q(wHqmEvDf z%gS-D@fFlJmAEQ=HMQm~t`=WMt#80J;+v?=Ex331R%%;2j)w1`c6Q;q@jcYuK3qS3 zfI2vYdyoG>9sYh=z97ypmCw~sr(A5xE4zOs?v$H4-RC&lF0 zgi5AVT^Es+(9z|Ise0Zj>XY9R=#_U$Jpkj9-$419-e_)q!(B%?h=f(1OX4DOp?o5X z`Fod9gh-_+CIej-3*~Dus>k!n&;aWr(n(eG$ap{{!J{POIAFY_S=t4EajJVhb@zpo z-<0cXmf)xsX{wV;uFygBaVo@EqC&W74=DbZ&ciXc>;8IaiuncN!e=kukj%JJ#?lHm zJ)48HZwV{*>MwR?zO(D@-KWDXbT0EaWA^Fx-n_d$u_)1^s3E3iu`r*+Jh}F`>7rcn&Twc8q!0oxFK3= zovn11y7dRdyK(jw#|)X3Fx=zXxu2eA5M;D^U^+Xx2m}Yh^+-c!u*_RzWI~E#0=es+)$TT8%t4Wi$g2hwy`_tbL0nXZh2ft9!Tiq$vHmt;t zCD)#tf(9kKmu4@Tkc4qFp|(L~SxbzZBgxPzLDX^K5Sro1zm9GTF6t$6NzCwzcq%@e zkuTeJf&zbX^HWQw#pI9mxrhVYA~BPds5EZN zUD5&bZeoxrt8%cCy?03fF>Q?S<=VnaFo(rRkT!OdsA|};BktwAhq2&3+9vqYud?{) z01hvdAL)!q>SX3Tq9qrdc5Jdh1}Lb;9noc278Xo~`YCATZ`WWyJcn8nawBEND&vAN z7Va97Y<;+be^>m?TO5EWsJmOj+&*FNMxMLy7@SFeqAw6E1<}kZFo%vN* zL~EZ`3pThiNis{gaztXW3Z_Gj3TD3+5QGaE@ zTQv{!c2NnVImD`a6pDZX!}sL50~IP9d#)G54E-z6X*722ch1FGhPuUIQzze!PY*9p zUaJ5X|Aa30C+#7@|1I9+CjKfuDf^w2s4w#@oH=Axt*{`z1>{T0o+TIE@%qCYb9NNe zEtG793#qU!8Vg24AZ95k@O!iC1_p9|A zfMIxLy2y|O){H&D=)UeWXFcy`e-a?dzBE6<+GT#lr;M^ET&hMvIdvUs&yw_vcq4>r zshgeRZaO&xaQ0X()W4lqiZZ=R`N&WM7!~nR*2gFvn)BKN+Ss7}BW>m|<#|nAAGfjr zZ+E@sF0W22_+G{Hl;Jm_1UNS~H z4W1EFb1uBn|(3FExjNEN1^X)ext!UKP zw9_ehe?xG%5rPhgzN-kWHdNI+r8)3E#kS!oYM`mmIr}bOyo}cn>5wB}_a3JT&vwF^ z$!5~}O_SOi8M!-2KaNwI3;Y-eu(^HLXM!8ke@~Ikg+uO2FlfYdS26i%nS~&-yl|dr z*cY{+sQ^^m4I*o~Mvkz@=0GTF_c_}Dxhx-E%*hgswsX9|_$yMAtWzP*_4;2lrYw0M z;|4XPy}gwCtu8$!Ns^yFtKN>+lF0be@X_j20wiRWc&)Je6B2&pMKO7&)WZ1tys>AEvIfj4|AzhXTK-Ni^*1vcJWgNgI0wY)Mz_F&M5vyRGkb*i)s8C z-vewpGY%pe#`xOE!GeXLc8=N?#u>-{*+l-?DZiIqPVsFd8DkkhZH=R{;EBK<4kn++ zXWjT2DE^7mTP`F>RxM>SDLyQz6S}@f+IK=QV!(`+%qMAT?-cuhU8g)kC{Jcn02UJ0 zm-dZhKN=Y{Up>+G{v*b${w(jgSoBBH{m1FNrf(e<6cm5tJgztA)rsv^6dW~j;l1kC zCZO1AR`0<}IvtBp6ntr9&wKT98$>b3tUipF5PP5~7-tm0do`wQSMi$JQdDN=t-D69 zJW#bSZWqWJ!;V5;!zYcWc};$fD`qJN`}3xGaxaJTJ?7ZT2;Dz8tM5~@)3)~$-;t?=O6RS7g=b<}$$-CEsph23r z3`1w2$eLbQRO3*PsBQ>+H_5SC)X2yi7A0P9NWeCmhix0e%dqbzf4*Y81~TgFKvgFM zVnz&F5IzU`Js~fE3ntEk)7Z;+`=iCj4w;GlA*vRP`yW98%9@B+NlP|%8=4X9UI z1Seu>2c!my{09jO$~f8h=aIA`Mbbs6ADZKTYPQ5%=1ri=v=2bKAIc6$!TNhMgRV%g zu>bv%FlK%LkeCnqjIM=c@C$ZgNnFnv z^;?INT#YG$C(a-xggchDH#>j?PSgCLW0_uA%*72`%B8vHEQRm8TZH5cbJ}-%_@bHWLs66HTrXJbP8;_ z)}W$iL9fh{nG}}wCj;*lfS<{kP!00{f7~#2%S?+cBuO^w3G?ICN-@G&5s)V*dl4f7 zOFl-1pEPof{rUAMwM(M9;}rKfHL6>e1VvI{pzv)W)GeG-H1AFEaVwRqEl<;=`Awc= zZUGhmYDp%KegxHMxMa#Gx~e`rauS2p>J}n1cN)}u^M9Tf%po@N6^(4P*OfQUSaHEcS+ z5ClZ4A+YII4ZHjt8k-!%Ci)g)o5Z7_+!Vgk=~9u$)l8z~HgVuhLygTF^;pRC*=9ZV z^vS=J1EYDh9;3Y!{+GLv>q_ZZp8Zrg1NKb*;H8T7?~oFZ`So8cvHd6UcVV-fnUXIk z!EH;nt$?lwme57Gc!H=$!5-sdQ^Vhc{kgsA&=l3sHstO!0Wu5yi@pJ3UgU2|So(wp zL+Zgq((Hh!57nQ89UZ}#kZBkUegO$k zT?KhTHL{)H(NfUgz!<_)@ost0MU5x%2VlD@V=W4w{w%V>eeYDzbmgH2%F( zpZw;hJYj8{redx8_xoQ1ghcS?r1o*;72vPl=1iqt7*!Ees4>P8*3{D0(PioB8yIS+ zoMiV543I03b;~PA*X;!|fAB#wRC8EK@Uc z3rlw#chc>QWE-HPIoqMtX$M=oWc3>jNR0(Qi8N-BgvyNw;w6MpMXd zKLoad0S_LoA=v?H24`~Evpq;zr=gFgq=`O9 z>KGVrmqgNu8;iAzChA0vWqZ_JM}fbe&v#G0=KJDKerWi$>K7F?<=R*<$swv0j@tR! zzz=IdgN2)}gP`}pa0MNq(T&f?r`wU==}de!;`cTH>*{EYsoSZ@>PU@=+o9QY5TB2< zz3agxIv+nj=kfjrx7MM=o0z#_{3s#u? zAq%E0m*UBnJAY9%vPcU-ToO}&SfM*$JphEpe#^`jqgVstc>)4}`Z2L!09ab_HN*mt zOa?g6*xKHqU=Zj600d@n9>HZ`2q24BQ&(Icgg53)fvHBYJxj9Sl(2(}qQcDFOZF8s zG!&(Z&~L_oQkwX;Fp|CiagLOr=F4k6w5J4*9FGqgrtxE58Z&P6#xJL delta 26986 zcmW(+cRbXO|G&MQv-diCXJlrtBYTsrC}qao(cn%Z=aAB#MngkUwldC6vLYiZKDu-0 zNJq%~_kH|cf4m;AKc4?TU(e_3`D(ofVcdgI+=6Vujvz1y0*V&~fMUM?4gX)#|LZ)U zVN~NOLd)lT+P3VAT55hT91bd+fDa%F+y4E2x_^ioC&-`j^ZMIL91e$&LyCUn12zAm z`t|#H2Fmk7p=i6jC)4@=`tSeaf@JeSEBhc27DzdLJ{q5R5)VX0pNu43qX6K+_Z09F z7=9c{7mX;6fwqEc-mAfSp~xtt;5tq8T@)hJ6t)@f?LS1-i_IE z8XF6qwu=o4f?qu7IfMg%e#;(c#-RnIEqn#;j|zYNR))lnHUAq_^euWIxpMh(X&ll} ziYbY17B*b-!B4D8I`Q#z4f~w*VMzLMFVq`S#KUMv-M0QpbbYfqGxCy0dlwbv`LS88 zS+vzG-AC8kv5TwwcHGZAtj1yJRB2G`1`%;bwqT7Xbe2atH&-e6W0#kMrc|ur{gyxO z{2df|as}w@R8K%h+$K}Nr$}ape%Yf1mabQ0FS67en%5B@wPPRWj4>G79p@4)xnK&> zk^KjNgzH{x8sxc)ie&T7U*xwU3@oRTlw$aUpKSYj|31L`6TcHlh+%ny4jp7-nY^D z$Lqvb>$;SGq#KuGJkH5*_C4u^97_J?waeGdiAR$t!7?1(4w*iV{6$-7JOApP_-j|t zQhZf#cFvYuUx9M3C%DgZxlQ`I=jIDH@Bsg0o~xakgk-nmmGgf*Uw;vK@q8{r$DB$; z2puGK;HL7i<5>P&V@7I%O7Puu&M|(+zlQO11e)vwDt`?zg|e?--d$>be+U~pL-Qoj zL=2y72{kG|p7Cdjq-aYcmB=*z9T8|~uBW4A4gXXV0AjoI>`{s>q)3z&8*>oZRNM#r z5V`&!gAQoVPZm4Dh z>-1~#cLvpaFJ-P-(Rb#X&8=Y{q!5Wu!N=F<1gvNWv@^2vTa}tpfHX7&V%m`+xIo*^ zS8ENy(tUBXoY|Z66!s73dcc%A#MA5?iY}Bk7-EZWtCc*VI9;pWXAYXer!h8qq~^!W{M~Y;o`a}n$m@n z_@2)C4$7J_QM8M2YpUl{?@hyH_lcO_$4kYvXehU`#M~*(*4C(dagy`&;TIU7R=Ylz zL*S~=;gBh}tXi?w`zoEhw82>3PoV<1+D_HVCsMa*omMQB9*2np5L{>-;aMHfb&pOD zD`cqr+*dt@n-h;y>@+@ngeMi&xe~G|W_-!Ghii|Zf@UEm6*_;_J7_!?Sd86+nH1p@ z>PKS@C{>8@tTC5rx9z$vN~maT`V<#HTiLhP$`{V%Ij$ipsMyU4C`q&SL6x-QhoDhf zkAc*pL#^Xnqtr!JPQlSgBQx5Dsx6iga3ojC@iR&yQU*Vf_0%bA7;Jq)xhvQ8da#cr zL_EM|I*nN=1`4XbdzdPAl|N0&tMR^gF*%jus&wh_k(0)m1Dr_Lmua_cX?~bn_z6*q zDeukid;I$XA3*t21dOpbHvYY>cXQ=9#j~CKhxn zZ#d@AoqWcfp+nc{2CM&|#yFmd7=cIw)vMe2M@5YUrj0ddNwW9acUTfL;;qA7ijsr* zxEgz>w?EPeN(Zz>X6aE6@kUKKMj^L33MRD0k1uk0;3J^)XYFE>@Jz>VAuI7yYWM7L zD&n3NAzRk-T9u$GKNm_e7__Q4Ets_Tx8v-1PH)Hn|zZuPOT)q$Fe{Am_fv8k^XU2D4M_ zYju74)!Lieik(oBYHf?QC*>C%?dDUQI%HxK`8F=P`G_>iQ0jOBq$D3@yM5=ozG9U5}am&Dt5e2R-6!6G!JX+NZ3M1&?yqh$lm*hkjWA25;t zS$sulUOXHWlk=~wRAq1Bm7Q*g_%~g{wTmdp`y$%v?>%pSFR&}?@uC|GYmvgkL~!|{ zFHC+B7Vv~ftv)@4Ra6i_$nTl&T751@bN!nW7;6Uip=4IlnDkU^lXUXD4&P?V#B zEXWS~TJIPhfJ^zw6ZzxE^{LMOgHkz_!cf(BRs9$T?3V?4%G1K2*cl4kOiF-A4=_YG z@b*x2zuX#QD$R5JaEG3`q1Ie`;Ks^)bV`W+tYyYBeF3l8Uw+no*<>WkJT!$sXFjbn z^AGsfZptBj%<{LE0JCZxyuspNlTrtvVkhS#w1A?OolhuG)r$k+9oGAQ*qDr`2duUO zt^2~>C=PQ4n#8d=bHHI;KTyfDSDi~xqwImk#9P+QY2+PV=4D8b12Z$~Nox46>8E<4 zeBlAdbb|C3^Y;E@3+M_cJ@!Jo`tOLdg9om*PQtdmj4qM7d%IuwKr?F50U;MyxUUU_Hn;doT@lTBfs$vDl2!)dMjN z1P~FE_x@Cup*?C=OS9&|Us>|UMXTb!#VBX)WfvL1?x?)UYM1F1S!&mqlX{j<=C`0} zMU3=^^TjePlE`OO32ULKr435!6Xtp6B4Um~P7b}Y$z9C;wC}UyE1LOjI&-Dm$M;F( zxDeke`}&IU;7ImoQ@hiO$~lXSeD5{(N8II|zH4P`X>GXlpM-UHhp|UW4wEkLD+@xd zkDGgzyWg0w&3D8)n(c4vuq{E!Vp+|X-VUSg0D+yWr%dNMcEKzEY(G$1b@_%M4Z zV)F0YO@qZ|&dE^CuhHFR-5WSk38{r`ruw6ce$g36pLjdz9pBnj5H20HRHY4>?&#=l zk=mq!HW{SmrG-I-jV&IlFb@wg>F%D%5DizRoIl743*ngS1UX3B0(j zr~-GEe&Y1bO?XOSaGLV9YchH>{;RB;KXcXCvgj)qvX%1$vSP`;U5(rur+mFPS#U3M z;*3jdo2f-aFcatbI_GTZ2-$rIb?M^M8IVlIUxA5w|K$M&sOqpy;E?fFTvkI#TT*+O zprr=_e?i_?ABKi5p=~-}ef3eLgTkLdvGRy4v1Ei~CV4KQjp7h6jey=Fy5EM9xhH(O z&He`FNKOFORX+A~huo%{AZ9e!iJ&;fI-3|3++6XK>pLI|`SoVWBZd|7yKwGj4vOW-3-w$+&B1+cQy zzJZO$N;?)j@fCFK*x2~Q zdl$3(%HwS!`q8(jb?H{>6qg+sng0gv&;jeKE zeVeH+FQz_K0@QfAN(K3=@Lk*C?2?W9TuQ7^qcMvdCF<}BeH#%;?<{OXr3ol2`@g!gX z(t0?X!Pah>FjE}?FS0b;Nw#2pzPqwITN4_dD=p=UYcofR3d{j!G9|CAAnbe8S-F;z z%8|M+j@(s8U_vJIhnPnJyo6< zcnY;_W@Yn1H6>X7+2O_Q;iE}gv@a;2$GoF9*!e0uU$eZDwp#6$)FWB~m z=|V^9A@6thHA3v7Hr(EgXpcjBwRDSK<&(>MKPm9{@k4gS7w4NNZQ+OX-xO*(SZJ zg*~9M7?UhVOC#JyE|D7W+E0#gD>~}THG#8h(?lEjoaHb(xUYPPTg<8y&V?(Q-FFew z&d=54>D}4P58JYo;cjRI9%xx7bmZ5z8sV@rVMOyPV3RUPjH!C>i9tNyj;wLTP;wbS zDnyq%pF8iZnBd9hN@!H0AjQ0mt1(V?3%>jVpGM}~04Gw}_U_Zw7k!mSHC{~)$mkeA zLJ@PKweswrdsW)(TQ$(*4==}m^g3*NMUvl!m|}eVE!|+aN?xyu9q=;z8?w>h!1vYN zhc~P5KvVu3bNJNyG-|93+;mN#rzYI-tfqcF-Ckp6a&=6kbT!r{k@EG&XvaUjsmw(V z&;X}Ryh}IES{l&5`pZaR{35kLB$KP5EVpTG(;jv>2Dfqu6Xo8T2JR8?=SJMZe)IN! zcT1|%F6TzAcJ7Vaqq~`nKX1gh@7%iaWD;qt56XXqbu{ODM0LkG=g+&43P7&!)!)n5 z8KD)sl#>ORM@OeEHz_v93p|SvlI@-kGWA`H)Vo&2H0={7jT=tRDB)C_(}AqA)7eWR zslT@ki=yyLT^iPMs*&&Y@iy9k;Tm3+XQ;-P8|f%<+$^qi$@~5hS#V^)7c1Lon%a(k z>+WTy-1!r9gSRk`_g5Wal}^hXR?bsFD$i}}snS~sv8N5K6)A%q;MYV=^C6{sFYdnX z*F;#H-Zb6f`y;QixA<5STqTe`qgWhQM#G5hwWQ1Q@4zGesob1Z)DWG~j@HW(9rz!k z&KlM>X%r!MOY{o}BE5x3{0UfYB5R+CFjU zm$(v39xTi1q8wE8L)(mXbtl62b0?^KYu8eIVgFr8vHtH;isjSH<};Zb zJ(-3iU4?<0`B{tb3-4j%d(9;&4zTox$;RYSm&b9w|J(oZ>0WTwg?EsrzXsthdu7Sy zWDCTFCqy$?LOE+VnBarAQP9db_*X4Q~`Q%*O<>V9Ox)X?;%Jjt+mYOA`Ha6uHN{(&Fj_79P#r>-R zwFIhV8ilne@gHO|S^`M?CN1F%abyd;N<%BNH%QTX;!BRrM5C6?Qja=5*+hxov_uUh z#XN>GqtBzvVTzHjp=bUddP&`S$(*8$PnJ{RH#~b8jBAB4b-Zus#18Z+daJnFE9%UQ^B($nI(zWYjl!)c|I0&hJA<*b*kLqj1-VR z_*HG_Nm|T@UHcPZ9Fg&%t*|XBgp>6b=G)qh1Gil8U8iR>S%EA2Qeii5AGe%D?VPs^ zme)HEvg~cS@M)}G*_GUuaR}4%cTE>BI2m~Ex}ZZvPZ|P*=B2FW_xin9VRaw0{Y|z| z#uPpk2wJ4y^PMorlgmx4=3coAiZ?fUC&K)?l9z$xZ_A!emletqBgaCteXBC5f>G^? zY9#bCB{*5L8T_Jnmq)$miq6_9`+-j0x*5}-IYygvS3vDZtSA$Fwd&Lad(4=Hyn!Y= zdGP$HOT$Ckl;J)ph;iK()uuPC(JTbLtT9ei~%$z_tG+KG@Er#tb@jjMhYFoW8a#=q@*gY|s1}x0$^=ZkSod#@nQV$Nn z)BL|wt=!+l;6VML-Kgl}q*LHACP8_~futJ=tDdG1?Hl@IBg?${96s77C!YK)q2PP0 z|NJXO`$gFI-Ue!**qnbk-(G_}*pv4aZqeFuq1}3ZP8wUg9oWi#rTQDU3!R3BQ-Y6s z&nW5zw?*jubHx_X`0+2^%i#Q0=NH z(v=ED$qt}oYx~Ibb!`f`3O(phXXOQLhrCfGF?_7zO;8r$n-#O9aQwO)Go=-U`FHoEh(E);jK~t30?q`xS zbeMGVB}EnIsmyh>+UHEL!h(h$vPAgb)BltRA6A=TR^mXG&i1fU@Q=)lxSJOrI^42h zI0={mdZ*#dmqww-p5VP2OQpTc9+Va*UbnFIJ913P*6RZrpO1Q^qh!~2)j}O;R*pIZ z$pD2YryL3eoiN&oj4KJXjygy2+8w}v6eFZUP!xApA5RNl9PX zcqj)h6&%Z2REF+SLp((brU$&mnsO`(&>zz~vH2qnsk`ukUpWH(RNm^x4BBe7R>|~D zMR?TW0&Vqw%%Tte3dq?`>F7C$+gsgOCcU_DarV-SjUa*B zRZ9mVDVYm4JQs3e&VYwf!A;cosd5UJ-QG|UYBFGsJ}l0BePiWW%R5NVWUT_iFNvf0 zoI8_;EX7XhE~TYWs?BN}Z?u$%DU&zt4rRIBx6?)3ohK&I;AQ@otAd=2^9ov;nE969 zz6Av5>$FRC27vI%+;E_-rWRW9TI3Pr34m*=4e>50j6WpG)9Z!Jn;BR)gH-)0$g!UI z2^v_>9~~q$>IvNT%%E|f9cT6r$CuN;E%uKtFUU7<-eXZtzXwi4o~1sG#vXi$&UGoy zJb6N`Ui_fzAY+|sp-r-2Sa5TdtuD~^Y%B<7_1`%*%}(7&s&*}m>NrnoC=7Jeg9`{* z8oY3y{vLGa0Cw_Qt?1Ap`K;Tlyv!<7N4Kb7(gv^YwSH^I4fg$f?+ z9OTo6N4AG4kZSUAY75^#MdEVx5#FD5XE2hE)BNvXHuVNmwP}}Bi60cU@mJ=%VZ_5_ z^jjlfi_A9z$J}t4yHNIRy|y+@>s|P7Qyy#Rll@40NA(|O($Fe+!!B(V!U#x`llJb2 zd=rbh(w={PM_U>pq}Q(EwwwKza`lw$dHfK5Qp!fiYLUy7*+#M^Y&td`(1s=P&n5B^ugX#3aIkDDcE1#_W!G zbmVW``TYQPjb59C!~aa+_pws^59cw;^ZeS6*HI=5ZUWBMY#eLx7wB{jpK2c|r=m zdE|78W+bUuJ%)XEh4lqAG~cSq#jp#_cZn$&^W<+;yy?7bYASXZi56((VSBL1pl3od?M`a2N+~|MKRMuH!=iO9>vn-?@OB3^Oj@-Ym})jY*#l-{C9>qhPW5#k zzn>A|5`9@ilRz+&A6!JyWpsgGNW$BqORoh<{qmSsBt7b4kKG=fc_kjsYuzs~5#b(} z;tU*nlYd=2xacV7Kk2B7W?qgwzvO1uI3GQM_3BM^P35xc9V5xYff2uk9OO9pyE=9utR33WY>cle1sG ziiR9NIVEl3-^7D-uZxxffo@3>h7hn%4R4N8L>;`nzk7HSD(yg03yFRv&rr+V?s3w_ zysr{=qax$WDMs;8;$XBF{ZcE)&&Ri(I^Zjv{iUqyJEl|W6BSb%}S z$Bl9&;tTZc?^|WrYG=4dM<##LA}2&^i(6Z86A~#GsEEVpBU35+B6z=5DWlV%9vvA` zznr(ZNk)n7RN9a=w(pj4_amQSolWYRXesi!W9AFxic#>b@uGjj;7MBVr23@vlpIDR zdsOBVlk|gF70|>RktI?AJ`*_8CtXw!_@foOJt04&_muG?cfYT(_o@g!tHGgy;d`Pa zZI`m);pHhLmkLRdHVqXBH;y@%Us^5NSbvVq6r5AQ4L*flPEj0;>3G(YFvf1|l5jC( z=l`BLLF7uA3 zGgE2fF~X60Iz1iiUbw{Axlm!GUK>si&lwPW$JjhFxGt->z79)KBixgbDEY@r@?~5= zB2fg?%P=n)_PmhYP>+4kWNsJ=?9NfxyNlK-fg=SFhPpgMLC8WC_O1E$ir`95N(cK_ za4EaO7`|bzdGqHQ%}kKLB;Vt~TOp@rY>Q^ZTkC>9dVJNC*9K44_k82j(==S9u2VrL z;^PGcjZUe}4>KKCh?XH#)xlpQV2%ND=(vr6TkE8a-n4|#8RD?6J>#3m^|^4E;cx;M zRX4>TKbx!5t6!l;owfU6)Ml7Z`3TPykk6_yU~BcQ!w((?04!qLtK`wc$j`TB-qCV6 z4-;j&*-u{W&*-!Yq8=W`I&fueIi>lJR`wPTYVWn?*fTO#$_I?Kcps=;sO!lrG)$0z zgN5?ZQu}msdWhk%BLi&XI6*c^`A`!Ndl-FcTE8d9;k`|)PLPA(Ktn7gouXT3p)nNa zPG;g?Ws8(_8lNMqTdOFqqw~Wymu`wwUh}_~KdAKE#y?mTBv<)MKqOFO2KAX7aB8Z+ z=%D-U;UZ?8ykn?n!Sl*7Lg0$1HU zmg7h0v6k>hM<4BVv6G-+)L+|>&jYSL%%_-GTU3kdsDqQd!EyiKm4Ezp8+0e~eLj^u z-1pkY(bk2nReK6?UtaGy6#dXq?rg?7eUW|>XEf+w2?!TeYRAYtYMxMt9wR(`;aB>n z)FC9E31L9~<}%kNdUL;Ld&l*+{k77!7zK|MR6}}cM%?vo&|%6t1>E?zx>tv6O#6a& zI@*lUQY{F}tP|5baGM!A*V=+%5Rk9kBRO%y(%$5^cU|7>=R)!zs_7EI&Mw3DKHFYpzp?HS^`~lg%WC0f zb1=)@(33=vRMy#W!JEX)^l~X;H!qto@>qQ36|{$_{{1Xrs){(|;q&d1ZmaGHJi0V3 z5nT#?9bF3g@KJzzZbovg7ef7nObo4FK3=MQbegb4DeYBMy{6L#MTQNa;*XrfYlxPg zPV>}lQ%|`d8SSY(ZRtv1tG^aEIu(5q7awi6+PoC4p5+xQ@y;fptsrMe3sxTG@h9Xz zQJp4K^o#*R@zh==$#lT7-m-s zc+w`EEMTCaRDFL8Wh=GCIeMO+fymLTKnZ56bdD)!+c60{uM>YCMs>9(XDYXm1p)*r zT#m1{6h%cs{;?mlY-DPnK%w}SubC80Y5PXOlMp#^Am|)7@*M3PoJl%YdQDXZ3M!p5 zkw7E$&)FZXD*YGqzI2XM4JBl22}yiidZ_&-OQ?uuh(3|l3;{n>X)#d}h#Qju(I4_! zqaKhbzb6_vtv#Jh8>i*S^#=7{i^W5|&*i;5A6KFPc1>!ZwTiJ7P(xmH?o-bMN6 zaQ^6%+mJz=IraA9WYO1Vic)~Op5nEvOVpS-Wl-98VU50mnp0B38ECpMRljdoteG)c z3FWN-zurhd9pSsHmv-B$k@vS4r*Kje3Hx}mnF-yI2t_`798iq$ZJN_1?B1VCU~JvL zB(9mM+6+Rallgu4W?)6AD@GKbnK^gODVWram)j0LgUl7bKS&cHa*2CE4cDm`#dRT%nF>B;(RdF5DcH$>afzw&pniM&pPkZK z7(8rQiBmoEk-`{7cFw#ka}Ivg??l6c^IHK?NZ}BAfG=op@~)J zAx}(a?%~P1GwccVAtWQa`a`{_v$gI#cXXcSS2^V7H5aJ}9@0i#%=7>yW+Bl<-`Q2E z5`qM^jRJrE61rj%87I0vy^r1BZjgj~A0_AeA5hd6$VyVFtD)y}mvzGBMbhX-A0X^w z+Blg4*H()VVbgwMwJ>goF6Mo$KJ|b;6%IR_m0(3D!q}68VfK^$DHX~NV}$*~O$oNC z)bx*Q5Y>UMov{5ZHY6-h=|Fo~_QFJ%(ZA*cpb_vK>Vt^(#L0$9LS&Y&>vr9xs1c1Vj=~0EzK0i6WF4H1fy+0Xe@)s&{nBlEO(2kvPfB@5TQ+D$Q1~1b4yS(y=v`&&FuY2Vb2jsC zW4Kmp1X7beNX+>CGRyLp6k5Dtt%zEV`j?N}fR+CqLHrrm{tLhkuldne8?MGn@6F== z!5RR|jaZPFHdwK{$dj%(A7L4PgVCr%Io$vT@BDrnNHi-6#D^q0eQ3h^{PFb8AFQ@) z($tiSxA>bVE*C`qvAO>`QDTq&MYHqMQEA^**{d1gw=|yWKrfyLb#7020nd_pSdDU* z=u87l+*4GEJ`;YynX4FBPRind$vhn8KbYL^ z=puBqUm59y&$h_T5dCtX5uK8BJ^ORkGX4e<^imt30*Ai48BHNg!F%FNfp1l>#O@-V ztV9*7prXY8Ok5Ci!}uy-sExq9nC87zK}QkHMNrdWfLeX_X&A>Z4k?JmzZB@sTbB7) z^~#^e^kSCEx1d*FWd!oBs0C6uaQUSw0U&C4n(2qDrqzxKVq(3^Oi@2} z%&a~r=N5@2?RfL6rPGdG9={T}`sPloR##fF=k?q<6lD9ywPZv^SRw`58@K`+{+?Z9 zsPQNJXC>nz$D1}KTizvUA6yg!^z)3QiSzWG%XftHJD%ee$ePkm%9@HrA>kvk!ji)0 zBww}jcnzQ9%dzOtKcg9b%7rLuH)J-|dY9fyXJ~RqwtruPJpId|4{P=%-u{#<>6`du zA{E=M+3~rSzeJ|spqbK-oG#wI@~Pj?KfH&&4xQc;>Pr@I&}jUV3ZsyJ?Fez*{-xoE zCx@q74J1DON#-;|`LM$`Sc&h1hEslRu;-BR?sS)1-JHWCVWG#x57+or5N;(le=xZWiE}Scs;nX?;_eZ4kLe_H)`p!Cs3lgtSjkq9YmAo+jUAs zwqdcly!s5iwi^+#%RNTHPVCBh`IsYC>Fhp4Hn|+P$8KR;9y4(bxbG8h`uB@(y*I!n(nB9mCSxbM$}iQgHfh@!3ytE1 zLqxQACXA!$ju<%U_U;e=S@kaR?#}#EdBi0fd233R&L_s4EW40x*vZO4oG@8aiB;`B zR!V^W`J#%_nGXVRcPIji2Svwori5EG*q;s-;YgRVH)lId_a45dm+)q$o@Ez{n#P}k zlvOyt#3cq0|hh*Rv2l&>b?A|z_d)vW6JH?>@6@0+NJq#8cnEfRHiYZ`2hLZwXi zBp=9cu$z2JnNtCptS*)0HF7`$o9NDTrjh~D(pT#|T#MOY8&r^2Gd zjMSV_|7J<}EbNDi%6DGbdKL?;|6totSmUZDQ2od=uHw|0H)1bU$uG5g};(Tob$f{Rytr|_*1*yTST?1Nlu;%Y6dw|q* zzs(!@dHWB$45H(i4oy%cL3ZuZ2wgPuir%J~fmoZ+pAIL70h*ZEk%g`Ar!-CF!~ z$3Aefq)DLyW%azQ8RR?(nxTl(Cf~_iIgT5e&yy}h4Ud-b%9oIGWmF%YjtN4`#_kvX zA$l8E17cO?0R z$!yPV7mmb60pLv8T-SJ?a7l@$oyCT0`c zpSPP+&~osvAyIe8&&ZyNYf?kQ@y(f=5b}&>tMa4SgMTr#Y0%=+1t7VY^c;A+gZf7W ziEdJ9inJ`7@`65tWRK>lm3S%({rYuH@oH;PBb_y22h8Va#ufByNL(5^RMXgDWgs!q z1$Q^mGWVfS)H}WVB<=PnP^h_TClnzwD|;kDXpmb4rp#lR20pg zL1mlZJWpAlwVwN`ynD6n0;r-4VlDvVxhT2D?Zi{ua;tc?YB;wKY1i1!5r;>HKf!nc zPx`h=C)yrx343Q$UveJnW ztuR#q1?nKr@@4IZ)`$rCS9Lvz@^)C&(-n~MD+TsqglID2&nWAp*A%!%Sjxnr3TcX} zm!oVHg};SZCr;`@QW9E9Ku2t>d4o4J=jomPDKp(~zJY&G&=Q#hS7wUu6VEhy*j5j` z@egdH&#F2*5&r2VPnJdbpA&oCKajiteY|qVuPt^8nkyNbCFNyfQ5ZzMw}4v>PqcDrFt1JAez*>iDP}2 zys`2Zb^Ja&D*r%J5_|TS&pXDx`;S)ei-QjDb}nbKPnh#V0C>@+VrE(6VkbxuaMQQi z%7dAwYMWBkmW`hzf|3MsR50Jvrr#ojZho8(F^?=nUt*)1_;(i2psF`9{eF4E07#b= z1~O+8NhlhZsH{#61S!e;9yqZh%{41K0`Je5J3tfu7j~NsL$XcP&hC4=dN3z{Un>%B zsQF)Ai{JfF!JX;E9V z+hfVc&|)Q*x2#2DGJ%98H{OyRUK#Z>2O+u}c#}ls;)XZcEcXjO-Nsxp=t)H=Q^gS+^WV?w z3K4CZiCgZQ679mlSb>su9cZnET_}vzkpQr&ox!|9T)xyrp$9cCwibU>a*;7VVIPWm zUzDc%#VrCNS+8seZ%uT5e&!(s3JRyx--F@b?i5bbdO&T9lr;9dccfiQF~d1~i04dN zTTn;ir`ND(gQ`cf=I;-59V&CT&=W*tlLLS1R4I=Z@qWKR1eiW}g7@@gTH$%K3c| zUZ%i;>=UkioQIzT-BW(?B0^@nsg(B|cu%!tp64UT$3Nf=gK$2KmJ{aE%;Gg=&L*Qp z7v@kihuObGdkrtv{1+nikr?zGiJc(Xh|Cw*hX+Y#b&HPl*gu^H!8XmgKbj!+bBp!| zS?pR-xXwpu@NdlSaD6GD=v8}{7OK;HlHzYrB30!hIFfKazEoHyDjbyfA9w;TDWZIJ zY8DnhhMjLFK5-qUKIUO$(HNnW9|xw46!;kl6E?3sz%d<4)^dn>J$*q;I!3nc_41(K z6yk!iUdW+8Qc~>$*vk3CI&SO{ANztW&qo^H5s$;OEE9$UV?tBpr*GmC;q_unMxR@k1?2Am!?c6kqCQ@!(N)lL*K{KFUAdDq z;Q$}e#$$cwH9OATwuunf!0}%N-vgqMpj%L+l4>2bHf)o zaAWu1w<|hi0^>7l#vDSeV4qz=^9@{4Ke)YPM#Ze)D<~#?njeq?7e5c}LtjF+55avN zbJ$xB6J9=ERf&`gH8dTkp`FsMg)Z-TmjuEi&-#XrWiB!nc<1RpU#1)t<;oBn?^{}A z0WrC0TOUz==_k1cV6Zt3tt;i*f4Erwf(js~@b%#I|w%CNT6o&?fbKNXAq&AnFkG0M6vnflmJ0T)ZXcC*z+?zVLQx1V8T<5 z2g>K;6?qKE9bmrxp8G#V5)^7{&U_c-n5x_#B+EMD3cej4Fc5Ky3ulUl&a6kN$0L5; zk2+D>p=@AsE3AGC<=KtgxA#i6yYcVP5hy$e9p%G^7Ac6dDL0bev*sE4E z7{aBjp~?>+&074ssM=EdQp9O;`3`?}im%dOZX-)dgIs}FDg-sDxO0rPn4*}caAvK0 ztcC{o@%c?A6f(`f!dGknk=Ko9o@Tt`PJjEGf4UuVrfPUW^Es3VZVxh>%doMfE(i?W z?zO%+9G^UpGcrUp9tCAMY!!@~1ey%%Q|x%#w|)r!2NEu!HvV?d&4jd9P(Ka7%FqVS z$)A>CHxd42iIP#B7IbMH+76-_XFQEQLlqL@CC0b*F}hajymJFB2;ih@2Z&6gP{s5* z)H}buXIu+JwJx0!=Tcis30wTOa^b8g8nqjCtV*igo4^;Cog(<&f;9)S#ZlUWWfaV* z3Us@8@@aU9%lH>Kb=FIPwL17?U;1fwq4`SUN9leFo$^OPsH! zZa1=?gS4RgX9NupNR#k4I1mZ-+V(-9dSlF#C3@@0ok)UTgnzu;^1RE<$ZWbsi~#B( zP$Htxg;3)@U1;Em_TlDIZvElQ`I!LsfLv}3{h|xgP&K`UfWNHmx=Kcxqrv0vfwRcI z>d9x>bE@{v|0%cHa_iu@AqU%xv7M0eVl{`#3#PY8E`Zl(BNW*@WcxB7GHbH5XoaDA zBu+)xS=-*>UP~1_U16Rm_kppSCoPEIA?(w3(0D%P(IitOG=k!0VmRq&#=1m3C_1@9 z+gp=CM0RZ2dk;)c9=A%QK4e8Y1Utcbt1-mFt4G3X4Kk-!*Cq(@MEf%X?;2=CCRG4Oyp3Nda$DDokJT9GNM?wP<9?~mbOy?SmPAzC=R^&@-oz5HHG z)B~(6BCGS<1SJ~EvC5xF7wEAYTMeHO$F=2%%Kd~*94-;95piSDB{-||FQQJXG3~&#J=K}+S7#BbZL=^; z_Of*-`#HUe6n^JM+gI-e9I)0yN00H(>qp**e@3Ypr0^Y9u?aq7p8z4jR_>6#Pw;^S zb*Y}ZhxbUs%<%#BssLf0s}-Vk?c^kp8}D6ONm(WMZ9k0atDZAJmSt)xB*-wZ!g;2K z{Z90VYd7Rq-K(LVuJ-I4?%jW>psaNWS1N{B_D6ddBY9|*CkdQ2T4XzNkN_2<37d^~ zC{;UCnjxoYp|KFuCCR+try6y zNg@^@H;fv$%MUz1YE7glxkan5O$`6QRFWyhj#}`TnF{cDL@D6g6>RW7dE`uD+v$Wf z=|dM~^xq-ApB+ozV%_1HaM}yZ5)35qtACHkrJwR6Xs@zgnOzWOcmLry;G91c4mghH zJWG7ak_04f7z#U`R+~V651u1EOZ!IK>G0u?@-1+9wfaiBZww?6nAy@yUZvGj^(hAD zmt^G`RNwMO=a^QHk8LWBbWfGa8UA2=&vZ3RhL1b?D;rSXY6bCoO}Oumip}?AmH>t( z+X97N4tt>r66Mo!NYz7Pmj^#)H5)^L{ zhKZLSIG1T$==(M}+O{s8HzkNu>yaU6yXEC?q zpDvKgpvgjbbF-JWT&R{mTKbYL%sq|A-r?HVh}NlO^>;!I++;-VXjM-58BNqO@WLz) zX;pD2K}i(d@a@Iptoit40|3q*rtS)nKXAqv+jM{;`_+24KnfN#-8TzGhx0X!3k80l<|T{Q4gt*x0y zfI&*gY9y}0#D`a!X?v!N3Idn5V2N}mf z`c_ABmC-=S$d)ZagN)3~>Kq5rEo7Z?tW(cEG)8xHnTu=1d$>Na!tp^z#f(o0r|kHYW_s#?I&?MnEQd@%#mj zPsfP-1%#dhOKOYKZIwEplyts?zLeycu}RnyqA#WxYG-(jA?zR32~MA*qiMsDrI z$A{}%0#^accx6`o$2@FK=wy+g-_Zx)8iO}pRzNpuNbBpw$_LjG*^DyxZp>ElY52}% z$dB!LD2EpNA;PL8x9cAA3m8CSeaQak?s7>>5GX49d{%Tea#AQXTX}iZa#{M~y4bm& z!54mYQ~c!OtAPWtiZ?>HHD?N(V}%M8AQrcYe9G?SEw}%iJ0~q+T)^k|l>;Si^ubcc zXRk{+)LT$vG;X1AL)}tllM^@<^{JJ+?``cj$irUG5R}EEX8e8N zC(l;`T-Lqz>V2-WpKc>=b%J7DlOO~{8&H#+y!$e1Enl5e5bWmB>301Dwk*%-lud-A#vjycKi$dsPP_lR>40rfpP>QA20Pz)PJBGTgEj~5fF>J|}0 zYS0zR=5Q9WLD^_bQlQqf|ns0Xu$uNHj48T`=C0zqghxx~0bWN@d4 zj0m;r0nYpEHKz(c!rIx^*BRS1%DMW;7%*q*Xxm7qGg60bnaJ#E;d1GTSD0S>XuJ;P zKR(0^&z>BornS^_SII%0laP$-2rTMCi5RP_SCmcQlU5p?GEf5yMD_Q+jOCV{?T8pH zT{1sheg?MOK;6=qgKE#}$*BsQWi#CxT}0jX-2bUUJ2}k0-HVV9iV$xptBlAgtJ^^A zm9-l(!dTWR-@9h#2kW1Xj!(I=c$4VIAM@TQPM#`hY4}hjq>*H<$&#n3UFu(5h**i{ zQT`L3bfE=Kg1ohEeD+c_-pEwUy5zC+FXCCDjZJU`a$5{%Zhf77}C+gQ3iRp!YQ44;yIp>Tu9hW4F9t%`uMP_BFyI# zuF#JV!{w;HsH#Gu^@HpXcob0`U z$;!Rx6UBb%>yE#-Pj{^9prwIS79vLIf8dPEP9KYiJ)L2eY`TbijI5?Zy^s9e6{e7M zUreyTzZq%)Q3-}w;;L)m7cp5bJI zASSTgK^6EJ32grRnVq4X{3FJlH;&Jo^ifLY{8hAIDX>@3`DpRK+`6g1A87s7!J=J3 znprGd`;J=q<)7bq-o@uQ!u1C1YhTFcU7ltOx}wOaFq^z5%xZ`%R-C#KYjR#x1l*bz zfO6^nHryl1`zbUlT3q)=o6(5k5P{pVR&XO-I_aLLC@^O_<#aus`<2c&&`gP@Ljfm5KVPb$beg>ZsC z99WyXJdVYgWIGThL;Z|%0r8`Xf^zT2cZ1wjju0fr>)up#k|oQo)MPkqa3prYH9cba zDD2rU;?~!`*h54lZ|b+>Mi|;?9vaJYwy#uY)OpH?_*BiNvNWKjo@(vFFKf{>{{D^E zL8PGIjcwEJhWA2CJfK$42-Im5v7HFV?wK(DVLiZSNOo};9@*`_l7|=5b4l=SSj?>>3CN$5Jb-^>#vkp_T6CHletw(( zNT*9TZiA&wv!z<4A!@B2tV$+gQ~!nNhbSV#VzOG=1J>Qgd{r%@9wFg93|QNZ3L}Te zZJ{nsv+tN&9$ROutj~9eDNDJr#j7+ZcLH3V?xTSLj^ zsyNsi<|g^y?PeUpneb!Lq*war5gOs}V9$Cj&EPpQRa%Nj7t89cA<(f9UpNeM^PhW{ zpS6?U913g!aD$>5S|0RTeo)1l#9fa!VvD^%_9Tklo!Mg_4lVRj;Oi=34|#$77bG83 zGqi&H_T6(*CCkMBkd;bnIp)9~4f#3=iueT1%6{iDk4a#U2ty?h?r~a=SHj+sDtvRc zpp6rYqN`oHld4^{A7uBicD_MS4L;k+SbZH1St_?{39;6nSY+T*SaE;oQ4ASY{w2gg_ zCw9BK^3Wf=MW1y6b{{UgVWivjJN41-A;tHM_{|`g0GWTvx?~n_cjd{NpAF)sK1oA& zJoiaOegceAxr5lHW8(=Z{3ZQ;X=MEeoRU9rnV0DICR!`m&zUF)k!Lli3dt^O4L+9T z<9j{W)y9qR^3{mQ1X;5}2v@$EBt+yj6p1@9ZL@AGza}EybiOlBrT^W2A5q0mcK&~g zeQ&RScjPj-j&ww~vN}c1kkMrA&!D(>U|%;>K?0_g+md1ReWrmVhBzK6d_ zvRzYd9IQ?|-&}G=d3*BON<1PRFk~B8>KIbt^dat3yM~g>0(xpK#j-vNo``GD>=5Zh zq=|3QpLGN@jqF7GDt}r(l;X~Cwg^`3>CqbGl8C7c4~X?LwGZJ?|Ld2dJz(@MhYq}) zqhUu%FGUaxx=uEi*%s@NFL-XlU9NVs5w&5ikpG0|@SHq!lYwRh2|WtfQjD_h>L6Mo zMpjMhS?!Cr$qt)$ItN~~|KYXLJSBU|Y44E;!~G_UDtx2y1^e^9^t#PY0Q~*<+nIF0 zfc}Bv!Sj92asTAAV|YXR%w1@H#eLWr{-x;6)L7%SKWl*I>TrfuYy!(D&KxOcGp zxS6jPHaK(qKD@*d0rl=J*H%)FjQd@OJbH;n$-VMn1>M{&t!@e&@o!v~PJiaB#;rT~Hc{*094ZQWCD^6+Nh&%3v9g}X&d76|t)>q(J=A^ybeIE@EJQ8E^s*$l4McSP`mtU^X6GQUxARzz zbG&g%%n9;x5<`0QKgiV2q&}q)fEuD!C^b3DToe4F!P}-Ot^FlkZ`3&EWkH0`ZRs%C z#aeZ#Cx;2)Qq*cM-0>pwuO7xLTw!N2v%{f=S{tR8Up-nr8K&c*xLo>W-jxV`>UUT? z-6%D@Dk{Udy7R5Z_FD)(N_ci9?dYq>t{jk}Mcz_CEcU|$^3KT}^ksK<23w0s(?i># z+}D$3i%?|>UGXYJdjaw}WzECr7-Pe@NVvT@dvjsf;co|x>}YEQ#x3GR7F;wglf6)4 z^b)E!B%^h4*UB{ir`qfjhzod3@kKM6pb?I#C@3Nz6ID25dmQVdNSSc6=6{o#C@K6%e=QV(_z0CR8>&d^0b9LfoZ6?i{LmW z(;eVws;E~zmYfeJ_yyF)-8m;cdf7?)eTtPb+H5zmB~Lyjbw{@dti4htaHc6s$~c4- zBX4XU<7#}So8)X3sYgU|@fK+3Ok$M}xry`ID4w}~o+72r7q~*=@xlr#ureE)zX0JV zp4V8%Odj<+l&v29*y%=3Jx8x?O=dcO@kvwwNFhMfMiX$Ezi8p4jg@&q35-r@_c+hZ$6lso??C*|<{hB>9!>d*NO)xm@7->4iYEa^>@w}6wV;8wS$8`4X#kD9} z6U9+>C9%FHVZlC1TxaY|nJdhbJy(}CTUia=_v79%&K$4G z_1@I_uOz?sC%8T!#a&jR4CB^T?}s)qV9gW{K+SMh4iI)vZp5PHFk0Zqz;rOiszf-V z%dLun7TWd2!Z14gBfNr9V(g83qQZO-wZ4Cr)ghwRpC1PFAEVHHLXA1=T+m2F*xH=rzbu~)-fu^mPDIpGwe4M#bR1zi)u1!QT&jQ zOF&a1({qHu{hae$63Ea;Fa*=4_9BU?#-wsX84l9*BjO)pFXHbuN0RF;^|R(0j2cL( z374t^bsAgUQ9ju!NG*N-l+)bB1-IT{t=fpy5ckekB?X#E9Izxn28*Zj0Qs!hIfyzhHsz$s-A>L^c|?Zs7c( zU0{Xtzk`I!ZJ;9zOO*mYY;anVw1{iy3zo8pLaINw=rJFUG1U+8U+e7NLs~rySCh=qk;XjZIuEu(;nCmS`V%Blj^P%(WUXJ)n6x z`vd&q`^4`r^X%5H_S1UPm-2btj=WwTIUF&B4nl?JPZ(bwFXZGcS#6s(d(#;Ku1tHR z*}}zaSbLJ|NXGEUqF@zSzuZghQmd;``~39m{sh1JYkV+CQU8D+0!ZC8pCm<`Mq@fC!#5n%_U#JSZyX!pklE!4nm3PKJF@&< zF#b8_k6TvtL8ocWsW0k;S9}9Abqrrt>T%7J*^BtvjQNhhsw{B}{)W)ofJVzUQj)u*i<9<(%P=^)0y~~g?(H1U9<0(bkC=0nlx;}n<&gY$=9cYWENKiedxaAB zJr?BTokc^rm7KRiXBAfVrF@5xuIUDStTW0;c*$6d!W%*%)4uM+@=F@iX3ozSqb2u`$4Y*<_Z zCs`*oEG>hRt&>}n@0DK2VBCYgZ#==FG!0cIsL)h#cr}7LO+yo}MbM_5)WPc#^l179 zctgS|nvpTygkVZDGsjyHPSY%{@YVzynynrF4B;&8oIT!w;7B{~gm)&m&|KZ{?gS5- zrx%FF6TE3Y7x2CWKbn64K9CSZ3%-aa5H8U!hv2UeLTOjS@Zp3AT4WUd8X=k%L&TE^ zv9!4B_a7L_!h`B;%6_DYVp^_%uQ~Eh7_8A!O00+4vknF6~wx{x;!%v^#h4 z_Xspv{(XD_;Q_7iUwjeaA?;By9xNf0(#js=pAeqXo|WS(2$i(wFYr}_m$d2{d@Z4l z_NpG=Kxm{jHRD?dt+ck+_;x}Et+NZ?P3WQZ_Tk?U`e_4i@q>gR+VDI4d%_3W#}WJ} z;S+6a96v#rq)k2)QqRlawYBYW#`RCe8qL)@7BsW;D9EUn(7T!nMTYjqeP0+jLy@)`LT2BfO zp%^mqoMNfoT~*VvkJ*ONPjTgzZ9kl1&Ow9NpQ-tzF(xjtL_leU62ytYC}-S6sGyGR z<8yAw{DV!^q{}x9o_`S(Lf3OSs`oj+Gm_(i$rx6;4^$_ORt&`Pj!apq<$q+qiP zS1l?~$(|+j`$ZnWv%Un4*J$Y;;=~tS>l3)V zLt(xyh~Xr2**3Z6Fe@%=QQC%2(m0oy4_u!=->RzkaBYHPX~s>ZJuCb&snRMeZCl|^ zWuGHNLkpy0rAyfyYCYV~W;%_Zj+5NPWh77BerjhwGczZ{H0OY1i?N}cye}*%YAz^N zZ%01O>w^cSmAG3=NUk|Ae`fnYHE#^3-nblRsS7igIl5Y4&*o546Ka2bM3$Ao{ z_omnk3j&)fhOngxKZ^>BTRSu#ZCr%FzM$wbEU?(hN&3|$u`{o9!N$DP!JEq@Ok z-8pxW@`hXU+a1x zbXSm~-+uC9Az_he)CVDI{e($HQ1r8{qf(*wE#T!WuehI8qSl)0xUye9PXO5|$-Y@d z(3GzcKXdNbuQHEu=U7%OQG|mnolCTP=7An>V@Jv7ejZX1#$oaKD$5AM|MM2YJPNt9U12|>hSuv8XZo@HstWC)q1eE!e9F@TV+p4PHy74Dj&Zj42#G~KZ|iYEA# ze)W|C;1%AtMwyRxOp;UfqtPZ0QuJ5VWSRi3Kp;Qi20#O)ovF?mWwoVKVk{6~;bc4-dd#920ahF!TKV7;YJKPE}fQqfWP3_ue z-}@(lUt&ANgaZBw(5KXiGl!kR^cHM?zJUbXrk*gjyt2<6b9Ls_9pOzK7G>cAjYZ<% zaErc7)EP!>kw&Vi^@WNQn@=uKMq;d)XP386;O$-)?JNawtKa4&&}>+pojc6Sah}tB z$Vl`%_bg`XtwkH)SpLAg(uT0w3{dyO%GIeFF1;sb!C!htnCQ@YudSX$51l(>u-o?e z*dPo`mO4%SxX4fkev}AO$EZ!+S|BL?O{gRxr-a0 ze2{HDelfzPdYtIFUQad{D!iDL!Tt)Oa4mZVUu{rT{Fvfo{_wsv3-)B9{mc&OKb_1U zrn4*-C?2BUn_QfC2?z9)@m z(cIWkZq&`m1PRZ|bid5Bob8aNQZE^okSV7Mo#k{N<||Tu3G6Q$9l);7Ci0=V8E#RU zW~_`g>{FXH(;Cb)rH?%l8fVt4iS;*fGQ?YKv7=_drATeSZ%9Ek1EQi3S&O_8-L zzkT7{y#uGJD@ncMgoNo~tafTO?e{Q{q)Xpw%pzPH_2)FFtT09RAx*ifKB{l6gR4LR z@}tL{J4xEoIlr1dTAL-qBlbvFiu)GXQO7=1)7L6(yWbxM`&)(e*jSJ8$;Xa8%)@BA z^aXf1NmuETA1dET!}=^HO)U*!rO4_F$3#V=q#LpFlU(MTN$Y}cJ}rq=aETtq64w$7 z$7o;-!!SBKeahOsv2K`;9>Q*ZKHbWVULeLU9<3}= zVC0Coe6a(roMzD&g(1ctDvLxKMPn{s@7PoJu~?4H?YUWGWGjGBpYymt)||6KvR;`q z8e>f3$CXzl#DXwE-Z!`ZMHN}@oc7c2i2!@N)n~`iLEPXh3dJwt7dZE+h;NQxobo6r zL@zG1U-*L0B05q}Bm(6L!uthGWi$FZj0NbEt|vA;p@{4-e6XRbx$Qnz)XUgmF$rBU z)ZX3H2ni#jd}ORtxFPW+_H=ucVI?YUsBN->@nYleRxmWTM!5dEfjZjna9iK!Ddg6I zYvU01BHr;bnuVsiBaJ*FNcB?ey6JsY{1P-fFTC(_pX?+9(EzeH%f0FN!exYrVH$?X z1fOyamqxc6$W)=Kov$*`wlM#9yzkV*D3iL9Ip=5noKEnDqs@=7ybweqkB-NzQq^fQ zCD!=??l*z5&pkmdh)sktYMfCorq7@Tbp@`6#`mZSIs}A_x|P#YMq6yo&wY})@1>;T zlYl#Y1@}WD11yxT)(dg^gsYB_rLC@>d^H_hC>tI2e$}=XDn}C+cQi96ggz99UkJ;j_@BVCgFH0G wH(`FHAx+gn1^5gPO zH>8`$4ZRV<{f_^7#b%lY)x9+@PU#zYa1p}nNd1IC%%qce%~*vjV8U7`R%w0S=>BN?6ilG02G{LTFj8Q*s;>|Pz$)aC zkL@b4tODSd2VcWB(-vR{6;d8DKVGhuB%T$AdUJD@Fru+({CN7qX0x%Ce@7_2skLTs zJMR{nS8obVBWN(?-Ah3)@UQdTQtAhm03T0ibwS9JyUd>ekf@$&TKT=UPSZu(K-s9{2fL9I3+iV z2stR7Pyp1ccY4r54_ng1UF_=EAYbW^Xr@r6Knfc{X9Z0}8^%LgZgLV%wDMxprr9Je z!D*=iP96ixyFC@peE#ln6Z2a{3FL*dr6_(^dlF2P(6L+qRJ$0sIIlmoHgPhjwH7zu0~bd{WP;(Z4}6t79o zPkCVP>1D~aRRD1CI+qN8x; zJac=RJ27_o&O*8n_`#<+1%Qt}az1IA&D}fw{%GCoH9W#v3+QQ!4Fl9AnSbjkM@Yxa zFXU&j3_2v@`Sj|`kp^~|l^}g^9(jyp!hcR9k*FnhU@a6n`?ELUl&an!V$$;KKFZ}R zhljQ7!7g)m{!1**n=5*!_5{b4;_SSjmtxnnU`SDx$Q)?ZF;3)2{?ig=HN)pqxL+_- zk-Qb!;Z7!3=i4OxCSN+9_p)lATA*HRF^2Faw)5)<_fn*7ZSlg{TopaDo zy9=nv+|(R-6c`kIF`dwvex<7?JYtk}EjorsijDhy{hu2EG-S?eQ> ziyk2bTg;Ef05;`9N_(Hw(CxVpyF(@RU9^a_GR*5f7i=B4U(L=L_!rf(=ls_z8?+J5C69E9#tIz@@^$Ww-EpX>)_VmT z)^EK6!(T~$dig=H^OeA-|2zo4dd1=Cxf)ab2ny^0A@vIQH1R=v+!fN(mZ z&4ASaikxr@Sv;Qu^sc}T6oB$s!v5;?at`nSOE?aIrn4bl?{FaD2!I8JvA9w4$Za%) zg~`-C(1#i;UCTs<5bYleTSiNVD6NS_v3I^w(wx^+mgPk^n1cMM`OgU0DtciG&>@Gb zuyTMOvnUXBYrYeeB_{Q5`oH1XI5>`}@uTk<-+V$R0`s2QAl2$6k-ZueDSW3gYZ3D0 a4wZTW;(O;6H5r1tqnoV@0q>QdtoW zA*ypaNYWwe`FVf8*ALh2x?R^l@Oa!GS8qS0;w^;gajXL53j%{6ph`&q&=vdN@c&Eh ze;o-T3bY9Nv`gQkZ74i$$Sv!K!$B1j@HJFL=kA~TJNmgvqQV(J&cCR^;cy5=q+}Zo z#GK7NGH}EzP)QI9MaNl3om3$8zcA1Qhuj6#8h}BYfEpR(=HLMm0Ms%BK;TNMwzfV9 z2XG9t8G%0j`M{Da&W7{-Hxn4bw3LZ7gF!$!8U2cf>zH0paCkT%CFewbQy64qDP)Y>kj2 z5w})KhOj91GjJ@S7!cH=*aD;@9w^aI7kqwDK|(t$a~ct{U0b5Z=X$L_T|z1_ckBpk_=R-%hwGSJ7j3R!{3J&hH0D1 zAu94ZB}GnDDmQ-U`+;LFpN>`8w(;%Z<0{r7+=? z66Bc%W>L$`+f!1f%*517UcoXN=!NGgeYS#shM6T;q^s*!g&_2tP_t}(dFY%mmL zsF9CZBh^_Qzp(cqmkP4lvrn(II+j`9#jk@H_5&wV3L8!&w5b!g$BWA-XqhGUNoC8Y z-c1HSj+*$t@9?8Wr1x8$%4EDTHSB+!LwcH&``d*8QyGe&!FG z_K!wZU)BW7npC{D^|jgcU>JvYoWg*-=Fac#pbbCB0awUWUvK<%T#(F)fQ;FQZy9sg zec)7x*U_s%Wt!qOVjE-RK!1$`>6m4+*FZ-kow!beu`4DKd|9!HeS7SC5p?^vTej#U|+Yn%Y z{4=Dqf)6x}>Q`*0!hg{kcb5QK`wE~3sbZd7q8A-|xRTcsir9X!C1E#g_-?wPlS|Ql zoGf0rQ{FDUz5IjJZYl8VSo`=aqij7#vIn97di5F(gHS1Dn+WUmX$!JjSG(-x0IlKF#k55O}Uk1_8oD_td?rD1@@!NhglO) zPfITq84PvL3UjdAMH zEn~GrW{N(>nYb?EzRA}L_}$`QG8lwV4wWOTCdqnyVmAHyfJhY5PQBZp&~7@7lbIS{ zNGjCT_R&1&M*EmXGR+?srr|HS#cz*y7_OG5Gd7$)OQ2ajO(tu{!^E#-B+3Y}?{?E^ z&L^ap%xxV{JavTDzwu`{kNegqDvw$7?s_+0D?J4~`fe(8(?sUec#y??iofs_RSLGJ z3H9f9s`gdSOQb6bepX)%LmbCNTyiOvTv5T9o4TCnr6cU3SD2fTNh|cCEnX~wzE*Q0 zW2;Rwm)@pon@Kyt2v;pWx-P|0-|d$QUrijp=yat8UzW1q5S*7E-aGwbT@v5vn~dN$ zT6-O7IH|2Ut74ramf3=}AH`7N2^5UQ{N`QLEIxmDF0<*C*YLRog%S5XqQPcv7j2at z({L}@RaU@&7J;ftBt0V!fKElt4V!uPSt8aOB{L4|O8OE7*hluhcw7)ZUHBV!G_jeEBBDA0}mKoI2g1I*-PbS0*jLdDQal_ljRX?({CUG0$27qtunQ9!@%g6 zbUALf7b(XS0*_c^YUv9$NSZ$>N{Nd|Ww0c#~FGrn~ z@M7A4jNuhDGlP>!1IDa_9S^xFwTymt_wLr7A)jl;po%TQxct5pg5p>1V(lJ28nyWJ zRxcOWlTIibJmH?0p8!*vCu6RIKQ-fu=4JMp_eG^_QvIEO=@#^+!99PiyEvzq5B<(x znF_M`=Rb!J2=qgFE})VIj(Zp~Nh)l)AA;{%5o&wgN{Oec|2#e(81TVD5G+5F9DMO2 zgb-jxg}bNqB{MAjC6!Y2UL>oTp4MZft^}0M1?l|ReJb|$&yz6YtT4Ci2=QJ*Y6J-r4Q~_Lj8;h~%@K}lzM)!QYx>v>E{AKO&&X2?U+&=ey$Uku0E4kr3+No~rz zy77EFUQYK1%A%!@iSeSzGgmlgZL{e%V&L?D(tj*uykW9FLI-N%Us+;z<3cPi9w!V@Dc?QD3LU{oiXU1__MBgn!C$No3j>01=4~<&oA* z?M$?QT?bVkc-Sr)dT0hR1y9qV-cscplDEf+s!DMdPb4ye9OHf_G9d%0bw|A&{2Oqx zo>lkm(n6ie#y%lk3@a#VMu{KF8YRCxV*m6|F~G8IPlK3H8AVgHOtRO6!J>PMYvcQ$ zuE{@Nei^0AAGedUA%H`PA7x-?_2Gbf7_&^+*T<#yB=P+`yw8yW0NGS%Ipg@z_lR*o zt@iyK=LeYXc0~96k=%bvmdD>eUvaGERBcNfXS_#A9$EU9@V-kANXUC%o(VcXJ&ErS zzIZkJYQlTLyJqK{hJb{^t1P&@XKI4*Rm4*F`!WGyc6Ql^}0f9sgd3@qKBn$|^fv>8g}FBc5*`{~n=r+`1ITdLU1$5KWmz`>_4vd%J-63e}l>$VZ1nXk$Lw3ARBl7ehSkm zKG)>y^Cow;D%egp4R=OR6|!waoIZR<-x`Ce}N}R6JXarx~o9*ZB%QS2wKmQ7HfwevT%^`o(jt!7YP2< zMV~l6*6^TV$clP;qhB3(b4HVV#oQyGmBY_w1to$G2*!F~I`a;=#0&+U%bc$01btwJ zq(x#|?dxYkF&84>x7xe8xrnqL&zg|4n=Ie_pAfUmDakq7koVB3B;RGx<8vmfy{c2? zmVZw><+!L=@Uiz-a5g#iF6&%2f7f`TzFb9-&FKnVqJCH^1N4@7y*y)F^BwfKlv_`v z_7Ah@W-hmLxB0&`-s_Y?Uh^i3WnICvZQNamU#Bu;0B#5kSO)i6#?*jLNyNA{70xNP ze%*%psV?R#KvQJ6(dV05zT)PD-PXIKFE=s%T0XS~T`klgrK1|F;rWkG*C9rKjN&&o z_<7XtodBE`*=5}kFt2ynnre0Uzc~7yOa$LN`vKVNM6GK?qd7uoVEwC#c}s-$J5i~z zOsiz^k5K0b)rgR4#0S9`ev{sIRK$zhy}e&`pF64%X8LBMT+z4ypxeg57mG7xk;7kD z5KUio_WN%g^H1)eTydc*7$gGT##3H2B$bi$2y>&s8dN)e|OGA=U3oAL+luhqsD! zB&Q=#7k>SVJWteqjF!dj$lnTck=8rzGb)AS1|<$H0zBOTzz54EFpj zed&`%!=)Du+$5}l$o^Bk2UjpI`X0kiwUOp$w38+FRwp9S7Y>jeOsGe74c2Ak2%mvM z$KD<@eUeEcK`5$RP1^Iw4rfH!ymx7iK_BmEEZYWJ1*fBRsPs2JEih`#9tX&W}S!mB98h;w<@Q z_Z>myimMH4eYSCH!#_Y}irWEzvApSazV(E?fI2YS!{ZRy`FnkXDS~->U?t30vt&6C zl3$HbIyo7RHK?#lJs#w+^_x?7r>*zvnrLD4>W{Uz7s5?&Cbi&Cl~j_tw@7Hwz$DMLUeg)c7cDkZ&<-Frixu)OWi?}-?Cx8ms*>a)>H-+JjMSR0dkDY1 zYJM(kbyTid(x4MbeX4*#1OLU`zq`7A07h~ZMX?U`sAet!L78g)PM$gD-<+h6BRMa{ z3X{;u;Q%))gB2S6SkVNT@av?eEP!~r(JF*%7JouWaqVW(*jQe~Zvcx-y<8=5Kw;mK)~nAn__ z>$jo%F$TtDLEk3n10R}@Brl9nTw~0j z!>==mgp^c_Y-|ow2_*dAv3Kn7lfBmw0zaz zf;Hiq{*~^?m_&zE1S=iiouHkQ0z&&HoElF*2n8i}VIyL($x%!Jo$xD9nM|z^g=mNQtA(V+peatR3f)bIC6j2^&fU4g0jZe+=pgW8mMKGL``hy7E1+UhT|FvId6?iHj}#|}40 zfA4!Xopk`85EG52w;jE1l}I%Rh^x(!p_P%sw!?QxvS}}iRIYZrQ z2ZNo^j--TW#`>}|yk0{lQu$I)_4ByKsCYc4EjuDPMyxd}Im4cQFR-k9M$#pQbZ+%9 znH0$~geI>Xef+m7A|`7-zOjjL;Ig1})5)<6foCAp{zVC}~!YS*E~`k3eohAGdY2h^5s?5Y|JyuOuWr zQ^`1ujM7=r&DiR7fX&4wIGj{t_&ux)zDA%#-^dH8PWI~@{K1~cOucgg4LwIO$Wja9 zYpO+oe`^t}p)JouFH^~^q%CRgx0ptOj3IuCo=v@$1o&Qzzo}tiwp;h92#RaHr8Nj5 z>3Yw-bLo^fIh{}_62V81RV5y1!-6#uQcy?7le#d2!jV@*PqW%!1#yM7)Yyg7%0z?a zDm}kAHO4a^n~a20JrDEb#z9kuGeKc)L%KFjTThQTdD=U@$xZ2tOT4NThiVZl(wsxfnWal^EnBqxBCz$ut*M@ zs3#(U09w%+V6~s0ez@LV$l8T=)7_}P&H=M+Nuyp~1%#+S0SudD#m;Znuvu)#F^zMil zDsOiM9#w?#Vd^FDfCZ{ZsS`NA7m|#1ZbtM$1Rr;O53|iL8=)rGb8oQT7^J<>3E z8%C4)O0wfsr7v99h41@9@JNgmb-CU-PT-hgA_*a*P%^Y!8}g-1?vaYuOYhiHe|(}# zyXjv~C2En<@*~iRhQ5H}B+jCm55sb^K579ZvYm2~a2g*5wH=U~;a>8|FcEN?D;ol*VBc z86_o8zk^nxTZv+-M0~G-)b~00^L}f`Jq@>{_1w4D{&`TI-BiY|I;254EG2K~;WehT z$c5kNsG9s`Tf2+&b#Cai-n;cbAes$GgO@7tY>oh}d$$v9B z?YdVk-li7LTgNNbs8Th&2uLrD&TDdB?)?Wn5Vs^I4~Z9f7|E8&7B8VIkEDP6^sr-g zpH(gif!=HGMotm2;|P?KSwyCpdeEUWn@5FJ{#{G{MWiWY3G&Mjrv#nY-?tVgnb^dY zs>9?`+O>P@w3hR?R{S{X(IE0V*id6VplF70TVNC5t{2 z!Tlwno?blTU&dZipm^6zA)Zr!;uYS;6sQg+>|L?1kg_$Jx1dV}%hCRbxRgLH`e*_L zPgFjW8~9*1S67dcAFQhr==7j~S-ew%LGO78O~kLy`=`L6~B6olIex_HVZ zY26a9wr5h)C>EW*Sme@5&ezqBj6zR%$wB;wdXYBzQ1@KG8Ga9C?Teu$EWJ;dEQE9y zkGq9!@VeNjf$-QyF^%miznQ=Z{o5$IEqybKX=Mj~jX-cyB?4EPL~LnOdo+dSbsZf% zRSp^qvFP5+5T$LDrD_Ia>3;J#+LuesysuEIWx$lyJ=S5z3)Z?d`>*iH(R3%ZkW*FW2>67k0Dz;z6)_07+?0^yN9RV6oD1gIXg947@3au)G8=@yaZ6p_4Ph!qtN*Q`iw)2`kmpkO9#t7JL&(2h z;%YD~j!-k7bf*QW8Q3TV6v$_BYP+Q1x0?ika48kxFL?2mSm~Jybobk;)UpGUX17m& zAiHa4-FINoj$AG=m9#eXS!>!B-hrip%BF}Avmz}1m4n|?=6JB=WQ2=t-W~uQInCa@ zpF27__xTr*?=9c&nY(cb$SYiOu`7~x=(_a^E|2{z&N4ED+K2G*ub`FEWUKju4C=u? zntv_->5zQPLCi0HFRhnK#Jsqk<0ns)kH-WSsmB$|6PotZB(MSp)F5xoCN3OwTcC?O zxfllXmG%_g$f?UK&m)xmVRcA3-D`*CdYiEJ*Kk&e9v8xLy4AXoc$1#jGJA(ioj+%L zbaZ3G5ydPIhG+u7KGS{ZRO?lieq+&h*Uj9oLRzC?*;=zQ{2gjuA80Rs922n3qOBd; z8|96@GaM~%WdlNRc%G1d9zK7RXuU&OhnI=iNTh8`-dM@yU9!z;%7g@k=O3C+k&5{( z;>l3Qbp7eN<#uzMwiUzjPc7{>_|Zx_z0fw*Azbqy@A61{P5PwF_!)G0G9%(ZDBSy516Hs@g;iPW^*?-r+<(n>X65Qp$R1njUEh@MD&)~$w_{!<`9FXzo zs(}C_YTd#$FC~G#Ebop)-?5q?T&4lX#MsAIj7(9iRZTVw;wR@iU-;3jaES>L*0Fj9 zaurJwvGv^MPCVHe0FP%lHH1GH@XlvG%yki#_0C-=h;>*v=h#*3QY8O5Rp_gy$3uw> zc}x;D5pU*VclUJ!=lq(90qQ3H+WH_;c7rT-|0c#~^JSmq{!0xrjyL+GdFCz$vuN+~ zN9GUTJrsU#C}HSF9=EIZ%}upmL~QHYRnHdc8gzd7kfT9{v_Y83=|+^SM2d%| z*WeX>$BaAPa&$AVI&xT+gn3!u(mc0XbS=KrQ^0kFTQgo-o0d;_$)P6T2@`r)o#sR+ z$3BvH`=@-mtjlm>^);)rCWWhc>gDsHpORg|rUP(4CNN*4zt&9+*j6j5SI|OUE)58X zIDRyz2j3sBNNl3QEr}vuNdYXA6s0~Y_M_SujWcI;1c!Ox!9*SEgL|k6eWp|Qo7v=i zZ>AFt4ou(m{O&nI_-vICN#rcwxAgA_=(1QPw}t!n+JR5Kb+Ypzf^iK_unQvxakPMY zKW*NjK)R1@#W%UH@^|AE@9%Lt>3i4V;;&JhpHR6~;p5a*?)g3SQkv5&Ta&uo0Fq8! zv7%A(H#rfIV{(2Z|3O|nxV2>s1)WK}*`+n{XURbE7#bCH zV-b4|KJ>**(t<^v!q9~!_kSM`H4zA?Pl!wdo;^tm1seaZmP#M`tE;$V@F%|M4I4?z zXXRGgG;{ZM?Ij_lR-9LkaB8uR*Kr5@%`ZCcU;J6qc=RV9%)2+|RBQTf+LfANqt&Hv znN`WiMTkoQb*x0M|BeZnJX-}E!b-OL#bcl zqufo!ZiVkSE%nG1pUaILyQgK}>AQ2xf#Fs1di}zIb`#{G+L`4L)LZKBdp^muGifB_ zp(6U{8c!(?CqHB38J)6D=k-~>(Jit=ZG&`euv62c@t_Fadke<|6}6%TR+-N-uMJnQ z`L^=Ta#knq%n#ydZjwACf5ol9w+XzumTYbEjOFrs%oDB?tv2zpnid=t+4yL+wv*eLvGd z54xdB;QYd_bXn?cO3-Yl^QA5}^gijnCv<|s_x;#A{;vqp2-xcSiYP;VOOpZH)i_p( zx%DpVV;pkWgF-SThjBgzoqxgy6n?C83Ba8N3Gc^|=o=?Zrn}Bu%&Kq}kh}wnH$w*d zYTJ`*MfMbIE>+xpvUj%v#$6ZrMN>#M<9Y*MxP_mksLy_qS~A`GrxSgCq8%9d@51xU z!h|TRIxV18dGHYU_)XKb6rQNUEie4t`}^)G=I#=I3+46-RcL39VGh~r^y(hdmT14N zTbNWkq+|Xj%>=v9({i>B{QH>X9si>f^(#j!<;O!_xtCb>)^sZE_rDyd0z}#{9POx&eirIMhrk=zvab>9ekkt8GUE&~=yt#_ag=vwN z*bgc)R(7nx{RwbV5kLO9V%8{Vx!pn4ifr=hnf3nsh92*s{kcY)< zlRw4E2-WG_h*Zs46dcLcn9}TXnheGSSPMw9$&-qDIN-<@|0TflJTD zQ{_%0$kp@2%=DQWg97vfUrQafD5wS+$ClK;isuI##ajtF_DET11=f?W)RYBW%b3LT z;l5)#kHWlR8^0~)yEFBDUNW_U9ixAHyd2TvL;7_M7*ES6cl&=T3BMJVHr_aR&ftqq zf4s8yf(v9;W6&-t{_t*O)&p=Q-`Uu@ zzm#8GlJ20&04?J~v5%kJi@QqORHDLNP`-7kys=PqnOV zaG!`j|1v1@^Cf@A<>@z$CKsRSU36+2-OBqhaIq_C^I`*G(%fu6>}e#{+$!g$=hn1% z^<0#D`4dpYE7wu)8B%L19XZGnKsn5!^#?%@FPXsdSpxtYuxvxHj@%9lu>v zJxkaC-YBpSvU?QPzM)<~4lB!WNP^Kc4$!yBC)y>|$SCO#K@%I0EF}o#mrOEqVu0#|eCnI!`KNy;M{edcW zi=7&Tbd;*F>C-vkELCOJGi0e&gf8^X|2qe(+AZ3u5fUrGD7p5%2r@FIKxu`rq^?Uy zzo05?aYPG+H+zM$e5p%CiTme!QZ-Ra#kAf)-qRCa6?w08APny}j-Mt#Amsv`8*ZBU zH}-A>ssTb?RVxV4s`VEL8P%(qBEZG}&jt&E(zc}^BaTYs{mz7gkiQ{Sr4E{i?yzMv zngD76LE8R9gLbamW#85K-J{*wBt3+#?{~Kg$3K~^vtfX{sGmeeJ?d-#i_uI3dC{V4 zj4~&i_esiRhEt^3<$g{4oRbr0c;m4}e6mfQ<|jk8`wa*Nx>oIFvubVU+#j@~P4|S4 zkGZJHcm7A9mxtRST^{rAs=%fIEsYC;b9P=nwCtP;Vl(YaVP1TyYCWCB@NN5D9{O4) z#7AfI^hi0C@>)D;!w_NJ9IE;^P%wNo=XFJ=Ot4k{KbXY6UL3f6`?@bZr`0w$@olEP z+Lgd_ldn;yV2v*fPl3+#w_m?BmZEm`a&AtDK*hff13R@>fmc;U>E0Dt2#}cIWLf_a zU+!4%Uhcm|Yc&bE`}aeH-M_E+8b_8YD%HK_7heS>Q;SVsN^m~?EX_d*cNVhGD2U}t zQ4%3~e$2dF+u(4Oi)7gO2N0$X6YRHsLGj5$#eGY>#vA)qG=FjYIked$=E^EEb3ZQ< zy=q-FT>F+e6@pRbrC;G?Qohg`WR7k=uWFa#Mv-5i4YmFo*0o9 zPne2{LN>pC-H z9Yxg3U}1nN%*40XS2l$Xlj}-X5j&Wiw}KXr%>z}=OK2m9#B=WdQ@KlhO<}@pv4H*d zzHcf)zw>fTFP*MZ90K1aRyL}*6)CTg4Htg^+8eBHzSg~^zEWgKHB{t01_z=5AHyD9`g0F-}7?? z9kTay`KKRTSpHOQvzS_?m^&oeHMVdb`t^3u-HZMo-uTE{6K-%hermbkD$D_9y3+7E^T-ij!;xiA>KW0P;QIIB!PSQq@4-uQh_ zzyoA<;y{-W^3-$-Bc>CtGTW}xj$ z@`JFKkf_PG`ASF3S4AG?^7Uuzl8qLxWYy6vTaLCL1gnuKYv%eE9vzMlEe0tK<^lH? zSFRm)Z7VHr=TOJXzMgCiD4W>VHSs0@oQ@0w8XD_spwH|c-IThAYuz3T@+q&-kCzPW z{~y2qz{yaMsx2?dvHJn)`%pHTG1&6Ru*~aq4&VI&M{+pmZVG*&V_@_z!DMab8aDy> zU*?E~YsSRx#iR=c=UtC7bncMe>&aQkUMZ;APSUpRmj~ph+g9yoTzipv z4%k{3IdZc|f8dL44Ah^rkcC^vKj>=cN%^i2V)lni03hzy;S2(s3%c-~d+$ETn<2MvHP zz^kw#W$*;7(rZHm1vhxPnOLL~nuq~-hq)AxRhDdX_J&+El|sSq&q$j*%RBl@dIlUR#3mVs(?v(M*MvLp0V^#zkTWN zb$@_+zsXQ7BK?#k`)Kb^&^}iiezU{O=k%zzPMz`GN?XmTsWF$jm?J87(}ey(NtSIT zc)O4fP^WsZUwdI?e{8J;NGs?B)59T4gL1`LTf9%_FRf+BKm=TR7=j=szxq79nP1qc zb=lkkw&5JuWL_v|C{_9f11p&jS)%=57AaBoM?A1~Uv;J>bW( z@Xna(%47InhhM6YZ}#xUu8ht+l@1fM8<3d@_e{z-2poN0c3wJ&;kNn@AN`0a$Wnq_ zjy!y>-CT|aU2`-$Mz^37NO%S$O&Yyig3Z~Lo@G{c1L?mSXa;nPf|*motl zlSJVDQ*q4S!|nt zq2?z)+$49MeS8l4yd(baZKYv8)%O84x1u-Jvs>n=RzKAb2MRcv_(uQDf z2h9?CeZLNGt}TPBk0Y~OvSojd=E_~h2n?@+Cu#kD?~ApLDIy$6^6$3DU*&5hx?97; zB|0Ak1#%Y8$T5Ib`h`^?68Es-+!O?r8MW(;Yy15&;h%vhtm zl2>oDSz;1sImEv;W}fNc`*JElQX>umBKzh_zP&{8Fy^NAovWX$C#l+Qpd$hMetZFc zbWNCyvrdCmsl6Lj`?Erxa^*`@gs$0U(d4!5!tL}Q%Q4;_Xr0O$9};I7hW2&y`UUon zt%Zo=3_Ilv?dLwIy)0ZiaC1e$Wd$~qp-GfCk+I(vB>QC-;E=*ZaYWy$Ja6u%W1rL6 zPm>O)-(b&GsjD;SeN;HeT*T0%2y?6esh-KZ+yxJEPVwUXk`EH(nb~Rowg_W){GnMo zJrY(qtnorjlnHGY2p@W(^4HL@j;CUL&vM%@x65(Zi+w&9lq{WGDcTgLIn06lJV3IU z&$S&K0k38mTMm6Q675K_?>A%*ph(AhHUInUaGn|V$asD@h01TlvnYP!vxZGWKd~oD zV!w6{o8-3DiDVj5jCl@kJ6FQ00+s+S=_xYPh;Z_zeAY|aYHXGKRxj_~&dZtA4$=H7 ztTEsFmqRt`=HSnTmc52Y9Z60(KXvb#4e5Tqq1o8nCv7rkC+`h5D7%HHTXoZej|P9? zjhl(~@zjDws2JYu;i|ai{e8~SuO#=?AM@7vkXTG*L#(x9hx$A@YejMrx8InZB(B)0 ztF55RLe~~ANz_#OUn?6_{bTPRBqfs#2`s3a2dSF+h8 zI%Ses@)_2)cUF$fhEisH$D1Du-wDzx_==;gK2?NCP{YMcFC7*B@ozt)525LB)Gggz z_)FVe{JT>?%pr5m^rd70)W1Wc5(K5LNFUzMU17)HbXUrCA@x$+bVEn9T}4OjwsO+P zXWPz zPdn-O3{aywP4R{U*8fu?<-?GEnkj#!7i6?T1-JaG>)%H)qkSZ}x-qqNHOfOvYWL^q zCdaan@W;(0N-Z9ctz}%vo~Y=w(xkNK#2&=PLsH*Fio3s%jfs32rg1Dr>7_c>R)rkh zA}vc8jyadmE<>vGm%b2q!DN{8fLTd8NSpSjUQXqVl{Cdqa}XoK;aY}s`^4zRW%q{5 zsXRQ_YOf_Fkejm$=Uw|?W&Uf0cL!Vf;MWrGx!pNQf3Sd;wJxmoKY@wwDlwfTD6Fna z>B=;53Qrn>ZTe;#=os)v;MD=CrD$1jbZ0fDf0!za zd~s8@e**FjL{1&A`(CX)<3?Ppt?qaIJE32_2t~g5fHFN6!_dZfzfSNn=oD|uI1uf{ z(JQZ{FE>>tjZVeH;>x2PeNIirXd&*5$$)G1D0=Zlw{_9cE{A^xf79E?UuDijiKVae z0m{$M<__O#Mlkv@anj$d*UlidpHmIT`pcs+Hwf#)QMpjr=!mz^LNCOH7)FN$Y;cz) z;{+~V{C$!Y1-Vj2@hTfG9YX}AMomaEN+m3QL<{`KEh3M9mN)}D+%6n*O7+37+S<~* z5=fyD%R@o}9{M%P;ep=iF7Z66Pl!CYwkd4nZ?SC89Vxtp_&G)oN>cpfp%|*b4R0L~ z#ptmZi+&G9EPdID4Gi(6GcdRWV-4B!!~U6*7t|QUKY_JG0rQc{g$qMHCqxT~0iPrv zTM3CW0*T`L$6Yu-vP2l?$4zIyhKl?nezN$v_~rSO+c4+;6SKglo3+I2pUfjy-xAZY zrf;7Mj|iB*Bc}Gd+!7|rh-0bm<4i0I{T( zcFN=oi*PMAgh#HwH;>?ts10GicZ}@VXMW7wQO~-Yxs?fymiie4D{cV1XcMm1Fi__0 z{m%pd@yzz)(e$nygrFhHOSRDB%~Lf;%rJ5DXzC9aWNFu8-oegg5iP2S*X2m|OjHD@ zgLk7{B)rT4Bt>Xn5BGQEHaY3+k0Cfp?*XT>^0FRh&A=~ZiK|x5$wS3wRm{-@j!zb? z)>wB}RB?w-~l^3as7X()!?JM%Q2nXvW{JI9pE+= zk~Xk?m`QMv+kgp2=-d~7;?i=!Df^Mky%`guQ_(3_4IE!8ieb}1TP$5x5`f9Xo`IB! ze6^DLHp%$JR1MHFRH?jjHaC7&4U{!4uKl*WD;q{cAE5hz2HrY_F(*+qI6?58y5G&H z1J+la=dZLlChSO6OzI%P`(l|KXpYPYgx0M~tP7V~%?yZJ*H>p#)~^?x6?mJ+VuH@d z3+Ma(WQkdwC z{()~>I}DO4E`X+5BkA8Qq6~dUxPENf)MV z-caX2f&yN@W}#ioHJSYNZlT4+(rix9Y7rS7y-@o< z_q2CApFBBSZ_s9$h6h-|ngXjbx@X38d`b2C#Drs+Mvop3Zk{ks5-bhTxlZpRP?&>7s{`R7T#O;H58 zOS-wS`Yvj(3>SsI8^cA!#U=oWXrtvL^rhy;Zn@-NxKwmApjh0Rt5xX8(PDVrSWi~9 zp*&)>G(|UqcffyM1d=SN&Pm1$GuPMYvRl4hK4pWUKCK<6*?8-JLDGtw$LMQ2&y=F6 z+w_k*@7#oq;uUJUPeZEOF@bNq^=@c(oF@r--PYPe*Q@nNYNI*QURTUpg{awAwF0N= z4+%5$N!xyXI0)N7o?Dq4e-RP?{St3NFeGV}UNo+#p5_Y13)0vGB;LS;&74fk-WU?!IL zdPiyTocWI7yCWHQdgEwE6e_;G>en`%eK!hQ(tM{ znY?^GaPOm@Zfeg3uk#`pImpV!*Ghz6P(E~~KM*z?M7>>KX!6&&VVl){+J`o!Al?%% zOS?xsHh>w)lKzc(d(!1B{M;qH$P|RQoj(N{K*C29#HGanfsQUr>wu1sf%U}xWF7Z7 z_brm7qvcepNk5&X!ynoBQwh2MZDJStR>H z@wJk4wfW87{i@i;s5pmZ3JCF&Z0KYcH_#Rn=;pNF;w(+jayT9qH&}kv;F!%0D zs@QPGZ{BP+(leeu`=r-sB=l#SiM;Jp0);xm<4$M|c?pX&LL?9F*ctFg=j=?hhi-eQ z@gM_#!9#jt-Qgaf>lRSxFt65V%s|%g%buw|y83dG9_~c>p^n?Z;uZs>I&s`EUCP0% z$#suD6$W#7DsD~>eaagQ#kz@~O@AtFKU?W${S(Msc7j9uD)tVPTc2AC~_n8K{@@kr96AwJ* z^WN4`?&7w2?L3>K=7&BX^^LIjS9U~Cm9^%9t4H~_PwXcwTjKO4GX->*ZAG+9A>Jyl zLqcm%yOgo}{S+{B3e5G@>EvWn&-!{#AmwOvee(Poko9+V|EAF@B?I1vtVbmOSaKo* zBPfZjm~AFxKRQT;a~SO1xJ~QQS3DA!nuRbAf+n&eOrdib`oi8%4qf4G($YkA8lLJf zt1^6ZX>}npiF(+HM?K4aORYnCW={S0;rej=%!FVJy~{-)Bw;JdpZZ0;hqARfcONrx z)?Udjf8RUfSp{AN&*Tla2w`GQ*H6^wJz7nK{!QA40?!=-aL1`4DtnB`qABrqZQhN& z1vpav^CIwRtIC&tTQJY<1n+_*COHlfe)nFoBx0GX2E0sG381L)Y4~mqC^=KAR)m8U zEKh|id-b%=Ug1(*0WLo%sB^*B+y4+^{5nWFuW8GdBqM-dN*2&j@5L*TaiKOp$8jmU z4Bi^MV&^)HqzTGgnE%I!y*87)(>lnEMrE-3()W~p^ICnYTPNfuKyjZ;yPGA?k!&n_ z_c7?=;LSA)R^~A%N8+jQ|1osl|5X2R6u*1h*EO!a_b4;-;?k6nJwl1>xR=Vf7a7+@ zS{lBUkuoC6R@r1!l!R+sTKBpL30e2+x6eQDetaIUGoGi|M+_rlV0q}`9}f{8m6YQY ziy5+vALfa7_&r4s;ECDeWe$Oj`%zi&U7!7CRViA{A{43l!3Q+DxJ@ z*Cl%$L7l!3SBf=5DZDp(eEdB-@flaY^jBlC)04aNEoZ-RrH&whNv-N~SVKSwi0NbC z^gzn`uT5fB zC33y6EcMDtaVw;-61vbqn;7Csc1sp#wM*m*l$7$=SVb>n9@OY6hbLewUw3mC$OGU6 zY_`QfsMi}9NUoj<7zx?8b2jurq0-5>Iubr6H@vES#R*R5E9nf;b$T>`>=uSvZZk%U=2$-glOry3I{;;A?1V=W;WEU5zcN z^z`xFJynw~^~e{)s$6L54wp1$JjSKK$YRE`vBCn!v13K;RL#U@OhI@R%rY{&h2v@& zc`xVfe%fASr_QiJ1%?UjSFw*fl)M%XidAn@Y<;x97d&>kFoY7A({e5`<>yx-Lsd>} zvX>kqAhE2b#v9fY>D6&Xy8{iNU_`*N+Rt&ME=iXyOv+bY*-~C+9osa!Xz6K_l)1u9GvJ z#>?va0j3%ugc&$!Z}N zjFx$<_Lnwnca&XxFF~`I)SndfHqY>76zjtNPl-7LNx7|UOVVlDPlEH6l~vo8S6KVs82I?QU?jqiS4 z%RBo5WEokh1B!`wTL?Hpu;lStRcF$>U5V4Z)n9@0j$lr*trRQf%oDi)x{|GfBzGOM zT3}I|76~0lK)aazSUD6n?#qA-xtMAeyU0*)NMXSRVAY z`?ebZ!3gm(PwskDgXhrgCfvYcCcN}FcP@1|sX>TaXg(T}=ZCqOB0S28hQRYQ{nv9l11EQo2G z)#fMa&(tSzkpKY@bF27kPI4>r3qKDMJot^uHL9d?oMERa?$Kq$=$iA%4C z`PA=g9ohwrpv1#j2usR^%Gm|#5EPv3ELr7XZ4+!;82oA*v4=Os04LF@-ET02P&*H( zx1G?Bigir(TbA$|;7OH$OXqXr6mUrxcU3qWD0zqddesdQ6@0BwBz*j{dS_>^eEdD} zkV@m###O-9t}Q~f)g6yP{3)23)K`5tJw}z(05t*b@}xVQqqIV)aM=Q>AmzMOL@uZk z@%>)}5zJlC1){u&a!1T@7=CFnk{}PRwUH|QW52UWc607KragkC^@+16&6}W{-h`ff zg}9@Gh`;6LH#0}l5Z{vUpx25^dkS}iG@$tB3GKzGFtVJAvlqD2<`_P8-m0g%zGK^= zXz^N|&M%7dK>QYqZ(klKl3GO>=XAL0Zctsp%yZl($D6;^#*@E-UOnjT#bwKUBqO%g zNoQLjpf~pME0QKEWrfxN9{6|I><$UmBk{tILnHWY11|AEvbif3CpO?<8&dSPj7sX) zjAdOuXL%c7z1Vk#UndUY^$Izd?gIP%bC3;&8-~%Yfs33}DtZ?VrmcR%iW5fA{BHnke=a1O@d^2! zO0T?lMw-V!0!1&hHVSsLxxw>b7je6Iym;quF7lSly%H~eR`=8Wxv`rtLjdH>l0`FR zly0AE;Yy!5<<<-T9YPHPTJqF7M7=^+lCq>30y^CHp1}y?fbnCTvL_7yFYZQ`uziE0 zjx~O)_FnvvuH~}9o-Tf11n(5v4#?lv3J12<8!Gn~6k^o`G$%KOj*{-{_RFMpY=INI zuMFe29Of?+t)?ViRhAzP3m%=0Z>3<;k0##b1pS|5?L>%XZbG3GX|r+Icd-Cb16y$tnaH`3efC9j_lR{wD;YQb4R|5+G@r*FXozDVBm zN{VVV%pIHM{`RU~Zk>;!`8@;7aHM~$_VwJrV~f7nAe)XvE9y1je_1h+(UP@M<+j7# zJa<4fcwn0i?kG}V?da{LWEe$s8Q`7Fzoi7_d~uFYX6185l+$1CvOoJ^G>d#h51CUbRr`YZYbvk8l-YP z6tkY4?#@<@%JfGcdObQE@Q+u$mvpS!Jr(W8p$Bw~xm%=mYX60gP*OPnT!_8`xSqFi zW%oX?>&v=8G)bvW#4VKWn$J7fFaKCoY`C2>NySt7rW~Tr$O@Rm#07Qoek{nnZ#@4s zfnOfqvK@mg`(@>{($xy1a%*!sb^G@beXq1Ru#(U|*hvORLbV|ecA}H=Yr6SF9H*`o zwW$o`$kMXU{obQcEmP_Z%W05cURMPSqeoY@9KKVLd{4z8+OPb*S>HlI{IMBR5N0Q~ zcHxHG!|{bY?D3*t(K7;cAlh!>*g1LKPDws2t(Uh1hQIcajdxRaF!Nsn@oVDYts&M6 zW*-6HvOuA*Yn2le-ZHi6M<}4@w&Xi#*q*A^AF;1YeG1*IO%lFfl?2*-_LuE={$jEj zmH6@YrB^m(1kE^hdfCd+-`00uwdwoaN<+{&s`zqYohmosYbdS*4^dG%Twmn=C!Gh< z10aCk;C#NExPB?KUi0J}x+Jk=S^&7g8D^)F3@~pbkCoB4mQb8dGphhn$!a4BYvFP} zS)QRuDJRgV4^u6xmCyFkI;uJs&o#n*7iYyj`%R9izN5FI?Dy3#$Fb1i zy_-qcQ-F`!0$<54)zui>rsm_v=CM&N`k@HQ2Up;HgbkwO%H#>J^3yoywodC*X z-#r`Z0}I8&c2UvnC}kkJ3S38Gf;_5)GL5T#pHtQW)c=B1drFkZ6!Y_a#)o_EpQ7Ni zp~IHrqBn|;6@D`OfdLXU?{XQcF@QtA$pRpy%fq+|&c&5F+Xt)A9?8a_eWZ-BERZRM zH*KPx3CMt2?`WYnKgoIpFz7G-n*utuM;Y%O3zr{LuXt_!suCb99)O@oS4!k5ESl0f z(UB5ekvY^4GJmNTwkSI7JaY?F$^e+y{}};14Fp9$ziPBOMtog*x&Ai2mIzK^dh0H^OFOWcnpaq2lL zU1&(7-`Fy*^ySr{nswT5XX#yXH(Qzu@P$85=sK5lp36SVb%r?ge4x~WtWNas8vF-$ zFsOC_7(eENpoUYstiDVk(178)6)HcqsTG~Chs!|c5sFZm$%4J-Ez)nLXzj3TN0HSw z3Xuzm;zwk^J~}KI&ojsD>;kb`KmZM0Q)h8r7wzl#?tg@!T8m&IBYpCV5BWaDr)}v{ z8{@d5$=fX7U4dTUC?)VT2>=5(jS$x+lbSv8Q}oe9Q0ity=3<(~$<58N6XtV7=7{;tbu2tn||_iSD*b?@~WNWS05Ay zhCRsLy{Q(j!!$>soh$hfF3o-t8RRt?$z+KY0GE1^QkBDyh2a%$l!Pmaabru1XEJvS4&tN5g zBi%>-v65ESpG=<3MSF%nNc9 z_^Xu>iAy!wH~n!!5Wr82lCkx(%N|}iXFjSTsUqi(&)2sLQ~~_MDYm` zKBde`c(bKKZh8d~Et{Lt`SNryp)c9zml!73qgXJP;^p_?T8(rrgVqNS&EVrOoiNsE z!7H7|(}ulF+j~5_-v0;j4=jzkKI7jW@jZ?(j2!<&f{SqY<6?r*FKxpI1yX>TF*!W% z>kisF?k`%nr@w(5NW<&Rg~t_xdl~bwrOKUkNA)89<7sMl2)U&2-;s4xVw?3m&M-Jt z|4#HjN~)-B%wI$d48PTt_8Dg7-M)DyFC%NY5D_h?Y&(@4fkI!YnhW^C=?o3?I98qR=C#aV@2Z&l{KA^_F#-$k8%>kak z_3J~(HKjI^n8N^Vxda@igr$g4_vhVvWxOA2`|K1A%>Xv8oR7<kVMj6kWe)Gu8#Dn3L`Eafm&819~ACb_yjamUGP+L$N}= z*ZNny@3O+9+8rW&_oq8D(=$iEU6Wa1)UoMUqplF2U6g)>n)r{OIgAF#xJ4`xM1MAN znR!-EtdQJbW=WmuFc6r0V?tAZB&!xtrd^FGJE;3LwF6|x(hA9n18^ztzH2Q_icmAw z>TKRXyQM=tcUHzprVRj5BJfb;pm;B8?{|eu9SV~fIRJr&h-RbmSp`w4gH%%&?)YZ6 zk4D^xk+I4GQo+$CA(+R|yT>#WeQts`3Q{^zI_0kmxYiw;q$UDC7IchW2@g^fBjz02 z%VV$YvlB#%Mb%s{<*50KMRcER6C0@xuOx^nrCzpL_?A6+Zrbcm$_#~ZVz*dyTOm!7 zpqLT|Mm?1Os8+cr#dhWHi1FTlH_n_Zr!Sgu3geX@&+wWs+lwn3o%q?)MqHST$a;=Q zF*iex|4^inHx{!6Gw077dQx9-Hd23TiZ6E--m6{&x0`Y3&;3Qf+*#sh8QxPyh<1yA zMxM|Jw9m>xhaJYqQr0%1bQgVhV7)%n%o6R^u=E`8-!-6xHe>p-!p2`I)?#JgGtDzcm}8le$Hz zHPZ~s)P)V9Pyihv(FH&sSq8?Y!lOa6@;aT=pF*bPDh0Ut6IR3arXb%cMY+6NOkfCq z2$^*crGx&F=l-Of9(6wLM)(z4JKxjBj$xo0C0z4O16pHhT=J)jZ$mrnpOE7`L$5fa7iPYE)>JQcT-}iG zS9|O}-UDnGLhK1I^lbpymoZ}RnWf|g^9{cQFfbTIvsQ<7V#H*g29b9~NG^5&2(O?- zcKWVSPmcrnu`;Z%WgjgP-viN=?D6M+_pQGb=I0y#dMX~yu!(spc8LQ>)BWx?$%_di zPKrtTch}gC$(!0{4og`sZkWB!a^ot3WO0kyFG}1u6K^UOaI^Gb zVM2|#jQD4k_M+!Go$);G8S6;-Z8D|HpMGU!Wd-}Q4mH-%H!gW4K$DFoyuL}{j6mq5BhQh9RgmXVH%b}98^<4B zq8!YZ{?QY>d{csc67Kl*tF-D>8;q|8R&2itT6;m% zcgOkj26;bz%}X}l=W^Te=U3p9dXLuiYRS@zf%+bH^br>ArYeKZFads4=Xmgo3I`2HL=+k!` zYu*99yuo_l4(zY>m~pdicaHgONnE)LlNeLHxFN+Ak$yPtjHAzwIGv}yxRj2JO@Ure zklj?`O3P7Sg;@3WN-c56+M3X zEd5U2$1jmYawyB#IDZ6-y2tH>fH-~HNvvFMn1i%s#%<>sM~{un_p7U8nIpF6f44UI z)s2C=Exr$ug0e&zKFjB;RAH}vosT^S=n_)0cQX`vj;nQm->9?1 zJVP)Rq}HuWmg11;txL$FpE#b56aZaKe1PX(+K^KSMg444HLj!~9Q6GY|I4Z8Fp-dw zn&5+9IOOJG>cT1P@RdSeO&J0{%YJJm$CZWq0@NzAN1%98iQ3>b7TB)Zdx1}M4J&>d zaQDjE3i`{x6E&#|9U$m*%E;XDpeZo012Z$5M6jaH5rXF zJYTKb$ZX({W#XlE`%z%K3+{X2k2GTYk5mk7KuHKNxfD5p*@@z`;I1bN-xBZ%qTr@u zu`uUvQF7!H*fI@hJoYZzI(gKY-#KVX%D@2f6?LNfj{0V?0PcLFL|mmy0m<9^O1SVh?8^E3B0D!!$0KY1$C)z0FSC z%bO%gzwX@5l5l;wWxGq;Qh&+E`d9JER&lreRMd}8*Gj-i^Xe2 zy5SQ6jTY30>@xqf=jqVe7F6za>9b^-A0z(ZCtEzp#`FEd!lou!ZiE1PdH+9c$A`5; zhkRUuuY#**Q#|=@h6)J#Sm>3Gb1I#b1;lB(r@AV%c+U*xec#T0*9v-BN>crF>`sD3 z_BeQf`Z$R1lb>i*I|fZL`sF1w>?h6qJyJBKpK9cM^~x{A(>!>c?F#aPPZ@L4b)88|+yVWRSb zAqc?`bzCKmN~wWyy^#4%aA`=pac7l;7@){ekkZ069*)-Fw_Qly488HimrC*cw$*40auH$hz$RNK@K{FD> zMff&jUme^aMNu$W0LREe(~=0eI?qr(vStJ?!_FP!uGqJ#RbFa2Wp<@+yW?KE*Uj1P z`@ztNCkx%03P*=|&j!uFdvg+e_Q#F;$fr~9FY(sqUd#bsTJM8$xyN`{_A$WM@B8df zHX+dIi(EUyX8*CgsE?|vmyG`)cxCH~3J7rHm7SQ!6b?JpIzR$y^irK@slo>^EM)~Kzz0f0lhCAK=mlZzN#l3XjI#<7vkp~KIZLVk zV7@uc*SN+VamVQ{2>O-}%y=aBOqEq?j#Migdy1y5`i|Q}#Q6O3fcO?G6`{u|Vc+>; z+zAw~5Ss`P8S*_xWkB`5L-2>H-I!$B z@N?RR!74cdEE3*sQq`q!?l>s+BF*P3h=PFH#s}2ji8uxp2BuJq$H-=2C}DaXYT~x0 zLrD?Kd#ijJV-hEVMVNfCLolN45P}6LlqpKxJPr3LfM+N;l%!o8rRMm!YUS-z+~c1d z-g}1%uJGc$ETdze@}kVt{aiNIw{~-!ieqMx@vWX+>_2<4A`Q-YVvfMb0WKW=&t zTsyk!IJuT%o#=gN82oMN5bV~}^)E`V*l2wH4mwp3P38yG%49-nzP1QXj#rv&VmZBk zM~P1N($dnsT{1vVBTL!hT#rH>tP>n{#<-OLAV0nOmA2J&)>2_DhnTszD;)kFf_z6C zXpLQ@HRkC6q*kQL{0z4yFrDkTLooO)#<=U4@G@9Nj*|HK7u}mFNHYyL@Ldte{jxNK>g`|f z7tE+oGCMw;zqm79rv!GB(X2%ajt`TLspx`NeVacR)>|&vFU@b|0w|$ZFaebR4rx4u z*mMcLBbr*csL55~8_rz*S_(lML#9=?gf&lalq3~xq#y^K|YVXji4`Ba@P5nc8 zmg0fyVD0%fV25*i#eT&jx~qeSojxkl`DBc?=?K8AkokZl0oO}I>tc-c*7Xke)-JF7 zep@B$Kv<7v%=OATQkooWH;z33*iFW=J`Ykb`&GsQ&i^IMe*Q_*7g)kW9z$R_eZ(db z1NnK-JmNJsoalCr!$=GAr#2_XO4a3=$k|)@$nUW$Ps`2X^;owCes#p(Yk8 z^Yd`c`?Kn2^tb~~SG6q4@9DIDz%`ZoKGs}pjMjs4xFghUq1YkLI|1hdxpYqNK4DNP z#4_}Tst?6#(8k}qVy7QYcb}qyL-~r7=xF366}0?6$IJn{EzXqi#*wcEXQ5|({q)YV zBnNPP^4~o5K&PG6(A_|QSZOf)IneLx;_rS9E7g?I49!Xe=xN3-_c1K$#6{Rhb<6y` zk1S-=W}};E-k_J`)?~T&JsqkVo)Veyl40TTvOQ8d*I-&IU*E|ahSq#o%*$oih4U&? zQ2oFLAk?}Z&=cP%S>(@K;aiO$vag z|EOS9p}L8o3sl-DpBZqxRqz+n=UqW1ZLF@Dm*-+`N^j-lsLW?0+8W{R=;3#wx7CEJ zts63*1d{OY#9qzo_N%_qv8^%yYs;RYFq6d9nil@E5WR$6z@cj796sT^ig?{c^w!*> zmuTjqw@WND=DnH*aRMFM3b%)y87o7$m#`A5z$d~mx6eu6zod}_qI$0lft0vqeUOig zJq)%cdJ8t5A=iNdcw8J0lFn@WMG{F65zIJ!tq^c^azu*k@-@1=QF>n14{p8>zFE!Yk zY(2LUsv{81QRI&H=6SFeT^EI$i*6a9fHxdVv>geHj<1pKY< zG>q0Q-1W4{y*C69vroxCKodV_SPEp12|FkYePwj}Mjk*!vR#fiW%@aRn*atPYkl79 zv`k;yZ!eI_o8>(sfP0ruI<|;psIg48kS~f=Ul&8(-NinNGR?Ro@F;S&=lX?)t#;K> zPj&6MvRR?ydHWF?pG0^)x@)CMdt~fsZme23;c=74JKGqQ_R zjBn|T{n8ed2wV%^tp7rM6eqilil@syV@jyJcbDPTo~@ls3~21d!)#R@gpF!3x zg?n=K&u~gLg1;O1De28ZFlB%{%MKMX$X61-yIs=fCG?0oR;fdLt-Q5>lR#^3Pqz|0 zN18fa@*DZOiXgm4k%lPdtu(v==y97Ij!wyFt)?O)o7hkGWKSm}i6(~s0&ZX6lbKBZ zcjuTu4IK-U@8CZH#%xiCsI*Ra(dZOVdWgk@|I1q%h(Q7jX9@q@mpCBxGc7S>{V@@= zuA_vr$WdQJ7zZUh?U2<`=hAXQIvTGIf56CYnf00U7@(}(69yEXPWk;@tbe03nR-Ao z9y+kNx0;4^AD=%OUuSBo9w>jvOXwhkn6C|UaCPKu-B;X7ohXBdze>TM9w0619Wb)^ zA$o!GfUI5lW8a+ndk<&o;O3!&@YEvi<&sYaSL3ZdqE0As2$B%h6;#a|F88)6Vq^~a z4orRKM|lqS(w+hxVi?G)3mr$AuZ}R`+HTuxfHZDa=WBnW*eAS1bxDO+PD!f@bgLx7 zm>rhdY{0-R_2UK%MxD7`{2MI4cX>)$N9v23t3HT?YFn=AaAosDdktshzmcN(@cu~* z?`8;)`VK7;RxQ3^VveUjv6fjN9oMEG@U$s63^Xh&RwDvqPdiePa3zAAJ(B;vO{&tOc5$+|yR*>l7*jkaSR}sCO#Z@T>GsB7mq}X%4`*X}& z#$Vfua_=a`bh`kj4&>*LX1%A2DF|eI@b2YvZI9FbOgbb5rjrX8BR%|s~G)m z^1@%w=k`s!UydGfz1bhM{R(+eDEExTKzznuO!%bI8i-`39y~1oC#riS7;ljjubS5BX|&wEgW#%9ibhJAc`I z!i$iTH=2ABUjh^oi^c0U5UUOFR$GFguV;FAiBN?s9S(@IY;}>*^#+zOQ@y z)U9O~veh_5zN76NcF`rsZ3@db`1XRTT>5Y#mYJ*i?JYa(#>&roqCnsrz4xWQ%&f3r5k}~I$i4T4iUXszM#=-bEzABlZ zOC;V>0VekIvqv1`P@g_=EQ!m-b4f@P|Pipwd$d5kaFkoLROK zs$tA`w5bFlA&3e=d6s+?bt!%(@`)Rqhg?Rehs7X?jiskj^C1=Bl*1=3!F7+&Qld1>mL`EjI4EN~D$ zY=7c3f?L4&RxqLEjy}OW8O3YC;o?G(YP=^xc?^K<1A}kxd!n;D5c-OtyuFDqU-bRY zXupe`iL+W#cduj*cQo_iNG(Tlgx4g^byCp*P83>&CYQUVz-Nk#1wl}V3J3XC3od|9 zYfnXqd3`?cIRjtdl%VOE*7uSu1Y6+?M?xAqp^?at_}%6oGAF+M^!}(h+911H%xEmu z4KLOd+Og!i!F>D-#m{{p0p64}%j8jAS2{SaLVL9)C7Tq$fRBl}B=yrGR^jT2*;0go8Vc zgAX;lK}&Ia#3V!v*T{Un5^6^*X^?8aDju?BVlPX&YAeF+>iXo;6o1BO<`db!Q5U#2 z)NVbXmAVy8oa(g6T+Ms(yv2N+OKDYiH6_alB%fujw%CkW4wzg{Ur>S6>fd(jAM8QLSC6#$%9eBjQ8p@xb*Clo@Vm^7A3{P(d$Nv z5Rg`|xCW&2y=YRrT+k$=bKnJn{Hs${T`Kn8HbZzp^C%J+)0lp)$8c2!20l$VD|#xW zzT0W08-7wxW{_{xu*tknw_osExFW5)mG|fzFBdAm`N-Me8kC0;xCkfnriqKYN#1pj zh`0aMnAHp3?jfoDOz|mD>K?d!r{Dw6WkANSH|>piznkJ;DJ9@&DM;?Q#{M3R*J$kC zk6n^RYWQ>d%15RV@-_yMIMvQ8)9?I=^CL#cE2U9>Nyo`zswGp^Hnck*$U30Eqq+p= zSlW#gskS7fX#)lL&8De4zyNWLi#si&1ue#DQ2Bl9UY`9Xh-?aP$+O#E0YGyv8n$Mc zwYYmXNZM%X^6YdK0(4xlPTWropa4Pp&uW%MWh#!fJg4^|P8A`&aoa_~cqs`xQ-q7l z9{W;5-Vx7Rf$6k}KAMg7weQ<3=Z12M!l5wQEj+&@FGc^)HZ>WiS^l3A+E$~YoTZ4;Te$JX>%W^MhV`{U&&1W!grt!co;tprz z+q;_NuJVw{yb3vm=X@-M)Hmo7^1ie9mi+)|>66-}9 zWv;hVEF*g%Wr!{kj;}?UE3d!O*2k|c!MV@LQk4y@x8JZbqcpvaX0`5&BMVFjW+&sBu@yG?&g9wsbl4!UVwe zo#*Ae<}vKe@tsQ-07gizIExGB$d*!tl6V^IP7*M{k*Jk%77e2E%1|Kgd8RE(z^{DH zx$amxcZ%f+N&sBq0yQybYWP3vw~EjDq(UG)rb|6Oy8_*x${Nh1VZ)PzCNmo@#c+T$ zA0TNKr_xn;n|M4Mh$VVSBH};D1k(^)V?l)`oT+r`1)CN8ecImLF4oW3pib&8w30z4Ad>)%@WaJ=c5lAj-_3GwDYnx>Vrp69PN7FRB20M7#{k8^q*| zGCC9Ar)b;0sYW6Sfke`DqW8i~jpKq_RMKS%z?%yK=ftp**_oeR*Skx3um8Q&Ggo`& z9ioc9x0bq~1N>ZOO}XoZ;H138m!!f|LHr>idQAM!{pd3}pUV(Zdnq9PD^f+Zd7BTV zQ_5|LTF*?ic!JI!e0ySTp0_GaN=!U<<~@2b#u$bAP5|CTDQ!Zms`sg%I_ibG9Z=AroAttL#A;3>I>5<8Ny+4USPT-9H)Q zY!)Rx?q=eYxga7yH15JlSC$CBXo z%U=Jb0Z`sJNw>mBcV<8jzSsb>2`7M>>m*52`6_P(_DKk^QQ$H7;fuT^HO};^XpQL) zY-1e;#ehK0e}e1{mNmZRRchFPj!z2y;aNMB{aHW{*K+D|5^-vdf$bK*mDTUGVNauc zOr!28q-`X62p&geHxR=fR`XwQtoS&1n&vMZ69cYJZUA-SU|QUiY9l9p&0l=4arT*f zTDNE`orYm1ZcFy=3p$*P_J6%~)apcNQ&NJZ+>Asj1vUA>&ENTuvC=1E16cZ7itO%a z^egJz1JPMCc2!=~i{b|ytv)->d=6!^2FjB@83Er<9Y#5PN`p*xD1VSHM2tj_B^6%? zH)wS+*X72DDS02{h3~frCmy*r7p(jIygBRWi_M_eW!J53YlfjXL`OKh5K^CEm&mPp z==*j|vG;7UkSl?j9#Xv5v`lDnv)`>fz1dVpQhAdp`V>oksJ?KgxqG@pi$t-z-fv8f z47T)=>WzR7{;;`G_EL#k`}~~Wz8Wj>Ih8-aEjvXoD{^&;krT{`uL9s8oDKv4!~;)`1Oh07H4oZ-(A8>drQ*mkwpB-e^3E5njT zJ4yd~Dn(NWqF>9txJLN+B0iXL4GQ~GGG@9j?zmb@#Ujd1u9NyEL@7Kh8__5~qPhu! z_?3+VpTY|2@e7=MkEp6h7Z-q>cyi^kR-t1PInQsa7mg6>E3;#~Sc6>)|GCly>!@Ca zNfH->bj0xi3;0me?ej4jrVd$Wd5AG;rlZ!Ra5k#=Y7kG0u^gi&6E4V)E2Gl26F6>c zUeEo;6Kq2klr2m-I}k}qH7z6qKj*atT_*iAb!U+N+LK>8U;lm_w9c-H8lqourhCn5 zK?s)}jK{`QwqRR{`)qqdIU`Q3xJMM_J$sU= zL-A0FMa^PU-8H=9n9VXmEfl_j;Dw-keRZ zNu7mI!W8#q(^B;V4og=c_s`S4MQ+I~vZwdd@93W#xvNfZ^;KOelkHGH)AT&N6RZaNK42fw0rQ<*M-BNi#2>F&mb&H z*edwIGZCOMuwh_~&#vi(=yP%BW#rQquDSJ5?%sqB{5%VSWw_o;?-~M*`TYC%b=&lC z3a+Gki~YjXQpES_3afo5_{oW<5 zKw%840|$xGh6sPg|3?UP5eBJfML+;z|J_!uz5dUR5Ph%c$GJZ5ae~@-3Yl*2(x^pg z(TF$;DhK_S8+a;+HPOHIj0%Zg&3R^%Zn7fW!gHh*VPQ;u1~A$~EoB^JX-B9D;tpgg zy5`u%8=h6|Np#8PxmDg}HkzvgLxqw_ana@rz3|nlDG6v9MDDo6(Q{iqZJ-;JUF_V^ zVau8?NrV`}MRRAewm|$V4S&2eDqw<@dA>_}Af5?3k!&CVHQLOuN^4c&_w zqPKotRRo^Bg^8D^BxStsxVC@!l%~lX`^tWtmbxP1O`7fpEj?KI_)opm>IViD1_3Bg zth4E|z#gSOOM?GcPzCX2WS8$xoeJ)Wm)YZ2MS<5IlLShM=K_9#HgvfR%Yof#(d4h} zvZ7BWu1wIT#HZeDM zj@`XXAP#jLNOEc6x(J)xh3Fjx#{fYVFuYO4MQX{(;gAz|aO4|!BDw3v0wcSBRM$$4 z2!Kzl&2isjUqkx9?2Tu|NeF+~SX0CEwM}!#&U=-_y&(JJ2WJ_pFCspnrbAIgj&! zf%zALJc0u+=7)rOgawA@M?`u=1zyUJj`1J`lJjHZJT3=b$-f%!kr0@epG5JX1}5jH zq3L_%Q#` zV~@hXqWt0#k0*hp`A?sDlm(XOS5$gD53I_se&JCQSeyUyl}BA*eSSluM^j*PeoL#z z>%cepZ`(ZD13U6NyF9uBd-8kxJl+NN=MM~e3YH zCn))o43DY6>HL{l4`$$8{`@zO?}0z^fBy1d1+w!OI3A0EOZm&cJyrr&^Vj}(tOx$h z-`Mon3jCM9z2mVPxR<}rJ*yZ4ei$zLa7;=GDO%hSONgkW;l{z9p!NK^qhKrDGU#0@ z;EimmoXIxM6B%u$*X{C_r;G112VNi`Na0qz*MsP<@Pjo(=_M3vX%^BxrXe+x@?eT0Afr_1 z7}5A750v*#VvwT(FY~&2$vT#^jLHxtx|o9Kt+4W=?Hig`sAw3EdmZHGBO!~ z8ON0m>DY=KFLMyKDv?-5$xx;&0v|zw*ptCiX;(316@x)|*`0@-O8yZd#8twhZme8y zQ4W#k_X1)5Zz^kLk*>pTng)bOfyA9`$06i;WP_ybjkM!V$sOxfp){e(ENiZeq$JS$ zxJuXM)UYdWb}dJk4L~sbFH4pys44pjPHH3P&BBojkEz zyuiM-PgA^Xht8uMO^*gup=yKB1n~F+?j~FXC95XgC9ovzb*x4<{_?sf!3V&(QJ^a=V$_QC3T z@s2S4GrdDM?r{I%w6L~!hdCe0=~m%=g2#>bvHkQd+c#8lbnVj*E@KhTk)0K~U(1&2 zs73=-FxPu&uiWYbvL|0!T%z1NDppuIX-ee6^~)!&Zjk>3(|#u~xLl*)h%V=Qc|#fk znVt?rfh^Art|M4e2jxfU$sTHhD$Q5EV!@C}TC+=~uKNX&(f+(6e!Pik36jC?fR@5E zHGO|i2G!t(llZuU8U>cV&v_M1W)=sVRWOGkZz{z;G<8CFUh*@W^ZPZGEGKmPGgnxp zcU$_Kosp3OZjkg32UDM0gS-`v!gxXB%(P1>cZJAog?&wtFp7t&U3VAO>XY2K z7?Qe_oG|zIYEGL%>aTs{S?KHc5MZ0Wf^UUj3GaXUg`tNofI^1h`mZFt1oWGS_w0@P zV&Cej09CgppC3Z~yo%Yp1)*!HNDeqOnfYSrs3o0~t5{&t1t)G#K0;l`umPcC(~VtD z*Kt8jOsc>ZXHhGEY*h z?%tiOC-hDb%`0Gq>a(5N>9}M>*>OU!FN4{wxsS56UaR&2yDZ!z=#Lng0Bf1h`5m)2 zcd}cIULBbo`dEJJPQ!8R$$0*c_*<$bme?SNw+GQ1LW31nFTPt?|)QB@a0 zgFBXTG#;TUW?^EF4Lbi8qN+}4h{BTMcU8rNO`@?um)~xw0txf6xt-TGq*zP0KL{C2 zs(8zETn2hc-adyl)iB>Y=+t)^1YmnSMUTssCT89ae(CFO7~xLw^wJtd_y@#=SPz=GtXNvS+C)D}-w#*;y@l+i@?xl}c#P`WUIJvP(t^r4W*9CCR+B5c2-| z7tRmoan5<1*Yi0t>oTU|fviS{=XmZ3b*ZFhMt@3J6Ohi;$9Au$8A_X&?8hY3%I=B# zM78vd-ZO}`>>L{^pId#1n5#j);fQ&iHWJ18Zk;x)3V4HMH`yg}}Ug2a%^9unJbnMWcaJkpU&RiPK=-ke2>hYbS z@3=g$`5pP4Pk45fzKrA{-&%$WnTs>0ZjupbQiPs+t!kAeqzWG*=5_cp4tKx;2-`?C z>|EJ1L;bk`>QdWc=xUof#i=#qZt|?N%^j88vW9*+og)l-ZK8%{zs53TteJ2AI3k2` zPvh~J{ItgO@uz%G^5wsU7f;(E0~Mq+q;7q?y#HFL)EQKCc&_R6?;8rOY&lnn0rblN zGwxj<#YdqUpM3#^&YJ`vi<;-N5FV^BO_)9-x}K_~=9NiDuaaF}yCtqPc9(r3I#(=< zPFQXM_N!8#(3=oKZ1T27ja`1vnwXnIPV_?(5ugl$c&wj$z)KyXE>zY_gkz2srL zHKo>zs!21+U5JHdn(htjqw*yT5@uqm7$%aYM0NYY2bZMdW;-HKM)7wQ7jK3L{vE!GHvQA9WcCF0;;1e>c`^D z@7PMnjN7q^Bg?|W4=?sDZ}bg=){C(kI&RP4OH@+1uH0UDb*CRxA|$c2THO z0eFk0!J3QRrXN<`Bfo}}Cujl&d_RF@Kr3yZQ7!L@4&9Ee=0<%5AC|#9(=SP;?Ek72 zaB;b~Jxhp&biy?i@&Yx3@0fHED$P^a!aIJgr0u>{1c}{S&=7hIybD>@ z^F(vFa3fDVXNbLJQa=7O-ed#ulC&O6&!I*MXDhF8#q&g`qN*G7QiyYPy}E3 z(*H2T#ymN8aPz>_^En^}Hju!|3Z_gPDW3bV-H-yLY;Js>i^__K!taFk<4|bsA2PCc z!N2)i$`l#AimCb5!dp-#?Hd(W+ZW-ZUpOU>?NcbXQ%0WH%7(Q9ImXh?JDGyYFH7p_to zD?d*+Zs9-41>_pSW0*Mp1=MHE+eCi+&XBi?{5;v77^fcb2VG_L?Kk$YBY%~R{Oa1m z+SvG_hL^y%)c_UI73Hxy$`R$y$&|is>s^l7{oc+gkN!qG8<1Y+Hy3tS*h0Gkya~yGk;w4Qk9p-PQ6s0ww8@< z6r<0*-VtFV(+(jR?k5kHXP0Qmh-Jw`2)BA2!CqFg`JHPMEiLRZ9}8iZxa?ai2a4z$ z7KKIByt3OF^;aqd?&^nT-+5cfpqid7&S8;X#%E)#)1=xV8;rj1?(C9j1IqI={j;%* zm>+U+3A3TNJ|tmpllQD|I6wF}TJky^bfVK{QMZdc6g@yR|L3Wlb1{S3i^Dx#6wRUL zkbN2u!I~pPvZ_u&JL&wX004h76~LjN*TfJ7Wk{+23$(T)_bH*uQdM2^En@z|>rGJ{ z4-FWJXhT#Q?PPT{rjbU{e9e#V-19HmKl~Wki_6`20vl;;7ePC95vUW~MGFG_ROx3M z)l7m~)QBf6IJz!s7j@MZ<>Y7p67t{Co9zmE>Z2DV6(MZl{%9pID!lZdmP}ws*ee3r z=TQ=qs7hbQC7B=W6G_FA56^3{TG)tn4WJ0hIM)lf1!ARyO|3ul$!e_s&m1rapTPBD zEq@QPkfHsHvR}vRk3_ieO^z2GA8-?shG|gQ^t3_5xJgUdk_-2 zWAKBTwh>aG+B>E#vbS;I2dKl{(o(-Lgfl*{JT5E~|Fa;K)HweNTz0#u>V56`mdbRt z`By3}wcP4-attq3=aYq|-0u%&rWflg(HJ-4**CrRow{XYZqXGzK~l3-Ut&Injme*fz_$x{w0sTUEwb@sRN zni5GdK{98gU7GBWMxzfnyA71~@=s)E+A?g8F38u_*iOfK3P=8aVG&8HM#=A+n^zSe zIIBzHeX+Tw2(6`I^VfqTf8URcbjjCH=DRBk5dLo)yA)5lSaCiSRPAX72*yMGT~GU% z?@Vle_Xwg5R@_&IdsU!RVfUck{XNv~kmjZNyu!fg#ju``8~gh@u!+dVec0~ao7;XA zK8k-K$=$z7$3}IHUEd8 z5D|6j?k4(bDYA9?)nFLd^a$}Nz9tLN-gWpSfxs1H1@pB+_3mF<#!|vDzyf zb|_k>I3#@3uL{bg^$Jk^Ry3UFPJh*Jei=uw(l8U1??@`c$VGnPNu8d_exJK7RWI8K=<1FC}4ut0`5mcU>Bd%#Ok+ zgN7xq^e@-#GEW()#OYrtlApOtfOzvLN?mMF2wb!Mqz1X}tT~1nCMv=E9fHm?!BDe; zx#O@{sSZ8)SO<;YuOqa+^5K`6K)|`TYxGiJC+5IZdgr{=stRWB=#S~vYk^veXQr2V zK_jzlcfr8qafEAHVCUpc5B@MYF7`VaZIwO~s5Ls7!U^PY%*Xo!c~a2ih3Vb$OKd)3 zv`}Cs-W((00LZ3&AiIpw6f#j3jvt?RiHd!J4U-BfCfR>U5xRqu?&~hBrHu_2pzkCe z9Rx)yCwG-;hCC!U_n|pmznNJyyI|SAvIV)J`h~TxIw6+JEk85-Z|3{_c>h}w};;)M50SPX72_9vkff|LSd*w*eo z3)z_|?pL_eV67n5U&#}`mo^8cy#}Z1)}&@(F1U_f)Ph#vrU&s~pxwQbiescKEDXH3 zy`8sSO?hg5`4@0B`4B93!bS>{IwoXp%E?azIveo&`|LeX*ynsp7Df*bmW*D++G(vI z=6cUx*qB$^HGt}TaKKWmP$=tl#soR;B8qK#EK>Bf=OPqa zn;IVK5M;bE!FIRL=B%#{I;lyKnsLe-vZ!4zrP=O>91#LnFhsNT18w`B^NR?Sqj0XO zA?`ncB3JBNQt5QCF-?*=TG}L!9xp9b49?i-FI5%}E}e1<7(H$;5(g#_<%6ULac(** zogopP??GwCaz=e_FT3|gfQ*}tw$J$)7`Gpqz2^|_{p+5>oqKJvY$(&Wmi_(BXY91M zY+eSr`fVT}#-a5M%*9qliZ0_Uf?J_1SzNr&=jRI|3K0 zt8p*bz{k8iDI8|{Xc;oSF*9`jYSt5+kGXTPke04}c;$pmOOCQ}R+fU|X>ME)AGqU@ zIIg>De&L5L+<|4@M5;7+a3?6rMZB2mmDLRq588_=mbLzGzp48F#i*`{m* zJTRtF+bwBq7CSEg8$QS?NL*j2zTp)qJb=X!^amVG>5(e$wrtu;Y{-{?4I4MQU5V)8 zHC}7%dQnOAC^>Y&{kQuekQFWp*?K+NI+HkLapbnWm7=*s)wooVcG{}8>7Aay5OFy} z8^H^u^_#^AM$xExh9gpaD5*#w6WC$94N@{cUJm<1U@S~DdQapff7S@=9XM7Yc-D%h z?}0urQ1v}IhnUpTL_$ElKZ_`>dBEP{oo~__Ie9iyKlXdgXS>Iy0UY z9C_nM(Y(^!i413o3cDQ*I4fbPpLY3;Wv==a4k8ob?zgshAMkJcIMMYE*< zkamR{F6>e3J}cz7vhV^*FHdbq>2qSNS(;? z6tjV31ULITAjO=`wea8={v2t_A~+Od(`_)8*R%Lp)ZI*l8OU2Nw&HF1c#*AYo#~r3 z-mi@QBP~_PKdenByR_kU(2RcU2JqpLII{F8%Sm$5HNN?6zOL1=on@fC9TBYo=sX$I zyT%MQKpGqv$w#}@6Otx{x=yjsCuk4+E0I|LS)l|kfxlkGqaf)2S86^JN30L}o2$b7 zOS}!37mn;ii`tYo8ec_p{v{fj7q;Pi4A8N9xBO){q!XepyfTR#jtLk?@nU`W-I9f+ z1fUYKauMLse`Ist{Hvst`K87=!4ls(XHm6?W4&!=-mVzSG6r&f`ebW9Qzz+>VR)r(HmcI6YRURz10G-Xk>pgr^I|6>W1eFkw&9t6t{4%4-uc02@;} ztvBWz|4AD4HxaIgB&nYjDHbxc*6f>ad!QG|?0;Gbmh(LgIlLgoC_kZFy)#ONS8LvC zONbH19?LbEYKvtGn|J*LT2_Sr_vBPk(^iM?&s&$|dW7!c88(vJQ=q*SiG&h(etAp5 zOoIk_Fi(5ge>UtF#H>jKR#q*MvO&CF~$b5Zjv;9N+q>5S>XJP%CDfK zsd}5*PIe2Q_IKjk!bvSQBaag$Sa!n+LaR_(8-k+DH8}-I{@P*jSH;KhqUC9ni5n#z zfVASn^bJvpz5w=Z#NRq8__~kX)rG7SwhhXmJ)Qv!A5-IdcmAj+K(<_8&EBxgc)gL` zaXjnD818n*dHEo9UjTHb1YIz|osj^ah2vr+xw=h7{`V;nR(cJaOrK|kP&jjQ zh%AnoJ@^Yi71a2@Ng#LMQ3@_wBkYcLTdQ7VRowrQkyb{=JETll!uJmmHcjL{0&!A8 zX(F`U7~6l!PM9V_1YiqBn^F!77=bl&ie!B!EC(fIU48b4v-}l6sxf}`)H9h6n5@c5 zAJp$`WWbn@Zc4u$)&v=_=N+`mPYcU$f?(TP_G%Qa;Jl&;BKCIc*(=!_WUu-a!TIVb z1!|&!)h>+DBb+x!-v+yk@IgH3)HBcYd3yrstzn?twxWN&Jz?x=8Wx<~T0aEai?#V# zL5fo4DQ)K-%w=y}B}kL+wWI_7kH3%sHNO1S8>?cG%(SCz%GQhTAVAYn8T*sQ*<~`K zD?0zVM_W!#>vyN__0TV{!$|9*_T|3d#mPQ*UePVzG2%g<&_SV=zDSUejc4A)(sUll zNOBlb>pPd61H1KgRK$N6C=`Me3gSs?V``oB4^d)s3gHuj>b7k@d^ zpwp~_lV=>mBt4!%2+H;M0_GHUpGpXit?KVFW@E6gY781;on3qp^ zq118^@(Ega+>aq|meqp*pV=*T=G4X}``YB@!z*Zu*cTS5nyIdZk~sWuy#8%2TNlxi zIf~T0bGP^$u?eBAMR?e|OpLkwW?(0R^fU8hE4!KBwicd-^D<{(`ZQ{yk)1aSuSo=# zU>vrx(YAID@u<*$X1NLO_3Qw&*qK!In-Ki789;!UE#sSv+tG`xG`vpPMEOdb0b3yF z;D4)5am_HoaH@~TIQO34*>-al|4%@uqQ9Wl&Lq-3rW%?4!df*h_lO8Ge)F$fiaa7Z zTmEWcmq_fa!{RPJ;uAT+y+u6qBqsT;)NFFh{OPzomM4O2#CbuJ_|Xf1AtxS&02W4yJnYLFc&KiI_x5%CBWh;aXDjJIwWQMK|p{Bg$ONc=ovsHre* zmUwPdJZ>K?vHe=kkG{wiqt>8Qw=dOSPi&H$UF(~0)4U;I5b@Re3!E33B^g}d*l z%DJmU%@sr3z{dXt6dz0wNE>|g8{^#huNE%WG|1j@{{drpeq0!2#g?-r0IK%mrVAW4#MUZmR8mtp0=4%x%;Vy?mKU7+SoJpJ8APD z1Ni0qb$V6&1HJ?X9eY`NqJWi0KG6HUd*6n|A^(b7^<(n(pfN(C3FL<>-TiwRQY zC<|OXwdv$Ub5f=sp-aZmJi9g8+5Q^Cv<1ud;s9(rVG+MmMESGETC}k|EqwYe87&pA zK9qz?GWOHbz_;lu^+ZHQAXOgX4e^V{Xr8fYn6UwJFH?(+=7QSHa2IA&u%@O~!fj*Y zwo)uDk>ApfYitT=`s;z(9NM+V8k(^g-~_>M)lWl3WmRNfPb`wo^~qPrJ_FPfv$5T)_1^ zp2*zoOPStS}55l_XK`M>f+WAPsrhV9}Yrb#c;`>0PQzM= zC^IbC9DN2o|xQnZZ9EnyZ3h2{dDNPSWWpUAiOX`Q@rIxJKdH}e_?SxIC zxt9>!G^*Z(VW=6@nb^i#z><>TVi4)&L9IncI|Kl79e6a6NX!B8Hv%6%FsT!xC?lqd z>HbcsCe-0F5K>BcA8h|O3i}D+T_=csB*Ecx#fGKBh!}mtp1j4wO>~cLdsvr55;5|U z+qu$Hwc?ar2?pCr;u2y4f|3&`yp#m(AD>t_V(s3Gm4_yeUaD&+9Z`f3+l#K3sTv|e z%k}~WfDar>S1a(JnQho6BUX0v@f&h^6utz+)P)Q(jCd-dMgCcB@()4B?I=!Pip<{w zrKqq4zq`7c5t+0iuS}zS%5+wRcIl9J;|#Sc6MG>=1^P`fg__I5p|_Xs3mfZ7!EP$b zlB)jRPCUiqyQ88Y9|D!IAZ{t_?p?24lSg`)_S{NB*88926#*$i?nAwA+A^1_t*~_p zqml$zN=Uy*Rc`mC@RAhu(k6e|a>&t1iy%Z?`u?Xer1q<#?*x)!yGIXB-1Vyh(G+vE zEmW{ACq@zn-sS&<45Jt0J_>n~MWiinW2k__PEs8Wp!Wm`oiYNCNTXi@>gtz)2eel3 zDV~^&Z~O1x3<`%z0o=G^$fR79Mw1?12EzpQB1*uW#s~mH`RR8P5zKtVJ)8dnZ$`n5 delta 40253 zcmW)m_dC^(`^V3A4#(lx9OKwq_Q=RQR<@9RY?*NmN_mly;~*N^L(*21eag%zl~vw{ zLx}2_Asw<_-_P~Ef4Hyvx}X2ReLe0+>3djjKMZj3CqcX*PzVfiSp)>t1^+kve@XtY z^Fge*TNXUphN@^=(oY()i~EsCNGTKf7hT%1|EGFSD?46*KlRtemo+#X4kg1S@)iYQ zgk&EZIOgsr%L|8N9%Kj6;rt(&~iXcO$~wr z**ckJex5$L;IatLg3VcHfI~bjbbK=u0+yuxys-&A#J6%|rhL>3>VHWYY60DR9R>A= z0*8)jK{WRu8W44eT+(HTAY>K~;R7l?qyg_^KEBeZUU&D(k}Dv3uQe31tTx}(N~Y|S zb_z)c(>%0JZ1yUruz$6PA2gex1e(oKw05qTN$JrF^DFxuBcGJ8#t#@zu=kPFXJ5El% zS}M74%8bS(Yt`hqaAF@^h=(?#i=7d7|DI+vA*Xvw8@hOJiU~H(0;f$a-YXR$R`?6K z#?CSdnx@{Lmiu{HkfT(HNKK>Xze@85Kgo8cz`o7=UZWT`C->ruJ~ZL9!Lg$ArcE#Q zvb(bOxTgHzc6q}|LarQFP)nO?#%gz1=or+CNmPsTPW5f^Gt6wjuKo3l=xmwT4x zq5bRUai5m<3*O|E{tdI!>F-eEI#2j0&!(~yJ;Y*i-LHSHf0GAlOp+xu{##H#oB4ay)6`6b^$2@MNGL#_@Akw0j?Gr8*dR)Pypq!rRVARW<7-0#W52g(r3;AhJ zT!ixL_S)LTR(?^U+qmO?xA{*Gmz8dc^uQ`&UcW&iU;qLuP)TbHl4^(%j2?Ajb~`dU z*hzq6vn8a1Av(QW#HFxSC=P4U=RWbpR6Wi3=8w$hX?MqsKB0`%0kR(SuXkEkNG1_o zozyRw_Vjg8%*p1HX_@0p+Y6odjP=6xLhES-tra6K%yMWv5zs4YhhtSS6~4r0#lmd6_DC zYqp7-Q5gaqPv#9uajsGU+}@ZCHcx69au#5-6`zYdQJzi_A85pL=~{+&=OcKN*}dsW z`RDVk)w(<{D>v702C@_9UH>lrlcs|B{7obp;q~P)`VPuBM8=THWRWkcMeIcTBbgtCBJ}!!vQ|uQS|-=k}$Y59CSP=uY(Q zwbsan1S^LsZW;;wl^rbKI^Q!Wk&A1(@T=D#*;P|I z*S;_-7lfsJcwi**c?k;Hg#t+n>0rvZ*lJYY*zdTxm+^f)bz+0hUZ1-u%d-W|-GOnP zVMB5c;NuV|6+xAK4m8|t9G&FfL{h~=RfkY_w(62>8r!5$D~3NHxkMc4KjZSG&@C}N zO)(PgpqERM!3SM1Nf#>6nh-JmT3{4SPAVgdP#j+V(Y)v=?aUC2)sz3_!oZgitO=z+ zlCV3&;syk1PcsrbWjLTub_#j4z)URbPw3v?KhWVU7&!ofX^4f)zC@r&QEvlJOKlt-Z@co~sAvZEY6fzr2r@7Tl zb50xTEdduH7dg>bWAc^M~TX z00MHZ|F^XpgVRVwn@sji@wn5bq*mGI-X5V_2)01~iJdYL_c$k^$|qG5bKGQB#a-4) zOOwQ8!6y1TG!#j^$wP?)q>+g)UkF!+qgW%u=+&OjK&aG9GJaYOfI?Rn=w z2iC_$2gAkjZ=1FVHsoMLUlK+^D>|@w~U?u?VFFB#{ zF*goW^!u31PKDAR9IN=K*6}aR^u$NsRaqwc_F3T*WqBWYj-~yG{TNyS#&&${P0x0m zj3=}gI$bxv9$WBn*y#R+7%9llKdIKFn<*#3VzKJi%DdA?X2Cn_`e zPYnNc`1?;W#JF2O>5Yt-j{*R{lvHKRm5=>D(>88PXZ|mz_dCaATE%>X3*9+UgqVGe zi`+{so=~8h^2Vg&;Et#tBjDUD1By{zh$eD%mqiC1YpRWQ>Z~boDukT~Ae9?-k(hTO zMyHZON%MEe^IV&WukH1JS$Bon8^@h9%t-SYQyllYLhGN9ALi#30_KMqhfBa{$7&;n zt$wz|_y3xl6BMA9%^ElhUIo~`9%*veO-l~!)N7lx-`--&SSy%LY5$l^J)V>~7gZ() z`G;ocVyR$Mg+U;Q6W44DCKTm6Dy*jXW}v$4x;ZWbq#91sVQ;*C<`naPo|kBkYhPoFH!?ucRp zHHV>Ei4#-7$V=zQgKgalx!K8Ku46&x_PV@s7h#0-i8T@0kVnjEL$4LgiDZMdaD@qy z>CPG3Ec0&LYc7esg7kv#&qFERpA9&9H-)fuGC8pyu*Y?~*=lxET{C>KGPw zppS0DXMt_a+ zZtZU606#lGr0q=Dop#?f%{vu<*`sSc(Fe8SoVUggq3+C+4&(r16kp_K`S(d}nOrLqVv5Pp>#E&E4AYmqX#b-$h=?DwZYoO@}|gaQT8{i%KsPj?hI7 z^);z7Jl-+yv!kh=&~AFw-tL;T0eHhB!JWnhIAn$ie5ELd%2p1+4n?$OLxRU5VwIYA2?m=bbvHy_>~c1Go&7Z|H!|;Q2W8j}A`auY4D1;M#%A{e z*!Oou#mw~BJ(tBCx$l5s^QXg|R*_fjU1FYH;gUQnoWOms%?v|ax=po~0G?}H+r&zi z#ew;iy*)RzC=CY0X1?ZSUR0Yp=0yUPe?@1Gp~;2QjcepqS)dgcy*-yXOaDgD*ZOD`%1;Ek8c^HDm+njIP5JUPm}Y zOL0bwY_dr*YZDuNccV9kvwx9fcGbZfQiR=H^LQ(6K`^OjiM>L~J?Lv1d z&=J>fT?ok-#WnM2cff&X7kCgK!AQ@l?ajjoih=-wd^GH0dKwszF7V7&Imo4(Y>(}^xNGSc%4!m*jO56u ztiYQ?fJ#zRY#22ynR04c5#s0K1tvr$m@CFpxz9SgH0Igi8yr6&y5bTFUO==Hh{?HQ z>8&Z%-Nbij#34>@B z?SnJjB@R&x3Tr^9V%*e{XK*9j>7`BR)!lT`)&+Ek!JN${oMag&9V?{>} zTBo0lBPQl2Nwh~XWFhElnjaEEPkrh|y`_F{6ruWSM>X4P5Tg`iR*1<2^y`9O*kS&m zt_`$L|LSa5WL#wuikw0q$Evj_LNH#jr{hwbpbe1DT5MD!Hk^^K9{0D;-*YGxs8P5Y zXD049VG8lSX)Vq=))RrD=V$W-rNxhHA=~%KY2?`WUUHarUS*b7Oj5c_kM;AfToXAx zleL%#mSH&c1HyRISuh6M6@xWZu1iYZ!3rA7nNHXz_}Os_etSXG(pox1$#@a(I-LS} zuSxMvHh%1bFPKP&OQ=wG<5Gb)HW6Ks_Km?rF>XyB%G|HuYha@nRr{t6{p9?Mqd$1g zL?BdNe=H6!j7qSJTqO&nvj_p&=M!+PBw_a<`jy%9*5VW4YL{M`@x(~|e2~{%sW;q! zP3?Q0m~oglHZm`Q{_XfDv-UWBBU3%2wgj}naf9Tf_|FfW5)P$Ylk4OI`~=nIa5^HD z)?#~y+T&v*95&PJkQ2({I&w|{LoZm-;V}f{+qdL|$SJ{$gj6DZ#IKmNDq05K7 z)=|2|;Ek)tzx=Je9l4ef!)hcRt|$;=o%)(O#tlI`|FWT>M(VUi8+AYE`rMIDj?q7V zqB691A?`8ga2JvuQT8R4iimKZT0z`3=bp4w}Zw$X60U}#fgPC|v8{1Ym{ zHRMC|SUpK~N)>qGuP#y)|0`Q8-#7gsH6>EKkXKFiM`Blnj*fe!6T#Ds$3syemD|y+ z79wJq^B^=+npm$G>e_cSLc;}0DbjzXKN)3v_+J<+H18Z;Z*gz|hW649HYb z42Nc@63ug3#sr)JDmi`!OZgGW;!YjPOw_cf7s?hMiS!}pSZ6-yeoIbMsFyO&f$%tZ zI)|p6_BfnE?84XPa;O5rUTUyF!PvaS<8kqw$ihLLtC%z7w=nByYbFqN@=TSScHnIh z@92wVW1cdpv8Tfxbx1BjCX~}54?>12ECk91k%|`@v%>)XtNg97q!gPD2Mw`G!=_`2 z9IJ?HX|9*aWs4&iEo@7~Xn4PdgZl{e3pFzDJKc~c)o{w*871rRIL@7yZ2C^S-rKE4 zgQw(h5P#yIgoI(A6Xib#S92XuQP+-RpNr9?6|q5zpP(mTHH9te4cw4$Jpl`dW9{>z+v$G_f8a#eiM3lzm1E?csaOiZs{zN;I2clig~2LJ>l5 zwNw})pWDkndI{CpbB?|=ICd2Nu1w z4wPd5*B^xKYo8e$;<;|Kgie|&@C54$aaP~nK{}G|R=9)V<4xHfc)$hUyb8eb#_;3f zva%-LD(k^JHG&ERf;K^P=7yBFbN>m~cROUwL%Z+)xiFvKDb!g*C-bpoJuq;y4TczL z-34=@CijSCW9mNja$bzvDXFzRcY5hs@kV*xMKg+HG7uoOrrj;|eogj_wWN(t-F8CP zO?LuhyB4{$881@iJt601z_f%CmdGm%Up{6&Skkk4GJpR?A~Q`hoc{hV?>G3xR3p~)E|Urx_d+J=tlEFz_k3R&lJ zCf&`%x?c`ZMkTqR8TxIO54FY6@T^!?SS@7dh?um z6G0LV2Et6bQw3;S1;r`{fmpgXj`odkW$Ak^0QYU3Q0=mH)4QZGp*ww*8bP;BR1Cz8 z@j0&AVdE!hK|F+>p1@{wEa1&BwoJTG?NXL`mhJ{CW#lQ*3Img@zNRwd0%|MPvG7uk3Ap^(B5qxQR=g6 zVnUFZ)m(Q!-=0r&`k~S;rPMv;=eX%&L-}_`;)fYLhMjCT8MQQ44hP|3%l z|5Us4X_>PNI|oxHLUpQ+H{$&6i~&|(nIT8FSUo@xPu$rA4v4sa#J%B=_jHl{3hHq- zcH=Res@`y`R?_~B|0u0bpD<_FU_aeLxTUnFYVX3(3*Bkr6N}(+}ty6V>g+9j|5pOo*=0hk+BW0t(_PRW1mFGm+bd*4nX)BmfChu z_UMeoeDhOZN^;*&!g6s4F8q59x95^g5m<5DL$d~#OB-3;fn0ioo&fQKcSj9_GF{HJ zW3@x$&%2Z<3ET$j&vp--zJ9-p-S{)fA^SU@YW`>@s5&jq0do2v2OYU%Z+v%Y8;6dL zE{(E3=!{Oaf_%+LB%hcMzCL#}(L8Na~k^Qk@b>EHgn zLnp1}&`{=|0rHUhQvVgznh&u3_0vrXB-rO1nIC!1$O_5os1t_wfM+}NQ|zD zaXW;cHgT{_tmn|BoO3b5(oFse+Zs?X;l%1qJv4buB>@2|^>#?k|K*sU#(?mvOFxGw z2pZyLTz40~GWQ~(OR2UE+Fk=Hos5o0_Gk%}jydZn**SZgne+DDQgKOq>#iPB;44H=8|aL609EN@!US%C#5@aWy{Vyj$fOd-)r3zf0IyAQlcsRf5n=GEMeLqZwE+cgnE^dvFAKKgGM8?;R#A- z9JSGI5qqg^z&eAL5H@mAagV;L z)naqM)PruM;ztd6BP?00@x;@;lqeKN?tU+@Ow0!#+kNiq!998>L+k$+H5HV6BlG=#Hb@_{0IPtpMZL7%6_!C=7OwIZz2UlEyQu|N7v?-ICZv$@&s zlNJjH`$UnPB5C$(8yL{7-r#P{d*@rV`nOr1Ndo4CC-OtzJB6CLJC;Q^u@S{ecL}9@ zRR}K07rXpVmoknxIU9*i8D2OLRYQTHF-5PVdmL@h-!# zaW$@@4{dLF>nExgcii8Z=7`^|q}KY2F#~M_9*+goE`6*ti7oF|oFf-|rY*h2b#1?Q z7+x{!z*+nvtv<3lap$64a)`Auk7(eE70%B@0N3XfEU2%VG32 z(C^)Hw8?b$4s_~&_gAk9neX1i7tj;k!^PUy*ASCAf2_)2zgy!_pj$gWS zF2~#}96ie%dgz;Si$Zy$+sd?|`RzB_o79dZ{|zdGXa4tj+4bS)7DYLScaGcD|Kprn z=(AqBxUG~OiP~#lUdAx(Q7Sy+(2Mv-Mpg=v;+IX*93os)fd;w3#xFhNA2uewSlsks zGnwXPUtq{h>;uH&*`=#>Tw7zn7lUz$8(qvVSK~~w%{v2zaqfNv>y;_IiLS}K=gw45 zL8P+&;h9Z7KRj_wx0rrGG`SqG_E7x7R(&h%f^C@&p*@%o*!X?RTs|1;eR4SXGF;qaI|Dz-yF z(<^{?#=lq3b*~g0{%zXpCwg)=Yv+6d0a>vvyd@-;W5pJAyc;T%jgXR*w{V;+oQ(P; zUu<@dJSZLuYc1gDzu?OlrdYngrfS+?aa(bAdI&Q|K-<_;z3Rl3E-kWBwwCN z4@~a6sJa45IysjN@9K73(oo6J)*ePHR*YZqwIIyo_ z&%NCPv9)f}-JP!G8NpC(w&`EL`AYKv2U(^;ekLoPX1`b_D|?Y&J7(+hh0t%lF=cX9 zz4owa*FnpUn3r!=WhYu+>G~CTLaw^lqnX+x!>6Jxp<*V`O|X=CIWAO~q8L}`o|33j zJX{vEZE9WS{D`cCJ_1MyTEk;HvdnVMI+;TQteWleK}O)hzkz(#LTAH21)cBD^t(&e z1l(!=`2`%FGNO$8a;A&<9_*Vl=2V*B*Y1ioZ|3dN&+~>+sOI5`( zH^=KOM#}h(KA{-S>{TU`VzlA!_bpJ+i@FthkH;$V60kXuhd#t$KuoBA(rZk#?8+?NtN=&Ugcj&iA zc9E~drC+ri&83QZc+YK2!f6k^yz}}*EB1NAo|mHfRkCEACGQ%r zs|DL~|DgJM;O&}gboh@OU$xqgX+?BEYs$ak`|gLBoD9;Xx7n(VvzNctEOW>4d;z|X zcI!;!tjw)}$Q~NA{A_#fCtZaUc*2>OY^E?rK+R)w1F)0~7SY3%YCYt3bOK{+&F^gDE^GQ-rj2Iqm}dljHQhbWyt^z_SFGFzumyfpqx<$UQ)Y3McI z)Fal7Pn5TR`|RZXVRz`1bueL946E!C6vAV@>J*eLIf~bmP3fe#&9nNjLG5k{O1Jv^ zHTh52c%q(%<3|7HDPdWoIi{sLuVTU3CHdF)fl!*SXxq4SWdX7?bl>objVeb(a~Y*0 zBK}ECZS@;_LtCEiuI=u}3Gw*zB3gC_jt~rll~jgt^&-5MHSfrcygQdSbj-o!+y&1S z!_&NQRtB%E@eTR=_6t2v4|L&cM%q}W=w2anH+#1{)f;i@e5&QCbEy`wIShZq)#r!v zfPhCPooz`U5JQ2AdT2x#(7jLrU2tE4Ewsa~=Kq4Q(yT}~S*Z?)D+8&AFAq?RFC9<~ z+la*Cfdvm1Y?G7DeSYTE+vpkQooFW(*>o z5ALU!rI~+2J)oGf5LF8gc!?`5c1^$>j2E@PV1#PBCZA&*kTHMt*Ny6Hb<1r6N*P2l zk~`rLZ1*^#ZA*!YZYxf;W+G^Ahv`(#$u<#1D!=xpM&=f5T^5%1O}sSaa2mU%EJ1gV zm}i6KPY^u(3Kp?n3Txw2&3nTe)gGUh5}p>GfGP+$+t!Owu|S>}PR*0y1C|Vy=0X>W z;Rll@cmMeh5MDs=k#|d zTh5~ui1E*6i0cY+dCzf0s$Cjf_x~3MmMP@)A5pX%D~IwMw;gm*`4VN(t5!@U4a@mU z3dEFSs{DpVuldtnY48Io&vS19DtP93T5sKw&&kRh$=B*gS&zc=Uuq!`JB+%CqYBrp zTl;>BAfNl~DHLSQ{0)qX?scEyULZi;;&~y-9I+>;*TS&%-$)48ci8QsJQd#aAs7~h z2!W)+u4Q5%dsT;6%J%C;$6AxbhA{mx&e@%WW9@YoWzbGM@OboQorMWFnvSB*n{=i< zoiWIHEE+e(R^iQc7Sg{^NE;qrePS}4U=gmO^O#j}3nmKRaJR9TF}I`#ly9riU3tDf zw^uanAnJ&ebXfA)b3Cg^7_dQAS((?e)7?`bbH9|-O#8;2GnC|5FItxG_4a#7@EhHr z2R_@|BPD6T8x`i34zGD~u*2V4LTJ{^o6-*5z>M5~2(^%29JKA`mKQy%^$29_efl}4 zt93Ht=#0~tm+?BMA-nx;H@~V)DOTuZ{m=aSC)uu@?d%tI-BCcKDnk+lQRXdv)qm{K zLhrMKf6#t&MKzOZryyd*&((+e?}}t>>M}X!uS3id07Kyl_TqyqcMJY}7F>E+P?V4c z^Vh7-$Yr)8=i53>od&Kp%R=3$yttS-uGz^;meX)-#n!WSsdgpu zpN^eSRvfS#;O0RrVO~+quK&WV{MDw6T*Nr0DUVkkM>#!ev5GN22c}8jnx7W=UI*_< zHJ#Au;3wNO5v1wccQ!zJ=|naidIKoE^FP3QWb>1(Oe!jHXq(`*z!8R+77&;K1(%6} zpnG^{w?l0-0InK21?iu1mMBYyV)L__9e^Qb5Z!phg9(QjC^M;wN9+^O<~$qJ1*}d- zDpoLPFXafBh8C(xK*CfyrW>_n8v53fXSMhd>#U9Xcx z{fU*ZO2}rkVya^h0U(qp^@I*cLZgkom#o?JCTT-A&JVlJc7PoHZRKjO zbuG~E*|g;O+l7D(uK@O|_?#B}UVxgx<@isYRuksL63pAP@36%q&FMSHlHUdRfo$Ho zyIr*9I*oh1rk!%f=ZtB~mIG_LPyE?gcIaBH*@kfN)n5NA`0W!{?&C)_>!%yZ{7Xd;msn8lBWfxI4QPaHgT1Do) zNPFYbJpM!b)!O+3s<6Pl+5F7(wri$)k>`P{6I!eo$2$IwrWVLV%mnJmZZzH4NSF+axPg3S>%JSjdA!^ zRMN&?0*b$%3i2(EKhzoj&KHuK5;6fc){nuT-}Ao1^8mNs`Zd6_q_kd3M5EtBujmsc zRhp-^q`koM7bN^sam(o7mlhq8dvF#<@gbc(tl3mWp9>in{dan$@a9FPCN|*3TYG zjpqus8$#1w>*;Dh0eiuO#KMFfvp~v`q5`xp#(lN)WFZo{$5}B42hWuQRZ+jmF}BS zQn}4i;%a%@c$c!%v1~3(;WW>Z+d|qL#4MxPXLf4sm6v_5Z3>iXQCs6XJ{fUv zv~YN%snn{j2S(DDTLPt?%Pi=CW~*sb9rt~n1tl<@YYNS^AjJ@jqH_PkE(o|cT@v8V zKre8CcIZjhg}npI#I6=N*C7hU(|eb-yB{OI5zQ=u7vJ9Xl`4xd>pzg!U*tYi`q3`? zm+=qrkAR7j?787St&g<-^mSBzKxZ?ulv){QU3Xm9_-eXpj4yxB5{SA(Z;@q4nDO4r z$_Igoo=I#k!sGlOvsrG+YOIpmRM4R0h4VX0Uj(iMr;1xzIrPkw@rrUPFQy|o`5j2* z3KK}-*0UzAd`XlfF$n+e2!;Ql))hC`S62b`f54xI6y%Qh``mGmhaJkVt1Z@Z3`&$} zjucWaCj_~@+Lou@S^D~F^zW1yIpndpcs$dUH`R#_zR=0N7$D#%{f~fse3dt}OZI4m z@%kqIjUXqG3@0MQV1gc^=dvKjyzFct zFu~|-ZycTs$vz|>#SIg0I48WD$=zajOpH6u?37nSQk3T#wdpBOJ3GiLO^C?hR~RNY z>hV-B#=LPTVs(p5jbBeR=k`o+PIwzcPK*D&kO-L-M|449?+o>fbxm!7BZj$on)|Mi znB`^Ps;kV9g+EDiOK~1Ax zGq2&0jTMMyC6}9hCVbs}6kd_Toiz*{r}cARKTlVcvHWZ!b@v1HyG`x==GOR7VX?qzvRwd8<)s#J?`DIgKfO~l!vRt5s7qbzqf4*F zGmQm>Z7_FH8w_nFw|CW&VFBzBZae(?%nuQC5Kojb(`rq^d^{g;wB+`!{zm*k~fde@sYB$GNY&bE%*7H8@Df zs#~W+r_k&ZqW0Cs>%*)4(z~mOR29-gsmJ0+c&XmWnK@itBv|&hKB=V{L7SmITmSLG zU^LWqO>Xx(=FmL=$%RK5X!8vDbCoJBRm^lg4ybYOUiuwSx%Aiwxo#g~+WvyC#jUx627`89+pPS>svAZc61P;g8vEb|l9p23P^Dr)!ZzKE9vFB0+tLjq zrohsY;s_5wSsE6MZqn_u!@fQ@cF4a-@l$f#E&wx+R~urwuCX^HzX-oWonn(#5sFio z;>qBRE9V-@U;7l>4i^4V>k9ZSGT8GQ@1tgf{&*~n6J281*6a0OextQ)kcCLIK}5~? z1fviEzC9;NWwGFCUSkc-{Ob2r&*b4~YsW%m&OHYNQCGT-Oa9Z{=!5jDI6G=NTNB<^ zb$^II4IX{Oo)k!^M28$5m3ts77N!=ow9oIbe$pW|jqjuqE})^kFaqEHu6Ebu^Yg;p zSKpD_iVQ66GrZ)5Vd3B-(22K3Ge+tTgDDsJl~n!YSXG~kU0?zm)&=`l$X;Rg7f$AT z#t#4;;f6ZV1Ea0bl3~l^G}IKQ7c!*>KwHNC^&iqQdPn<=aTHaH&{!`Cu0`e8l|F_M!Rm(u;LVkr^LZcYBR{71y{4KjkCED3H*KPP{Iq zmh`8OKq=uJBaPhES@eaMQamT;NE4LMp}St0+Q=;J5#)!;^(2f9)GwkEdTN*-fc$wW z!Rm*7fZ&o^>wdng3~(eh&R6zh{t~n*CE;9Gettra_(!TiPZ-6zYoF`5ntC|mt-B_^ zu6!k>NprM#BC4{#B;rKC=}1-L7k}}4hMH-}nEC<@s7l1XTi_47wnJ--sqoK&o55h( zV&8w(KZGkswBjShe*Jv8!PTIq1B`zyiGW7jk{%8(gTs%KuRqrfj*Hfbcp9?5wIU)L z?RjziLRUD^bG6vLczB`+^(-kfT%@cB_UKoHtk(kn1oc1RjBy-jA-;{Auj=u(CajwXmCg2uz%Cq(lm)yzvej{V z%qN0$?;H}|r^AXK#GOLtf%e8K=U(*p(Hdu8QY;(zBSM1Oj|j}^4rQDas3jGB|I+t_ zPk>%Vf)$K8?6EWOWgBCp$?0Hx2-sp7y7B&d(7c#9 z-!Oim%VcXr@MF9&M`5HD`%`pe&k9WcoUW8nmA;dmt|59m0O1ZcxYL*p=9>sex~}kD zZlUzH?Gq97ER?LyQ#2x<*6>b|Y;&5lt)66N&$Zqem_zFb!mB<7@B(41sqj#;=+g47 zHheMK5=LBZ+w?)FE!e8h>qephG4OOoP6q2q2J*`NjJ)z&QgGOGo^b?5$}O1(7dq3YJ+k=C%r|S$j6e})v6G~+ubsjCm|5=aT61mQg5Nm!nl~bwAz#Nmr-!3fSZm&w($JN zTvMI)Ft5)|R!5Mwj&DO%>u?>@a!QpD3{oa+BS}fA6x9R6sN1W1!)*dP+aX3>T(tH9 zHwH1>e(M|ptFGT5Wbb=e<-%i%_*B8e(+Lq~Qw?5dpp2`1l2&wE_8xa`)FBxC4c^T9 z$If^eL!s!Ws=V%1?QEC-nT~vuLdfGK#+e9}J`CO4Qv7`koiRIJ1O37a^;tJUALhFL z#_p<03lJ+>I_!G??XoJ8r~ZNB8$`cV6Tv~zPhaq}ksxz6J`#tewf*;;#ZBadhI;?d=gh3y*Vwl1=-(7fItovi+~8sfa$wbN%gPqq)Agp{I-~;sK0)gbac%i zSCS+JCPUcupJ{%TiPBE;&5`r6Vn!0(3a5n-QSnLXPh~YO?gwJ}P*33oghLgVrYzn8 z+Ynyv-IgSHCu2i==YRY=doECpCVl9LQn57069b>bQ%W_j&P@yZW9Xy9uNR?KJXt2P-nZy2??=1i9va=J-Yh(6)}bP!5~uQCom%d74a@M>o1iQ^#^ z>dbm4o~UAQy0ou72U6sM8Ua`KfLh|87bUAyu(hPR>oUV4k7mI*NkgUb^uj@_KM&M8 zo<4PB)oU9lvF>||6ta|#xeo4|!BhN7rM;6HIBy}ufntIG(#$;F*`jLoTT^M55*9-lzwv4u3NWg?6nW^s1G_9{#kpPYe8mW#F`B& ztESP#xNyu=RU|4}BX&9tqqlO5Ltk$0mYhn!CCy=)!Sidas{C(lRLk52H%k;=SUeu< zYKZ`wq0m1s{V0WiX^nnCnFIJHMZ(M7vv|r~$FI;M;FiiofWqs3PZhIYuh=7^h8Y%f*D`M912eCy`qeiaXI1c*oQ*&l0ZteqeuI^cc?I(S&6wDCi z&U_(Yj}$4(R58+egE%3cQFN}I~%xs3tjyF>Y6gQe4UcqTX8&DXCJ2kWnlk%vnShs zA$c$C!_%Mldq2~jnwJi|Rx3-G{!kfn&N{~cjlaK_AAxLK#g3k9%G>rt#+2tKmnX)Nj zV>&Ty&`jA zpxUPoq_4tRg6~uC3_G>I=~oeHzrk%mj!On{c$_uN(ih+T@Hb@*&ewt+ULd_X5FAcj zUz+A{xen9YpZ4mF1P6T`7!dftTbYo8JmjOWR!6AqdOA9#NWq0QRU2$KL->Bw?oJnokqI2WbiX$0tG0p8+XF)_jCBQ56E|0K^d1l>WHLYz3dhm!i{=|8IULYK z8W7e#LdorkUnC$3SBNga53nbwmMxR%M_=X{+e4o?QH?=H{a6Qk}#k; zs8%Mt`|~t-x)r+M<@oTwo5gfq_ zZ$|DiU=f%`arRxP$8J2WGf?JfZPFUbxDg)L)olpxNDbt#I(kfNX`419;M?G<&M-~& zqCvxh;uxA(W{(HUd%0Efo)N9Oj9ZJ_aEeKYq}!k4ZjIep_W|B2^`z~r%~Xpr5mq*q zT!*gcw@WXTE*UHo7GMpM>019O_a3()!58BX;h`P=pyY`Iy!=5~PeHv<+p)1*2Xowf zQZMJhCp%uIbY3f%b#+?X!YGYr@fz8}ft5>Tv)0hbv{9@Q#Aaa3iVbU8? zMi+u^teJGB`=i+E|HsgIKSKS-as2i)4(E)s_c)@mLN=AMNo1Ci9e4N^?#!H(mWGDW zKnU5Av&S_MlCw4L9HNA*&$nORf5H2Q=j-`=y&g}TTT!zZk7~((FHaJ-wzuVRPb^(w&f`;t2sLQG7fg#qj&ALuy zub=o!d_)~Z+lp{HHaDLYJ<}5$pQmR2HH`xnKmFYJLfdV}efkdVfrZ}poSCLPlG5vM+2ls=R7iEg*Bo5SiohY>nAY~fa#t_izqvTn0+ylS2M$7&=LZ#MTzS;N3b7eaf?o*1YMdkt6AnkHTLTeBoVvwVN|S89>CT znurdJdA9OEtb%62K}GvH&f#7d42AbrT(Zb$myi`&f<}CUuLJa3WwyAT?XHrso70<< z$;s0v-=#L-H4fV}CUOUJ2fhnN4bdVP|Iw}FHL>H0<>5diadHisu? zrtMbksm64whx-hw!hz%+fIMa}M%_nH#0+Mlh2`$xD+(DP&v>55{D}$#Z91!&>qeWo zm;H8s4;!wPI;iU+I*V1gX}5AP@z*9KTD?s%=JEbsU`4FyC^aCPaxNbJ{#blIW=)Q2}$HmMJ0Z&4F$uivj^wrB9+^<8i&4I~3CkP)1+?1(Q z2|focQ_w6mQAcrGo^h28DuG;E07L9{#5cNRPWe}X_d{3jVl`?T%*jK;?Lz|)wUG-E zD@61CjS1@=<+3-Iu^BYRcdA=kUFZ~sePREd#9XMP+}gG&*)LE_6^L|`!}kGoh9_DGGpz@QSoA=W=}E`Z}d;(0fWldB98H9!B# zef#R4m+?{y5;gg@07N!3C3>pQ&t`U2ST*-leug@BA-Rxjsi7#BV{%=YC#?&P0PE=d z1(Q_&aBSRdg6oxU>%BWYNMX!vK81!Y{as37P(lfz7;|gnDBI7ggZ`+?pk2;FQWdCC zpspj0_<4;}d3YLy5}cwEs~%O-01(F=;EHjsH-Yrqh1X+w$Zs^w1R^W6IRQn>>@%4O z_pLWT3Sl}9wZQspecd~iMvZ|l>Bwg@z}zk}Aw&pxd97|wp+V9S2%z-+;^Rz;-(LKS z^3R8#&)QcP=ehjTIi+LQZoUm%I@n2dYTsD>(vM%Q6#z;ZN`^<(=CKq(RoGjT446?& z>gF@rcf`|`aF77Jee1IoF%c0X1m>O{H}XTXlei}v^yd!$cCS3l3_6w$3~O<`L4SAdaHl~l#X%zjMT>{qWOA8R-Vw#?FO(nlAB>5@xkSm6#FZY`a9Q3lX^7u z?)M``^XH-pv#(b(oI7CJX;Z@{rk}aJ{fGz`{^Lq48h=5HAMUVnRcVa;*I@&|R zM%DdYIMUb1IR==iL6VanJB=~;?wuDE(>e&r4VKLQVc!E}) zj#uga!RTEGCjE0L%EFZTQg4R#&VdAV=9P2^vXHm7g1$E0wjr{Oc=)iR$z6*)SR3~< zYO^+vzO6e1WUaD=f5BxcGAhdj`jUvnz}k6XU)1s)&f4s0`M?yJg14ccdel1vdL*uP zio5z4G^DQ~ciXK|Ct4W9i>Sx>6KgtlkJw|hWfBC$xEq}2&_b1fBf+Tr&5O#ps4PfK z2kNi9RSXo+b^tqBeUUq|O5nk5iID|E2`#j@(%<$QWkoi>@?aV4Xie@?t~5DLS*y`~ z;1iYxE$+MZ^^AM{FMXTrsHGg1>JH@frzt!cw^Sj8*Yh=&%%!%bP%+&5ELqE zi|o@<026GDxVp+QEU|)sL<5mu^=8NjR=30}F3i^KpUUM;R{;E0ZMQd&Q2EHhZ55cr zXM>dt{s;14MX}1Sz7stJSYD?8Mxlm4>*{>)Vk5BA+8 zV{}+Yvvy;+O%|B-f+~UijyW`I`7}>GgG88~P%7+VLFo(gcpbAMtSsmX#}7cWM2Cgm zg9$H(U8KcBB`}$$Y5Iew({G4B*cG^KRaLZeAxH3*nO1QFj{TgzKh|-RM-PNUUD*|1?shc_qBnzDF5Tt+>&GUpd>WiU-(vi zmZJq??0fZ9zRs1hgWan*aA(+Lvke#*_75%7^1kkua(*CO4Q4yBX*qT5zIHzI5 zE&jj5#4Wu3g%aPj$MMSYwISjodRJShJbuRtJnpP0IE!n!E2A-woNf_y9kIg9)9^`qtkpUMrpf7w49c_x2!*!zr*d+9P~pQ;C1 z9b7Lxst0YgNDhn|Ix3uOzZ2npM>WXvlpbosk8w+~*tYw`x5-4{;68sd&3(4r_d4|b|g}fw-NDU%N!jkNs0)v zK0U~*2nivA4_Fe8p@#*2-8M`_P(`nT&L-mCD#5v<&O~K)UMipjs3YDIhy(_KLUH;n zl;l|~NZ0l7OhJrT487>Q8YusY*VO_-B?n#O(%U2Pv3# z*1deQdmr4Xvo3I!Os`FyIGyR%nODPrX-?mQ`~RRkqZIA5FGb zVrpA3{hNJYuD^TM&spjoPNpuqbb=1!6IQ6_>DFFUMF#C8K3Bm@_?Fqf?_Iobb@R9p zEqO<>dhyPUjYo^Qc)=3uKo?l1skzPY;<;n1+R2jmPI^yqBC+rz2XT5tncwf1X(oSZPe#Qg@v6%9ulbTV|@E8Mj+rHLk=DDYe{Vmq`S%)fT+}nm+bf6`)z4*hy zpSdLCYb+3T`|^@y$)Ifv$FJngF++>HOKm#iw`5WN+#c1^cf#tYh5ax99%^Ny7}~9~ zeqZa_gMo1fh^e0^m!{MBtPXo}&b*i%KgAG;=MHj`v&lTyT8{^19$m&9=`bEQCzmX* zk@2G@=Y2_G`qcIZ-S6G0p0f8x=)c{oXl&1ILiiq=q$TsvIjX|i@2^Y?(QdU&SMsMq zZdoD9(%1>eC?XW@@pYMhUF4ei(v_1Fui(OBLsko8C)epM3vJsPuAVk_sKOaxo@Xs1HQ3d;irw6W0oRvILzHD6jCs(teS-Ypq=ala4E zCuI7t|o91oSJsF&rwS$qxZ5%y1#mVPO* zldE7v@4ylzI$7DYy$>?93tQBa4Cvey8g&4Aqo0fde*#ZJ%I_a3L1kaCwr%{Sfespg zduBk6>Bg-1DMd7ey|S+4qU0e5l`Ul3VdH zX>J|2N(I}l;XBs3BK>$xtZIJUEcKOAQ9xtIIWp;=K+onkm&5B9Yw8!oEHI=3VWwfd{wQ3MC6dHa^H-GPCGxDueJDqVe z1>#tV4nGnvo+JC>^P$Iryjyb}T9EB#2negq?<@{=&Ai^zHTd^T^@NEKpN{0K4*^~! zx2*RvrN#+`6V121cVRuI6l#FIBnSaoZ?uY=NT~F%p8S&;50TlNFI$o|aSm3Lb#shx z&IZKAd7o|JnP;N#*Y(j5r7V$%{}zrF&|ZBT zH4-n2PR$xrvLVoO&PU5J)Db;vcK-$d%jPP9cF5HiXbYFgF-{m@xOf5w|J<-)dqP7g zEYLQUe^DtNIoO2GkuAGnE=was0ho6CVzWdEuOAn9j+_ysW*B%TJ-}7P<*?|zAm3|7 z+*zlC79xQiC)!g7!;Kgoogxo)U}*t)5z{7qVPC;BW`pQ)GSXHAR}m9cgzdMkX9|E( z^-;XK@1qB;!`xp1Z2JW?*<#(i=>+X=AZuPc`mS>AG4$cahdPZ6vy#iX583OgZR-~E zXY`8rbX=(ee|bfTQK*q9MA%m6Ct(Ek>?YXhnz?#GBPvqxiFIOF1V;0}hB<$3hikdN z*ZnQYZ*y)X9@3vUrVW5y?eU=7-}KW{R_R&Q5W$to285Bzr%H;Xj|C7y0t zhWUS=0%mj3Pf?0M(QH=j3ozLH22$gqPKGtAtE9D(rv!1}WFtrhJSMH6h(xMfIom7P zJgX>Tyf#yu%TNWI10ypur=&d)Oo<9REC&zwqKhGq?l(WMHOR;z)$lV`h)(n;sO=tl@WyZFp6LK`B`HJ+d*B6S2A#vEWFMRf_gVvVlQS*0lH|s)t!aq+&@aN1(^pqD$+Du#IDE@#Qx`V4{ z>nZ!n_;v+;Qn0Pgvs2T-Rvn`9T>Ax|%5>EM`__%X|CnNZ>dWgv55YK-R@T&l!XK8@ zlLCEp%5lA%+dMd_mrCgPMTF?znEiP;C`NPxzJqi=CQ`@Gtmk?p;Q)PgE&=_M{RTuIjS zyn<}s-ef#S2Y7h=!W2gZa0>FGTh;%EkznvBXZKf4esic#61uj!dEo={OBd0%GDVKZ zIw$q#IQQF8SvrzF3CfLMkD1I(9G~L1!(KQ9{S+;m8!u!Vp`NL=s;H$SHLjbg@X>H! z17`MKV)L`84MwzB052&BP;OnWMm{(K2EEH_TA3txk@NuUc-D)-w0iC zMNuyIogb3lFbJl?NO*rT}gDwiSf6*Wl6vD$J)6~??8$Z#MOTz1~ zo|2mw08^2r9c|vfX!c;7FFrY>a78nk%r6?8)miTMXMce&FUiB;$9?fSmgW2BVm(}t zw#ez+2?L%gtBC-~v@VAASdNkPpv+a%CF6-Vs=!%AR2tv>Y>qcn5U(OiyYy zWutYm#B(N7*bE`gkO}^Dpm6D2uvV@gVBy#*Pu-?;re=O+e!1TC1@)iy7)V!R0>qiH zhRNhF-2L)eS7?WJ9=t75PdoXeD2IPjkR!1#nEIh^;&BIFcF7lb+Gl3r7SVVG4et9A z7;xr=*j!7fU2l9-k6GaxkxW9|sR#u2H+;}QYs{cn;2k|R5^-~t${i9UYaV%xHtU9X zP~}b+pA9A8>WY<%_{Ba3LvJ=pWS*3E{4DLIYPrbcea0fF3`24@I~4qve`s7GwryOC zZhF^@uLS%8nTY9B&L2mYYT!KMXlu$J6|~NM*+M$b$B&=*_UF=gBF{8$Z~2s@yri`) z)XZNQ6;Xa}nzxg7@%Z~)dzEzJiN>DfXVks|2RttlYq>3^HwtH5M-(>-f{UoJ%NKAbHm(E8fZPx1}23go?FWy)}-I$k_5 z3lK8-<_U#g3ASv9OzcJ@`++;6zx&LzkA*Y%{ZCVoy9`9c*xgG~082#rk+VPHz`WIo z^N_cf(c;l?v_ihD(lj6~2YDZa?U5obj{$9s*p?(Leg7W~HE{>C8bzR49!$L3j^K8z z9!XroI@U0z0gb(ko-O=N&}|1{NNRxty7%4*Q=LlHBjPIw#q|x4 zuQ=P9@(6@iP;pfuglsB!=mhlCOZ zgX{{yw{Tt;slrnpIYV&U1vv)A)`?Vrzv{&Cwitmqo7rEKiqIX0eO5bOgiKM<04~aM z(_gotn>dp%K%~?jn&9nw&I8_+e99kb=CG%eysoM2l{7t55k2rosgmSoeHHMvYJ>G{xPh>4U8nzB4TL!(4E@+&S z1q7NoEBluZSXYzZ^?L=nE<&4UJW$3rD+Gk2O%9Leah=MQEd%Mjry4bR`It^$EwUE- zS|I(;hE#_Y@2r|+jq@!+;xFh!vud>) z5#KU=Qg{ufBU$L2>=}G8^O5DT!>qV#XK@Eq$>wxC>jlM3Sh(soj`TYj+?Zjxy|eQR z_G1G^4znaBTM|>awFEfPRAK`Bo2sY>Vu0ME=*9WvEp7`jqY-t^`3hIk9ER}J&_N$? zGe3BoO)|uP3l)SF@!WIcJI1dDULe3OcR-zjW(_*rB*bVAj%|X?fWcs7I!S)fyqhH= zAtj(9npNXl_*q%8PWz)K5l_;1Pq1k@q}svZ4m-avbWqcGdN}KVk)9^+Q!r{~ZlN*R znrE|y5z}OeC5QO!{T6ko6jdS25Ly%^3O{YO_)v?28Id&>Zqw^9=!||_r&`ghmTi-9 z_H9g!RZgw-KF-${n{jf{Tw&@MpATX>4w)Sn?lo@E%c0mOFXytW*_WU*mwVv=VEKe^ zp9hS6-`iz_v4&S%`UKcC#rTcoeuUgzGx&{5;uEjU$C*(LR->L!xt#iB3kexdeRV4Z z2tN(_EP@RZinQ!edba$Cd%xh%knM2?op#A*g9NI!LsfwEY3wXH(D8!P#GXN4q>n8LRms z-@^KF>epU=cO9x(eUK$&g#ybqA4s}$AMqjM^X>~{ie0npAHwNDJn3wS20L2lwa&Me zHA=y|O;?QaDt8P3X;#KSzI(XWpNJ#CY9PnQT`}X_mxJA+?ZuZZac%OT&~s zM0|DXZOoGo-}##E9jyM3uffwaTFsZQ$hgkeXMHPhcfu)3qkL;yb$n>Ppq5ws$vtn) zz!LD%7}XbuOG7$E?sT4@a4kg6P920U@E!bunA9KLQQ}~=tLk>Dk_D?MIIK)M&h~RN zSYzVlbwfP2C;pF>mC8N$)U$!Ekm%>n{&2*BTLpNy4Dy+Qtr@6+^87Qse9PxBdB=`6~ z{Y(W~Rog%~OTD+dpV&?r>_kBEQ@lXVh~tjX&r4z~$LP2knIz@-{ZBtK&kE9w5V}L& ztD>W`%kRqjFDweET`M|oTspWEx>Gx<1dWs#tZo$?Cm)As({$FBn)JTb@!3_*4{qg9 z5&zZmP*o3hJnDj-6g=GLY|`N>SH>c`#0GtKfVCRHRJTTiHLAHvLyDHmFqmoKa;?YN zAHfd_&j0o7f0vRgj#zg%dY}zVa+WCD$2=~nbA#Wq!MHo8M0FT#4}pwl(c}V&*uz){ z?M{Pri$k_OE7CvTVq`}gq$62&J;Jh7qJwqH#)Di~%mn)r)0aB1KV%@R_i*OZ_jbCD z6!8lT_8UR)LH(l)!uY_!IP_0J(YJV*D2Nb={DKgVfPdE%vmqF)wVcb84misli6m}q zqwSXhm?5{3dk)hr#sCl`tf2qWz4f;?gDE(DGCM3eeGudqX;W^ld$IxIN`>GrzUHya z8%ghNzt5%r3a&#j;U7e;c=WPVrH5+4^^+EJHXG&IuK$RT{RhL1}} ze5XdL)(ADSmoe#|s(5@x)hz(40l6!SCin8SJ`m~}OXr`kmLd;R;oKqGw$NJiJ8qw_ zE|xn7y>BU@R2zi2QKw2BF=tvI6@S%Vhs;c$1Zf3t6)IsH&?;ELzxs{?DO;DhlRig2 z2k36VeY_9D*VvK+{=GU21&0-!ch#_9kXha{T0}YFGvCktE|Q9>#x?VogD3@`dRd-~ z+|0|&e)q#g*+0wRfu0kMR)S4aG6#{9l=tY$Z-hra4o+?99op0kaHK1d-mL?^;P{N& zPQHBXBVSa1mi7ELPpaXraP`C>ta@$}nPT47skME^an`Cog=1FB2gTygnq}RCoBh7; zWmQ-~vFwuSlej;ECRS&~VCiQegz;nNDQCJHRnP+#(GxOrvC<$8Qh0I@ImwUKtemC|cePX)B=fDzRt0jlQJ_|U}SGI-ICP-S^y z7&79i&L@KSm9ZB|KUyPNoQ|8{^kfns`UegwJaA@7y(171#SV~Rl_Nke=A@2pF&V<8 z{dz~U90hto`5*WEdGaE+K!f93au|^MCBuu-0)!tw$s!`Tf~)qu89epP`*H$zI|`Y4 zCvwo&s3>~~c7AxD6yF4|It6U4(%aDi2<;L>D+tB&cOT5_SXIXEVT#;nkKWstV|=rM z->!%{I9bRKGJA|HR+WMwnq13fpeHwzW9+tcSGe$?pRTFlO(AXXx-~#k*L$@{KnSi? z&l zp_%TD3tNl{RgcsIl$aUFiO7B4jgDb1Ustt!*RyUked=^I67@vy%srH_#?&tv$|-8e zb$OO&$ftF5Cz*Jh$l6;6Z;SY zs*o4AQH3S;+QQuza>^b(3nhI;`1UBx&=N~%f-7ahGG_9xe`a)5&37J7e{PQRd$-ZT z2RbRE5({)aMGjR-PvQN3>Q$H}wNa%772oF8w}KErMk9I>1|M8Q$Aoj9?!mO|Wv!I; z{zm#|@plmu|2iEn(#^z!#^rIUP%01Y9gW@r+Af>~C%@ZeBmd?~4n!585i@&cf8Dtd z^#+$HiieI!57trMIqBH4H;S8tX>rJCFRN{^m5#Jfzlwa2)jV_6>&yW4m$NVkZ;$gT z7hCP$=%A?tLEoi3@!V=0L_8rKyIyM)U>zd=+|&FrcOoEf5#iA8*wwOMwe`ifB>A<2 zg?;=0`7r%=l0f2(0(sc3qx5lSss6)<%&rmO1mxL5B2e5pOc0vC)vFpzJN8aKVxhCr zkWVi3Z5U%{?pUA13urkNWZ)S)NjRuGF0X#?g#FQ>^w}c^wi|!JNI-|{yq`+n@RUSx zWZ6`9u?h>mRct`!gorg&u^Rd>5e7!;odKLQ+qbVeSBY|@u%hWhu*d-)Q!P4>hdtBN zG(%j7Ea0E^OE}v!2?O_mJVh!miW}A*C3-xSmLZ>TOvp?J&rxsGkxWmAW%A*0zAs5s zV|0gLcBn!rER&>(;ub$Yv&LPfZ~4aCeQJMq*p}W8_SVg~a42R(=>c8$qKgk%C_|)J zi$EPy%1BuzbSr?%{t+Iu8L?+ej+AWD4)$1C1LN&xM<~-+EQQM=RP#X0jvMLjdm!rQ$GD?z*r(zynf#v0xhI}{ zN6_c<6eUkB{d1!XGEyb3hlF0cMqX5D0l)s%-Oot6x&jEcK;#ecRS$`{g~f80I@fv} zjLR0{(B(?^AI>V@`mB%7QMfZ6m_7D8}J7-+eT^8_T zm=P4$Ww-_B+%gaDCI0bny=%uOe(brMQ~$~B4fG}dpd6F?R~_d5gyt*#GPN^K4fLOq z`DPV<#$bz_r0^(Di&+#AZhG`3SpvoPeAyCas53}`@8u6SLKJdGJM#?Wf%3MK_hBWU z(F~E3)V?j|22NPWOeg5q$wu|~f*M%-ZHrp74Jijj5q#XBwD9}Ch<^+=QNkEnT&;A9 zCZy&*a^)&pzSn)6eyePy(!5|ir9Gq#AGB0{dVVsIx%5`G%i-f7zIs-bvGCTC4J*Xo zIk5?<{EJB_b3}`8$SJiZTUl0p&n)>TWZ}WoucJY;4cQ5+v`pW*mUO#m!dk*S?vT|F z7d{!qN*ZAr_gTqs1REnk0~xaH=FtXo9prVC@YYLg&V#_-Ihjz1|GD3O=f*vklc_j3 z&7pX5dGTV$2*J23YYa2y{duGqlq{Hd3gh8&?z1c2S)4<$0|sp`TOT zGm_Ku&3hs1Psrc87}2{fZxxfj??YKALkK0BU+q$f%Xf;tt!(BQl*v) zuv6_4HSXL#s<7*BoI7Pl%5yzz|d1@L$8!G>n%{{*mZ5uF0!UZ%R&%u^OsU7B?nR;rdgL|>Ox_QD#9eAwnHNDe!VyLI^ZvsBSQQ|dBrawENM!IRBBZqCwoYGJ z4_%%_T??{&ifjCH0gyB85(mqw%UUwTRW~Ena-GV(j)nl#7U9Qk6gd>bXLYSvZcHY? z&?s#Y{~DWCV-WgLw#fRSEzavH(#qJc?fBp12fm-nQ`cTLTbRk^Mzpc-H0O%-b!|in z(Uq2VrTHk1R`QM=W-_z&p?-7M)vo2PzYWa!lD$%Zy7_L4ZP*MoQyo%nJ~kDo@Xo=V zB86_Y5ZsldmW19x)-IdYmGB4d=v6^DlPJKyxoVa7t6Lsz%m+c!<88qucru%2n=NJV zHEpqVO}TP4zGkL_(OW`@2#zZtbkV<$zDDD{Zz6ng0g~h0yGCEh^+vb(XAL?&0#;mG zlJHfpf_|6B9Z>5QjyL=beiYd=B`9LBC8!nj?iSTC>3JnG%!m6sD;6;gIuz;N9}8|m zf0XV2*(l+%pp5S7Z$t@PSLiSSXChzSYU*MB<%FLsyblM-ObQl-Fzo^$J-K*V?UeHyf&$sX?%pn1|z{gEzVhS8V z4cI_Z_)>Yr)g|wihh0_v(O`8By6sQC^W9AIADi%7?1}szys;pQAG56uxiO7bzbM6J z(#>GiK*M~0nrBdS!na*AsXes2e0BAsJ}m7vEIy3Ehdb}g;eLxkw|h1S+*?*P32RQr z*I9Sb^41%R&~QJ&RrY~~>(sUcv{XG6v}QTqsI3b#2e9@y5x66PKtmmzp(a1tHb zoGZV-ngr^-q}O64)m(noQ__;4owhUB2@-X~r~;SjA=+rWOKJs$E-EV3Y4%C`s%1Lo zVzwQ!1Eq>3j8fEG_c@pAb02ve59p+aougY?bbO6xJJ-A1q>6=DX5YeHlcee>aYcKi zFSqdA5|i}5briZi25^i(b&hVWWywY+S(=(WV zaJU5o8|gbd0oLRDKzDPvGKxOt0e@8rs$x%A#~eX{s+dMiwN$Y2<8{w^qjbg5Dw0yV zv~+f$soMQlk|1Esn~(bjp|_CjJ!c^RYLHxY<`vAwF`l6l(&~#(7Vyy65vxmVIt+hC zFTJHa-8!9JRT|-3+j$%aV|##)f-VD~JRX;E+$ZrC@t2A)d0KgU3iJyNg zP|eR4`Xuu`0n&vKuU_x539wqSV#Q>_u;z-TC&LKuon90?9}izoW8)i93O1QDOU#vs zfug&zQ0w|>$n2T#e;w4S*QKVNOoYWvf)dQcr--^4l6?B2I?&5- z_v-_WyRNTu0lP^DUN(1ol$OAe!9RA0u1V;U!!zUpziUWujByu*nbWww7A>CXLftx} zD0R!Qw9_mZxml8?&7YawP-(fbS0s~J?ko_UhATZb!P>y`;jO=pmW-9m9~wXGc5?n- zmkiUHJbdodtgNSWz}H;yw69mcSIO6YKcg=ix{$h;^=60xaTyOB{w1~K8dlOVZ9&(PgJ2j2yic*DhLI6#5=!v+p^f zM0bR|UCcx=95?S*KYb%DeBzX9WxSCl<#*3WjnxF~O*_7PFba%PFgh8vL$;Hc9e{15kZ>HVcH3g%QnT)>`E*(2S+Do5yJzr6J zr%%By{sb-ABoI%0L|#}1w{uCQeF(!&RelMB@xN4!lOhji##qF$gx{&vCGXnjgcK~B zN753!ezMx}T_uI(RNp6d^pC0GG=Daj-=p9+y$Sqk6-NRe$r6^{1kD1 z24NKOxxG@t7e)BPKTWVp=NGv}U-i?|)i@n(=f0}Ny@o=f25W^GnGIQSrZ7*nWEK_k z;dDu}<2^MSrCFy=WGWKa%n@P`fLgJW%n-`|X%wk58hk+c8>NY|&ohu32M%-EOtlW?3y+r8Ql& z7EgI8xp;@#G(6R=Nw&G(Z$JqTH1(9~34^n~Wli7$wI2ZG-REfgX`j-|!4dG-Tk`j; z*Zxd$9a)_uC9As=n)k=`#nc(SFaewf^y<3%X$CIR{!|3DCjdd`BmHFk;%Koo1<{HN)l($oCd7wAEv zxwPESY);kO1mW8A%=#HkSkkI@)T~Oy7GgDipJNv;r_VL@x5dobgH+~}c7yC4 zO$7Di@a}vBNNz~4QKg4y>L3y z<-{Zw2r7Nw>Ja=}ea4PlW`v(`i9WT|NJt@O9C2FdMx7E~^+$mwNWbL9@0Nh28)5-Y z>^)8}AmR{rbB~+FrD8W{qSKORK-q^<_EWV&bPicGE}{1`GjF2fWjIrNH`Ske)C{R_ zW_qihpDEE-SG(IB<0C1ZoET?N1?vg9A!Ap3n1qU#;a{C(zd8UB$c>*-Ygd;KQiiI{ z$sQ0T7C%}RhUYEuqx}<+)e9VzfKV4m&lofSi1ISJ5P2?!nsQzqSG9QhX7B9HHv>Kp z#Gu>iy-vovBf)?3rnZ}m@{_9lx5%#wjIbfCt8B)OnNlZ4$l+5AA6gH=(IqOb{{eHp zgH`a!r!RdHI~US3&NIp+Uij+aDRm$wFubMzjI>H2eI2i)xCdh3Ywlf zRR8W>I%_6O4aucgm7Qf7HIo{AAf@1kHUUZ(m)QMVFBlMdEcHc^#qn5SZ{8y<@HYF4 zFF>(a%<>&}9xz0U6L+A{uvMowT6xzFOf0dNH`n6%I9Pz8^&S&OiHW?nINgJ+FqD9o zq`*#C9Lw5@@q*l#r|v#f}HN0eA22h z{=QkI12O)j=#_I%Q%Jz`Gcvte7qdRV&cBa0e4cx3@z#?olCn=*zL<2kxqW1RadWf* zw;cwg{IQ&D<}BPJY(meyh^2IE>WU&b^cM80JT>bYt372u)>Gs79Ou9N7)^CWRBNjC z2hGD@v#r0Iy|2`DB}QPthJHpd0((@C42g6F%BUQpq0{^Os1~5e=o!Dl12(TX0(~Y| z9g+BBLljsx9oWs9N(|;Cwrrud&eT=!CrXw}C<=twKUFLYQM%6YD&JSeySzN41{MM= zsZa6g!zhp7C9HW$SSvR75G~7n@e%t|@xtN($RDxPoVdxjfR{)8g|iEj6DIxRF@ZP~ zX7u_2tQMJj?jsJ%+;OLU5qOujRlFV{$!$)#ab&HSOu52ma_QE~2~I>T>ymnS82#i( z#m?vrc>S~Q$VzxBdbVS8=dP_;$2-kmRGxcalOUAB*5$|@c}GDJuvKWAimQ~9!@+PT z=FkEF{gT-Egq79rrd=eW?<{4C(MYeDUVeDp=8}NNawp;792)}1ijEKi(xMj}8mPfGfXOn_{jocvjZ zP8`vOvvCLVUKz_$fvA$yYSft|4U%Tf0j8EV>0r$v=3yO@ZjByO-+*+a#*k@bOgdU) z!ZbA_nb%k_Ev-n_H8#v+)Z?TRHMY!?r$}}+_Dlyyl2eT{)5VqKR&$!^eum^x)LiDAb6N4ipT zl^GXLN~obSX^Etynq+25Dk-hz8Z(_v%Baa?W@VFdYOXVLZ%|1$Yi==b-y!AI+-2Uo zPkK<3&wTiZ^tk2;v!IYvR8!1+`i%6v<^{8)lvGypl389ss;qg%tg0r})VyZa){*LK z8kmhuq~@9y=9^a1+nP2eqn*@I)5+}WCiT?xGW+^T12s(MyZ59IH6NLSL!{xFPt1`~ z(pb%B=J*#9b)tsFoSY&}*UT_y=ScH43(T+INZ)IIFxec^VhxwMv`kv5`N>>eBmJuR z&0POO+NjxNZv7=~*X%HN_elFSz>Z=R^pR-TBdIDSbT=UdgYAf>6QB$ak*Cj&6+wkf zOi9l`@TCKC(uM>N^iZU6QC9_VwUhr7S3f_!j>_w19w@tCg8L^2L&Lv-4(hmE#IZjk z+&&W-G*dx01QebQ%5_{WyciqRA`7lu5@8ndJ;*_gDRb7dJ@J&g zKsV*$EK8k^w-Br4g$=Z(@z8=34cNuT?eJDAJz+P)ax^?tC>pdG%OH73{S%do0#w@l z$~PJ-PjlfiWBN?4BhsP1;GD%WcLjr!1fIh9@6AClx?5taTRgdLac8?M_pIsMHxKXg z%qITMi&^59YIhZ66u5y8t#ThYTcrDH7rDN+Nsw3aXigvf;8^eVSD=G_a%fG#zJnk_ zp70bOFC(0s5V)yWhL^`(t6qV~P>bB}KSq7noEVzKToI)>gnmIfs=ri9=?Hsba_Dh- zSK){-CSN6JZ&4YFm)=-P%;cmprl2GT+?cO5teaASmaMhDp>`rKaUFyI&sK=tZUKPU z>XokcF$^UkjO^0T|4NxRowgk9ghBa|CBBy(>u9_6|{S)`c05jXR7E=q*Yye@7TIY<~wAq4qf3o8cfc0pNTcTDT- zA0g$pX1Ru4azRtS+A8UKky*)MG{@0gICRYFms)A!=ftZAU->?IV*886-^D_{M|rX* zY~Rinac=FG+&yH2P06LErHRi@ll?~ybrS&G~W9cj<9=e zKQ_&SjCWJtS-H(Kn$4eeBz|PwMeUMi8*>1#q^@m2UM@oBJQ!0T&Ol`R6iWt49fF&; zvun>K-fxV89D@7ODSZ)}(r)?P+wi^c4YH5zbpXSrRW?>(k4w|Xa;8CE{J8my(_na& zu;2v1Rsg6L7F>?dhosL*uJyhFnysl#E0&=Lvo(zf$fSl3)_aiw-bLTMq(S9d9@mGs zPd1DblQJXq$q$mkqcmhe;kMQFM?^sZ(6ycH#<1YXa1~ zhiShTEH2~$A5^eVQPOLdpRV-E-cx5duok{ZT&C(u9&D(9>Ni~YY?cUI*rx*dU&8h` z^I3EGMDzS>U7Hy4_-O@srDZl`VPw~4Rv%P z>O`TfmhNP2g7|}pf2?VvcY$=(L}5l$#puh8#kF)JQH`DC2lO6!eh5eaB_6Bd5I&bp zjs8Lx?$3k9Y4v4&3LO~-X-y5%U`4(KV<-sw&@lMJ(EKuF;sXN|)8ZZ^k<}E3Ec!PJ z$jcTyfOU7Uae{xYw|jNz zfsa|SxlC}a|IE=Ou(~Yr#(g-yFqcaERQ?x_mn8z?BtIju7}y%j?4Nq>_ws8zz@2O?M!WT zLLWTGS8xR8$}+c0sx&j(oH`G&bPb2_k)@VvejRR`hi^eo-MFje>5rEKsF`0fKXNpa zK}BzcGe2dvPiY_OpF0#1-gjt``ytcQyjRf4pbg)jd1I@fRgxC+{DUhcuDl9eR;OLE zyiDU8P)E2H-h1sjUY9jdZu0Q*Jtr|0wU2Bo0KBN<{bhsl`vLu@Gz$Ru0*Reg_g?3c zVE%!4tA9@`Ky!R24Y^1CB>%1re0;TRyBz_s&1#u>=C*%9ay*jHeTYvwHBQpQ{ofi) zMrwAr8>@i#Nt)AmhQkwl+WsW>HKnmg+*qw~8hoHh>_cM&ESX`c-=x@2*D$Tu?oSWS z^t;37e+=69lIvg117_~*4>GOgEDzCA1AF$5@F4bluOA=IQ7GxyO~Ed(LQE*=X@+f zI;^dRViiQP&j;s)I^olOYZ^jbU0s7HkV$yLxa4McnYW1~S!u^G;Yzduucv83^OA6a zB6}s4E$K~FAM+nJt)q#jgF6%J1ZlgM(II++ePR`?u7){k)@U>3&ov_Tu=^%?xnfZ%5 zud44f=jk80`tIYe{5#Pn@E=d&&V5Y1sA?L5ci2=>?YpdNj{kVL>zt~mvP%fwZBtaW z&qmiB|B-qObxu`V`Cp6B`AwK=pQLUC{v&z!oGMZ|G6WyHxuyE`_wB9doQ{9R3G5kP zA(pPnSxeD0nP))tZG4{5(W@h>U+akecz=&9cf2*wb^CCQH%TvyMD@_n9H~P2FH@1# zNWnbsNwMJ}fk~C)dG7+{4~6{S(0TYn{l{_qd-uKN+_^LEj60mY_a@oWATlFcvdL=6 z_sno;Nm9Q;B`KkVD2gZ=w(KOy>PU5je1G>pyg#4E`|*CgUe8yU$k8M9(>Q&OC>C0F zM+pY(&N^ehOYq0MbCiNRas(aGdDuwL*|ps;COmOHQ@m3T`)wnQCT?=q1T&r}X%rt$ zwXBXY3d5ETM-AWKT6l<9c!~_H5ve+9$cyuNzHQ(Z@D=gd`A0XkFv#IB4#u%uF(z(5 zq7o$W#LU;>0^&#Q3Oz(lzE&JQfQFvp8)Z6Lrido!xFw?qsX$|=NCxhOp;Q&N+9~`G zZV%}Dp8Q@tB70a*BJbe1kD~&2*ERn~B_q)FBwe?0#VSiPMfl(wz7Bsyr2&YDu#Hl} z4ldNutQJ@SKm3=&&a|me?EOOem=*J7eR{U_6a7*}9*W9dNvf8isw?7<QfHXS4$vYzWuMEK`96i0kGAPW z@RuCJIAH_5l7%w+vLtUkV25w*pk^4So;?1)a zX%c(Ru=vIVTe}}#=-cdjF#-z4)u_I7t%EO8_Y>t_1~9thg#1{Bl#8H1pY8RbB|1Uo z%RjCmwCBI-;og0NxN|0i(%6DqOZA0~7CYySu4K2TPl}i!JBqpsU$0sOV!J3*T-Yxs}^rcSSfN!kBXJ=)ir ztv;TMVbnFrk@@Z_k2hZ4ldXZUzI-Cv5o$nH_Arj9aN78z1fFG%AF|^)itzk9$~}i7 z1?y9JbbWB~I0GZZv-)&`f)|-umBCXejtWgXDu|9sTW?Ff;?zK5UA1^tag+SV89P*RM;AdHyW)3QGz5@Gtv({6-!<$gb z%8uDp!?ZWBIWL^d+c)1=F)jyk#ttt18^rlAiQ=$q`_!^hb?gn1KyIm0V*7`le`bEqwdiUxKzj3X1fXuZBwQ#W4286W)$xAPKR1ts^c*wsTrG#{siF#O9O*?%``5U8nUA*?P@3n5%-FZGO(ls8G`Kwcv z%;24-Z`;pips+K4W-$gGe*~xT|J4M4Ll#iO_9Lx!eCPf+>!!;8n+$DLnYEToZW2*m z=)@?ULB0b3(9tbr-%v2ZPyJPvApeeQqb7e&ODejY+P3+UHR+AJ9+-WzY}NmUyHm$C z%G161*8i?F77!2LUA&dcYF0BpY`@6LRkY|C%Ek()Ld13H+_Hc6&&OH6 zMP#Ip&xhfV*4hWAy{jm^SHQ0^#Sw7mH9c{yu43|7EMJynsAO)<1!@xx(Jqe7y-1y; zs{Kr5>0B-&r`;nMkWK^uBlzP0gX#4?md}ZQUQ+RQob_3T9C_cR)QsEK!VQeuYZfk5 zzJ?Z%rTi9yaXbb?V~`%I@kZc{&d2!oMI1#}E$yxk#Tw7;VT_&tc=;2x8Gy}}qVj1a zlZQb{z-%Z@%Nco13wcvYit!Rq@b}u1)6@>~*43xm4hmADTLPma@DBXy%Yh-`1PkL=k26RRbaPqxQN7eo6Mh!-K{|VKiM>5jqDJZC6 zdOju%NIkv+sPT_F?$6DsMDY|I(*4SAjEpHh7IsA0y+O)|U~Tt$lC4Mz6wWymoEMFV zXhr#Y_(sS-WbzdO?I$gecOvc#p;P(3C+s%1cIM>oR#vu10Fd6intX00G~q!AL}NE& zSo%WTZ;HB~ul6;t-Kcl2k+BlAlC~w$pTM=*k(yqEE7rBiqpF?T2ifKVKhzlLLtd-Q zST-QbtLj^N(dp8#%xELVr<%gq*~wn!;<}0_jRb))hxr);917F9(ujsvYQ8qLf$T^g zaQ$e_g&lSc)HDRnd+b1oHm2GVIA&yP_8N~+T`jq}xRi>nVi!?p3FfH?P+Gi^go&Hy ztUf?pu&EnZ2Q-zDh2;5^t$-19H_h^H5HOZ4%%Gn26rjy2UkwSNyGi>g0RIe&KO|!- z@%IyZ)oSF0hQ0dS#>PPDCxuT|r7U7x)O&ybmS2~XaQi`na>p{o8JF5!BzJH0B6>sk zbB2Bhw%~bvZrq4`+rW|9*)~8YdD!#AhaqO~vhD9aYs$E;8)uLk%&{-NIH=lp z_+!OsnMEV0CvSfFQC~>h{w{0!WBgJ)b`W^J<7@7bhwExBb09jN)6=h-|Hu+sJ_#THAnaP0l#f zkKsd9F3M;Vuj&ft_t6?SsP&l)R(Km|>w4Fx-EH}3Jwjzf(=H|E(t@tY5*lt_B& zTeAN|%fvGL?eikrGAC0g+ADvNOTNw-;mMfZV&}A1?p5<5)HIXuzbca`8+o9U*F2n> zUmx5rjXHGUhOjDgQ@SG=lPb~37NSS2e>C@a5V7cT5Snp3bDq-g!MBz(*j`7<`WH4Z zUE5}=Vo>1qd?3N*_m|<}ggS)Ab+CUnxR(E#x$W^v*1#2kb7_&zmKY5(B|f3i=~oKcqZXX- z$jN|daD2A|JJ7}QRqA;aM?Ejja0=`-p2i?IurTr<$KbWk8ewI^Jf-ddF1$cm??V+~ zauG#|iw+8bcfubd!#1F@aRn4#jT~IK_wCu2n7ZVv3&&v8#q?cA8IJYWI~prnICJDc zv^_Y%6G+FTznwX-n6AxU*!*a`o|uMx%2Q)HM!ld>v~4t)1|W*d!^B&jb;KMLh@X_ zAG}c2w~7LC$D{<+#L8z%ypvJV+Cgryx-6Thd)b*!Qz8pyYr%SQ>;vD!chVNXt+Y;X zW}SX^9tJlaqnDRf;qr!rFFOBvr)5c5y;zuc6xtcj-=I7Z5;bL@pSK-^Lxr5)V$=l2 z%}p;S0d$c0kXFZZH;;K<@I&xnjstP54ZCOc2V&tYZZme#d603SJpGkr$~DE@SN@;l zc>ud}^+$!jbSBLczMSHB{~2b>-fvMcM#>72@Umf}T>hD_vfQ@pvNt$Hm){3 zlDv&-l^SHZtvVul3o!F$2M(z0=6z+}`wNxolIg}=ftL@jFvR!v1S&C4&59>P=`38Gswox*l>{IfwsfY5mR6I&e^bhe+E#91# zxZanz?f5bjC`@ho%*PqADw?0a47AmHXiz`lw2W1Qt%AJqg~@P-->|xY7Z9Z!&YMHS zdCQ_jk33Nq$|AED`L)n(f%8>;PbF)3gS#r7(|`KK&bZQ1aHQjIQ-&EjQ-^DImZ0h>`z}P*d-PG;3$3bFFt*~wQ zqfu+JO-q}FhaJJGw!H+`(Ft}wqbDBLC{d@pbw197nAjs#_&jN1z*i%Uxw z-yL-nwRi$l%0y0B9PwCIiXT2-?0S)3pj9NL^7@V9jyvgI3HFw0Zi*LIaz26IB$@$W zxVzOZZH!O3vM}Ho#mmLmO`2M`(d<|}KT`?-gkFyb2Y0v8c>$HSr5E=F@ZVq9?{T!8 zFvkez%ZF%G~F zVM}tEBX)eA_QPdw>dKJ&85n0vE)Uq)cjmi@*69>8CgOVbcOZg3&tG|Vu4e7^7~?Ku zJ{?oxG=m5je6NF6(bP?dd*>RXsCFZ-|J(ZduZ(^V$gc`{I%lgqZ8@zSG^1$WU>@5L zO(|8+k*8Ho8*jer(t2#AaU-qWAJM1^h-^pc))WTQkoxjtdn?fscFEH`T_!o`&v%FCLgf5^g8k{!^jXB)Fzdn zkNyDZ-b|O=OiYY^EpF005*vUz$&T|@cLfdv>qBH_(JYEc-wNFQx-yxhTi3K;8rdm#xA_y*^W=}Po<-sbpNV&R(0J1 z1@uSQU0VIcI~lJqj~=HtwC3lxk?oj#P0(Ac_58xVe-VcL&6)*{$&XG8MG6@3HxL)g zaeYy#{qG+T?#n&7eMqFr@%|mH+UxiTd9^n;6Jv3L5=Tu8X5##K1i>{~a20SE?K-j9 zyxrlmcIKj#fIdFYk)momL$o6iNCL#wOWV0-swv)nUp(;)y5cs%c{a_6fQb920=cQ` z;pHUmNQ$Be!ZOlILIxtaakWDA9~Hu14ev2-z>aWJBoE%W9C@e)@OzD zKgGNY_{U9-YZZ_C-=$bZ>TY%WE!7$M1#i`mMGYLxe*tn|9=zeLBX5V(#VZ>(g8yCE;1mc z#9b6PX;B37$#OgS|K0;xYUc8@YgBFQSb)~n;s*^`IjK?Tx0YZS9ab7MT$a1E-50#v z=f)Pi>E*-cP{y`&@aQ9geFVI&A2yglkr<{q(j~R}K^~n<&D#n;6>w=5mA5NK&V!Yg zwZeG_$A5zddy0PlTkzb;n9REGj~4iamxV|<4)jwz;a2Veb%-Y)g+=ileRT?K4}TBL z=H2yo6sR|6bMKPY2x{8mGmXj6RE-XQCP+st6DJZ%Qe#T z0ww^EFGZKCf@O0&t{fyFBsj~jXrCruAuaIYhyyxka;X|a)~IL%p8!1YO;+a27PowJ zx^!y?bRuA#aWIkjJFEIFR@f+ZhTRe@bgBbOP9~Lp`!!LTT-0-nl=FmRw5_; zWbx~}Mq{sX{DGA7Jdh1F4})ws0T{cc6aJ!XFY-i<0sutQ($>+1_4Ex4jWpFv7jZnt zvp%cGpGpW#jlTMw2*CbvLBYpD!ouMQ69qru$mx@CU}8X2q^i2c7N86;xHp=F-D;m~ zR1PF+Lfol6twLPg(c=cA`a!H{$(7s8qA3vQF5=(` zfUu!#7vZhPTRY@O$)Zjm%UoD|83Ax<^%1B@aPKXE!LXG2z_8!NxM9!1E4W+MSkfZw zKW`X{XHm+cw$^3Z)h<%sx{pzg=*YUflL{!6^qi7YIEga>LE zK=zWcIwL<(9j{G3qpqMAnT*;p_ElHK>*20O>P6Kf74S6%Do>2j&x}nU8tdcM{M0qj zk)TFOguUO3U^O-M@mt2mr8qhxNyF0D*fbJQOV{PoV6+v!P*v;6;KtN^eN~|m1yv7c z#gSw`xfO;In4qYhwac=n#V^rsxY|F%KHP8aI@b3jV54=h*;FY>qd_ydAR;;WDmxh$ zht*{y`fB)3`0BQk0$KjJ5Bzlm7JWMCWa3f z>!Liw`)UXv!KlMX(sDHt0_WO`U$ut30FJRh`)3WhPY0gZclGEGAm14@WrL?eOUurw z--`#252V;%%d^%w4VFh1hx@!TVBXLE_8)j#_twCF_SdiKUKzM-UpwR%t^pQDp9sBM z4i(=!A9}I8ulU2ew>pPGi;+pJ#(MfEuB>GaMvR8zsGuX=Zot?F;v>nUno7Wl&_9p7 z1e-iS*U&@d2a6Bbgj$xH6>F-7Dwaz$4I8vCfGdwWNKK+(aL9voGePe&*d<-vPjaC5 zHg8J;=-0>lnRS`qiK#NlMO9<-6o}tt>j8@L^clskH|I1pd$eTDB1p{S5)vN)hp>vq zVv9(7ulW!%KR{p#pi5xfN4_Nr1EWa6gGlbC#?Ni~1`A|5P|RoK8UQcGW1!888AqW3 zq)_W|tSknH=!Av5WW1M=yGmDg@GSn~JDSgC8AC3;S}_2rOOdUqsU)3FekutGBz_G? zgKH*OSA*PTksHPq&sxhFR(H=)vnaa7_8z_tmn1&tOVLTYv3sPEf@01!X+vKb9R zm;<7L#GaZSYV-uE#!x|G|6BSb>QF^1D3|gv*skF(SFIWM;zvIk5#w3*%I@8;ofe5CWC(dm!Mw>-^>}#~0<145DkehYr$wXibg>-%?6_V=b8Osvu8O^!Hk~mp!HvZQxCy{vn833Rbx_j%nnRKBqmm5|iBVq7@M7 zguG$hZ^Ei07p<`4G7~hIKo`&}R+Q4IzgUt&DXnRiY`wqFUY#Jo;rsrlDP;VstWPwO zVrvA4>LzLbszfx$e(?$8Z?lahVm}~&w|D@n>~X`gX`9?liLk_MQ2`k}5k(iFaTm2Q3`TDM*z5R P`TgxAgzx3OcWnL#;sw(U diff --git a/fontgfx.map b/fontgfx.map index 6b15b120..bd42cd0c 100755 --- a/fontgfx.map +++ b/fontgfx.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:51 +Created on: 15/10/15 12:30:06 Executable Image: fontgfx.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 118b:0000 0000f4c0 +DGROUP 118c:0000 0000f4c0 @@ -33,28 +33,28 @@ wcpu_TEXT CODE AUTO 0000:5330 00000058 kitten_TEXT CODE AUTO 0000:5ba0 0000080a 16_hc_TEXT CODE AUTO 0000:63b0 0000158b timer_TEXT CODE AUTO 0000:7940 00000235 -_TEXT CODE AUTO 0000:7b80 00007653 -16text_TEXT CODE AUTO 0000:f1e0 0000010d -modex16_TEXT CODE AUTO 0f2f:0000 00002406 -16_in13_DATA FAR_DATA AUTO 1170:0000 000001a4 -FAR_DATA FAR_DATA AUTO 118a:0004 00000000 -_NULL BEGDATA DGROUP 118b:0000 00000020 -_AFTERNULL BEGDATA DGROUP 118d:0000 00000002 -CONST DATA DGROUP 118d:0002 00000076 -CONST2 DATA DGROUP 1194:0008 00000102 -_DATA DATA DGROUP 11a5:0000 000009e1 -XIB DATA DGROUP 1243:0002 00000000 -XI DATA DGROUP 1243:0002 00000036 -XIE DATA DGROUP 1246:0008 00000000 -YIB DATA DGROUP 1246:0008 00000000 -YI DATA DGROUP 1246:0008 00000018 -YIE DATA DGROUP 1248:0000 00000000 -STRINGS DATA DGROUP 1248:0000 00000000 -DATA DATA DGROUP 1248:0000 00000000 -_emu_init_start EMU DGROUP 1248:0000 00000000 -_emu_init_end EMU DGROUP 1248:0000 00000000 -_BSS BSS DGROUP 1248:0000 00000e22 -STACK STACK DGROUP 132b:0000 0000dac0 +_TEXT CODE AUTO 0000:7b80 00007645 +16text_TEXT CODE AUTO 0000:f1d0 0000010d +modex16_TEXT CODE AUTO 0f2e:0000 0000242c +16_in13_DATA FAR_DATA AUTO 1171:0000 000001a4 +FAR_DATA FAR_DATA AUTO 118b:0004 00000000 +_NULL BEGDATA DGROUP 118c:0000 00000020 +_AFTERNULL BEGDATA DGROUP 118e:0000 00000002 +CONST DATA DGROUP 118e:0002 00000076 +CONST2 DATA DGROUP 1195:0008 00000102 +_DATA DATA DGROUP 11a6:0000 000009e1 +XIB DATA DGROUP 1244:0002 00000000 +XI DATA DGROUP 1244:0002 00000036 +XIE DATA DGROUP 1247:0008 00000000 +YIB DATA DGROUP 1247:0008 00000000 +YI DATA DGROUP 1247:0008 00000018 +YIE DATA DGROUP 1249:0000 00000000 +STRINGS DATA DGROUP 1249:0000 00000000 +DATA DATA DGROUP 1249:0000 00000000 +_emu_init_start EMU DGROUP 1249:0000 00000000 +_emu_init_end EMU DGROUP 1249:0000 00000000 +_BSS BSS DGROUP 1249:0000 00000e22 +STACK STACK DGROUP 132c:0000 0000dac0 +----------------+ @@ -69,9 +69,9 @@ Address Symbol Module: fontgfx.o(/dos/z/16/src/fontgfx.c) 0000:0048 main_ -118b:0bd0+ _gvar +118c:0bd0+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -118b:0c0e+ _inpu +118c:0c0e+ _inpu 0000:02e0+ INL_KeyService_ 0000:057a+ Mouse_ 0000:05d2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:48ac+ MM_TotalFree_ 0000:4942* MM_Report_ 0000:52fa* MM_BombOnError_ -118b:0d70+ _beforesort -118b:0d74+ _aftersort -118b:0d78+ _XMSaddr +118c:0d70+ _beforesort +118c:0d74+ _aftersort +118c:0d78+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5330 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a70* CAL_OptimizeNodes_ 0000:5b20* CA_Startup_ 0000:5b70* CA_Shutdown_ -118b:0d80* _finishcachebox -118b:0d84* _updatecachebox -118b:0d88* _drawcachebox +118c:0d80* _finishcachebox +118c:0d84* _drawcachebox +118c:0d88* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5bdc KITTENGETS 0000:5c3c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6194+ get_line_ 0000:6206+ db_fetch_ 0000:629c+ db_insert_ -118b:08e4+ __kitten_catalog +118c:08e4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:655c+ LargestFreeBlock_ 0000:65ea+ _coreleft_ @@ -177,52 +177,53 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7b85 __STK 0000:7ba5 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:f256 textInit_ -118b:0d94 _romFonts +0000:f246 textInit_ +118c:0d94 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0f2f:01f4 VGAmodeX_ -0f2f:02ca+ modex__320x240_256__Enter_ -0f2f:032a+ modex__192x144_256__Enter_ -0f2f:038a* modexLeave_ -0f2f:03a2+ modexsetBaseXMode_ -0f2f:03e0 modexDefaultPage_ -0f2f:0446* modexNextPage_ -0f2f:0516* modexNextPageFlexibleSize_ -0f2f:05ea* modexShowPage_ -0f2f:06e6* modexPanPage_ -0f2f:0736* modexSelectPlane_ -0f2f:075a+ modexClearRegion_ -0f2f:0872* oldDrawBmp_ -0f2f:09d8* CDrawBmp_ -0f2f:0b3c* modexDrawBmp_ -0f2f:0ba2+ modexDrawBmpRegion_ -0f2f:0d0c* modex_sparky4_DrawBmpRegion_ -0f2f:0e76* modexDrawPlanarBuf_ -0f2f:0e94* modexDrawSprite_ -0f2f:0efa+ modexDrawSpriteRegion_ -0f2f:1072* modexCopyPageRegion_ -0f2f:11dc* modexFadeOn_ -0f2f:120c* modexFadeOff_ -0f2f:123a* modexFlashOn_ -0f2f:1268* modexFlashOff_ -0f2f:1338+ modexPalSave_ -0f2f:138e+ modexNewPal_ -0f2f:13de* modexLoadPalFile_ -0f2f:14c0* modexSavePalFile_ -0f2f:1538* modexPalBlack_ -0f2f:1562* modexPalWhite_ -0f2f:158c+ modexPalUpdate_ -0f2f:1afc+ modexPalUpdate1_ -0f2f:1b76* modexPalUpdate0_ -0f2f:1bc2+ chkcolor_ -0f2f:1eda+ modexputPixel_ -0f2f:1f68* modexgetPixel_ -0f2f:1fee* modexhlin_ -0f2f:205a modexprint_ -0f2f:21f4 modexprintbig_ -0f2f:2370* cls_ -0f2f:23de+ modexWaitBorder_ -118b:0914+ _VGA +0f2e:01f4 VGAmodeX_ +0f2e:0286+ vgaGetMode_ +0f2e:02bc+ modexEnter_ +0f2e:035e* modexLeave_ +0f2e:0376+ modexsetBaseXMode_ +0f2e:03b4 modexDefaultPage_ +0f2e:0416* modexNextPage_ +0f2e:04e2* modexNextPageFlexibleSize_ +0f2e:05b0* modexShowPage_ +0f2e:06ac* modexPanPage_ +0f2e:06fc* modexSelectPlane_ +0f2e:0720+ modexClearRegion_ +0f2e:0838* oldDrawBmp_ +0f2e:099e* CDrawBmp_ +0f2e:0b02* modexDrawBmp_ +0f2e:0b68+ modexDrawBmpRegion_ +0f2e:0cd2* modex_sparky4_DrawBmpRegion_ +0f2e:0e3c* modexDrawPlanarBuf_ +0f2e:0e5a* modexDrawSprite_ +0f2e:0ec0+ modexDrawSpriteRegion_ +0f2e:1038* modexCopyPageRegion_ +0f2e:11a2* modexFadeOn_ +0f2e:11d2* modexFadeOff_ +0f2e:1200* modexFlashOn_ +0f2e:122e* modexFlashOff_ +0f2e:12fe+ modexPalSave_ +0f2e:1354+ modexNewPal_ +0f2e:13a4* modexLoadPalFile_ +0f2e:1486* modexSavePalFile_ +0f2e:14fe* modexPalBlack_ +0f2e:1528* modexPalWhite_ +0f2e:1552+ modexPalUpdate_ +0f2e:1ac2+ modexPalUpdate1_ +0f2e:1b3c* modexPalUpdate0_ +0f2e:1b88+ chkcolor_ +0f2e:1ea0+ modexputPixel_ +0f2e:1f2e* modexgetPixel_ +0f2e:1fb4* modexhlin_ +0f2e:2020 modexprint_ +0f2e:21ba modexprintbig_ +0f2e:2336* pdump_ +0f2e:2396* cls_ +0f2e:2404+ modexWaitBorder_ +118c:0914+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7bc2 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -230,10 +231,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) 0000:7c0b sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -118b:0000* __nullarea -118b:0928* __ovlflag -118b:0929* __intno -118b:092a* __ovlvec +118c:0000* __nullarea +118c:0928* __ovlflag +118c:0929* __intno +118c:092a* __ovlvec 0000:7c3a _cstart_ 0000:7d0d* _Not_Enough_Memory_ 0000:7e3f __exit_ @@ -243,10 +244,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7ec6 _big_code_ 0000:7ec6* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -118b:16ac __argv -118b:16b0 ___argv -118b:16b4 __argc -118b:16b6 ___argc +118c:16ac __argv +118c:16b0 ___argv +118c:16b4 __argc +118c:16b6 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7ecd __PIA 0000:7ec6* __PIS @@ -271,28 +272,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8210 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8255 _nmalloc_ -118b:092e ___nheapbeg -118b:0930 ___MiniHeapRover -118b:0932 ___LargestSizeB4MiniHeapRover +118c:092e ___nheapbeg +118c:0930 ___MiniHeapRover +118c:0932 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:832f _fmalloc_ 0000:832f malloc_ -118b:0934 ___fheap -118b:0936 ___fheapRover -118b:0938 ___LargestSizeB4Rover +118c:0934 ___fheap +118c:0936 ___fheapRover +118c:0938 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:84a4 _ffree_ 0000:84a4 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8511 _nfree_ -118b:16b8+ ___MiniHeapFreeRover +118c:16b8+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:860c+ _null_exit_rtn_ 0000:860c+ __null_int23_exit_ 0000:860d exit_ 0000:862e+ _exit_ -118b:093a+ ___int23_exit -118b:093e ___FPE_handler_exit +118c:093a+ ___int23_exit +118c:093e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:864a __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -311,7 +312,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8ff1 __doclose_ 0000:913b __shutdown_stream_ 0000:9155 fclose_ -118b:16ba+ ___RmTmpFileFn +118c:16ba+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:91c0+ __ibm_bios_get_ticks_ 0000:9237 clock_ @@ -322,7 +323,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:945b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -118b:0098 __IsTable +118c:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9653 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -355,31 +356,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9e1f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -118b:094a __8087 -118b:094b __real87 -118b:094c __dos87emucall -118b:094e __dos87real +118c:094a __8087 +118c:094b __real87 +118c:094c __dos87emucall +118c:094e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9e2e* __exit_with_msg_ 0000:9e33 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -118b:0950 __curbrk -118b:0958 __STACKLOW -118b:095a __STACKTOP -118b:095c __cbyte -118b:095e __child -118b:0960 __no87 -118b:096d ___FPE_handler -118b:0952 __psp -118b:0961 __get_ovl_stack -118b:0965 __restore_ovl_stack -118b:0969 __close_ovl_file -118b:0971 __LpCmdLine -118b:0975 __LpPgmName -118b:0954 __osmajor -118b:0955 __osminor -118b:0956 __osmode -118b:0957 __HShift +118c:0950 __curbrk +118c:0958 __STACKLOW +118c:095a __STACKTOP +118c:095c __cbyte +118c:095e __child +118c:0960 __no87 +118c:096d ___FPE_handler +118c:0952 __psp +118c:0961 __get_ovl_stack +118c:0965 __restore_ovl_stack +118c:0969 __close_ovl_file +118c:0971 __LpCmdLine +118c:0975 __LpPgmName +118c:0954 __osmajor +118c:0955 __osminor +118c:0956 __osmode +118c:0957 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e52+ __fill_buffer_ 0000:a0a1 fgetc_ @@ -389,9 +390,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a561 rand_ 0000:a5a3* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -118b:097e ___iob -118b:16c2 ___OpenStreams -118b:16c6 ___ClosedStreams +118c:097e ___iob +118c:16c2 ___ClosedStreams +118c:16c6 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a5e0 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) @@ -404,7 +405,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a84f __FiniRtns 0000:a84f* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -118b:0ae6 ___uselfn +118c:0ae6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a8b4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -430,7 +431,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:b38c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -118b:0022 ___Alphabet +118c:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b38f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -440,18 +441,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b43d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b448* __get_errno_ptr_ -118b:16ce _errno +118c:16ce _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b44f __GetIOMode_ 0000:b484 __SetIOMode_nogrow_ -118b:0afc ___NFiles -118b:0afe ___init_mode -118b:0b26 ___io_mode +118c:0afc ___NFiles +118c:0afe ___init_mode +118c:0b26 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b4b9* __set_commode_ -118b:0b2a __commode +118c:0b2a __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -118b:0b2c __fmode +118c:0b2c __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b4c5 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -478,9 +479,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:bacc isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:bae8* __get_doserrno_ptr_ -118b:16d0 __doserrno +118c:16d0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -118b:0b2e ___umaskval +118c:0b2e ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:baef _dos_creat_ 0000:bb13* _dos_creatnew_ @@ -489,9 +490,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) 0000:bc1b+ __shrink_iomode_ 0000:bc5c __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -118b:16d4 ___env_mask -118b:16d8 _environ -118b:16dc* __wenviron +118c:16d4 ___env_mask +118c:16d8 _environ +118c:16dc* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bd03 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -512,7 +513,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c259 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c2c9 __EnterWVIDEO_ -118b:0b4e+ ___WD_Present +118c:0b4e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c2ed __flushall_ 0000:c381 flushall_ @@ -534,92 +535,92 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c9c9 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -118b:16e0 ____Argv -118b:16e4 ____Argc +118c:16e0 ____Argv +118c:16e4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -118b:0b50 __amblksiz +118c:0b50 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -118b:0b82 __Start_XI -118b:0bb8 __End_XI -118b:0bb8 __Start_YI -118b:0bd0 __End_YI +118c:0b82 __Start_XI +118c:0bb8 __End_XI +118c:0bb8 __Start_YI +118c:0bd0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -118b:16e6 ___historical_splitparms +118c:16e6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:db3a _DoINTR_ +0000:db2c _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:deb3* _heapenable_ -118b:0b52 ___heap_enabled +0000:dea5* _heapenable_ +118c:0b52 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:dec4* sbrk_ -0000:ded4 __brk_ +0000:deb6* sbrk_ +0000:dec6 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:df3c fsync_ +0000:df2e fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:df41* _frealloc_ -0000:df41 realloc_ +0000:df33* _frealloc_ +0000:df33 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:e03b __setenvp_ -0000:e1c7 __freeenvp_ +0000:e02d __setenvp_ +0000:e1b9 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -118b:0b54 ___IsDBCS +118c:0b54 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e225* _ismbblead_ -118b:16ec ___MBCSIsTable +0000:e217* _ismbblead_ +118c:16ec ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e27f __mbinit_ -118b:0b56 ___MBCodePage +0000:e271 __mbinit_ +118c:0b56 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e35a _mbdtoupper_ +0000:e34c _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e368 toupper_ +0000:e35a toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -118b:0b58 __8087cw +118c:0b58 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -118b:0b5a ___Save8087 -118b:0b5e ___Rest8087 +118c:0b5a ___Save8087 +118c:0b5e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e377 __GrabFP87_ +0000:e369 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e3aa* __init_8087_emu -0000:e3b0 __x87id +0000:e39c* __init_8087_emu +0000:e3a2 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e40d wctomb_ +0000:e3ff wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e458+ utoa_ -0000:e4f9 itoa_ +0000:e44a+ utoa_ +0000:e4eb itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e543 strupr_ +0000:e535 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -118b:0b62 ___EFG_printf -118b:0b66* ___EFG_scanf +118c:0b62 ___EFG_printf +118c:0b66* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e58b ulltoa_ -0000:e6c8* lltoa_ +0000:e57d ulltoa_ +0000:e6ba* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e739* _dos_close_ -0000:e747 _dos_commit_ +0000:e72b* _dos_close_ +0000:e739 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e756* _msize_ -0000:e771 _fmsize_ +0000:e748* _msize_ +0000:e763 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e782 __HeapManager_expand_ -0000:ec8b _nexpand_ +0000:e774 __HeapManager_expand_ +0000:ec7d _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ecde _fexpand_ -0000:ecde* _expand_ +0000:ecd0 _fexpand_ +0000:ecd0* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ed13 clearenv_ +0000:ed05 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ee48 __Init_FPE_handler_ -0000:ee81 __Fini_FPE_handler_ -0000:eeb8* __FPEHandler +0000:ee3a __Init_FPE_handler_ +0000:ee73 __Fini_FPE_handler_ +0000:eeaa* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f14a _bfree_ +0000:f13c _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f16f _bexpand_ +0000:f161 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -118b:0b80 ___FPE_int +118c:0b80 ___FPE_int +--------------------+ @@ -635,6 +636,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020d70 (134512.) +Memory size: 00020d80 (134528.) Entry point address: 0000:7c3a -Link time: 00:00.00 +Link time: 00:00.51 diff --git a/fonttest.exe b/fonttest.exe index a5cbf84ad6271f5c3a146e907cb8d0a086759192..e37209fb22b3143df8212ab0cd0ac39554951fee 100755 GIT binary patch delta 26648 zcmW(+XFL>+8^67sJDW33_R8LSlXbRip_CbS=vUm?&LQnxr20`5*{)<$Dl42#^EiZr zko*5W|L4W?d0u>9J};i{c<5!If-(@*#lHaH2mk^=fD!>NcbWIU;r}K6Uk3wV$QHCi zn?MPDN3ybkR@e`P0*YDCpRnTgzrP+I9HqtZ;gWt_eo>9ZVqsEnq1R9V(~agm;7#(A z<^h9|bSaU7XkhtAmAOBd@do9sD%QA!?lS68keY%!0b!k6o7JdJiuEZ zm=71u5c0ZG1%3rY)t!dC2g6BlKKiVXN1X{`0u1!26TrlQ{{v_7J_i1WP{L~>sL#I~ z;tOnmS-qfXj4dpo4@9W^o*6>TDjcDAO&8@Vv-fwUgo>`i&v*pp3@ZJJBE=z&K(2gb zaC7arjO#XBW+v7ie~kn=Ir>{b_aXc@kx3mqPbxVjwk$1OMhMY`u{*`$1awPmS60Mi z;b@kUuHKdy-;47#ytYg|x4*JSK2o^TAsGq$I@eUZEkB)8iC=29m>8fzB@(V4eI zIM%Zlay_`USgScKpk3y{`RgR^r1-uoAm62Et(yuWju(r*t(AW3WglF$#~&rjB52GA zFG!4d1(RIu_GHwM>HB|NT&ue8TjsA@B&4zQlNae>+-v96IPae3Y9LbiCG&u)0^e6|Y#xLyP0Yz;`cT1h36 z0Ewh??c$F}uQXg}Nw^b}&Lu9rVrRwf<+&7Gdj8S>-y8GlCW|yO>`=c8z@Eaik9Q#S z3sdCfmjcor9LGVD{=^2^(GMm25rwdKh-sVed*{D0G0~=~i_XIoy5}SJEv=TI2R`f( z!GR(16j{2@Zm6I^gLAf7T0O3n`yAlPMYmI~WD+P;l4Ub9*)Y@-22Wl~Kf4~1^fuF} z`xwuM`Z+vfXmO#!}iNLQy7U@mD0rjEt#rE^Y7^O&O< z=8U;rrilf>@>MG={&voO6SYvI;o<`z|m0;_f2j7h?}-ZV#4D{}} zpqn1@-ss2XWV|&wPHZH!bt(re#jjJ3F)b`|Z+{eH@KoZexz@4y>woR!8PGEGXJbAbh= zv0R7i!lt-ILW`Y9>=o+Npo1*pgVAk$_=nCGht#KmR&;O0>sdnKrXJCo`w6%MJHUG3 zl%1k}7^b1l>KLfRf#u6 zU_`M`OnzRnFG;`LwFoEN?wJ#%x#+D%Zn~_$!Ssg;_qdiI`Tpg`rgdrk!@kwo+W4K| zK>NJNt2BC^N>!Q{-OlJ+2OMUNtNZTLH_^_cdz{gCnxp!yT;4b+lo#d$iOm`%m?p1p zLFIUN1rzrD@2-KbJvUFCO#uZ!vs~h`Ln5yvoV|n&{k8DLZg8Kzr?u##U(luTqqX(I zm*A;4xbY_$10!udi7TSxX=TT}c$J^{^zZ)MW7w@{Q0cIYk74Eu^lIVj<;et_)|-W$ zp+n5e44uC}ynd`Qy;Cx}V^{Q%ZupxXn_@9JUd?*E%!{;q zyUT~N0SsFax8)W&%`2-+F9<&yM=ZI1*Yk+u@97Jz0$r`Y_{}v=x$9VCT|~Ix-!og^ zr1aR;)KI5yKmbc~ns0Ic&)MAZuC@1zY`|#QIx0IaJnfNf9NLW$7Ug7$#4ob0LymFB z`Oi$QX*VD&K6HbMy&Mv9emLeNGXXdi$tr*>zaC!7b$@A$br1>UB-u1*cn&CbFxxpc z2rFIFd|zt~$Z4A^u@M91@P47qp;HcL=#s~7|g&x`<9-pje08g&T5$<*sB2flEkI~;{jflJHIFmz%iiR|Pn06S{T#@V0w#hpw9Ef`@ z5+`5*!i1>v+Jp%7A``MQ1;|`$ueVB5MjZj;!k_@F?k6VqgYdSXTWbPz;f~3km3=Dm z)@K`*#sbMV4rzgEt%*5u`$xohgGAZKJ4Egj83mg2Z_bb@x)Lod8hH$0`U#xX^r41EDrN=UFEwos_jz}|Y1XcwmX zR`bXT$p5-^Vc$Z}%D+-{=RdiA(Z*D$XGS%h%}Bw74UOMS7g>UWqimgst~q2ig5Y?{SA+Lym7eHei(Y^;SB_P zISag=d4_j=>GH;*e1VPeJXJAyA0Se_wr)aC-i={{j`*u*SU-{pdA(=&j{HWt_|T9= zq5biCG5^363`mRi?3h;iwE~kuBQ4Q)zb0Xz{&O&)eZx))nt`*@n))8xp?j=;<#Ynq zyZC7^SLpY8R{`>Oj#Tc-FP^4u0-T?Q0i@EC`gp2Yv&MC(CLC3DmN{?ZlB&}!6K`F~KW5Qos1||OL zpDPk=V(=$5ajQX8#Mh11N9>RK)?^)?Tn10ID_PiP)XSw&Hh+u%|&;wU<`NX_s&y+-Y$=OF}ylY1U~?~{0rr8 zNkYUj^cN&}FERM%;#}7Wgp}gqb}jN3@5vFz(;f~Ozpb_-0u^qf3iRTkT_=sLUP`>X3#VaSCH?#a2 zWX2fSb)dRPyBw(={M6Vpx#{z%{o_0G>{-(i+9x7utNoGvGUvv8Eqt7s^l8$)>NZQw zF*?=I6cZqUB?%VimURjr3bmIa9z31}z|(F1Bsckd*X~5ST*)-;7<#o8BhlOr?#SW8 zZ@9t0(kw46q8m8OR9^1WaZe)#VD||)R9YjenVP60mW-ZnPqb~G)&Z02U76tIZBNnO zsXy(xHbi8)1SrdSGCnPAgpa_^sScWrQ`@ipza9R=@N6F1lal2w?mBsbYFB^ zV2pq=o_7w8yf+`z+-|d@!YN^QuBHSgC-^EmHF3p#ThJyo+knmIZs%v8oux1fEcD0t><)=Ha@ zoQAHpkrJ`PX|j+$EMSHBOf5>zz0rBg<~fEXU(+9MBBPiqsB-m$^vr`ucv9mjLrt)_ zalg5~LUBN{w03*&&ak6-0{x>!A=lu1SAf^^i-Gm`71UJ-b|qhyeE*&YOXBLRG}!nO(B`*ME*6B5)Vk%wEfqIq13FBPbJlP{jO-yJZw= z(?waLwfv=@VyFkwg_>rM&=|=ZUMjJ`(+s7!#Vp5YJ0RI3+Ak*&rnyu&9K`$DD!b(0 zMtNM8#QHTWlc;oXMt54NsXze1Hiy9te6{o^XZqDr!yi6P*JnDaMdo}Y`UEs9K>A^lGnepZSEtFA(z)M*t)X7hr7lq`1gz7xP|n*6s1Dq= z3c7!HvoLteOk#UO+3!%*BCe~j;f)>^GaF1cso`!?2gy-2btDw*-<_~}XB0KRlbZt4 z*j~tA@Q|U#k$9cATNL2J9{RPx4%UlaxDW3}=3TfBl!rTek5``bG&(BNt3Hq$wGlxC zA!?*F=SPj&D(8AVxZoaN>~D8%L|&Ao6O+_(XnX8d%e{b` zVBv2%V}Wm;21T?311{m8^@Z3Zo@Ht=Y|@k`hgYa${wo5}LZ7&wN4qqqGQLc&bD?ww zD@`Un>w}iVSNo|8!?YT~ZCT~=O}2$Wu$nej;wZ}5^+qbNZw2qOeH~aZ;p21Nx;w#o z{Hj{*Rld=ky^J4M)jD48Uj0OyT+r9TKg-7~n(W_q-4i%lwovR;1gNabk*T{=rX{1< zmUIji(9nn&SYbhj|Gt?EK{A2Q?X#Q$U*;j`!!Ej`R1-f)>l!Dkh|{t#Iv%tS*ESWl zq`y9vp8p~rs-kx~jUZEP{V;Unyo1zy+Jz_5f5@>%<|{Yyz+kaKxS7UwdP%uZ)8{UJB1jIsm%HSB2PzJm+}%4s7Y zC#YRZ*MUoO4~#ERALwu6{`-~KMrZjQ=lN) z3X`l@5W1TYgR*_L?TH)(r}3eYwFBCa1|`pl7AG1dvs+4HwF;%Ptua(wEWgo9P{eLA zsO^DO6Fm83d`xy?_;hkOEY~M(qwSVrhM9k3l4AR*7aZLUPUuoWTTDHm4biCwZ~Igu zqngnVm$UfFD^E;pP{Qe<>Tt>Fxwz!hs<`BoI$R_I4j*9TRcmMjyad}DiW?_JJ(^nu0 zqL(y_S|R{m<9PrH+ai^)IziCJPbdKX8Fa58TahO(go>O-5&?iD(9QEmz(KkCw1WLc zfp=@X$PltW%8AxNz^iMUl>nDJ@T1Is%?!DtDKN@c!;a*#1&LB~*ozvrBFjlzz~N&4 zyR1IlWRXo1T+p<0RFMxzFe;1 z!d0SHD)5+DkUS7K&KKMHoE(~~&WMLxs!t_)1-~u|ekBv6Y#YBjQk2~E>Xfk-@VRL) z^0yE;^g{Zp;&xb|Th<>4)TtMH2y9dFVx+e&n2Ws~N^!j5BQyCE@sD8Rs^&j{wEi}g zYh!V8x8!N*L8#(CmYNoFb$&{+d<^&ER2aaTpJ%eL-`jI(toM-i=c}olp!nm*K{%)H zYo0nM3Z$fKUv1~z0>tL&y%c1BS}sV3^n6Xh^hP}Iie6ka|Tvsp3saTn0)T9*UTCDs4d`KxA z9W-ju%pV|ir*GFjVMh)(mNPiiF9V~$3?+j$Z!b-r=7!gtZTE=GJvclfFhXRio6Hn!e7LyKhe4AGEw}96PhVX6dlj3s*}GwKt$N%lKXD(8Sw(FstOB zZt}l*2o#+d1%ksaN!)-hWeA2E7Q6KS6hD32_st7t&uOF7jc5GlFPv`Wr&5dV?;aKo z^N*|zgKAe#(As$SWXRuZjl_O5enRQkPZM9F0E2JG6*|bTgo$tRdLgcip*&pe0dO_r zL*nsxYzCNfV_dUc1q6o<*&F#;NE{pqJ7TV6ERGYYQQK>$uL$|_OJ#~*=|Vq81m|Hc zhwX|-!R~9yqvH2+-lp47g~)6Bfa~NYs9$lzS;(^k?rl?$)WM;~^aVYLA(yI|wWeX5 zyw#OvznZ!ew*?f;t8n@^OK4<``pPRos4~0bI$=)N@&alO;!lOn8R>JnrjcRTAOGz7 za_TkPwQP-vbv4lh>3i*{V+%z82Wxl(2Xn>7(KVrG}5Ui-@Id3RuHAk$R{j7@wbhre_i3dOROyZJo+a_U2Dq(9B zrKmm5t)-8E`UQ1`Z4~FQ*o2&fGD&Qxndjl*&{8mDIMOB_&2d}WNCudsK5Jf#TldZr z+G_Urhtx_jU`y2AGpg;;`f5eTO1(n0Wwst40s0MYn$V1gpA$)28e3*tYOx7W@2bmLl5i73va zM`OX~@y1r!XZz!!Iqi?GFBsx?1Vft^-imgMqw(F(&2c$Tu8q67-f&a-^Nah03>AHw zMV;hY#;|cP4eK5h@R68sBq--nG{NnSjx6OqXG~}`cvhq;L>DZo#>#L_sy)U%`CQ}j zi2!TI4pY&~6)QY8N08U)7fs>}6X-mfJo=0%!wd#lv;>qOf?U1lgd=~!oV>@cOYj2V zL%egciE1p0ljFm+tY#@TpJ9pjko-E;_KN}6NQAzq13&5s|L7%r>QX7fFO?xg^5Mq^ zLU2U)3jDqdT~9c+Txey^ON6LBjSK*t6cQgX zZLL9@x<=Lz0FXv8I~YyA**nQm=+M@~!_DKHU6eIoYB9d@NxOZowriO9YbsAjT*O#d zEI}_KN(ABT9+U~#_4c&20U%sYc>xUZxd6axnVTrY$p%66`4v7lzrpOVq=z&gcYe-4c-zuXy(mb`YPPND^a?Lc z-P>?;C-Fw9BmM5$w-{8 znDlZ4JoOF!F$bi6ymWeUN!(Nea!<~ARPNUrWy)b&usC?rJe4k?Y!Pa4G(x=Otx@Y# z>iCdAr)F?p7pEVkHMSWffYodl*R)*tAont7)9C)1r2QIXHc8P=LRZvspNHagJ{t?i z*$KJq)<|#`+^qX-EYD0zBT|8`>x^4#NOc-i9515Vg{Jyj!R3uP*E=!;9yXCUKd}M1 zoKk&(4e^0o%c);z6qsGGqH{t~80n@{MAjNf9KQnjNx#~;n?dm)ila%GnrX@FSG zSQ)-kaf-ui$TEd&)=5hr909Jc>m(0d(~*5MYpHo@`g#GmT0_8jLi#cZ+?1+29Jg)P z*g2N0OP$xOZ(0VQJtF$KzFjx;wJP3{Crh`C^EPx%{ob}OcZvKAtRSsTOn6CO|8P&@ zcQ5DBLFDY>8@_umOw@L{)m$0={)6Q&he-`@NGzN5<>Tf0WvX{yC^jbaY3jCjN<0LH z6`B(mCXC#`<1NLUYJI+9j$BhFnlo%^d`c^!k80arr=}j||IqhCG%vL=+f@0(&_!1^ zS%OEf+am6q-5SkBZWdV>yxD(E`SuB0sJ5vf(!%w(&9FbJ1 zP3`XkKP?g0a}0FK){iaEeK+|J*V&aFd@UM<_~Se(NR;NBfNVU)S#K~Ll4(x+Si$B7 zx5$h);x+p=tdCXujL*anIc7el22reQqU4R z1nPwT%4bir`e*PckI8}d%_~~xM}bAuM`6$sdhGUWFF>0*3vBt*e?oml|39jJ$uYTA z)vYMF1{aaxH+8a;7wXZ>POj1C5=ugEY_p2nO-S2q*vOM&m?%(u_X&5kRk71NlE;5f5UotstYVli2Pls}De>XAMS>rs!^gr2I;XJqK6Y|;cq<67Z*%(yR z_quj6GA^qLt3|HSNh73^XL;;K!XGRPrh>nb0kwCgYRE%wo+_D|Z!|`rk!7jz$THx~ zGKiKSq+fHoAM_4@jc=``e(x>|aI|auTGnrWUR?%!8UE@6;?r`5GOFnG0!gF&anjFs z5hOMK2HVyCrpD1Jt&_PDjw{TokxE(a(V{Oc-?8HA3 zKBerj;r`Vl0u@w9nl2nJfO*Hqe-kPRE%5r95~+M*i9k6n@tPxf?iD>n$S+KRlAcZ>sN_tf)xSX13_bG| z0fw?gzTLBSVoy#G)o>62_`*@JtiJ3cJV_RmFNyrcumhCSN4|&-nf&7Ov$H+>DZKJh z4_`@!o%OivKkPl>RkF_s5mh_2=J^GDo82eZ<#Tf?m#4hT(3uTjS-))e z6%+IJIdMcF;ay>Tf3Y;aP*hRm{h;n^9AZR3=VPR3syyzW5$Z=R(Ysh&;d_OVO_R^p zhQHE84?Ovn40ohQ^xhkEZjU%&%D#_K4~A2MQyX_vwNndHL2re}0wBB%BrcuhULp=bmK%@jYXLB zHs@|znKFb(1rn3nvQ;37HeWj<5mXT_>Ky*uwBb3Z+{1I(m+ne{LG#%L5lD&8sd)LC zoWIw~UP>F3zE02L&o+_GX~-Jk$HB_N)tMlG?7iN&GfxA5!6gBVdps71dxmmEjCcAX zKNw(j?`|}RU8ujl1OnZ|+7d0!0sx|uhK3;d-h8UmQh+E)Qn@nkDhw>~;Etn!4LGL) zKHFgr^8DCnndN13`8BAdcD4P;x_ntF#C`k3ru?paG3=p{ukV-&V8XiN4S=WfC!9Xu z#$pof`;FsHLW1t?kwtNZ(z#FmiH$IsZ5Tt8z~9W!3m!;wfe_J?X&^b?1rxb1zb8wW zvQ)wMN-`1Cp|socasbI00o2Q)6K^VU!@inXJ8Jj~%t>Ok5f8AScLT9tw0nyV1pe*T z|0Pm9*{%ZTJGsMTgGE38g57!$ctxqzQ-f-^cV|9s>kc9_v?}8+6Cj<&!g*@zLh}BO z3K!4Mx~f13Pp`^k&3m#V@FsCGs7Pvm z-M`uD0$2kO^gzZF9(jW3CId-7855r(O}wt;@$DA+MNzL0j*phJGmRCZ9K=vD2l0JS zkJdQ%LLX49l$MOowJHC!`SVvum(fk_-aDULF2QWo7s360DUjiT9q#1Lbk-yQ+|`ja z{Mvp}vSpqzS%4jbOiPVQjOGmloH&`$I32M26r$Ry$6e%>Y?;ex0BG&9gTm<@2cG=L z59OaQ8fB$B5i`kTDciWyWU3hS>eIsw1yF1+#NCL_m9jYGtPW=F$+W0S+BMXi(DmT9 zNE_?>2V6kL>g;xWxVbujZ8+R`7}Frz9C?V;;}z|^byAb(*7iP}NPenmnVx>YsRUH$ z{dQAkB7MWSQ}a3|uCm*2JejuZVmC*^CAkjHKFLpbc|Ux zlWz8tUJKiJlMc50^+@?|`_rdx^%}2q5&C_P~jL#gb1$Vz*xdfapD* zH6qb;k;|8H0c4<0QP`kefS3kUe%JtV#7!-0=EZ{L2XJ+lL=ZT!{9nH)Ew49V^b6!>&S_xev@u zj}Qb$+0rGX*Hx-=W;~&t87<<}W+3p_3%_o%vCm2u%Ypi;6XQwpD6Aett+v9`2@R%y z-iS!~Gd2%0tMtY985O(uqaFm;IRsJtNh7^wvi5y@B&b-Ho*Rl6;dd-0T$G7cvR~EG>j7a$wpY5d^S&A zroD&eWOzzcldNr``b*imdx*dHOfbNyqO9(_TL3h7P0%k~1Agz@mb)BwojtJz4OK6N z9Tk{>U@eb#2s(i72tQj(^+hZ$iyTppt-Yp_-Pvn@UPElmmpOOtywNEHYTT3nQrzzf zx*@Dvup>2YPg9Kp0d9bE{YcCOC>4(Amhjy$K!k%jTM&N;w%}H-CNT4Q-;qHhAxs`l zw2RCq6w9PZt$#agmTunpiV0|EAFb!CWJwv75t}ooI_s`s5Rz9|zH3ml1S!viKiLDT_HGbHpIPbElytRii^$ZZ9 zhqKq=qq*&~Qos$iOFaezhfWaP#D$O|g;^AH#4b5xhzSujH!xi#8$Ybb$i(QwzwVT5 zlVLsLFFH7UUF~~-m5VB;@c4R3u>F?Ro+83vB592mXIZ-nR1^K09Lk4eK@LkPw3dWtYV`WxysXHZ^ylm#%l@h1u)X*KWkFMEt;Q^8V8;fag zR27=qmM;?l&(psM;H%MSJUVk}D2(eD)IM_a14>BEt3+y4{0xc#Y1Q-1zGN|U`+#w^ zFrje&WHH|rOASU7j{SswINo^~qwk@f3~VXY@iyc4Va!ooe0$+P&(KZ*EqH2433bwH zb~)&@~*<=xv#9?pL7r6Pf{GJo%8qeMBKX2Os51xTVqZ9--DP$17WPz?e2#zv?Y zLd9=7TwTq8iL3zvGjO}|P|lcVoC5ngS6E&J_7{sJ2;q?Zc4r$Es!d9giq=-s*>HC5gtW4sRk7+FyFnt<>#vZMt4pU)0%0lRp%@V;dC zT>}Tvz_9C+12Wo5yP`v!DI5U@Sq{dI+bCSojY;WT92_maaLaJw0?sDo-d+;YTfFN1i*Dh^`{3sFN ztFT-4f-o%j@FPFM9o99oBm`_10#gZtmP-wy3Sh^c%@eyrgk&c4N2U9aBt{PeYt5dl zp|UHW#4!}GY_ES9MD0|f{#p~A(1n5wql&o|-`fEp|27qTSLKvwn4(05w$IvBgrWkt z-z#_)9jx2ESGc;YE7FJ)oL;5q_kt?Ef?+7GfaNe#Ti=43MXU2UbE5PRocFwI;%~s3dm2AX310m^9UbR*owjJ9&x`h z>TBs+^k1ZWEo=Z|uR89pYNiHqtgl+n?hk=TaIIT^`ireEQ8I+MZ{xx3d5A9i3-6Zx zO5s>)(D}304#i7C`sf|ioh#u@@Zgns(b3SUaix9+6XklSlImZ*AKQ6`mvifc+c#U= zY7MMK>(UbI2KAaHpy@)qKVXXrh7y^Zl9#*PeGCtzrEZ_8SB)ER)IW<@6%N5SV_dgE zrjr$>emE286T4OLPuABGxzC(0-*EpFOqqCG*DSm=+_8(+UXqC|Z5hsK&+G&8zlJ5l z5}TJ4fY9nGFnIxZ+?PMVP~pCe2B6^w;;iTv7Bz|dW$Q~-I z3o-4BK+~K=0lw-@w15FCC|?f98X*l;g54SVn+0B4zaUI+2YCkeTE)8>^OqwlC$xX? zwec)=VnAlCAV6kUT|1utv-ifu`tCng+Npo}fHD%CkBDo~6@T$dLWTbH9jZA)QW3dO zri>)(VSj_KR=Ba%VelW7w#aYkiS!$2M%pt_ywL?6a~tLLh}%y|9s0#4K|V3%#TsF^ zKHph%(T$ts#PQa~>I=z;T7_zN1hhr4Uy7IPPQH7xTknK+hFmh=R_dhG$??>cwoL!( zH8=iOt!#KRnNvXE6%{uvqMBZ;q4SXG;-U%CvS&G)ehLB=ekyxaayN0;BR|Td1r^y< zuASBiXyUUJ4`N8ZsQ{A0D=gY6VN7iN|jXBf1@cQLlu!3kxnorMu%2N{5d%x;BXMnE_Xx4`i74Q#pK`d|9jM&);#M z-i&jEIq{pr#d9F_ml>R1mkQ=}Q~Y)9#`no6uS`5QbHU~#&g`0rSoI^XI1_pMOaa5B z%SUS3RrI%9H$@9N)Ix{w9m2kgw{XB$+e>j=K**APfCe&Vomnb=#HBV>v_U zGpX(VT`ljbAdxyX_vg&&#OJ~BZ9_;F8GgeSml9Bhw!K0gh`|E|RAqQ#-zU3Z*t0LV zZeM_kF;QDBq+@)cU#34(JiHg{9dz{X!kR1EuSxU0lh}e%)8i-R7?C=b?cZR$q^SP6 zL_`v-Qa-I~^a~wbumkkbw^I4oj7a2rK+cFuKml5~uWfrdoj$2AgCce#&Uv3~y9iue zvf#Q-)jM9j_3w$7#u}0@p)qiC)J33&nixDcy<$$+Cgxon2AlV%wQnKz%20=172sag z1uOJqF#m5VRQ6Ck!f-da{*)rWsJ=*Hrw=z_7LLlh4S~lr2wBwF%|fM{s&7_PtZ6oE zBW<8T+65sFTf{RgECgG(Yy#`gt#m6zyhM zO5Ynsaw1mnNIlp@fPpi;5orN^N7J3U&)kMyr=Emm8w|7Ti#%;Gs;H`|KZk0Pq;cPF zsb>PI;}HLR);C?&Oglub8&FdwalW-I_Z;o7g2=)rG~gflknN{f@x*!MD5g}w{An9Z z@NtK23JRBh@wFV3SjV26vG_T(SKc>MBS`vff|h!TeF%JE4TXifl;4rjo5HEtTg|Og z2W2%1BX>h=bB1S1N^BZWowXGtj*s#XeUPJwt7aZhu;U4Z@{_}zFIho6a`i2H*jMQ^ zH=zGfA{4gReEC64DJk^kg`Pd&{Yi}@V8;woQJD<4FMpI|xX4qBpSir>U&()=?-s3j8`}m~9qMqVYzfai-C-@f8c0S5R;&57 z4pIpJPAHVf9$voD$1Z#FO$Dc#?&&HrloE6r2LJm^F-?*yEcO1bkT-ixh5En(T-Al7 z*g#ijrDHEhEg#{RA-GF&*?MztwBXExc&o$w{^^W;R8qST&3)jN^92}Z-AFYj5&dnh zsQ||LIh}DxAomIvO=KE>MX(QP++GNX(>F82F6J9l%Jh(IX}}SDM5dS@N!-U>rQDf%h$x34%UgADARn80 z*wNGFfFaP+B-PkU{CQ|HIT;qnQoh#hk5)_O`;x;A&~iPKM7`SS{n~Vs4F<$MUvB5U zO2r-f_>ff>1un9E)6<#N0fK@sOT&vB0sjT-I>vL-cP*`Gi$@oaH6UQ|bQzh?y9V_Z zox@Sbwk{FN4Kl^Fj{Ng%YdtveSpJZtv*|+vDIn2q{T&7np3;=LU|+{+B|WnbO-6K^ zW}Q^0?}DHh>V{=19uQVr{qjxo+a4Ey2gzyL&WqJ*3wpR0!8+=);!)T!o~jD4K-8w@ zZzIh&?6!b%ulLGX^xn!HRS>$zF+;1T>f@>+rQ1uds(0+PEd2{m1=>aN zHIPCwpQm8~pAc1!-=p-n6DpqUExXTl!rnfZILEu6bLKn47|Qi{h~&K?L0tGF41P+b zXM$*}jgN7pqq5XA9!~LO z{l~u<76DLflr6IV%W2O(?jle8p7BlaL0-lY)a1SD^n1Ukw11-$j0_V zn}e(xW#hAa#V#?LB3KVL2>rFTupcM?@;}v5dpy!* z-Mo;M7TqT-^CGW2_@6PLqfnJT!Smb%!sH1c>hNBC5D(A3;T$y) zSzHu4|2Qk^9&&|nAulu#x>!jb@ZQ&;SPBY0y)vIJp4BTv*xr-c-@E|XUcN1FBa~&s zlR3o^g7TQHiUWU9`E+w+qbNd&bX2q_(?>_Wo73Qllx_Yeh9qE>-yK4D0esF<-8P1O zgv2&rT<78TfW+U#jjU!!Cs^eOHNmh`g&-Px+#Su=y2~{jN>o*@msRT#t`V2y8=Cy? zoh2VEH=%jdgn+C4_91Cl``YWXoDolje@Um5-xUGS4;Gz5D(zwDTYQ`q z&tFm3X*yH^OUB9?4}+7e^8o5UI!M}ym}O~GK*-rn!>;71Hl^xW*OfK7?qo8hW&{ln2u_3AM>Uw zS$`T~5iR-!h8>3~#~9uSMv(Bi;bc^u;xM{N7A_F!Lf=*D=h|X29+w`M{@mU1WGh)5Snc$zcBm(_Y9VY-0vc$aMLF(&9VJt!K4$aH>vqQ5~H4i*%QKW{irSuh1d@4SQ> zxA|&Ny~SOr^9pVl;}o<2V%yjrku11okKl_zq1I7VW;w@4Xx9qLqSZ%|^Ht{Uwwu~g z9wdFoKEJ7T=A5t=%OWB9$$OOP^Yws%9j|i#h<{i~vUfU^SkuFwQOU5!Pa@D!6-?lG zC|9Zw?h88Kb&SHX77m=%0)N43O~Pgj#`aa0(3;d5=6+2UUkwH4W6+UrCH%B#mc@By zyd%Kr{k?6AWvo1h2BSrvynehS#*KI~CP3_YZ0=+aXrw%upg9iUB5I0kX)71tXNLif z2>K8+d4=9Y(S6uRJflF$5^g zTW(jgB?YEk8&})hZ?2aIKcDk&66Rx(X^vhU$6jo==qI+oP=ZhFGz#QeVlh4;$fA45`TPoWxAO{5?0f(}NK)`L~&K zIoAK(GG{7H<$?l#Eoin6yo?Jk$_mTRiJR)XZlx#a+zj#tDV;UIs7BO!P)%-eHeJpl z9uDOCCD=yjhyTt$?9$-ochaen8K-URuI@R}%tk`qCYqX%2xBilV>z&pl|-eN{QJ!( zVELmp)*-qo_#y5`nr^zd;A;8?>YU@6erH2hoBDl z66xW1Kwq;`kkx=d*g@&}JUGK|=Bey6)3VZdEun`nKCY4XM`^M+XNC&)nw-!}gN6iW z=v-h+fAsrM^yQ3>D*oUR3w_xpwbHf?5M7I~kxd*sFviM=4%&D& zGzGLnEr&&?lAUPI6GydD;FJ$YmF1ip<)j6VH$t)wURwNwi#@ued%RX^wr72cz$xV) zoDKGn);#cMHsXpS0IJ9gA@dEQ>(idXpd;-=w><$1cCR4^^7(2*KLnLc^C4{{X#%%= zOOdlq@`W>KdLZcdoHQj{-g`l*T2)-YeERtWc}==UQUl@;SN<_jWWFGPv ze}{ItaM#)NjvARWn#i065T)irYJse{O)t zXidcfA)DeSBVLZhrH$NoRg2y}LYjiW2c8q%!Ljk<4Z?+j?91hsOA;M;{;k~%{JcdE z##4V}zDQH6?CBS7)^m1e3LjB|^n13(D$%?I{k$JL6%$PgZo#kN3zNRUS>UC{2_^qB z^`Mk_(bf8+X3`kI52Fz@wyL}KV&z-FDSKaXEu8pZ=J-v3FK08ENO8GXP)&%B8AWyt zkqJDs1#aK8{wGfPx#D`|XPaofE4NVd4JbZD94TKv+ZzvVnvBfx9=e@+0FsjEld z*apnK6;yK+fYpuR)H^V=_X{+7r_{eQKue(G&xfz%mASOfd(L!kI`W3>eEqG5sfazJdJ^e$PE36#DCJNq}RQ~ocPj|oCb zq#p0i$TQ`vNINf)OrhleHFO^SQ2!4c|J*)~yTjetoXy!=*;!>}bA+Ocj7WF%jeO3` zj?^hFBN8dfiexKkkdzr|{9K2$koEcX{u|!!_v8I~zn{<7JBhCtb0x@BWaZj>ey(21 zl4PsZOoA2b^;x-t_psz#;Lbu<^8BxYiD9jei_3l1MoPb_yt2^7Tm!t***|X!{rSRT z@wMO=M&nV%v`MqZKCkO|OXqhvvG|wK%IGsa@#_bRrMr8bgNzOLY>YD%&!l`*CeHKA zC)qQlkb%~=(@0Z8Ryk^I^GzH40I#m^qNcSL)K@ss(ZcV3osq-oe(lyS+N=m zn22xfEhHH(!DC}*+}DnjtA+4~MUv*`)$&z=&YQ@%`zb^#SkJ{|cYk>`Ut8jcpIz)t z;L;e}wHpPi2gg(ATXmCH*}3y(9a*j)C_j=HfK&7TbCcPFGG@%S({`F!uBZKTb67Ue{$2CojG>YEInRX?3p-J_e=}FV^v7?bV!G45 z?zSMXrW5e^snGQt_Y{Yc!%VQc#lE7BoSHjoxBorBR@5q630jW(wElLm?F(yf zYmEn=Pw6j>v%--gbqYFVivBq=->l?@mckW!gb4cWGBsUPHKeJ}Ul!+4^ z0u)eH2?{CB?x^1k*-w5l>+o4qYyTnB+|lHZgl$#g z%jj9$>SBin%9%QNKzTOt)H96VJg-M5#%dL6i}=Brg$i!ioq)J|QTr;pePA^oit#XN z<7bu5bL39NQ(ig3g#+;1p2u->BLafimN_ut-=ht|kA?4h_OKskpM>S$wO)`wl_HZl zSYZzmkzvbkwN$}S&K@xSLq&(%`KbmkE)W-k2EORRb=kMH_CYR>ZR!?uhw}cII%UUp z;K_&6pPYbhoHzXA*)W3AUc|8QhcnjAeiWAYok(;Qd`ug>x!KR81|Cr^Z*l(p&J2qHb!TGh^Z4kfcaw$tq%8VuN#dhKv zb_D8|LK@(N&F@l%AWtChgNX@B0lY)?;A%Uh0MKX7Ag@ zwMN$T-l|nCZOf{(wv#9xy1hQZGF9zZvrod!BHbjS~0*`V@rcT>*mx@`GMc4l&_@ zj;o$bR=(&9)hnTnlbK>{fwcFPtGW%@Nb9g0If8>(#1=@ zh99-|N`6?*YL;a@dn*WU5Ik%vDJpLOU6d?+_+GCLIs5>If7rm6Dt8d?rgbKB9f^6&0zSkCk2 zq4-SV9bt2$HC#X5tJ$g4kh##JZ{Q=c;2zW9$$S&)GA|b(%lKR!rr4QkDcN_g)0lQ5Kr8q_ zCvGRoY)?XXyXrXhYQ*|CH!=UGq&#Mp&u?xPKI9K|F}J1Wain)w?9a4sIV8g2Zy;rk`up!@u!UOoOmalHFs?c3HRa zmm`ixC;04!p6__vtVlXQxLYZ8yP4)&ejPLmKc#5qynV{k>;TIhGqGyKq6wXf!GZ?N zecWR}*e~9EX#%D6VR(J1Rrr{k@}bMh1d7_IJ^as(j9C=RdBk*Y^gHQdX^<@`!dpDZ zJE}Rk6OT1J!=!t)s!22v;rjtfk51N}DMh3Q%+geM)Y%+9UA)HSN%Nv!BGwBED2zc_P4%{D3@)+_^N2YOcgb zmf0Lfjs#KWIc>WvbV-A)JhP<=q z+{sSSTNzL$vU~3y8B|2m?uG5NLG9@7F)?ZRPj_G=0UFQHLGgW{nyl2GzYU<~qbl*a z`f17f>|ZS3i~V8?(*Gq&3>H`!&b~#8#zlqe6q%N*2_TX zArNAe^Sa?+_EA~AgOx%lE%06nX0d0_!;!#U;N1%XWz{u{&{3SdYK&_X^=)t2ZTo1ct3UGw|T!eKN~K z>%0SRVO^pDE)h93i`h*pUXShSJ%w1qAtUaCBAje40( zetUMW0#=vIko;f~wiEBO@oe*$qQnAH2X;WrpA`Z(S39aHp;+ zoj;u_uHK~d_Jl4|b+yxXEFybS9D07~3U9VYi|KHFXb&qU+$un3@C0i3i)~@;R$6XU zW9Y;#Vex4r3O*#Unzyep{|9e|=l-}=L)lJCDjQRbzTRUV5;~U*OugAkVL}5CK zL8%bSP@F=*gvQe#}3v@hs2thaA~K+y;cUtz&g*?%Z1~ErNLgEwac?wSEJ=dUluV z8Y6GQvkGzIXM|hV?v3|4SY;{F4Ynx?NXdVhioC!#(mb&i;#B8fPrBT3{ALt1!sTD< zl?V}9;%NuoyV7$g_PMBk4K?0A2WV{YdL<$WKmH_|okesX$Hnm5;yq!1VpjkIomdkr zt?9&xxGOf)aP7rIt%vYHflT&rS+k+&|Ge+zG^P4Ft9Q9F&1_ZEvX-isz&6cvNhGUo zB?nuIS?$LHO?E#~&8szmCZ{_f?qzOwB-G`Wbb*)0yg;ACXT9!Lki$Nk)5+gMvlb)XG7Pl(R_K z4ZbV&H7;T6b2N37LItQoNK2Q~4v{?fxP^>bau*?5^!=4@=QEGFJKx`^NnWb4SBt-;9YrjrEB7zRg@kp~2~W!bpMXE^PE|;x7^S zaWt~F1B(R2uU%AWJpb3hTCY#;TIkb56V|keR91GPcdJ&r#))9~9r(2ZhI1I@;KqyF z_UG`&@3IyD7?V+gyuBT$gH4`yegCvsAT=#H&?q}e!i|-VJ4W)os`~?+T3lWa{efTM z;vgL~e?qBUTOL$wH@Uj$3%Lw1pBM zY8;W2<)2*4I@%{ZS~*cx79?uRpRAy9n7?cW#Z}UD9B6fqfIXTSzQ8u93OIWu)%r+H<5}m-GbXp(ouE3TxbIV)u)J4D#>>4*b%itU z2Q~WyKN7XPb{g+(y6kX8x5mXfRLsJz)(FV$*mOL!%;`ey8=3Seb4bI1kjxC4J1j8| zZ?U-Ipx}oCm1S!z1LHiE7 zTtoRhH}LMR3W-#gM}rANP3B}j^_rFkOR0yC*o__`Tx0tk{Z^JO9!mJ84gVvaQIIr~ z#Hh0fA?KH;CC?l_TN`y8J3uop!TmeM@m^;ThwpB&ql8}cZMgp~h~>3+7j@3o$g|Gl z9gaJ7a+_mMw;WfGna%!}wZW~TI#gBkS4<#^4>!M_zEx6Uo&Ms`Psz)R32ebOk@(oS zEk*Yb;bmC#mOGo=pM6>^>0DTiPqe3JRt?{8wE-B6iZIeO47mc=zAaFLj^1h`Z3&iO zln^Dj4m6opf$XD1rUVi-rbdI-H;M=q{Z!wjoRRKa9Q4jS20HNdz{{ohhD zO%(6)`amv*L>CU<{xrzby7F^3<+xm3jIfc-aYqdfzSpsa+HWB;1hRCDRMtlXGLl)M$Oiy}Mx66l+L34_Cz`(6~H zT99xQ9nHXSuzYlW296^jNXIh>9FQnP7iNfXNMt&NA<7XGr%Ny-Ia1Pe8HOx}Do2-R zD6A>=aSOg~U4p+C2zcchi zMiS?2G96^FIVq|1G)6inBa?oPk;Tc*q31I4IQa$i^Nd1H(FJ-j<09u0hhD#zd`=#nv z3@D^d$Xl9HCXjK9=GkL{L%;h7V>}a`)QelN(n~;u0kPi0E+BA{bg2AN7_e6m#37p{ zyGNFVk!;%q6p#*?mWTN#%piCx0Aq-)vW2K!J@}?j@+~Q)At2NFq0WEY=a4u>avyoJSFwrz!1@tMaMz@bRh8(s0&( zyRj?b5__Q=K(Ti76gVSbzc(h&h!0cIT>M=5$WG|``TiUI)_ISWogcIPs=guq*YA0# zXUXv#EUIS0%O7Cvw{J$WA}>ov+ZW*+)rrCbJqUhN$}b3hUc}1AN&%r9RgGQSwi=y> zO(QUi<8qJ~H~pbBvunI?&MBrcychSTp7PQfu2~V3nvFLBQ-fq>_VNLZCjq|ljqleB z+3gKhb+8_vNBr{A%UcgC74_OE%6#?_=ag;cA=hpA0Ux3!blq)tbe?D04{s;^lrwM5 zHqN7&NFiNLqr8mMe`eW}WLDLCq|RRVmHqgW-T!U+=po63sRXAp)!etmyFQYuDY(MI z%o&L(k`%3@iE|T`JH_gt1Zd^fuoWC}hiVu$F7`-0QQhukk<51GD|F=u+WosCRZ^-?%%*Z~ zYrpv}60|YjfmrA_i%)$w9|7juFs8d()AM!%mlVy{B@eLM!}A4qAsWT0;*v>tL$2#d zZmzT~+THkR8X%LZpLU1Y6iS>KItAC?_x&xaEqz@!dog4aWGDNsF@sYt>eCkC5@opV z14-HM3OXAbygnU znzQ!?a|PajP-ws0+o#$GM?B|mkP4?%gvSk z!>trf!vVGpyHC~a^IlnR~{)pDi+q&VZk_u-_$>Qo|oa%0xp3+Z7snutPQbD9s)f;qR$lZMno+2rq z!Nl2U|!&i9=8ZLGiSp_h{{Q89N$2&U1nvABGNde((E=2sq0?L$Bk8b{2; z6OhPx>nx1oWJjmAb*<&^2Oj=99=-*x@l?^#$NmigMISx%20TJH2JPzneRm`q$TkeF~|Z#>TAEIs_f>aG|ycY9`wDq0bL z)rKTR2om;_8~rqmtaOLU@0bPrD$yKzq|!Z=g={X;xfuh(hMQnQ1REbI0mCKOb7y5n zs^zWd1^CC2$B1WzL3o#(qroD-CjtbQ!Z+}3?tr(DKU{SJDpBm(RNU1JaHp#YP4DvH zLP+A_*<$fDSMZ*LMAuDNZr0+T*&f;3v8QaxKd4W?D~_bAqRBdkyW$29V+wr;sG>5pwvh1sM%B zdBeg3YiFvGCtP#~*g*u;E>qbw`AodxJ3*_JYR&z>dX}y4qRz=BVv2nT#IC`m_VRB>di|yB)m##ZLBsotIUr*jv zo3O_B_j`qhq}UG^f~@Dcl~b{y?DN!q`JRXggr>`JF%gZ)mp1d`u*|wdw0RF;CBG`dSA}A)j!-g zn-rdZpPWG)S#}D_stveI6I}7Jjzp{s5 zywoSRq}+T*RGT38Hm9plrCgh+?i(6I8FQ4i7>&d{DkHZ^DDq!538LHX59Gg)BSZ`> zg=zum%U(A=Qt$p3GF0a5Y>kyR87f5a z0o~ZVyQ{k0`^^cwpFjejm1Z%$!F!-%tw2@F_1*AOj!GsYw>o=^9-|BS4r0gL4_38~H3`Em;2p00HlMdXF@Yn<&sO7)&)d1OQFzkkX z+g#-0w*{Pc@&VTS-?TLPyUyLmvj2x+FT9>ma9^tEu8Z*!YI9lZr3G$0%53r2&o>NP z<`goW*)suhNfbLheY(qX>qA z3AnG#fYPS%`dzZUyTK7SNX}am&)wywsmPd&Z$yTvtc-w*jzThd zyyUg>X#8w}T(16;h=mUdKpZ3~fsw_geD}M`gEiwFC8{i}piHiP1 zT!izE%*m!K5D|{ZX#{I1+Eb>{jd&S`E2ECjPTcn-Jnsg^5kUBStL1uM3lJqJ(2I(#nO6Jzq{+K#{9Z_=MFyPhY_Te*Saymouk3z)Qh_xzKvb z{~$2s`|cgfI56$0)xR5-}ll!#Slgg=sydRVJv+)a~z}DF4hy%WB?LW-===wg< zACpk9mu6HzTPlDYz3Ht#lZWq{e7Un^`4}yg0c!v{u15jqw0I9h#d^cno_t2X&pam# zYpt97473>W%RLT>F`h-QWT3--OrqD&ZN~gS@HhD6d|z0SI_RN2g|eCZg7I*~Ioi$1 zuk+StPkhkue)vg@b%b)BAj1b+ySsBoW&_EyY1ubc zeM42x*j$+{Z_0BM`_Hv6uSR(KEpR>h{=5Qh5Q(X1a1QUwabk=k@}!hgMOEG`W}T`& z>88cE7%_!~tB;lhL@x&6E+Ia}UXFr}U!hCu4wVw8VnKYWWwuRTL*YNBu!Fc0s-9Ow zNriFmk~OFCL|c!iVjxjcS5F@@Ff=kY*`uTR+Z@YxlB{LH{4f8-bWAK&upcKGl`2?AG9qDT5zu=}3?|SJ zK`<~LlXa0hVi5Q)K!4wJ1U|Y4le8X2743~gAdVl7w0eMG|D1roe0~F8!bRxRM8WP~ zNAL_5$G*5M%o)s#jk~;g4H|ThJ$7lkXK-xH(*^Wq1>X@mC5)&vwVcJ^3=-e>BV3yE z3|z-n55-9CH3#1DV+WD<5z?8e|7%r36w{=0U2eN%=IOi^I64lMnp*3gd(LNDGGxwn`nO4g2h;l$RFKqxb`}?ue*HR)GIJJb!d6*#dL~sJP=ip~ zN_ll`|6k16_pkt=PSiaKKDL)-WI8O)1KKO6VrE=hur%#K`}dp0eq92AWa2Le;gTs@ zOl2e|VEim=@!uL~3nlJJrfC}@QH z83EDMN{+xp|M$q}$j+)hAI`YtCz%hT@L+0KtW+x~|LqopkfK=QeALx;O^5_}Wh!}A z*wy`?S*WK&)c=7s)=r8sR8*j?T^CchFw&z~27d3|t1IOVsVGaTCRzu$tSE-*Gh#dh zC<2i9J`ubCOKqWsV}M~(toOSX7zYDAY6Q@6;QxWCJQcwIA(VNw z5M=N=nK#b{NbRl%p>1biJ)n13($5fn>QVkMt)n1kPKC86g_|n41^4jCUK&vDk0Kz> z%gB9xOwY079MW&ua2OaqUW1zi*%5t#=Vfo;_?L`r0kvvg z9w2hv#kRA>W^z0hIn@~K>;(W*?D4G$0%vmmz!l~? z*@<;)3jLgyxaPG*aMjuuR(Cqs`sX8h3!|FVk)gI{zcH3eI^IqR{39qECnOh|O zs~}~Gx=BiGq!3FXNnkEt(*90!hi5vUu#fs0l;Kg{VpzNG& zDSuafsUiaSI^h#joFM;Q(*=AVJLxQt<8oXyU-ZhVOR;yt=P&=Y&S{uXh%n?)V+Z`J z9eNCgu+a}o)>jB{PTM<)mHm4!_Lm(^vKO(F59>qNO#R&dKFL5wtF13Mlk#Zyo@~>a zu03A&VF}*q7pKZGXg+&_z|J~nS+m+TY%>Qya^-40xSlCSB8OO-0Fn&Ro`BEr_0Q=W zs)-vPocvB?d}7q{(g&yK^?i4`W|FqFj29pYJtV*;+{x~7Dw=R3KoJ)2f?(*hS`=S& zf=bV2XH1#X_ZUnQ3&cBpSp12TUF$8p=CmW)sASu=YJiwH2OJ18>`3IJb?oLVkpt0m zFAQy#`zD#)wg{f_{i@t9<0f)_O-(!gHYtMMU?U%hiRN)kwnfLp(So?RySj1$|6YZ8 ztuYKNt`0AsNadlsff@?46ll@9;8^FtX8p|k@pP)mU4a$`8ApQMa^6x;%)@9;A1qG; zW?%+(_uE{E4Llyb8<^}5swQ|nv56KHr{(l`@(G581@_*gXdAukxge*~I=6MhPJvzz z1-Xn;D~0YKD0Fhu2DttE)_5_Um5NVd#AvOZ<_bNwP7{Fh&^zn81mFBF`Y^pr@XO~z z#kZI_%?a5~{nJKV>yXZs#x0@vO#L34RTu>@3pxKR_^)yYO(=DFKkI0wZSShGg2EGRlQZKs5D!~8@Y)a&0|o;~atr3L*AOj7lEP%myT1N1Df*#(-HpKZ;U5uUvJgGl3I{xMh#)LKDUqG~FhJ8x+BZug zg;fO=f|fLJbKW`#^X-_qy%^RXN$< z344niEi39{$I}wR<4WyOT5#2!9WiimI0+^{BzttChvu`>@iOT-kAu)J@(wM(+fL|> zUb>f&ox~tlRgS{vD-xD*+B8976iFU*U4OjV-m#l5U{k2ov?F~e*(t7IECXwosf3(@ z8>;ELiRU+}&BYj#UcFk)X9w-ya!}KYj zmXDYwC2hxb@CYuPKX?$ej=9M&Pe}$P1wdUealC1f*V`}Ha#4SOquL#q(DwU%vS#x- zG?$u}FMmt@+KPP|kTI;@5|AMBb}TJh$;(W|=YH?6{YARn282u#%Lp?zr)3J&-%2VF zZjk|BFjS(*8Nl)3GO%XJY9n>u7V2^WE{Optj#6EHIC=nmZ&CTK%{F-6>#Ci3RJZcBNM$8QRgp_^En>RA)=x|{+AjvWOc7sN{ie$0oeY6 zsJwjqT$I>9cLngmnzprOqOfL&?&W%fs9@ZT5@F!BfOTS-yEt8+P)!O+5j4p6d;ZPd z8lNp3R`sDXcNKZ-ob~Y@YS(|&QZ((qB7T*|WTxIS^L-^e-KPj9NIER2pLLyZe~yNJG7y5Go= zR*t-Cqb$eyd2dY6Qy0Wn=N@Q;eBCqhCvCI!^q+J*Uw=cn>rejSTwRliL^~Fv!q_L? zx6N>4g7n@;%iDLdV==ccZJ&t3&1^>64+aAtNC{|s^Vz5i+PlJ zKQ9=;S^;A=5a-M{maiQtbmrNt&5@P99squG-_51XV|_*;-X`@w0}ki>q1p) z&sLx3_`EO(<^=rN$U`gq!AY$O|JG^fjD^z{s1(Aq+49jpJT4`5v;f$ z%~q(+F8EA~Acp9=VMi)S zFisTWzpCTk9?AD-znT9vMCd%*v2?2OeGIpmU+wySC6zKND5H$=g!=~` zmsPs(j&+^bat?mCim?_%Mr>Yt!eV`%wkGQ6&X1$AhHG zD&d$w8}(;n69Mdx1jF953-VRp@);iN_n5G~4{}j!kC+&#CA)P`+qy@Nwg%?ICw@3JXohC-HYj5kq;j~unm)#HY93;RIwy0^=XZcU!Sr@lbl zj;Q=QcT*tI8Tt|wlVZBdtZU(ngS&fcBrwsvx>BbDS?=i5xmbo zq?*Blu9<1c4bNPHqK!`3xqiUPv-6`U1$q zm^J}Ed$&#)kF-~)b_Uoa%=FI9FA<(>I^gL7SenvKohK&@iYBSgG5c)ir-s4AVOQ{K z(vIhu?&!Zc-~f&(&J$4#N)c}6nCzWtw=t0XxA6HmeCTQS{Z3K{@pFLVi&T!}(xqiQ z$Nd}1{mZG($cv)W^J(vH6xyP=${;%W;K1i9C8P=7BObF8g+nifjtaLS+q=9p$p-yp z_g|dlZ1OnQtCeYDvg7w@U5}MgWRYc6Uxru+&t8&h6JP@aqzu+Q65EO+@ku^=%w2xF z;)&D|#cq>_(#_MOk~|76alYAIsiuNz8C0{1nHW?R?=;YbeLL0ERt>1!#JQ`UoCxjY zJ83k=sBtpp&97uyX}`KvWyk3=% z47wK}C@?FtW?CqOe5@vb1yCi<(2S?G#7+kl%iS%RXN7_BL2*2Zb+NC^}60I^~Lf< zE`1c4v>w84XREKauoZ>@o69QAu2#UiJkFB0w{ulC2rZtn@3XrNiwaCQtORl%vmkgn z;(}BQPCiWYxzSx^7%Ffwf9QmO5TbybB%pWut_}8e*hH0HxaD_QCCyi=r%KV&2a)jl zyJvc}gnt^FTfS3F43K_${M+u3QjxHF+C)?H18E`G#v^tU8TlVIggLeJ=-l{*Kr zyJ^^we2m+}>6*$n>rI)sx9UYXI5o?xmsycXl9yyGakP}mT-%_*F?5gjT>l#k9OB*bau4;2ON zy|*fI`Y%iYVJ>mg3LM2uH_1plVG0beY_!ehFanqV_GeEmx7GcX(^`C~(^0U&N1%=S z1sF-|5+{9k=JI(I{+Tfnl^ai1cl9}C+gtm(ub_sNEx7$(W8MM#e4dgm1bXEa9j9k> z6m#c$P*JkftVA3F)5^|7-8kCtP5w6tQ1Uxa<#? zV^CNrRj&Bnt^8o>w#2U;6~WA-Zi^0feybi~4IMm1G~sN)2M&l)RRok~{C{D!&dI3U zcFs{UNOLE5-UFl?M+O`??Buom!rx#TFLe7;eONsvD!f(p9bz-EoRM2e_S`jhI1U47*_SA;hUr^OkE#to|j&%If`kL`= zgVPA5O}tIhr+)vWU1;x8d6^<6xC2r-VYX#K4bD71g!ys|^}#hI9oWO`v%|b4JYL}w zaZA6`n)^ny`VC%dm$+J55WjbBk6Jq&ro#+lwA`#doUOP5#qw#K~dC6&akbsIyA$ z-+g~4xoH>ST}di7z(qwLG(Jk6bINj1vT-m%C3Weuh?Yc5>GSXRB_x5GzDwp-v4%=} zb3Xf7tfi+~MU)B1&7>tQTXnhcv)`)a6fAb+(-n>BcI4>oHZ9PAW``)TL$!!<8eQZe za`Va7_id93>HFVS6;xqoJJLw9)>UORRiTzuNNEQ&H1yy7nE7HwIVeu_iiccDw9v>*G*iz|Wk5V$v5m6+OEGNW7()C5 zA;(JrS5I{;-}(n!CV-Y(--5CamH0ZfHqAld%dV+qE)BVR}h;rCNu=^TxITdufVgO2ZDoZe#N-~8# znIalP%8APlvm~18ZNGsy+^}VRSc_7XoPp})l-m#PZQprc-BPBIm4b$IT^1p9=W*qsGQgOZFz3KM3GlA4ORwezc_tuqR+{N#Eg zP((-}sO2%D!D21xbj(3kLU>X*>|D-lTFYG}O*2)W#0jM{f=6s!cIa}ReoQT3q6ML6 zvD5NJRt;+imq&>6C}d&kl&xT>_8Lrjdk*GoyBa3>e=*AnL%_CCpWI>MlUj`Du_?7O z?XTdXDq(71?IPuj-c>n^?*n9ce6%zWA>L9b-3C7jI|(OLDXL}k%F0`O|DlYJ>8Zib zTeZhS1+P=dnM%f2Xx%ur%9jhW8M_pvC+=F{!T=i$ zrXUg`uUibYEY<+vh zl;Y;*iRMb-wLxUB6INJEM*jFevm#&{?}+bzWHZB&WEkoDwqaY+ypDu9VC)S`Nz4f* zaP}%M|4{k!QSKjRwuMSsQ)PY+KtbRw0*_g1rp2)UdzE>*>#@770KNHt6C4f)RjWJucv1PGfLvG)oS3qxZW3Z2EU68a;V(f87@eAcV^yL zTi3KL_>T-TJ~aJZA%*!NaGv@X^1Pdj0k)`m(Wld!&A}i1laaSoI@2Z*isPmJ-|e7Qjm3S#Wo#`ozI>!ljLs`s!IaBQ1{EAAR}sU$DV-6 z>pOYy(p9wP_mp?l#{NxxEd(z4q|&WpZJ%7M_p+3Wi05UZpgyHlIER9~^@0{we%-Z; zW4`$s-EEyrUqpgw2&cZX7JTj%^pQpD6HIetpvP1};W3<5@1Q$am1SijR8^3ZZm|UD z`IJABIMDP=E1&Q#eaGo33pW%{9!DpCYwaH~l+@jtxwm|lb3gn78mbg|`Y7_^w6Q{l z%boDDIpL$O^z{RZsXEEjlxs3q-h7pIV7YV8czSlja^0aDuKqK{9!$z?H1reK65w?< z8+vX$<$wOp5%0rspdPqb0(<+ya)yr~V%6oBc+Smxms$@QXN`O}^BVXspKWL+^A|kY z+&ju2G8-NOtyiC-w9N6y5`R{g8ZA}Uqpa<%rrrWd&>s%R6p8P6Q}I`}yCG}zD?qM8 zpk&7Qr;`epe;Hu*Z7pV~Dn1QrZv@S-7(NV>kyYL_76)clP4ClIfnmM|QsT-Tnsu)c z^H;jB?cu~>G_84sr~8}PAJWM-al`|_(yaztD829k;lyDRr^HnH@ZXW0kZgy<^n7H&2r8O?$tbLY6&Zp%sE046DoJ_|D& z-Z-9ZkMoyG)5t`zre_=GCnJs$oI$bkB+JcekbAC9Ge@lF)w0$B$0UiQMQG((R^TMV zlM(P`i8%h#q$3o1na@l8I^Qe`u?*+%B9dK~509{7?M)eAjTxecpxM;HzwW55lM{>J z@`q;kMT-WadXEq~?JFAPAB#hmFh4|&8}dn))b==$Khg@uwNO6*!3*gM&BxM4T=zGq zl^a2ZjW^Qy?ml`=myQ!P;hrnW=8(TObfLQ;0%5=ROi;vi$FucrLHq+(_Sv*AH#HJP z@c1WPq4Sj$i`%7(g(usxnU1%ZhBCV1kqU{MUeBF{A)%|Sk^c6$A=2K zdR_pTR_|;)QoV|F+tGF%)@a7!Gc0!h8!+m}5`jpPvbRE-u0PZP0Ng zJ_@{z5UfSnGg;Xknx4>9Ic|BrJV)gVQ28FSA+!>hw?+s5|8vypf881L0F8~cpl|^Y{Kh_BfrB0%ns=S$=CP5cH z<6oREe&;ju&%@Rhpk4&1GrEOjqIB)L*N~=z4H4^MBu31pDJs#!DK<9fS6EWaa!MRr z1OveWaeC4QceN*N%xkHN>aMHg$gl*p|KcLU)U!-^;^L!H0inkq8YPw<;=O~#y7d6p zdj3e@{N6-e(Sx;ZQfPw{(!LU3JT^UtE^n;?>Pq1z_Wy#4M=W@5Ny4t~11D*{PrFVj zk4Z^NVLDyaDk=l7Sz5ib&3M3Z+XqzARyofZ#yuQy#K7YSOz8tLtX z=iw=q+na7>1O=&eP@=eDGjE9g&_$8bQr!!j>>AlrMSej9G7v1vWzR0Z6*moo$QwJ$|Mz=_^wmLdwJ>?Rh!r-`jFRLYDud_OMQ^&EDVW~AGo2O3n*HpSQZgs5$*07;F z6t`1Q-~J*gnmnh~5VZokU~_zt8Kuj7@5h@dM%86oePFJKJoH`VEE8YC6s=C<7v9n~ z9^RMeUSU5u?4N0G<$H)m5AE1ny(^V{v|{${=x1GPsZCY-N=>=Gwc3MVrTY1vaNYI{ z37}1TK3R-zLJ#CVS(wPy58z`<$Y;iJv4=8~&S)?6kZo&<{56LBZC-zhmLg}xnyTC% zbd_WgB}4@~DKi)C)&ckBk&OJ{t(!$Y#q~bvewxXS3?1?SpWQqg@d#lMLa=e9n7_1Ziptoa8ws_B!%J+3Tx|7}~~5DTrVwzuwK0 z*rn&k$wfWz7vlIB$0jF4d~7*)-=()4=ZCCdm1sf4mh*^;rS$kI$fg(e%BF)*65!SW zMz!vOTO_E}pz473x%$-sZqcGuo`L4Yf4=a#T5mvLpTk>Jr(PzJ!kD0q-W08P>RsUI zBA6HpDrx7+U1HTw^iInNdernYzhBqh9RU`Q9m2S1_^F+42H-du*xdBDw@YI}pY}P! zQD(JS?NLE+6}~s4y7qLtB($P&FsUkzBUaRQdv&6aX;Qk{f{8q>BOC>p?L1W$uqJv+ zy*NaB#Yq9*A-hS6Xv!4jjubw7U?EEM5f<^&gH#I-v6L7U#1ph>pIh;)(cFfpX%-na zj15)Rn%Cf`>*PNfDj>&-FPj`S#rZ9#|AVsceKIllwazX>7eD>A%6@He73cHKlh&a& zG>AqG{j8x7`KGB2m`Gx1RGQc(v6VtXH4FRRECv}iM-96VHV_+s39Zl-BtpBsB7$OFk&P4 z6Sn6$Nt^Oqq#uIshZzqd9|-^av$X?P(P|!*u$X)R4|@^;28@I$ymAgE-#eqV5)sn6 z8!zN=#_P#ud9O!=03)A}Uq6%wdodgT16Rb%d)}KI>D9TcM>>hCN|Q-#DT$OD)%{hK zm4^ZSodypG;sw3GCq$^6QSY@D%+992cgIb0|;O@TakLr-{;sQOHiSx|2NJKXg|#NO?xoqH(!-q-r|>@ z=f!;VB0Esdn8P+}|JWMQr&HL^E@1A$Jnv<@FAr9>W_`cPy-c$kfBy2+Ub|iF7X$i+ zoqR8P;=^l;VWow>c#&QSX^rVWp_geIgqQ*zIBR=SUGl3|9D~>+sga zMb+}}BEUUQzGcH*tKry>23b49x6xKVhdGBs$-yc0DI3RA@=~DJg#uncr0NK?qX`F7 z2q0NIvj)X^lH9T%K~IN4bhQu`#o2nD@~^Bl?DlNW#M2)^+Eg=&RhXz1E#A^o8Z8!~ zVb<)`2Q8&4i2teB_|=vyRm2aQ-u6f=N0@`U$a~SS;UZN2qAjx6KmrV%Lm2cTjTTd6 zT&lSKm8wcd8d#>L^TV<(%5gDjlbcNz=t?)3ie@wJYM+4 z;Q~Jxkp0jw8Z;!LKferuJQTOZQx*XL?a2f~kV`j~Y_=RAQevv&c|{2gHh%1=Cy)!z zCh*MIXM+Cw9Aim+Mtd&@m9Cy*$|%g2mO#o+t!*i6C=|lVNWQ)=RDss7+gbr)?eIBT zzZ;_(Z(n3QeHzjHaDQ0@D4}bYBLUD#B-NM0gC^# z{(V`va8eZx)Huyxfzw5P!;~N2Y`=c9Szps`|Nh2Y-1hyPOw@;rb_O6#0!#JO)pWZu zA~aJt=c@V@B6g$9l=|C~HM-&#&+ug3-yqKfZ``-4SQ9Ez17LI~v3BmrC9(HgZUCGP zQaXMWPH*%k@xY4e^#Fj7eB2Wbq~EwRQCziiP?BJ7;I}&wZ!!i+u0#w(!^KmGAgQ?n z|3<6J08LHk<3U-^q)5D*j6URa%wl}9G*UUv<1XRdn*twf6dxjJ7lW%O9iqwIhdnz` zk7oDzd>;j=QEl1SoBow)soU2H*SK03pxwpdA25OU3*cVAIml4|?&L0KiaH4ZcTk65 z_PdjkO?2{P9%d9GH4-N=(k~H^cN$(F7qAC;R@FSk;bawUbL2Gv6uALFNcthvh5zWZ z!acg&gmk-X(gmWFVB=XLSrm8U=%r#OS1d%>okio2Esod&)cSo{wI7nAb<9(vCph1w z*Yy2kFC!at_CCSy)c0eK#x`T}#^fUBkC0P5B6pE@wDj-p!0~Iu7h0D4>4)s+fRc$n zZZCczeZz?1ocLJ0wT88-sz3nLJ&ywU>a>0eb(0nJHZ z#!)lJ;&bp<@I;+m{mDY@gNfP`pXAANg*~kqfX+OZ*1WaDD*W$**99a_b3q0!X2vXw zHe>dS1}oT1=YTDLKT%=6c(LYItEoG$P&OQUpfJ+vN**u)C-{vPN_xcZ?Ysv7L-x7x zc+=zszKqKpkbyo)k#ZT5XFB)%6Ob*QXUaWC5#?@gLgTCWv9ZS?tw@tN59a(vBuhrZg#B|gK(tSv(tIp&+D zGA)85(nSRNt6_Pj*+gi2laP2L@DTX>jUUn2HfD7~-{D<5df$`akv}ktYKEsziJATl z5SH5Wr*9p;GX8Nu-~6oF=Lp9eOLCUR+1fguAe<4aOUf!Q0 zQ=WaqWqZOT>Il}nWxZ70l6~2S+a_pu!8vN@`E7t2XWctAydD07v~6=6!(xuF3ubCi zgpYYbf-shCk%D+stkBE#d0*L;tWcSj*qhy|?Y!OMsU4!;A&iSDsjM>yRZ~APM0v+* z(CuJdRjHXVii8@d5pbJ3hY-$yQpj*w3EsfX1wQ;m}wq z5h5Qqj?qAo`f=3WD80Mz9nFkm9UEq^N@0xfIgMOjYY;az5vkXGLuVI=YNC7MCrgiu zO8E=6ovTM-7cH4(sOa7K?2?X!cD{$9gNrY_>Z=8p45Q_-&1=BU-;b(b}OwBp?_uV2Q zY^qEA4IARru@3-WtyX=&YbQAbme}4$DB%~zKd$pw!qz&0bt2!VLUBmQsUzy$@TB(A z!>eUFs?H~~8f0^J$yG&}<}Ai)k-U2JLg+40H^3}E?vUN|-I_3Y`)4p{IM00W3SY>W6hjDO0n!^g8K-ua~yrgu#ilgWm&D^EF#aNwthcY*& z^Yafx3bU>kIn&j#i>#+d=f|km^aUPj0G~B+d-L%7G|*khzTFJ?Im($1pha~cp#~A8 zo+qWptH~Mr6qSkjp5(}WrkZg~fa_SbE8oeCdKI)N3!|DLUWIw!9%`*WR;`&z%4U1r zw#ViG<0Pi-M}XL#Bsh>!7q56d$U^Cr%-6tOg+A6@tq+#e%sn_~%9x$G2gR^d3=$R7 zeqjA$xXE9{QW;ffhaq(in{Sk7U8;zJeEwxp%wTtAKmxmwA;DKMEVsYcX`3#>aCU!p|RGX%qVE(#;v;@$B1MS4{+9G17-(BcWa!Ff%t zyYJ2oYW=kw`r&x%H9(!7$z4z^HvOZxx*>Np9qy&|fdJHguUxTc-HJL& zt@FaM^0b+JUO0PjVwp;uK)=7l^%J$%f?~IuTAd<3Pi!Cxkj=d#GSnCb_qyPIy5xB2 zyR_e4GTPvPvi+&be`+O~nHNSsRodnJ#jlFFZoD{T=}Q!CBNS~ssySs=rEULuJA=Nn zEuCo)>cNqCQAjndZKHCvG911eyecvh`sb^1?`t}$&-G|5rLrZqz2n>j`>tD)t$Va4 zhHz|od7|PbdCd}VIsTtNfDDG>k8Md_`_k#%YA7vr?_4ccTtAyP#`d%b&!2Z^R4bH1K@u<*xl0S5QkIQ*^O17kln9U6Bp~UmUl3)pO z%Zfl?WfGV;Pw_rE#&4+D`-rKjz66Q(7k`HDECZ8Aro{Y@JjE>Yi53&EVx=g@VeTJ; zXkdT{CrLRGaG*`%Wj<2CBUPH&8$-44?m@dGV$U4)A~EF_DJ3wI(ME~`GQ&Fk8Eki0 zdLm%z*%_^Az#HnZf$aPF;6u$5^im*m#}6K20pr{?0 zdcKHxE+n(Ln>+#0V_*anQ7mxG( z;$EP(gLs-jC4j1$4PJf&?{`;YJO5%I9skD%u$N_jwz>&S^%pOO-pVg?+a=Q__mq&O zDo6=E@E^*`4L2hV7;tID_98MpkXFI9LQeyIj=x-B&WqZ(dryT>r5|n*bn{uv$3o$| zDfbty>juoQsd3d)`Zx!X39I9p0r3&6x8f~DlYPp2l}=pz5IOTS<#tjHRlX*wN$+>} zXY>D9>O(^!QN$Tx9QQ}Wdq8n*;Z9CqFzP>#LjqX<>OsqU-FD`4d#b3c!#6`ZqM zcR7n|8051LlKCb13`h*$cxFKg)3eq3{M0t5>+ELmdaZe0r7E{w?o5<|0U#zPNlDz_ z$~bT|;iQ64rj`T1{~eUmIPaoUvZpJd{QOAs{3d=r{?_%^^ZjnUZ1N%>TMj$b_%rK^ z#u$4_h`lw$ES!C%k8UgQ=bI#D#_x6&KG}%@Z2#l{17mg6{><<1ij%`-1stJ~&`A2``)m@4GLeU>PW z@6gZl1cm@r(HtKRncGtM%{PrDF0C(qd|n^9?qR8K3g@S2J;?u!B=)?AbgN`j{vAL2AXSmWkC?N2HR5xa`29iT1Q8x&i%pI#<-!qN`m1<>glbvtx{pcvXx1AJ z(d`?gG-kWB>4b$-=v2WX$;rDm%Ry)QfoLPM%uCv#kGM@1+>alhRf`;g{{V>aSeExD zTtXzmDipRmM!wP1@^+yo^%1W>FCr57(uf&xOcdxR$M#P*yWKzKLs0Qv@kQ@@E#|;w ziw2Hst>d{Hk^kksUDcF)D_8|LSGo%Es67W4O`k0pwW;ch)5b{t?OB8fLnZ+?A~urq*;qYg_BiNu{A^e7w0tT-TM85w zHq+BePwK_^$bbh;Jg~=1gChUO?v%#9GA`{v$^NHd{08>+VFjLOYz^vF)Ze2zNo!yK zPN_h?&!*X+ zgHKBDd_h@VmD!dTpw>*5PX7X1m#_3pw+ULCeBnxeivi}nvlb8u*DIHe*Nei|*>la3 z2ioN{BenL(w%OA~b~aX%XD$eMQOCGl@JPQA8Fw=WD7Ryt;?>jkZ@Gd(xo_3(|HPzk zQrv)1$0$Ou#%no`V=@SLGB&#QVUsDEG8jk5j)<%q{BQo$O#FsjG@ms!I0?X8)DIrd zoGLmw8XtSa;e?85Gs2sykZ@t9K_3}%>CLth$=_%XZx>nIrR*O96eXtf*fyTZgNESL zxdEnepk&OGp?w$KqEAS-Ee@UM-F*ex)xClyZ)3sKS2}y_ueLESJ>7+y%r%j8j5h53 zybjWjw=Wuod0bpLG1tKoK>hcBn7ay$2v#_5^dp|7nc zg2oMloLDF15|RT?v`QM0oq>rhP~T5&X9}P*u|ex&5z4U1rHJP64t{z5`2yb6Lj(bS z9)h$hHsk1RLWGQdLyrA{%yIpKl**C9 zB-s-3;Ydw3|hb5n?y0;?8%JJtH3D{B8^KieUKX4Od+CNUlg;nbK(@S&9U-5&~ zGV<=21@tS%7UbW%_uTTDQj~7jTSF4diPJhCGFvI*wOji=xS79>D7>-SLstc3`gQ)%Z6gc$bc>D$Su&3jHlLQskN7z3q)$ASdh=dZ%#C%Cs>XI4MKRzQ~ zw#ucmcBDE5mXtFlf)feMD_lz(!N&v9&C;e6p$qMXI~_?a%9RZ&1@>gyfW73*x4bd! zc1NLTE-X^6^z~~83^ioN2rdvBA2eauvrKZMt`!O0)W^WVVi$Vmp&ZWj?u8>X@KZ{U zJxayfy!kB0{#?^@K}O#|!*j#mR(FJ>YVTWG9vN;VK4vddC#=PR8!@W3#o8fd?sF=C zkExV*Ye1(SvhV(}^&@wvIL+s^MTHMmQws>uFQg7X5Tj5rsJT0ay$K=KZK~qlmFCyi zsDEJ*1xDpCrIor>)k+Yu=O|Xdf2q-XB(Yx_ zn+mYI|NXJW&|j`XZ*%25jjvc1<(zz~E8x(jZ0=#d1|U5x8RzS_5vh{34PBLjUl;=L zAx^~&Cau!u8_-4Yoia&-1gQU6y%Vj+|BNsdIy@8vYq4V5JyX>X37(yiU)dbx?N$KR zojh}NIKpx&%*f@`74PyEy4xHCRf<$h`F_3p77u8BKeDt!59Aw6)iVj+P_a+l90bT- zS<&RO^%6>xA9LC|h^tiqtIpnP$mW}$AUX=R@x6K9^gzEUBQyJ{dzmJ!DF99OTG)IT z3Q-so?R|c<;4NbtJLy%IG9E<=HiRhekyixO{WcX?@-{mV2xTy>vNkX=`X2KB=2 zut*fVtt6$}3dEhnyf}hBaYx-!a)iD@owP~}GdVZp7dB7VE)fqkD%H`lHss#sKHjai ze)(66sx-mU6f|Yf?Ij)OZMVaBFL?(*ju;;hIr2BeznaF zRx|_y)Wz;1`Gn^LAi{*$xA3KmByTZ`GR7I&v$f|?tU{k5mUDqGJv4&)6&xn4kXMW}gQCEsOl@n48S z^q2ZQuocl4{=>=b(B$N{)5s-~?q_*hZa7iQhHrmJFzq%WjPAX~uwl1X6O@nM@;9D> z<&F$ieF{;vA#y(RB4nD)?>yC8lSDQ?PkCIwl`y9RWe-sf_xY1PvU@FqoY-9p9xmWw z0j059J&ohaR<`}Zhf$JO;A8Y@71~Rl(vkpeTxS`qkmLP1*Ph6pCIfc7#`Qv=A;FLCO&LHE!V(=9vTF0fV? zFvx@wMZN|(QR%ldoz2?(JH5*qRm92qpwS|fNVY(z(BKx2CavB~ zxSRkZmk7(3J)78A>ywK*IhFDecM?`kI92q9^P=DRw_Z6B#HMV0yb;9dw{hfY_=g6= z-^&ng?a813Kt zI)vIhFC4zfR~V(vdD*uh=$(%fv^e8AKGhi<|G#IleA`Rcl)hgRccA_kvlW=KO$*K< zFNMB&tp2`TT>3{fuul9PwEH%j#H};4E+W@87w-*pd#N%-5Mf>AW2V2H>p1!^!J) z;S!UY0r+U}S6{MxGxO`1Qc?Yj_X_5@jiuty3F$XV#_`Kfp8fm5Edn;y|9gsJL|&t} z7W>S0eQJ!GW7@+XC#;YVwP-f$1C>d=1k z3KG7^*YHGM1Cm#iVA(JAfi?!Olhn_{4cqg?SL$Q0SZ@%O7(lDL+!qeQ+7&qad<+nu z#OBFP(3MN{e;ohZeR17;aqqRcX2!L5$hDP`O=P4aLky0rwqa-bEM#$FCZP3uQGFq;SXy9voe*F!v^FHS_9*^f+0Hb>OiTSRjPo^YZ zIFl>g%1nJsPky_pupb*l2E^|SbZ<}pF5#AI&-E?#TgR%*QTdh68d;I}^31=bbpfJB zXYk)Z@0X2(%Kyg9#{N6_-By=JNHh4@3RU5gIVt;pmdP^uE(OOMnXM<)EB|HosVWHe z*sVJx$zVII?U1eJM#(7K)j#hZ!^`;nzUKq7gP{J>G8d%bCvU~T|6JB3SHp1{NrYg)b79d_~t_S-`^k%2g$omJhW=;@cQ;9qG! zeFLWP9_7YBA;7?o)2Mj8tYsFg*CIdF?K5RIYZmxE{iD*tOvr=+>x}-SYF;Pw6HH|Q z=5DRC0%m=ENq?}^475;*Yya~EYD-WU(klq!|8>#NcJ3|N{y&_uW1XJ@2AsveKn3MsI@ zhf`D+sz_$BP z`Yc?AKU*rT>dDCfeWx4Q1Ic1J&iqBB&J$GI;_BfxSH3d;``eDWEajEh>eiNOz3O4D zWM9bV6YsN$zto%gBos67p0Y2dmtXKmAy|7tHh=@LZc5ak8KoxGp6;(8KHATBubusV zvZsW`sRj)CagPj2wAU@ZTV!#*dmR}ivVI2d(^Dd{;S2Kd&fOZlrj-=rDRNla;4+NR z%&&XexcB2Sa9ykU8RKe~Kea`+uUN%(tUnvjy>oS?3r?nriuT0iSBWB&=!RlD$O8{p z=29C-{a85}nFN@m_4fP5uF^goYxT(j8JgWcG{qn<5bCl%0;@^S^(S+QvWdxY5@N)d z4jcs}i9(HKb|W1dVK>Pkla7g60_Spp${r!%dM9mj`|jbHQXUOLE+x#(@D!j39b6*= z@wg7BIw=k72R37ezr1K*_E$!QL)EN%+W0wfPH9q}KP56Zzv9$7+JUZ^bSC#Nr?W#) zA+*OrZS;n_Q2}AM_;Xljz_r=r|{$;*8F(uw@m9f9u z_{DnST!$x0m3nxuYFzq>7digR{9cK8Y8v#|XvKLFa=fnC3h{QsZH9Xoz8^mC#WPA9 z6L)pmlFxOTc|R2>4P&xGpR`Ry;?M<8tzZIwBDobE^fZDb?X(1z{!|RCJR)O)Vs8mY5X$G*OSKNB7iLfK;DU-CfZeboeXl{J5Y4 zFW7J@Bo5kt#^|IMcL*guhe>Y0=cZe%l$PI%OW!5@gnanMMpzO#SR}4Zb>M57!BgR@ zX|Sh+FCENM^Qm;zhzu>9h$sks=$Izt4MwJ*#@)5FX*scPZZ99NGi}iADF%9m@TyK8 zvkc*Jk8iB6cnf9+>VQz|qonqP{9DSE1ETf3XZ6F*zZXLdHERMcP;bhtBrAG4BaCi# z1U+Zi)^+yGcLt#H0H)cbn1X|~=}W5AEzEP~jXva;_QIG?10Mpd+d-Gz*-k%~KeZp# zUZubIaQjxnmi*P$c6Wczr+xk*X}8*`%U_(D_eYkD&N2wtd(MQ+cIDmC?bN;Lj@xeeK-zD zuXx80-y11NO$Fs3kxwlap9XD-Y`&`_Cds2C$AF2Oc2Jyd((HgNeykiV}Imfu=Pvq?H=6_^l@u-xjd{s$S zR&W9pU`1+Okslf7&yzpv5Ic0K`k(WRhb>M#0EuydXQ?rY(~a8lGFut3@~nscw4G`G zKi-ijamUGWd{qc9;_3XMZX{{efNSXMI&0tA;Fa{Q$aOk5R{r8wDI3YJ3*Q?`z7HLk zk@(V*yZudn&Ea01A!41Oa~Z&WXMA4WEb3J`ylpQ{or{9=@yuS*qPB{3kH$ojix_+g zWa}oLu$VSWjaLjk>eJu174|n;r^r4xidD6dP>38#&G+Wo4M$k@x3-9gw~n*qv|?|; zAkTszEWZ_&`Y8tkhldVeT(3EH>;$S7M0wnOJI^{5j!A9lEWJ4`U+B>!{n27UJNcM= zslDQh%8_d-u`)5W0jF&Zt=nzw5p%gYc5h*~yhY>*Ws4n`6J8d3lRXgM*Nu}k zux**$Si>Y6Uc@6{W0_x(N^$Lt^sTEjoFP6iyeK9sWD@C+gUFJzh)H&tGv5swf0Z4S zEoLbE;qT`kq5RlM!CIV5I#3^IBGr_=Cs65>mWkM}G@ib(DT_#h#a_*&H}B8) zd83wI|GDCYU2Qxv-a;!$_MHW&6xE{aa{rdo)9n3=(M?$z{K*xGX4`L`x2JG|$av%t z&qvUEfg%9XbU{^ zbNlSC%Rfzv#YHvUO<;oB{uy+jm5>9r3cm4cx3BB|Q16QQEk7v%b39&mWX`USKy3Tl z%I@pb;D>5E%JFB{3>%O}{~PPT?Bod2ZPOx=TI#HOZ29TR-21Xw)e#Zn#y)#m^&m+j z)gEi1qATRgy4?;GJq?a}r|!|s6yNoY(%1S@14v!CpRQvH1hPxt1b6XOo`ulwDmeKF z2W^uR9x>0^A6{q=yq87JHhuD@29ESm&@2;a;naRS~ebzRTEZr+T?%X z8$=7$=}tmmV`=uKrB}SYTWgIEU+w)y@+9xI@)Iu1}M$-k%3#%ig>vXPJT}UivZjd{mD#WG68lr{UXxWvxA+ASy_rkwn4A zHbfzLDVzv!vHX84+CX(O|9a>%2!kCEFL;l5UgdNZt) zWE<{Qd{T}@vbT&=Zt_lA(tj5INq;BZlD*2$?4yeF7!AXgqD{4|<|%C7dH^hOyWgKe7&sQe?K^?lXQq=dUnfYj;qt+QFHr%LbySDKdq9Jafr2#S;j!3i1~SCRCUaO2afmU2 zSOSD~6YyBU`x(-Sm-B61#GYe&x}>J|G;?PVf#qbfUNiak_n5R;Lok=Nhq|mjVLl5 z*By(!-9;3G$D)xY^;jfuLh}5nmB#<(994(yX!Xz24_c!FOOr)33R*1M7il5zo;w~_ z2g8qc%nh#J)|0rfJMdpk5EEo^;oTi{%+o0Qdl*E*9&DiN#bJn%A?2PdgpE*pa3^eK zXKZe9?fD9xHz5QAlcqQv7rPCq~naB&yJ<30FT6EZPieC9d+)gmdVRx^f^J z9_obRJeWQ&5vVg-X@C_WM2m1m==CHohT)^Y%*)xsqy<7Zl>HMc>TK&Q^L~ZZw#s?d&+dT2jj}jfbERJ5O!zl^bc095w>_)i{jrvwP z=178&+yt7(k(!1#do`Q*qgsp0-lZtRV$0T2l)<8q+ouY5j(0iVqAKhU`2FDun2lQwtb4!w$^>c|@j+Sw&2=u^SaD1ZVi z1;Kk}-hn*}*1GYEbMb^X41fK3kKtW}@_BgC-`wXJaT(!v<-^DhRlQja6PZ57so1Av zOJ^ZnZW8}(ACWV%$uJgqb!ffr&+`O+d+6EVw{>Te9q~2CZap01C8UiX(~OTRaQSe5 z^W#}m%dPL22dG?u>Atnia%bzlSK7aXFU^5Hslo>p%o7qfMLqOHQ(&>1bv;U91s1A% zP9Lb#OjjSUuS1=O&%$7(BGO7mdM?EB+qk+G^ycHjOzCnAQ)PPl_L)v{nJc4GrF` z{GiLfcHpVDB4NdqY5Fcz7Vd_TxH^k5o9mVQ`7O&0UTx_$&E@J1a*l^^10mIYZ|v;T zTI7Lso-cGS9CN=m?2hnh&o2$>SHTOlrsfY?P@=)l2%1Z#5Sl?n_w?|z+%~WY+sI0_ z5_Ni|Va_F@eHqgyBEHW1WD_tYDSy(k_9{7`OwDXBqWOTHBC66$avG3#tAOaW8e(tLgsSyC(%1ccDXq7INELkAX>}YjRVkwb< zBML(^JYYwU(NiuIBh`TDN#S$i#l)%l%EYg~Z|>n4L2vd(9E$%R8>vdafZJj zMw$JZ8{DG7l3w+VHeUn%uVL^^c>k-?78DZBL7{0FHkKnG zNE2e?IKp@uflcIyh|9h=XCMOG|G1yE_ zb`I?nJC~DpnwHNl;1sfGMeJfu$r)NH`z+^N8SOm#0_S2m?GpPkr{W6jD*GDe`VCqo zyNXj?L%Yeo#i_kbt7G5c)Pr|v_t^J24<6DQ*o_?aBU%%?nbXord(3X*w0F>+usb1cHo$($dG?(4f<4H2$)UYszvjGoOB-SjbKbqDePDm&jC`VT*`u7XaoPlX zlJj|rHqD;l%zmMLWq;#*|3RB$^EmT-+5&r#v-Fd;%wFOA`VG?lu>W#a*J$hP|2P|) zv@P~FX9vYs)#YCY;%!` zmId!&U7z|1@A;>7iM|31gBrT94bi7A^lo(6DC^<5qm>wG>l9Jxp7upipwv?a1$dkm zu8KaI8i)Y-j3wNs2Gq1bR=xGRQpAS@QUfjUx1GY5G>xa&wCdl2ZllkZM8(b43tgt% z^DW@kQ`TY#aG3v_H-Rn4?{j;{^#*!eTWROvJ z8l0?}xh&r1u3x>MrvG@LW^1#6|Kze6Zb(cgy$#2|qh}N;NgdC@xh7P2`F*kOth#^v z>PO1VSmjWm@6UnUen*ExD`nag0}+NsD^5+o`|4W(98u6)0Red}6%o_9hfC&1f9;mAS1okL&p72*>f7Aj>?E*TciW}e#8}W5O zeoVf9Nw&%1EN!1A-T6HNsk3*^7J@k{R4TZgEkne3Vbizh!_%->1ZI9t0V-Ovd4x~u zo-ducmDyC&hZ>otysl)Ld+1~r;7zS{wB&XR0RA8Y`EYCIS}7x_f$lR+xceMLiQqT4 zAJr&N=u=b$l%h_H*(N}4TQKD#l@#b&9XK`3Hy?lnDgGjkTNaoUU69d$tLD|Cq|W_f znfl<$G<#*@uldQ({9+8uj~_WGojR8498=5tP`2Z%zRVOVEzO&d8WXFCCU(VEJxeA~ z$HZj}XwyvBw10h8ZmZ@Ex@HLN@l(Gn z6qEzAJ=~kP?S`&Qt+(ZD>=tLbn@JyP=d?!``Gp&v|!s&;>9 zXHFTiwdM14UeF(k_{4^W%euD-E>#B16@x(*6bw=u=dk@X{7LnEi1B95n2kZfbb!pJmVyA5v02Nre#!hA+__KAPpU1I>O8i>!%CJSe1nZ!AlgW zX0iJyWmIBLjLD0!eSSZSHGAC0d%ujT0bE&q@!JT~)`(BmOq&u2gszOn?OXwu#%Hcb z=UzFTzt;S=(N7t{9G`9M?^!{<-o(KZid@}Qd9Qp62ZgNRih^hTN&|2JULvRFE1H5Ky3woZ4}4B4c=*3*ob9dVFO`3H9C|2GJw}ppAB<8H9A)* zzM}568M3jd$D`c9?_34Otb!V;=>O=QK@d6IJ^QMH@Yfg9IU9l*Co@C|zj_gWhD|CK z&+B`~s!fTB48x8#%=J!PMg-3D-}hHpqebMUXor?9BvX-(pX6f%erSUahjgN>I@zS6 zNKf+nt0~oYXVRYp1fIov%IN#(9DnBUAQvzjdRUY&pC4e2%L@RK(fAh9@{5ssM&orA zwH}%`MAGV68!>g-EFI5&gYmz{=A=Z8J^m|wnZAZ)?-6HR$|+$aNRc(ldOOY227XqP zS?^h`y?O?NX$FBYFF*r`|AsZpdLAX}XGSW}k69;0cAhp4tbIcYTD}(}P_Ly|m%hlb zeOVCRPs8OCFBM>1sn8L*qx zxjA3L@OQ+jJh^r5gqH<*3vrK<4^|6Xl5w7l;2V-}9?u{hq$^jP0{ykb=uvuuVt4w! zl@Gpa>q#3!vTk_YK!YnVP7{o{;^EtmTX2^NsE#~grQwzi*B+8ayyz(no^!2@wa$bG zmIz0#bMS%;50t!5C0vncbo+j*t_um)n-viVT_ib8>YB9J=-LgCmU?u@ ziyhX3>s~b8>FvHq5l_$3r+NmAzqPl@XO?FsAM*~IK*fQ9tUdRvh4+^=UJPlm>oa!H z;0Y^tfEPk_1Mu)N zUXBX*hrACQ+x@iWue*(8xWu=km(|DVBzH@-U+THS zHjhY#+yV8$_;GKNucgE<^*~|&MeyHwgBv>}IYOh}1y{!yGo^)G@rK8iOQW z7;V>rPE?;JImR_os@-UgBpA*ZcYdc(=8w`4%Am#C(3E}}+$?+eZ-MSkbA9+u@$0j% zkJ1TcirO&;zP32RmGor>Wxn#ywM8A3DM!W>W8_BvD7|>ztl&}k&i6knt(x{A<)}qk zFmP3=hVcRbnJ?mkV!9%faBiS=`ua#fOjDl6K0|6A@@~eTnU|kDg#Y{mgeGkYx9FVd zPX&sR#SfKoDFkQUubAzT{c@6|;Vwausa>OCsS2H?Kh?f7 z24TJYE>8_L&CeGEh1DhwT!B0$bj0=Ek@XF85}D0lF%t8wSHNl`*k`EWl9A7hSFnC&hVxv>7)^9jsDLG-I`Dy=FTHJE%IoLv6{2$(`c3HFqE=SHeKkr`q|*6{EK_}faI3_&TEYd z{#)?PL)Cw*F|t<{SM@UStqQiH`f&|rmKDJ4*A)-7(kv0b2qb9u6rCRQ76`~!(8P3< z0+j+1Sdr`Z^m+s=VN3j90I;c@vj@Gvj?`7wKCbQ)zvC%~{?cSrIb_#D(hk{1E@&5_ zJE%=6#Cm+tk+g+&GDcop%RlH5$CR=Lj=Ssl;5KeaIIc$mSA2{$4qw1@VsZxHB?j6h zBd#_r&yhUSpj3a3W){A4ABMR-g3!Nf6kwQpYLbsYUh&j@4JX8#;)Ap)+iiyTk%oO7 z_YYdOUjFn=C1>9iVC`KCEx;}HA(ruD9m9CbRXV>A*;D^96B!q{+9%kGZUggTJ)PJB zuy1oWF7AQz#j*v$4ZmQMux9D7wo|z9UF}3l?x=ul1oC2zn1_wa+*+Ri6i8qtmA6TS z=TAALYU|28$u*>^+)vIUVmKIkPE<4%l#!7Kk#C%MsHgkL>CGlDL)q-C|Z){n(D!zI=} zC`Hs8O@nMIM0q3}V={rz5`Ux|(2>ARN>jd$F*5CR3U z)|fvakU9Tz$0{T-=Q&;IFO(%T92+uD)@dBu&u>}5X9mp*y$Y6>VZ72nfx?7e=T1Gv+k|bx^i}-!MGSeM0tu ziffDfwaL$;v;g6ks{^}qmQ^Ro@&2l#WMnmx@hzV?xh%x|FEQbca5JKO`SMHTdS+aE zb`RIDyyojkM#Rqix2LzX^WRwX4B7+xy;=+W7wWY8=`4_y0QP zHNOg`(b}JDJVQIH!?_#)kjfTqOg>fwP=kB(y)ZjIk99=~yBNhIl)jA~)?^U8qpaY1 z{qnbPynUUD)yJDfuu}!|kBXRlExJJF=Nn4!d!#6g=0S9S{>|jh-z<+8#tJ5B@8(Vv zhJ#GFDkC3WAD` zP(m5e|Dm*u@xw$?-Y0pYv>v2yU}$7)VrsUnt7VB5IF535ORD+bo0Rwj>WVOMNM|wP zXe1OB9b>*L6gUW-Ogo$s78gs~($)dMf9k%1ulAmHEql!+7hMqO0|?Rz5M4=GC0JEM zZBac$6NEhc>^;2?`0V|)-#tAOvi7obaCF-D$Ju4KVzX05_jg-g8#mVli#=8#mIBbZ z!V-=;4FDhr!texH4YSqV<`$M8A-c7Vt)1Ti%H_P`Tt}EUdUV^~$=%r%%++B7xeW@s zWkZLS!ww$_AL>5F?TL*G89ttP;vY7hlA89vlR!0_T}n?>pf)@VrPlF}Q)wp?lMf4a zoIL?FJBSlQ&S@brZk1I}P$>M1uaY9s{g+^!2;jn{1wkC3ZMwG%chwysC!w8N^yCg#3 zm|m@Tg&6FZNUeH>taS8t{DV!z<)t+fGlVPIz)6lxDu1O>h@IDoL`#$t5VP8}7Oh^8ZAjzwcB2zOA93qSC(>U#MkLf0q; zFz80q@+;=P9h|O2Kik>IO9@jpoi10cFKJW>tzN4*}gfLIH!qf^?qz~{A z&9y}pZp+c}(x)v006{Jm+4C?#R&C^m3gSzd2CK={btO-i`L<}P_!L1JAjqALK=c5Y za74X=a1mp;80ZBb1>hnC1b_%vGD0#8hz)?Cgn;-xA@n#B1;8N$gvThO|HZ&SBqJr0 z1-M1k(=>F|@teAb335sq_qe2inWwW8c2S$rF1epB9 z#DSpqG?kdK9SK%XqD&ieH$@JXp*m2fo(O?!5}l|Yy_2tdrjS$lKXpXAYel@eQ&A*- zv$4D@U-oQRC8jX&X$uwfB+Dxh%^)#J5o4mPDFu>Ik`{+uGS%Anstt>`64#=GkP7T5 z1$JIj4w91e@+K(|sIB6F5fzfr@>5oo6n9(H+^;CJXs7-ffSP@d*!uJY z%r_C^PXA#(Ey4mtPzw*F1iWET^eI@P=Wc~vI~FGOB@3P!Q^fmDdIX3-G7V4=%A!Ep zNvhsBV-?9wDG6Z_X(T8J%1BWKB<{w1jlKDM@t*kuO-LupUm3RGeQu3HBZ)eP3LA&~ ziqcRo-#7#l|IyZZc-df4K*;1*D_MZT--fAUu`*z{;`~j73l!#>1Hw&sql(azeUr`(Zo@$aN@GLWdZWyYfA10iM9bcvY5hMU2-Z{p diff --git a/fonttest.map b/fonttest.map index 16ef8ff3..e5209d52 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:51 +Created on: 15/10/15 12:30:06 Executable Image: fonttest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0f26:0000 0000e710 +DGROUP 0f25:0000 0000e710 @@ -33,27 +33,27 @@ wcpu_TEXT CODE AUTO 0000:53c0 00000058 kitten_TEXT CODE AUTO 0000:5c30 0000080a 16_hc_TEXT CODE AUTO 0000:6440 0000158b timer_TEXT CODE AUTO 0000:79d0 00000235 -_TEXT CODE AUTO 0000:7c10 00007383 -16text_TEXT CODE AUTO 0000:efa0 0000010d -16_in13_DATA FAR_DATA AUTO 0f0b:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0f25:0004 00000000 -_NULL BEGDATA DGROUP 0f26:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0f28:0000 00000002 -CONST DATA DGROUP 0f28:0002 00000076 -CONST2 DATA DGROUP 0f2f:0008 00000102 -_DATA DATA DGROUP 0f40:0000 0000055b -XIB DATA DGROUP 0f95:000c 00000000 -XI DATA DGROUP 0f95:000c 00000036 -XIE DATA DGROUP 0f99:0002 00000000 -YIB DATA DGROUP 0f99:0002 00000000 -YI DATA DGROUP 0f99:0002 00000018 -YIE DATA DGROUP 0f9a:000a 00000000 -STRINGS DATA DGROUP 0f9a:000a 00000000 -DATA DATA DGROUP 0f9a:000a 00000000 -_emu_init_start EMU DGROUP 0f9a:000a 00000000 -_emu_init_end EMU DGROUP 0f9a:000a 00000000 -_BSS BSS DGROUP 0f9b:0000 000004f2 -STACK STACK DGROUP 0feb:0000 0000dac0 +_TEXT CODE AUTO 0000:7c10 00007375 +16text_TEXT CODE AUTO 0000:ef90 0000010d +16_in13_DATA FAR_DATA AUTO 0f0a:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0f24:0004 00000000 +_NULL BEGDATA DGROUP 0f25:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0f27:0000 00000002 +CONST DATA DGROUP 0f27:0002 00000076 +CONST2 DATA DGROUP 0f2e:0008 00000102 +_DATA DATA DGROUP 0f3f:0000 0000055b +XIB DATA DGROUP 0f94:000c 00000000 +XI DATA DGROUP 0f94:000c 00000036 +XIE DATA DGROUP 0f98:0002 00000000 +YIB DATA DGROUP 0f98:0002 00000000 +YI DATA DGROUP 0f98:0002 00000018 +YIE DATA DGROUP 0f99:000a 00000000 +STRINGS DATA DGROUP 0f99:000a 00000000 +DATA DATA DGROUP 0f99:000a 00000000 +_emu_init_start EMU DGROUP 0f99:000a 00000000 +_emu_init_end EMU DGROUP 0f99:000a 00000000 +_BSS BSS DGROUP 0f9a:0000 000004f2 +STACK STACK DGROUP 0fea:0000 0000dac0 +----------------+ @@ -69,7 +69,7 @@ Address Symbol Module: fonttest.o(/dos/z/16/src/fonttest.c) 0000:0064 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0f26:075e+ _inpu +0f25:075e+ _inpu 0000:0370+ INL_KeyService_ 0000:060a+ Mouse_ 0000:0662+ IN_GetJoyAbs_ @@ -117,9 +117,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:493c+ MM_TotalFree_ 0000:49d2* MM_Report_ 0000:538a* MM_BombOnError_ -0f26:08c0+ _beforesort -0f26:08c4+ _aftersort -0f26:08c8+ _XMSaddr +0f25:08c0+ _beforesort +0f25:08c4+ _aftersort +0f25:08c8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:53c0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -137,9 +137,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b00* CAL_OptimizeNodes_ 0000:5bb0* CA_Startup_ 0000:5c00* CA_Shutdown_ -0f26:08d0* _finishcachebox -0f26:08d4* _updatecachebox -0f26:08d8* _drawcachebox +0f25:08d0* _finishcachebox +0f25:08d4* _drawcachebox +0f25:08d8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5c6c KITTENGETS 0000:5ccc* kittenopen_ @@ -150,7 +150,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6224+ get_line_ 0000:6296+ db_fetch_ 0000:632c+ db_insert_ -0f26:0484+ __kitten_catalog +0f25:0484+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:65ec+ LargestFreeBlock_ 0000:667a+ _coreleft_ @@ -175,8 +175,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7c15 __STK 0000:7c35 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:f016 textInit_ -0f26:08e4 _romFonts +0000:f006 textInit_ +0f25:08e4 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7c52 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) @@ -188,10 +188,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gets.c) 0000:7cb8 gets_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0f26:0000* __nullarea -0f26:04a6* __ovlflag -0f26:04a7* __intno -0f26:04a8* __ovlvec +0f25:0000* __nullarea +0f25:04a6* __ovlflag +0f25:04a7* __intno +0f25:04a8* __ovlvec 0000:7d82 _cstart_ 0000:7e55* _Not_Enough_Memory_ 0000:7f87 __exit_ @@ -201,10 +201,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:800e _big_code_ 0000:800e* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0f26:08fc __argv -0f26:0900 ___argv -0f26:0904 __argc -0f26:0906 ___argc +0f25:08fc __argv +0f25:0900 ___argv +0f25:0904 __argc +0f25:0906 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:800e+ int86x_ 0000:8191 int86_ @@ -223,28 +223,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8322 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8367 _nmalloc_ -0f26:04ac ___nheapbeg -0f26:04ae ___MiniHeapRover -0f26:04b0 ___LargestSizeB4MiniHeapRover +0f25:04ac ___nheapbeg +0f25:04ae ___MiniHeapRover +0f25:04b0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:8441 _fmalloc_ 0000:8441 malloc_ -0f26:04b2 ___fheap -0f26:04b4 ___fheapRover -0f26:04b6 ___LargestSizeB4Rover +0f25:04b2 ___fheap +0f25:04b4 ___fheapRover +0f25:04b6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:85b6 _ffree_ 0000:85b6 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8623 _nfree_ -0f26:0908+ ___MiniHeapFreeRover +0f25:0908+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:871e+ _null_exit_rtn_ 0000:871e+ __null_int23_exit_ 0000:871f exit_ 0000:8740+ _exit_ -0f26:04b8+ ___int23_exit -0f26:04bc ___FPE_handler_exit +0f25:04b8+ ___int23_exit +0f25:04bc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:875c __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -263,7 +263,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:9103 __doclose_ 0000:924d __shutdown_stream_ 0000:9267 fclose_ -0f26:090a+ ___RmTmpFileFn +0f25:090a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:92d2+ __ibm_bios_get_ticks_ 0000:9349 clock_ @@ -274,7 +274,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:956d fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0f26:0098 __IsTable +0f25:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9765 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -309,35 +309,35 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9f5f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0f26:04c8 __8087 -0f26:04c9 __real87 -0f26:04ca __dos87emucall -0f26:04cc __dos87real +0f25:04c8 __8087 +0f25:04c9 __real87 +0f25:04ca __dos87emucall +0f25:04cc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9f6e* __exit_with_msg_ 0000:9f73 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0f26:04ce __curbrk -0f26:04d6 __STACKLOW -0f26:04d8 __STACKTOP -0f26:04da __cbyte -0f26:04dc __child -0f26:04de __no87 -0f26:04eb ___FPE_handler -0f26:04d0 __psp -0f26:04df __get_ovl_stack -0f26:04e3 __restore_ovl_stack -0f26:04e7 __close_ovl_file -0f26:04ef __LpCmdLine -0f26:04f3 __LpPgmName -0f26:04d2 __osmajor -0f26:04d3 __osminor -0f26:04d4 __osmode -0f26:04d5 __HShift +0f25:04ce __curbrk +0f25:04d6 __STACKLOW +0f25:04d8 __STACKTOP +0f25:04da __cbyte +0f25:04dc __child +0f25:04de __no87 +0f25:04eb ___FPE_handler +0f25:04d0 __psp +0f25:04df __get_ovl_stack +0f25:04e3 __restore_ovl_stack +0f25:04e7 __close_ovl_file +0f25:04ef __LpCmdLine +0f25:04f3 __LpPgmName +0f25:04d2 __osmajor +0f25:04d3 __osminor +0f25:04d4 __osmode +0f25:04d5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0f26:04f8 ___iob -0f26:0912 ___OpenStreams -0f26:0916 ___ClosedStreams +0f25:04f8 ___iob +0f25:0912 ___ClosedStreams +0f25:0916 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:9fac __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getc.c) @@ -350,7 +350,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a21a __FiniRtns 0000:a21a* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0f26:0660 ___uselfn +0f25:0660 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a27e __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -376,7 +376,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:ad5c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0f26:0022 ___Alphabet +0f25:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:ad5f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -386,18 +386,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:ae0d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:ae18* __get_errno_ptr_ -0f26:091e _errno +0f25:091e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:ae1f __GetIOMode_ 0000:ae54 __SetIOMode_nogrow_ -0f26:0676 ___NFiles -0f26:0678 ___init_mode -0f26:06a0 ___io_mode +0f25:0676 ___NFiles +0f25:0678 ___init_mode +0f25:06a0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:ae89* __set_commode_ -0f26:06a4 __commode +0f25:06a4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0f26:06a6 __fmode +0f25:06a6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:ae95 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -424,9 +424,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b49c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b4b8* __get_doserrno_ptr_ -0f26:0920 __doserrno +0f25:0920 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0f26:06a8 ___umaskval +0f25:06a8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b4bf _dos_creat_ 0000:b4e3* _dos_creatnew_ @@ -437,9 +437,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b6ec vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0f26:0924 ___env_mask -0f26:0928 _environ -0f26:092c* __wenviron +0f25:0924 ___env_mask +0f25:0928 _environ +0f25:092c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b72e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -460,7 +460,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bc84 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:bcf4 __EnterWVIDEO_ -0f26:06c8+ ___WD_Present +0f25:06c8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:bd18 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -473,104 +473,104 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c2c0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:d430+ __fill_buffer_ -0000:d67f fgetc_ +0000:d422+ __fill_buffer_ +0000:d671 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0f26:0930 ____Argv -0f26:0934 ____Argc +0f25:0930 ____Argv +0f25:0934 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0f26:06ca __amblksiz +0f25:06ca __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0f26:06fc __Start_XI -0f26:0732 __End_XI -0f26:0732 __Start_YI -0f26:074a __End_YI +0f25:06fc __Start_XI +0f25:0732 __End_XI +0f25:0732 __Start_YI +0f25:074a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0f26:0936 ___historical_splitparms +0f25:0936 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d7c6 _DoINTR_ +0000:d7b8 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:db3f* _heapenable_ -0f26:06cc ___heap_enabled +0000:db31* _heapenable_ +0f25:06cc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:db50* sbrk_ -0000:db60 __brk_ +0000:db42* sbrk_ +0000:db52 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:dbc8 __qwrite_ +0000:dbba __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:dc28 fsync_ +0000:dc1a fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:dc2d __flushall_ -0000:dcc1 flushall_ +0000:dc1f __flushall_ +0000:dcb3 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:dcc7* _frealloc_ -0000:dcc7 realloc_ +0000:dcb9* _frealloc_ +0000:dcb9 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:ddc1 __setenvp_ -0000:df4d __freeenvp_ +0000:ddb3 __setenvp_ +0000:df3f __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0f26:06ce ___IsDBCS +0f25:06ce ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:dfab* _ismbblead_ -0f26:093c ___MBCSIsTable +0000:df9d* _ismbblead_ +0f25:093c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e005 __mbinit_ -0f26:06d0 ___MBCodePage +0000:dff7 __mbinit_ +0f25:06d0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e0e0 _mbdtoupper_ +0000:e0d2 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e0ee toupper_ +0000:e0e0 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0f26:06d2 __8087cw +0f25:06d2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0f26:06d4 ___Save8087 -0f26:06d8 ___Rest8087 +0f25:06d4 ___Save8087 +0f25:06d8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e0fd __GrabFP87_ +0000:e0ef __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e130* __init_8087_emu -0000:e136 __x87id +0000:e122* __init_8087_emu +0000:e128 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e193 wctomb_ +0000:e185 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e1de+ utoa_ -0000:e27f itoa_ +0000:e1d0+ utoa_ +0000:e271 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e2c9 strupr_ +0000:e2bb strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0f26:06dc ___EFG_printf -0f26:06e0* ___EFG_scanf +0f25:06dc ___EFG_printf +0f25:06e0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e311 ulltoa_ -0000:e44e* lltoa_ +0000:e303 ulltoa_ +0000:e440* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:e4bf getche_ +0000:e4b1 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:e4d9 __qread_ +0000:e4cb __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e4f9* _dos_close_ -0000:e507 _dos_commit_ +0000:e4eb* _dos_close_ +0000:e4f9 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e516* _msize_ -0000:e531 _fmsize_ +0000:e508* _msize_ +0000:e523 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e542 __HeapManager_expand_ -0000:ea4b _nexpand_ +0000:e534 __HeapManager_expand_ +0000:ea3d _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ea9e _fexpand_ -0000:ea9e* _expand_ +0000:ea90 _fexpand_ +0000:ea90* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ead3 clearenv_ +0000:eac5 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ec08 __Init_FPE_handler_ -0000:ec41 __Fini_FPE_handler_ -0000:ec78* __FPEHandler +0000:ebfa __Init_FPE_handler_ +0000:ec33 __Fini_FPE_handler_ +0000:ec6a* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:ef0a _bfree_ +0000:eefc _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:ef2f _bexpand_ +0000:ef21 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0f26:06fa ___FPE_int +0f25:06fa ___FPE_int +--------------------+ @@ -586,6 +586,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d970 (121200.) +Memory size: 0001d960 (121184.) Entry point address: 0000:7d82 -Link time: 00:00.00 +Link time: 00:00.80 diff --git a/inputest.exe b/inputest.exe index e3d5e00d85a623d36587140b5115aa42b060c75b..f2865a44f4b01dd7b93295ee5803f27af84f2b8b 100755 GIT binary patch delta 29587 zcmW)lXFMAY6UVa?5hO;87(s2?(As-bo1&%msM;lown}1F1f{yC(V;_2Yo{eP)nQfb zQ5}icRn*G!|2+5NKKJ78?cMKw-@CU!Ww$^SCm%V0EdU4r0epqI+&F>%ivNe?f0-B1 zf@u6^-TabH+me1(OU>(nLIDM%PzJ1kx&P`uC3f*7?N-;2;-}y8{4>a1}^MfVC&>C)c%sAIM)s;R8Oe&i@F6 zk+X&BaeOE8QCP7Yyv4nTh3oDD1dOO z=?m{|L=cB>DtpbHTg{J{)&nr}A1>Z-<&MS2xq+PIbeAb0boz*)I1sONLP6SpM_Z`; z5H5runm8+Ef8v6xv)E3ahQhzye@=!kA7Egsvhlz<)|e|;X`PWH*1Oix>KZa^R+qR> zx|SK?azSc!&y`-VO37O<%Diesw2!CwO05#wrFvIoFIh-hdR1@76nXIA1gfB%>hrsP%Eb%GP{6M8Jy(b7p=qD$iP#a5S>pGBTMok=}nL?yz64&vMS$MaAl zD0Gf)TT-l|@9mV;Q)t_N+A%XWG-(zhZwa=5u&V#H^MmpBFe+-w(}hG6(SEcc)Tr=q z%8Mmpsv!wKM5cLdi-1*fTx?Bh(Bn;9z=|_3lT>UYkwoETkhAqY0B7LKXHr*1wiAt= z)sLG^eX7CGm-}aN-kZyv z=*(Tk4nA7#7rs{?Fys6kN+XYOeYB1ZQ^juU`cVLsXF0?5q<7~hI{ipNn_}Xg(o6oC zJRSKCU2y{jFQjAP)w(DyU3a(J3PuG?10^d&|EaWR(URxbI|ozx!qqDFccMlKlo9i< zqoR&m6P*-4-l&wAO)jL&G@w?tU?z9d7FIz;{i^_z)O_xZO4|DS4AHtJaPxBGwlkBs zOA6b<_5_{#w6#(tRFJoU6`$?LJVa0delg>*ojG-&L{p)o$id!M#Uu^ojbA#?xvl4%v8AEVkIvp`UWd#vod^-{g~qgUESzi#eL-4J#bh80Wt3yNgcW(*@*> zr`hOQqWIoExA0`V8o>(JagPU?rX6s>mmW`SJ5Yz7&VHM=*>p4Paoz%IaF0{77H!S4 z#VlGMzI`u+`X=0VOcO4$yNLrAX=adaN62%JchkH!gI<&vgdG=M*`(0&x@<&W>!hAA zu#xKLt;$yXd|ApoR*NPgh9oI~igg{TkK1?Ag{=xS8#iV5q&p-P4dq~Ma+Q!^xW2l! zi)3CsDue%+(FnA-Iknv(8;*URN^p_XKo8H)I3+IBbKAY(+-dzY5A?zlh18Tz0(glpo~3qYX)U@wqP#*pYa z^2QwWIlsti{BF&?W%zYJ)ALDa@=rrY{#Z;(SZ~`oYu=1M-Wm40MzoziugvM(cEax_ z_NDpc@fP$G-?TxEX5R$yHzO%o$|p=zz3%p`?0l!&tU_o~l)rZQL8h%VQQsS68yxKx zF|Vz)|7sHb*nS{bm&_Z{jycA2#i-pb2wR8IolvDoXAZY+J94|kTaI{2dRC339u9j!t6WmvPbv4fc}YAZ)>4i zeR&LAK#^32so1=oRk-r}o~5a+m{d$$ssPMMHHji3rNb-Vjw9RpI!y{(UDqZc9^me5 ze1S`Bk%S&U;C0`A(`~4Ay>`m-Ubh%W&-VCv+J}t6IhS(Bz(@UO`+ujgTdO)AC_J@6 zg&TRCHUt}5Gxk(|5!+CZ{4JwRQV?9Vb6D=N9XkTA~DjyiUSVC1S@wW?^8 z@2@dz%Lwr1|9zMDJL>z5@jj)}H5tVMKjSxj=}6@>pXt1h?-YzgQCLvJNet1Oa#GuQ z%u3T<@M{O_!K^1g^vRSH!m;}aRDmNvr)b?ZNuB&f$1C*3mOKAa8bn7KC05(8$d3)Z zX1rVVS4maxy+X`@#%We~cX=Q@#tKw?_Bfp8?YtL&=>iXD_(tECy`@m+ve{jw3QmAqZW0_FI;PeVN_2-w`w|3H*I zC^*m`CH(`w_IQ|L3wd9Wij)Tn5#3(u94mkH@CvQlwc?mY{aET2~9c z2h)$$rsupSaXgXk&=1{@Aqy7}-9 zNu;V$>~xk@1!KgQ^AV{pepXUJXFgBVo%24LfBR5x{*n;0o&WcO%`(~g!82<`(NAw9 z^M746s&$ogeqzDQvkkHx+uJ(NF#(HSlVo6DBl5Uy9qo(yCX|^S8DOuu=Uj_G_LKBR z;EVP=tM=QF_O#P4q<}@==`qvJtL?a?a|O)LTJv{25s~hecG!(0a?gc@hUS%M{o7Xw zYa1lyJD+tDdSCU=h*f2+F@xeuljSwg@MBe;SItg%)%DuW;J|dk}^Cz z7IkNo*FDN#-x%x*=XbRZ$u7*4q4a|F*bc-(A zjmxHFo&VfvES;+T+nl=+4KvrvH0#=E@erUymiS<~)O6iAY(dCa@ z9?4K`);0yGT>`3lNnbS_A(dU~5vpFz>gm|%ex}-7hc@%*d?U6R0bPs4(oXq~{s0u6 z`Z_ZD*1<5ZGMFi%6?P+Chijrzfs!BE0aFm?={NLN?x#<+XB(_E7@icJJeRtoaT)gp zx;-r-yd`HGQ6OsnFi@BZk&>J+wx7(I46l^SGb#!CB7PRsl)ZWEmB9I-O+d4pyit?( z%80R+`FN)rON%NFXgphW2)#ueS2Nlp1U#=N3R5IgF2P81 zyfz7qQe$reGV8XWi`g9_A#a|a7Xqui(`h&Q5S6U>`5^9C&a z=Kh!$KGn!n#P3P$qTV~MKwCmKa_*JZvC7bB`%k*)8a2Guyu zAamNdNuX`QX3nfT1u+~8c3iemgj;`dukOEQ`*jX|^uu716W5MK+G>8hSi-q%uNpbl z{i*J#S(;xxwpQkV^SerebE9Sye7`j2h}%)u?8}+vQl>g$ugf-$MayccbG%gpYT=(6 z4@6XN|GXc43w-+Lz}JM9XQ3n}Ft!NOT^WG+aBfM9ZndR4Mp?A}8nNga5SWqi_-?_p@yUMi=G1a8`^+OGGT#ryjla}?T z{7v|!*NyCsIm^mx8o}2ThL(5IeqZx#-446aOut$=o>{CA2%BZg={6l1bpZJw`O43>y?l|84bMJRmQ*)f0Y%$V+0L%1BjQUHUC zj5u5R!`kmp``EEUynd%L8m_d+(!?*BP=n3;rEB|ZT!KD_i_1sXmi~~xEByrMEor)B zK~rRGd+lWIv7Trau8sooulwG$K6LWz;!$};S7U|L0woIFW@eHmfY)Jo=aoU_$R0B^{9Zm6*FODvwAw_qaA*F;-XyCr$^=Wx!?3BhLAp`qK z9w{}=>KG+N(qXQ=n*qo_+Gl2D!4RR-Ah(yL@RN`!4~^72vd_Kos)bIi77d0A2yh_l z=8Bjcg(#bIh242@A`CpMOAs49vX?VT-P|ooJONq1oM^V5o@g?d#;AoHerb?d|5U#@ z!v%7^Syegnw$<~DZ|>+K-d6K@(^Bi__2ChhAq17!00 z2HhrrdRHKTDKvZjJ@hSvJawle(YhIOKS7s5W;;BrJE3$RZQ=%HCy#+1Cw+lB?3X1N zk&WNO9uW=eA?57oCAfRRXY0b6&&#Fh`JVrA^Y@*{x2hML*AJb?2SJfB zM?kx$AkE(tNQmaVM2k@f&BcID+C101DNjNZKGltGf!1U}S1jt`fNxVk_j5~?!9f9=JUn>7 zBmgvhiwCgRS3WSI^nLAmldo9+I8ToQo`5qKJbPeL2yDd+trW?c=nrv|V5A@Vt>oEg zQG<%8DV8!{hJ*02D><}*f#qlV0}3V~%9<4uH|~K%!RxM;CbNG{>ApqVs&r@GuXS8w z1wQ62JU!nGLD?DJEGkcr;i@Rv^R1e>x?43R7ue|dPG_Y>#2u=kx5c}^U(5G@C+_F2 zww*GVPkz^M=A0&S>g>A$rq=268UG-v0bTsS=H%E1sZFz{621LN_BXxc z$5s#*ff}y~)Qd7b&BcVQ*|3u_<6aXhKW4#cV1Az zur#^=+pep8!V*7sdd*7Hf=2(<516={&in5K{dg-e$f{3z>#%HKvlB9Qo;x?I*{d!? zp5X2?Omhwco}VB2ydbn5gd76^D4}7&q%&s5Unw?_ivCxGlAxOtMErhmP*hNSm`KHb zmPKQ@c&;41@5l>zyLsx}o(5{V7iUJ}W=exB(Df@Hf62VeoP$~X3_r?e>tzcmN%8WZ z9bnqTYf81Ri&aLxQ?LrCe^^b)(ksY9b3A&Q)e?CP60YY`V{?)Sh zPk)ppG^q8AG!KTl$GR`{3!8(le$#Ptru7b(!=i!kh|geif7`~LY)x63kh z!|750HTx$5tbnKhPjE=1LzT%Bbg?{^_>_m)n&qRdY;&vI&;x25Tb&qA0f}P>d2(yq z5)HnV=Up)pbjYY=g1lVJ@wDYKp1d@s;GEoJxR0>FM`wv!d&)@ed-G4MkMr|=GLMWwwH2EC>F|BMdFdn!DVcT?-(!S^D5?8+I5 z8(+Q4RS2y=3<8|~sgQV>G6V?Ojtq*1ntctuAoB@X2G9(wKaFs9}FtsENG6q@5h-=n5vHkB|4E zByCZy`eRA7p`1wZJS}svPe2O@=DNusCiKui;GRi_$u-do(s9au^=W$DuLBDwD&M(5 zgI4oan;GN3YMW|<#As8m(QU(qDxVaGTBGp*+Q8EvO$#_1R*nTmN~E|jKca!k$&$eq zx5RLMV6HzrT=nB%QDj>hJ(8Rq$v6&dB2&l9XDFQ3hKdkBZ^HHj>*ckDC(Um_-D7F8 zFwgi^`KOzJ)08Rd#L*w;k5n6C8{afv11Ye`>+y#Zoh&1oCe4ZQm}5{cS9+D5P3~5H zGb_)h=K{w&??^~%N1!((K{|k2H&O$xeF=I{67Yz>sn%!7J-^^^DYhrbE%)xH^CgCU zRr%SwX1@WSr1FM7e`ze!)N)-*-Bf?VIyDee-bA18+oKFs;=irmA+yhNL!!?SgiFH? zZin>>CZt7&bYjK02c4H!PMqB0eRxD{%V7f-*w1R+tP_Q04voQQGD~| zF4c(Ll#v$5JP?>R{^`f58U8dd=ceXMrW!;5no^=)WoEEv6=apFVkn6xRQc}EsEg{} zN-~mA#dho~#JkI4SKGEF2Vh2;io(-7S-q)N6b<6!F5m{M9$H-xd5&k#n`CcuRaqIn?s3< zF*;2gHqF&SH1RBFo{P_c%I_*&mJYjU7^rwO-!o36k8HzfnIvfry54X^=XdgPrGR1& z89GL>`-y0yphPuB5J@GXnRA={8BjUPtF*~Qate%yiAbZ$gsLPyJ`Qy%2?giD;CMNE zE^IQu=zL_u#N4W9DRyJo{UVg&%awZExN5$8D)hjyNMc<_)O@=dRMBzFk1G}OlD)6V zH6oKVXIy#Nirgdy906z7O9_ROC`c4rP!(G&xPnBm;amiQ;mqF4IpAw!HiDOr5Oduh zPVDZ7M69ciu7TYi-WQN6JQLBAd2lRf;fPz$$Ex4(pW@>BG+9?4O1HwtF4{NEte=1* zbE%3=qA6#ccUD`sD~t6`UrQBqeDIAft1Dr|7gv(SrA&->qPlV+gg<*mNaFILvlA|U zm#ZZ&f8XH@J6!Kc6i29ZN&Q(RmjAUhs( zX34=1J&zK;!3?3YWr8(4N<^$ICO#Z}CCy-(b`G{G`Tw$;Km zUG!*CX30q-I#$_>2lEPoMk`n3?90=1>O{-2n`|5IMWCC> z$i`?-g77z^3m%bi;g8;VwoJKOTLUyg0U8EjJXJ_OH%1qaaeqwc@^TO#@QnLuccPPm>H*~&B~gNLHA>l_x8psq3+8>SIt3?7e-O9qG?RWeA7 z*z?4D6=# zwt-``9@X&6DjG6iO9QD7DWvcGRk?FbRe>Ub6&fekS=y3?I^R(TTcfi5nr%0}?@{C% zm9B{3)A<^(ZKWaZ=QGyWF0NKiGN7qMNqr0{K)39IR%r*!GVT324ohwZzwia@ohh&L zr9C&i`|Bd7QT#-MHs?>*6iug36d7LJwl>6hE1s+;Bx1?)5Vp=BD7tj`Ne26}29eg7 zwb`FogdcCX-l%zngz;FGy&2M*^7uD<8u>$*b9$iSUoZFx!!?=wn)uaEf^XW^4ZbW(%P%iO5|wT4 zNQsy1^N~)pCBxwe8(ybNza%-cN|xOvR<&d3cL<>Ks`b`wo+D;ZehiAD9mMNX3Rjfk z+-Pm}b@O%QTwd|D_1Lf!>8M~!ta*>-L>u$WS7I4~ z_AOKSDy{Y1v4Pl4`8rBlB>B#a=6L-A@LUn<`#4jZ@E(i7XJ_;u<5cx7i{9V$=CX+| zfIWUkrM;y5-_TaY?>9?zaUSixoO;(Hh`;{{wJDrzQQG$4=)&^+L2YCU&MI|*w_Nv_ zy7oQg<+^T|w%)d+Fwiki3Aai&qM!bDs)M7gTP?^LQE1?0aVP^yXJWOwt;OF$E06dH zAOAELwMl6*9#ieFcAjHBmlUb!;JeUov&^Hw;K}oET)z&DD)I`?qkZ{<_RR8~!G2lE z#k^FFMSOfgKC_gslhURCDyuG2)-MIyAQIv`#;A>eaNiq9k|x0QWRH=CNVHd(N^54d z)2e5>46;fHN4b6qkvOB((+!?2#Oc_dcF5E%FVFrkc9HAo%(`|x3W@mVI3$9X9SHXI z-G7E&BF5xPyEzG<>9# z7&)W2zq&nt9N|eBaPp2Z0JQ;{b6ClvJ;DFEoxXicJ&K6rgT5gkJHHwjO2cg?bpehh zQGkvAPWBvO=+Zu;583-6i`DZx{Hw&%usY#w8PMUT267c2cT}SArtxS2^O})ivwye( zECQ(85uB^=`i(^JSb&g0Vd$75Oh%p*n%5|SjtJ{MOBNp`dWrR(>=j|L{HKbD$Cqhd z4sk3>WX?pyBr9VOK~NE!y(vULHkdb%Z(5FH8y(a)o@A${LOFMS8R=~;bIMRXPqr30 zugto!Uev5?Y4!Azw~*1lz@dReV10%fMx1z3A_ZqejODW#tbUlg@D7|nyg6wzjwAL% zwmpIfYJ|-}XsLHxE{_B-gjvelLqo&QP##G1jDkJ@NO2?8ze?q%>}`I$Decj(J}e1_ zU;p(9F=!B~3XIe}>qx(14b$$5^{FCmyYp_ml+-H|4qJ>Rx2QJmcbU*uucv6F<5` zREg~E;MY|ta>>oBA&0(duT*8`qCi%Mk3vPzBC6|c!6HXBeq0bnG}I8%RNU$zgO@8t zI|W{FtwsaLRc6>{J?fz+R&~{Mj47ryg;h4np(9sVgxCGO_8*D>dQdsy z^9S+O(*hfVpTorUtpvnAIuEX2_^wv|LmaqG!?5+YECypf>Sb;Y-b7ja8szTDl3$Tw zNvj#;aWb@6)b}|=rq-2qFluKE0VHXqzeRF4NX^GX=&3M>wkE=?C`+e9;icsfn{Dee zaoh*6R<*PuH72HZ4s8(}Kxr-v4h$0IEZ%EgQ$?78@QcmEYKWg!J%XWVt{B%RDJY3n zp9Cc(S@RU>Nr9m=2)!O21Fa-Erz+(B#9LR{Fg^3+R3X^#g+shi8A6ZHd=?@83=qKn zenImg&#Gc6(0vU)0RH|DUl~o$?YYZP;C*Cb6sYW|Znd8ZK<7RsS;p)f03bf5uMbk} z%8_9giMdLvKD&Gk29^@KYcFgC&Z>Y`a=#)_{Z$F|i zrG?g;E4!KqF{j)Jzj2L!)ktuDXRMHMDEF6&yp{`r>NKMO5SSE(p32ud3Q_Lnp`S1l z?~R}{=Ef?4!+b!mHC==O{5EqR%)c*lR#ZXUJ<(r4I_xFf07 zA?*s+USQUWZb*6YaI^rc`64i2J;k*-}VRj)Hr#9!>I1~dN zN3yiC=?j)$Yyj{fMV`*Awla>RGS=D!sPl|*n)DV$F;99H0)9J*DJ^h`ofpYxIWG zAb8ZK_S6w)wb7c1~-5uL}R?RsJ%G+m!#f&5>b}Ni$(^X)quS zT17Gc^GKEXeDk?W4MF>_V%hhJUB!84$`>PW!pVZ?()lrKo9_X@<`q6X-gsqBFzphE ztEWp+qF#a+3%5M`1ms8>dkXW;NC0@-P>Fbbh18AGZ5SuaUD zRK{M@%PAWh_@hX^II}gH4!9mlg+jUXNqT45G6cV+7!RY}wrEu6wgke}zGyLvyG_bOYLh_n z(l+mSjU$@y>s)(Z3w>*BYa(NB3;=G=81Q9`U6JPJj^*vricYNa4@ z9yxXIprFMn443D=4$E_qpH}N9`tRyN=)w_r@TxP?rrOckfHELw$=>BZ z1Z1Gzz21{8yfVAjneO??r|UlLM6%7>n`-!pn;#-9(lgT{5%M+Gypn@)hLMK~p|M8W z?#KaqxB}Rt{^Ws%zWTe)V%MwYal@dk?~O$;w>U#^&&ednK%YljjrQNN@waU=Dekm! zX=LXy39FXVK7G7{=eNapdnp%eTcHqw^*CmH<{)Dn&=&}Ak`}haTBjwQ%-_h2lO_z!L2_jY&f^CtV*~XQ$HYHu{Y_W} z3nZWh*!gevg$5GWI5SId=W=>*YS+<0e?RR(H+=d?X~H1XYwgf#byHQ_(Br8QB7eQ| z%u)jYt)JFkXZAhUv-kZK%?XF#f^S7Ago4%5Xxc7*pFG7GRq2;=1!0@8Gsn#cn?vcd zufEsxJ7HSZDA*73FD zRMyW#89dwM^j4G0`FA})iGvNZQcQR!9JsmnItfnlYPMjt9yUv3{Ge^5xz z7LI6sapL8{ys*I69|7DQcV04`FC7Z(;1t`p*PM|EEW7Ui9>!MSR=$yc<*m@ZNo$W` z0g~!OF|5~}HcIJ5h~TD`n`}ESyK>Wajp^a$mEkzz1Q44@+wmY~iQ|NcPVyARE}Sfh z-{0idNcrRar9#enLDk+}TV-3oJbCV4;hmV6$-O4VYG{69_nU+R8BUXjL=$GTYZNei zNe9iNd&NY*%fjw}E(~1PZ>zit2rj8bN0o^`Lcz?wXeB{HMRk;qBlf3B_;gg!>6%*# zNWfL&OUoQ{w$D$dUbI%E3Z+mC_e%89^S|UWv)R|tPI841x=G6`!}FDiABL=u;p`+~ zV~ay?jn5qa#Ac^(wb{SxBix_4=r!G(=SnksAOVTFSrWRQpjJThT+mz+E66H~$s*bjCNp9>wq*31rwFlhKEF z=vQ}Kx)D08LZ$o%A@YW3YtDNG6V=_wYN5dwmd|XIC-Ol@xxG4uq6zS;mv;wrwsecY z&vi4TbjO#NX+I>Age$%z3jdnJzb_;S&?O3UqxA5}+njdKbg8wi>8*y0jOp7SdFwS| zByAhgIQ{6OOKGkVZfV!e#9d}R54}3W&e-9mx(dWLFu7dHWng=KQwBYIbZ=ipORX-h zpQ{4R*yVDGBl;s07)g9he}*iAsr*eekyGpv`kiOQCN9!upi-bK2547A5bHcB6>x~T4h>Jyo=PIctw))j2;W; z40S47QzBmTT-drPe`Thyk-o^bE!o`h?}c6{7^Gp7a#0|O0#+`>|O-xWg zY|Y1QK3rf;DskmtyqA7y$lV8E z7WiIt*TBjF4&@70x@@`sFeo+wwmZZQ2a4Bp3+rqeSMlpZn|#*`cV2_QA;|<#BBl9U z383HHEY4SLmY&;jW50{_>YD%$TCyA|I+A$8DrB6FPB;K^OEw;OnCfK3;Tw;cpW*xY za%1;W7o3mlMhe`1ueV2v=%B^Bo|{G*2z(b;83Sf`@sw^wa8fwGFv6}V3~ z>Y&du8_Z+VbKsvJbGPUA3{!=;p)d4n_Z1jYZd}sgb+dT(yXO(9nZGwIS3> z?gOJeQRfz*h;xp#`=-DcwfAZCWz4f3rlO%q%}GnaoRxQ`xe%k$q^+|Q>MwJ~qc{L2 zExyX_n~nYyObEEiIc*fgW01}fsu!5!p#xsQ`tt4EWO4}yY=3|ek%VZW5fvg-pv}}n zEz@?KoggDMl33SWBaSt=oxB6|UxRb>EwgjAMlP{%e+qM+9V41#wfr(L3P~&#jh&PNO<;C~>!YwhIKtNBi_E)1L~m1#&HZ+m+kg^(^D^`6!vI!J88 zPsw$imAN6(dZ!MV`5hf!2D+<}ix*}J4Ya|A0{g$e=&Y^UagA7v$t3(1o=)Fp2^@6F zmx#_(j&fSPzH4rJmCuH78{Dq0sE+D?1HE;pbV>8%;lGd&+Z)6H1_VTMPF|HVRIbQv zZ{UOffX(a@VV#n%134N0B6nVNj;hVMtUBy$!ol*Yr8~+H$#440xdM0OfePZUegsZP z@IVfPdB2dQ+V=Ra9K#$>|CIS&K6|S$E&U(s-%6oMTvXr|5ve_4lDC({X|zOcoUEc? z4%+5;ikG}mbxd{a^tfUvegaIJ|1PX;UdGGYaFHFvtl#VNcWf{XZ$%_n>ot}x$#PT6tiCZU?c#!vz#W-y_U2z13xaiG*CEu30 zb@tImKKbj=l4bM@sn36aM{k}#DJQ_1UwTy7ppX{xqkG9`X*`zC*sV=;_+IRoAdf6H zyI9WW3)d~h^?n$h@D(SwaNs5#`y8A8@`2&H>Ulq-=^vy1r><&ux;#Fx(}nkEZJ5~U zN?CF;5^YR!Uczy^Co^BDP<-8WBP=&j%==Q(4ml$+#j^_@a*+Yi;(S*Y4B@2FE#K5R zhVF>e40qc1)iUGzERFdTSpHsSMWs8pNLRRY@CC#mK0zU(LHBn*q%}b*B!1YvzmZQ3 zgbM;%rD8`QnDwG-6KOCpz|`q9wBjn1^VMTgiFJc}L{SZf-Q}U+uwp!<*X}}h(#yvL zX$?NUeUSaxg}N$;tYS?Kuk!h0atbn?NDt|}v~BanLdOkW5dpCaZ9H)a|MGOIqPPm0 zC$`}mG6gv(Ud4Al{dxb)N7ab-eXjs8ZYxJrBI9JGX-4LezXAgs7(hKT@(?UW>c!sN zZD9MxbIcE-V>IQk_XyqVhE!j%yo#xKKPPP*2Lwo8EBS%K_L38mKDSAI(Ji>G|E=Q^ z+H#1rlgQ)xWygK4odM$lL-I8*rV89+_e5GeWwwMPhJ0b%y>K_#e%c6xdP}Ze zDDu8Px=<*5yc92X0z((p;9WQrazzs%Wh6}N(kqw1eL=Hhyp_y0g4Pk}8k`ivvSMN5 zGT0&?^a_^wa*U!^@xqIQ1V-!-dqk>gRTzK7ziFo%%cXH87BY39+fVRr1SLy#(5TR%wk)l zG3Pej#rnEncr8B+xWFFuzitk=G!y3opnwOV`1K{}s~y%3ak8s2ckWbI$M#Vabh{Cv zH32rLS?5i}rhsuNI?zbuiP(~W2CFbcfkU51U+3f+MY{WF=GhKx^Fn&qk6U6JvzU3^ zXg(p>QZ_7s%~S#&t^^bNXOX9xg!FU0yp;$9-ro=(p9M+0W*J~}2>w(cE509}BfgqPt4h%#+y35VJBdJ0b@R3$eWWH*uYp-B?X zsUaQ7Z?7>qzuFpcgZHpFGF^y}Cd^Rdh=?7MT&#-|&bpfi-Xk0@Z@1gz)N+)0#S{V? zMwSz0*4nF#Hjb}6lp%G@vSqj+QcL(HTu3N)l^wwKP;@_B`yY68;8(d<4R@`2dnMNB_T5XXl>^KD|HBDgAsM@E#(WUeuT8 zrldjNlP2(#YVq*vr2S=*uDM7ZfdT&hwl?BPeMO_V^Zuo$fX>8S62#?XDLUS{?s`&J z^VbKz;pp%J)NP}&ANJanQ>~m>R&`dgj3=qe;%FdrCX{trvhdQ_2aj!^zfy`2JJVg2 zHqu)Km|uTm%%86Nrf&Tj1B`V}E)L#~>oPXJGD_n!I4X&XFKjr(S%0a7JfW_(!J{y7@jpF=(?kI}4)H_&A{9KE}xVyYsyP z2VP^vuQ~9N--7QfpUGvxTMODwX&*BWd3_}BH*fbzH$hu-!X1m(0tFK^YBwqd&Y{d^ zyIbOl+h&hv_18x-edz5U?m*hZ93D)A-_Dx-FpTTxVUH5w)+BUNO)2jwqD~*C6^K36 z#rJWTJcVMt=JvbH3zlVXWHlZK9=;JS28Oj=f$cIv0D7n|GF1u zV_f=m-T}S7lP>Y{`kxVk4j(#b4%Oc#pXpb26rU2yYdghd&PmHj-a+%1Q3kL!yv#XF z_EOy~Qk|t0*r4Pn)OF($mct72$O@wHztumeAoqSPr}2=1tD&n&c^oJ?fb$LXt#Ez1|6v#lbQM#H?RZ}@?^_bv$Y+%}$@ zDa_UxKu6k&PJcO+NeBzxKCo_|Da^CVYC7|JM%4^;mJk1eXNUs7iWjCrM=vW?Y=ppQbpN=`;a+$dJf78%FhyT6<0yF*tWc&4ob+ns@n^=IU9uJ-0 z02abA;;9cBr@&(INlgDBSY-Fl0Z^Tfhd^_L?O}loSov`CXKAje%!GvpR^;L}q-U~s zPCF0I3c8dbyQ0XIk+Z(l8?YtK@|m7%v4EFZoZCOh=}FsthfKs$p{QFo*I}HtRRc9< z0)sxC3rpdwq#eA&5k>POQskK}2o{yw1z~|W-IUWTR)Js=QwH%T*~9IWK+Y%k@cpTr zffk>)einT!pF;^rr+r$a4IF`q%~0=8t!I{?EHS^P^t;S)iQG<{=Tc3blZB7*9EW49I8@jhuu~ zV4`Qs5fLEIL_vGIjF7A<337#BP3norl55qzlB_K3V~0`abOiXewS)M2KMM@Xom>#$ zQ7{MAJ!hSrYulc%Zi#BkXazxi-wZsSyBP}xwq~gLrkc-MQ0E#iEBhjw98zuz2(<+g zb=j+_B^zB`dU?tbS{au)8xZD)RbI-DS;Bn!>@F}v?n5gIP#}ew<2fb8X~Hg5!p0FD z#wgT#+IHZJS=ywyk7s*6(YK{HzSH1|dx=iH&9lHNYmncwu(HY6Kkj+0-6QG%su}Hs z*e2B!XS0EZ-Tq%mXBrLl_s8+sjWNbxjD26Sj9qrwDI)utJ*BK^2EW30kYx0$^A{!$iA5;b84|6m-?s`)9no4OOU`!gWQ#%Zi8gz*ZAd15xv|hd=vS44 zSmvax5vx7*0PO*gX^At@uqWw1dAV#SB7;p^sP|bx1X3sRa5ZKy@5*w(nA{YHY4xN{ zE!pbn`=Y4^=?svU#acg5?>NRYEaxX5hP36A3N!J6Y?kxZ3QU@g%{i^OQFePJ8Z;fc zCRK45vpn;-?3{jdP|k52Dy@S#A^&T`G-o`Cw~xPP6{wyN!nG@)B)(PNt{Rj)b(KOh z&D3+f_}@45V=8izU*PGhHg1F2SkAnN+&My}(tW7xwZw?blaSg>vhw+&F^YMxK<#y3 zIkC@ay~NFso!#$05%)K3-&t6tbyzhkvWZBumM>z^U&X-SRHYmR7TZA+|J!`pBmLY0 zyVg}s54gzfltu7T3rLHkK*wiEMyOfDSS0podi%UBuFwh>poi#$Bh~s1I+3E0!rsNs z^vlVh!C)*2^9T|-FIXie!5262)jdtYU;dM(nz1Opn*RPmy!Oo&LH0-2LrpaPeOlrtKFU$6{;9O~+5Ro7xHOPf^8 zIdmFy#Z9&=4FW0$Y8J3X!Cvcov=Soi=ZyqCp%oZtp{^dog$+p zJul3Y!<=F~EOrd~V*`Z3?G7HXq6^agq9Vc?qw@?p;`i+6?r6H7TGCJ)q28&Ctr*2Y zU^>v0e)NOZSojGuZ^2GlMe5=d0Ic(f1&^7Zo3esYnh*sP?)45HsG=@X_d+#gXnFd* zdX*=E>t;FE&p70J(eF{al;Zs{cg)J6$@gHf-#|R|Y_PYd z13ft5rfvyITOWdLwnmZv&hh86wF6*lXK8*fU}%if zR&Xt5x*9GZTCw-TV2om-5hQ=|JJQHg<0fFat#{}Iw$mt{u#YyfMaMM@*rNFgF&JZv z%8_*9$3S_VuyC?^=|zllTjfscbbQfQHtx1o;eR;MP_vl0$8i{w7k7O>Y`K*o!Y+ypwx!_787=r{oYn+tT7Ps`gT}%XYr?ea;=}OR*NA=A)L#!k>nLOuR-Z)R;sRG|bMwk=~ zxIg@je)1WgyxF*!|He}jvGfs0j^;|c{CB70em5soH+LIq6yPtie3}LM#>v!UZsB&` zn~i$04k!R!n_)4T&3z{l3%C}h-=`vOUp&|Uq&(eSMxZXuEn)Oitt1XVU@!1U^-rFH z{1q!i7=rr{rsmVhaO1li+KNc9b2wiF{##Mfpbbj&!&Mw0%bk4UWZt}dj`6d&5M-P< z1TmSn8k`o>IO3#JqG^!vJ45?c@A{GNtpd`;PDg;}Q}=q6rS-gRHaYJqm+jOohXY~< zl?Hg%*GY53UulPJ)9Mh2L=^E8nsW||z}& zAlXCIy&e9zckF(12NibDf{S?NRe~Zwy~S+!Hv+U~3Ox>!(Bv4SPb;UvQL;^u)P_gq_-Vgx10g-D9N_-92B!GlJT>M0PI!_h)8M$TYai zYv6+&b3ew&R;q7~<>yV=0=l?E>2Dy^wp8g+YVhSL@sgm-3iTJZtJaJBAN>CQMgQYo zW<@^5$TTPjsxLnHbSl0@tU(Uq2Zy^mXrC_|$=0#T3^Q4UHuHUp`SZcSn>IPth(n$2 z$m>Fde=!ry)8>K#5;f= z+-~2GEF;4{QGdRJ2sb{s#34VukA;i1(9A4lRxprPLml7%=ZWuRLBV{!rEfTFWq0bq z<@y+d;cI$V4rQW&6ELp%j|ZfsMr4%(xU)g)kb5tpNnHztQ6hfzL*v4#_Rxbf^ie&L zRs>#u{@4;r$jL>PCuG2Fh|FV`D3Swi z{edOgqcI|Z8`dp1&OpD{|A28>Xzrz0oy!XyGrt{`nv9zmI)c`fHv!5n#hPnl&wrDtukHlZ|5FN}ID}m(AKQ)CALi^Li$cbfG=OnymkJP|{jPRIuX z-yur;lX~iNL{T{%#h93ytP;49N#(n{dD)bnI?@+fg({XR2<^Y&gUfQuvdSIKye0jfS3FiZt@k$=`eXjnMb_UN$DC_^5k&p)Zf)UM>N_fp+L`oh8j3j3S?2hgk9*s5 zffEiUoB#DJ2D3x;qx^LCs(8t0E@k7D8*vO8+5qh3kIW~gj(+|8+;+w}8( z3;cX6U{*|%`TkD$&1UB^mCSJ)b6@jj@f#TiH{-huD+ETmC4g=f0B7 zS*V<}iE@O*qJxW^lBcjBInNmY!I~P$s=v8ijRBHBm z%nL__GoeAiO353VC_1$!x_7&DSPfb~d{~GLbiPJLSkc z>Y?6Cn2&A1x{W-lec7=zM2umi8UtT2$QE1ijm(tZCMz~Wr7clNU_Yo+VISmchH;7~ zto5a6ny^=;kF%UikmN+p>l}CdjriB^eZsJ`QhvPbLkT9DQ9dL|pLl^5phKQ6wk6O1 z${S~@Ei9+>nd{2`mSPu&RMWMQNv?nLeavq0PU`mEsrHtW8kn%|KI_0Tbsdv2ZqSZY zFt558mvrLLhIl7GfaX5@$6%W*=daSBV6MM{HdP>tLHVWQtPnrs5I-P0$>aY^t*8T`# zepgAlk&5UCq_14{X@a20nB;8dcXqx~YWiu`MO0|{E20Y$f((clohQ7WO5UJz7$dXh z9A}BiS&Pv2yWglWpGkT|RQTp61tYGq_*N>!$Z>Iw)1Z_58;wpu{ou+w+|}19HSQP8 z>sTE$4s80S0eED)6JgVH<;=ne(Ihx0Jao+8c|H%al>{JZrPR~@N8>nyW>Z9N-h>4X%3p%1wmT55i)%Ttp8qt1a}SSGG!9x zHV0RoZaz$-eur8^_@uIA~HaWR2lafWhavJ;nN0}`Ke0?Gyx z&$)Fu-ZEjocfX*%H6Rneq9Bl#Wz_Fsu9dUwi2yZ;g8y;`+Z%a^-roHT^NruSo=C_5IUD(Sw@S)GqJ#ZP zUiy-nc#IDd=BOr=hoYLzJ$7+=$42dWdK|N|KA|Cs9(`%y14k`3kdUR8n+wbEt~H4^ zH1aWT`Su^allssdfk5`+T<60@A!0?xDUSrwMm}=qrg<;zm-q(6TXh-W>VrS%p-YJe z3%N~aiIJ=zr_xSm)PbF;$DY|Q3H&~ZLAH|T0$~CV5OrOV;M*_cAl`A(>r#RQ=+5z zG>;Y{x*JiB_PHm{Gbjo2{JmZ=oDTWHzQb0+>z;7jq@Fkn^Y2bLN^Gle=8JXmf&+kVX3(Y+mpbw=S7Z$Eb`GSY|UCw3sX(=m9@)T+=zaXmq) zQB;YwVh9khScdm6>(RXGGgVSr0tMCDN?!iZd}C82IoFoo-i&igJ+%`BCS7^c7BYy0 zEpKvAaOph8Kk_LBQI{_*mb5)T7O_LN@Gq6WGp*2_kkNC2JAo?QGaY|M<@Wtc3a1lQ zu}Gg26KDEvs?@}pDNm`4N01`L2R2O3>sw_^A|OCiJ1$kh)|txf>%Wvp$7Kp6zM90| zpLs=Y>dd+&*G;u|d(vBBr5dnC)%m@=(2B?cQ|<=Kd43&f_Mdh0w`-Ran*oBiosH-ZanJ#LtK^v*_j>lw98v;L7MC#v=&@7cFH z9n}JQI=wjK4X%`}nM>E2hUHCAd9u;@e~fus^OwOE6<;~GtUu2lK>ffLKD4KXW-R*84k zS}#a7mdBTW^7@%|DLvQBoVRoH`#aDd$F6_9hRtRB4-m;zd&i9zu9Vc=rFZp6HwR>x(q=Pc9M>Hv z|NcIU7i5b#)m>TSy;RM^Upx%wE`*`Jv;G|P5_EpAIytn`YY<#E4eP7K_cj-@A4^93 z&anT5j*-h`w5~GO7v2)0fiSquYy@ncvB>=LmHVNRMgHXGGo&qD0~VQa{GV*?GbGvE z(o#1lTU@{Eu!_mbHs>S#o_a3FX|dkmlL*3t$|8e|kCBWV4zJM~GCp z>p)(N)YiG|F|z3E<2p|M=+Hm!F(LWol!)|$FJJqs*1mevn$CI#44v!q^}_tjTT^hO-;l zT7emA5@vF;JbnX)Szfq5tLI&t+#Kzd=R|&{t!PX_hb_}m6VU8du91c`4j9o}u#Cec zbo#)pWG4*Gk&~K=zhuJO^!>gh!X`Isdcar>Q}yQGxFF+om| z|GQV2uF=cUE-qVRFzkYy@$n0;#`DK0&ZgtK6sj`qL?K#dmu$g04f$otz8g4}|2&1#t?ougv6fIENc6w)}_~Y$0~sItZo& zxMW$0vRfK038iV(#Vg`Q!RY4xvxx-VnqRAyo)xqxo4TST^ ze@*16WEbn5QGxt!!lv8xSB`7659!n$x%AB>Z|r#i^h_$MnOcvSclP#KJwcPq{9I?< z4xfT`65;d9@9UQR4j|!iJj4XYEFQn>w2gGVx6jy~j$R2G?98eF&5D{ASVV(eq8ZHp zSsH+u9Pm8l8ha1-mv{WHpa6gox3rv;K`r1jpLxHid&%pn<)vo(gT1&_TqP|~Imt13 zkcNSY5)i6!hcxR3B#Y6`Wn;;urz3Tn-H&L37lai~nnM~Dn*@>!FQbDsANI60)aUt! z#CbGs?_lMoMG*hahaXX!Y3l;6*5>=Kx60S_24MJW&8>(2?t7j<0|HrLKU(R^@=3E~ z#TLxkC)$K1=w2(sUhhyN+xERNpwvX0sY>*wMlCJ%TRHE#JwF{nJb*8E_|^(!Jbj&m zFRT7>ahmPC_L`)?v=%GnFuz`S% zUekH%Kgb96)i`u`R0;T77C^?G0YAghe@WnF|4oeKJYBLTZ7G>0&v&CFi9LE(S??uq zBsX^$wYh#GjX-UG!hOyo+dGi^KZRiSlQ6 z1*AVN$DuE$_4S!gyR{RPy?%%U@*?WB|5M9C+6v|e z1om>Ip!*DM)5jWqqAVEG8z{q$^##AmYY7RcA;s;1U9NV*pg?n~jpUl!jvINrKZby^Tj49>9B3x$@_Q`*Z zy~ux>9VxC?)a=aESyj+db@>Vh`rJ7+K^~Jlfx|bg#}v0w+ers3M?D#RcD0#3QY)<3 zUBt-kgo`PyeOeAB#v40Zdu^H1XMD5T-W@mQL%2P2wkTp5WMM9KUjHW`1^7jwX9FUs z=3biT(uIpLFSQ{Jf7T%)8ZlyM0Xs*%*gKZ^e<7S&{Yf0eR)WTKvJ;Ro1R2k3+)$(z zl^sX2v9zj{Wk%3y!{snhY5MbZwA&cR23iVfUHnsiu%diTKLMO&-DZV@NGCAy8as#0 zwKeCLkybI69&z~~T?2?XtPRJnavso2`ZKGKNU>)2->A4A_a0KYME6(v;-{}7qxUO5 zKwL5{CDEV?(Hn79%CSs`gieyea{f`Rw&0DPYfx5El|6(v4w05s7bTdq3owwY?K~P6 zA$wXZ#mG>%2G|&UF7$$*@#&4&koZ%nvcwfnzP^*!1m6b0?gA(eiQ8R5?=0KR{?<0^ zyfgmSVw~H)|5DkQw$`$-+`fxHz4tHI8jiY-S=qVm80Kv`d*il~&Bv%|t6F)nrA z_s9uIRHOwTVh!6?hkk00QM9L+_Mc;IJ3I^X8TEZVCM4vOGwvfjDR1vVKaog;9Kd-mZJ6}T&(C@LwnRj z5hn_l)al*jdR+bw!O6|#wXGjVa4arxUgI>To)RBxx$?c2qf%T9*;$UTlRnzJZR7{L zx&iD-ydVs6jV43+v$W4HxKh+FiIRdeTO={dS@%u>e1=1YJ zQ~fp|dq!r$17nL8tOX^0tfE-V;(4X-zIt9x_5rRGqn750sU5y&YTgMU6osf=J}AWW zh8g8~lm(V_EW4_wI6^moHfXH+@Xkjn3>@BzyJl=lF3WQ-v`~-A$ltkIIDYZK$csDK zdi&1hgC|vl*AH0#9mvObtJI^@H5=91b*6x)Uv=;oUg(+$Ho9{im0#!G2Wqp$5W+9Y zdy=EO{J(0HgUsZd@rwe^d9G=dsSlsdf3w*Hjm+Neh0LUgu+~$yIk(rms}BOj!^Jok zmdz_mAl?d+EWZP<^%w zE}od!5c(`BSU|KS88zLJ1-Q#Ye&ZR+ShkU?uF?YSF6TI&-w#$CU{2NxMT)lYy zxiv)smv@%NKOD!3NvJ!kG8IibUR$|)_$o5;(bs1j$P&)^n62Gw1smq{cR$l^$1E>! z3i9J(x?^{9oca8qk^8&u5-v_!ZO#C5=rep`Kc%aFDCdojM(VVWtAzErN=!ZR-jy2L zFtdN`lusQk{_7fm#n+)>IH%I@Ba`;_lE3|!C|AE?Fe{#`>f@U_MY=crJUu!*TE^Yu z-*Acf9@6z58V!SWy{pSdA|_zSP}D6Bv^Qrc<`x&$n>!SDiwEz`8_IVJ;3s$sgc5HF z3V92Mirf+v^A-=4xFsp&EgdRTAS>4eg#r7lo3QtX>bMkPOeJNK3WLN{RU@f0G?rFeDill3~TPwjtRvjxvwgk?a}AnGTMm6AUM& zvkS?U;r5T!B6%_ZFQ&H-$(M1G>E}-hU<5LQf=MBaQ_RrQq%({#=Gk+ka7F|(GKv(< zh+&?OC0$^UnUpwEJR^bm-$l|TMj|sQnFKJX%#>788sjoEJ%g0V$YRp6NpwaIGdGWP zg^|y^dX03Qaf5mD7O8-7n|bFhsgQAxdH(^ah*1nMOG-&)jEBrek4aA$<;%S?c^ zLSi#knQQB$?~D!RkDsJpjNi;Zo1`tqHuLWeX_v9b+&>_Jt@0P(_osyJOFUQL)I_Et zQvJTrh_!GZ{>?{6i{Z6F$cPyTbahom(ulZ>7>YG1?RuoW(Zw^&*1t==X>9`rmn4_t zYhUw{o=jNB);gbYTJ(Go^+~iH0NnYGdf|`|sjjoNC1)z*;iK$z;hA$UdCXmVC`+UH zx9VZuocq+Ke{ zk|K1FM6;CauBX&e!5#PS;$T$T1eM_H+G_ z(A@JKDdEm9<0)tKE2>AWj1F^KY3b456^9!ws6KOB=25-6N=x3eGHVG7q{l(FvfD{M z5)Uz9d%TKw=6NwZ$YH=eQ06dGQ4g{v&8ny?TE{edx;=;Rd|p7o)f(rwvH8#Q8oFs- zyEOLeI|kSu*Kp|hIQCP`;L$WhrMmV!`>tz-=|cU}{PXuli3^iigDV#HQ@~l8sLc<~ z>*tC0d9^&kmNhtEskmSJP)cyeXNIk#M6z_jM-`5ilS9)Y7XZWP99>S1;+r#_3iD?I z^cuS#b@OJU(mxO=JS(owS%+e%t2ck}jE(nbv^$Mmvq20}Dq-R^HdmGH>Ms6<5q|k% zm)k8tvqis(g>0MKVi69pduwJx^U!)Y$Fg)ec-0gA%81;SUp{whqHM4eZtO#RPgRX4 zyk4c@%-mXNfP@97dsaGC+qqV_%e{$BF;fpmc)eGo#_PRWFC%F!5Y;%(31v3l105^& za*FevBf*E}2d49Eqi#r(;!o(4dYWF2qJB11tP|$8p$`7cvf*_^NUMlFc_>I*_(|g53PB>Q%)hg29woJvCzUIf(`9MB?{U=Rpao%R zvd?vW?h+Q5bvA1vG!@C*h*tejz(DUY=kuO}%0&C(o26k@Dh)9#y7&u}b^PHph(xNk z^e=Kv2y~kN0!$m_-9u^U*pgIn3Eq(bOE$>?%bjPy|BIi#H^j?_gs~Br>T+86J6)T1GW60!1YhheKJoueE5FR4fh|AVO8)?vbQ^} zx`ZMEgWeJ|utb;Zb%BIzo&ymY##%q-f=W){W}<7BvH2B0&Qe-cuj~d4;*@zqF~e!$ zcW&GS4SS^kuF>C{Gd$8W&f&>TO$BT!x2Jag@qXDKd5*e%O)Y^0x2GMR@e+gZL!Ebw z3Kh-9WuKH3zcY2O#mpQI(Xryg1Hndwj7#B&{ zsO^7VcewoS&||yZS>6izj%c|Woy(3n@_ub3J?Jt4?7)pIb91Igu74N^v$}PJb2(}&=)tSsR&itj(n~K(S~6! zT}RN>Zs1nRPoD87SZ#jLIKD%GCZATU1q)4GOZ3o@8dfwy#5W&SDSDUh4QR(~rD{iq;_?1n63IcH01c>T-HN?&pUPTu6b| zsZltPdSA&roe0b)kFRa@_&b9JWCf$-i<5D3+%sm$t8WG9XTp+Z114Dug4`gAn`>+8 zplyWpDG_Qv8i|Q(do#ccdJ-}Bcb;g7v zmqwJn7>NcGf_-v$?#bV?eP-dW*BJ$L`lwBfpo4M16bA>Nuz%3>$NRj~e8*_-g2Qf+ z&h-kN^qogX>k3D4cp`fFkH}=kwi@#Bhu0Y(*_TSSRRP%+-9dUg- zK|B@eScFE?58P2k9#Is@LCjc2H(#CjQE#s^LRsDP0w3fUM)q@0EB7TMbbQ}6#<8|FsjXRDc2cm(a4Xn(t%zj%88LA zi-)A|wsNXK3_$$atp^5Ip=-B1~3#1~y#Sg)*@x0rz+^wv4C{}3?|xq!)+ z-}ht<=+bT?zmaXhrc-5paxc!2Cj23?{Q_7>7BSC{9dTOr3--^CJE^5#x5sKD!ZM0= zbOroSiJncJZ$A|q62F(91TFTUfl@J_2sKA@1+6=YJdap)KljIYzGMj-9$=(Ie=Tlq zbU?{C=-mG`1o|-AeRQVHRlQEU20KQ2}BV ze`7fkVU@rtS#~O3q4CxDdlRU7Po1Rk78QmdB&C1En}3a-_@f;`)1F>yp%#;)2;x># zt|swsN=SMtaA#O3?eBTA86vk-qQ#bu)0*Q?uE`(TnH?I3(<8=7=biyz*liL_R6dvr zz2*rYg0>glcH~OECBQEKBH{Uc*Z%?g!%HJIyJ8YPGag$KtHd@ne$aqyfdr}cb)jc8 zOp)}OTQ{>dWO`lSD3VTgxTmSAKD+(l=KKJ5dPB4QD4d7=6A}+mOFJ^=nYD=Ayy1Vd> z$ZTF~52UbS&rK=(J;$ZAZkV=&TAsv|yjowBhkk*d7c*W3*W$Crk+Kgzr*(6;T*uFj z4Ie=iMr^{yc=UhZ{M5p`bnYUib(l^vBcoS!Bz9rErXG+j;A0)1PoLvU08RbILH#h^ zEjxrMRjfT`#^tw}+A05WsxiamXapy;ak(h;9}2^tI>D)vfF`474WNyeC$NX2@Wi@w zPH}Iw#P7l0DG!pUn_P`IW%Y5M8bk;T_6NGzx;!Xe3*w)x|Fhrhu=ih!6|R1TqT@B@K6oGVyT&D zt?Fu;$#mGW&L1X8{qnA+>7fqiCNU^3Ux^3c!}U()X6 z3HA?MXwuZ;5M+3LXwVk7zxf}>*3cH$zu#rR0D-zh-_*|#M3J@myVV?{uJ>p5t4xuv zg~aOaU;mkY8ptjU_(|qU`Fk>^G*Vr!FzL=)x9y_it;+2WJqyYzE>ce)tSs#yMg>fZ>;SOGrhnA$#kLgx0Trp6DR_ggu+uRK8G;Y&U5tf9(BN3Woi2VZ%j1 zO)YJm!@7F<2L>9dCTPy{smLRP?E4xvI^p&*V&T-m_T z$haOb88tKCwy?DF^uCd)lWVIC&8mN6eRS2y-a%dU21Hf*vc_OhP;khpjL^1>v+bRc zQA6PQ*b8JzT>PJe|1LrhH%h5=-hAh@A}NGtkgpUec90TcK9h0*VpA@txSgtsZaD%y z`dsl}M@d*p_LbLdZulzX_Dc*l2okG*9D5J&$~j6UNv__8oXUbGi$fvp5ZGn}g2#jB zplX39?g|usq0FJANay}=p~Ia5otwkg9iG0_eFbIeHJycfpG|pu=5C1d*`UY&-Hi-C z>+txW=~yp{2EQAcb{2S?boWyHS<2%JcdypAhX=q<=y(pNI|O9vL=T^LsIENxEMJ=e z2hyrqI0Cln(SjPyRQPyfIGzMAHc)&la%G*145tpib{I9H2yU=!9b_bR z@WWUK^Z`Y?ZWvzX@Zk}K|L-t|jV;}@iOZM8!F)_;Lc*unTo2$c%(x!5>G&YHtv|yd zh?mf=NQG~{vhdG*yrt08>$iF}=FEXAp0psy>ch+MaR@&aX3k6EeGGfce+dSG@k+14 zA#mBph$>4M5dyX3guUM7LLrb400swf9CEp+i@hM>u&mxH%($c{3sn;LP$S6ljZ|?7 zvphx_3{lk3P$J9VrD}HpzN|^T`|#}R(}9p7Y8?rL=zZsi0syypDIoCTe!{b&8Kk~m z+Ai;6{y(~;rjcvwXcO|A!>9MhClGU!%j!p3%XrfYxj)`%?3)A3cbeawn ztQL@z#vAYpgS@(UaebngEGQ}ny{)hMMeP6@ZOVZ+0}Ara5JBh-6$uexSGQe)G>?=Z z1UM`urs^qopdbtgI;xzInH95AdOruLbw6tj-ZAsxYo1nlvx{<4(lxRHDCVM~5kh-B zZH{Vowntm|kJYYAsXv7t7Y^b6Q1yWrJ&mZ+KO|w_FE)d4DQ|{+~|c0pq}g{2VLEFyGA4t_~vZ6bs>J|=9QHSX3q(JYpl=Q&|c%@ z(p#?+;3RVE?<%3uVt@>=@(?aYGzYmnRFw4bJTmJFQUZ_aogYHA4m9xEXg&2rRkH&* zS>^jefb6x|cXE(Jg3pRDGC9Ya>h{_BAqF6CLHZKsih<1o@#hMUo_ap-PRR>cifr7wvAO8QpP0s75 H>9zj@DX2@+ delta 29543 zcmW(*XFMB@^UfYZBsMXE+M}phdj(Z{)>hhT&61#15@JPAs;%xC9XhnMc3NUo;D>$2$S^d((_t08V!?!i@inw z7-WjippU!1yZ{)CJn2n5dniA7kFf#RDgfNr0RTSnlt_F4dmq{lo_h-#h%O}y0H4$s zy#vA$x8ZcLgtAc3YhcMs8Sn@gPJ~Yj(ZrHpZo)o;fr&5Q@sYs5CogT#6~O;876eTY zShsL=|xVAl>v?E~@0+QX*$K^Ri!&rnNh;RtQHyC_$EpS3L~R&=!y?x~$4r+OjE zy&0hZa{9od=Ms4It9HCj23i4|gN2+N{VQTn1^_dms zJ1>!Xhs~qU4SdSY8XD|RsRmq#Cc;k2DlXducg;v|<}0{+ygjOhI~Fb7KK|D=myDCI zX#$+>AM=ZmV@-RMlq_v$2fIO5({&r{YQG0h6?DgLD<~cb=llF%r+$;TwMhmN9sUOJ zK>IouJ`SO-+j0=`)486!`h2wYOb>t=fA^|8FJdB|;(>L+wycmr*<;uVNg&?DTXijD zr&jm?4yS_?pSvOB?L6S_+Ord`b#V91KbP^Rck*>t5eb5<33nveY@H!NSaa=kSNtSn zmDI3Ls?K(^mHD{p{+(V-UX5HYl)tncKGZ&Ro;|o~Mj^s(a=R(S zN&c|0uxukjim);npYo~ze*-3)1k2- zmnT&5z?;gOJp#0k0*!B{u;?C@fO``-T1Jnha=-o6alkxOXC>O*uUC?OpT1JurMyQ{ zL6^?vDt7l69X3KidPs}w-QrnfqkcOW1rRo)Sd;Z{Rjr%$DviCvRhbqsRC78M9jHSd zLwq(BKe~nMC5Hz`$EI&q@Ge_AH_CI1XA2x)HI!NY8Zb#i<5r-w11ey&o7RuBTL^TR z4a8lzt0dwCGZdyZtf^@7`>7tzbf|xaC=H*+Q}5?H>I{p(l#ENAX@N>Q7V>`CGO4V( zbK=m7=AS%qkU3@^*GiCxEt|A;CLch&KYYUo{=Q4gIrVXn4b4aODpf4P+%tN8HvzLp z0IU{H5>$=C`5Rx_9APw$b%|Z&9qA9xxb1BX83BvIsw) zXY_j$0%TBPxyq7x12S5A-84}?w9*TZQL^(9Cu6$Eu-zBkO?gY%9_c(~g;bc2!cz#` zK~veSUb?x2|0Mc`8T3n8#+&X0_t5dFkb?- zYxL}1@&@z?+Cns8SM1gbg>%I+wJHVuqtoINl8CJ zA8$}_c%Dwc9^ksMVjU&iI$J~J#ETh`%@AP>zN*CkmKW`>%7wNjbjBZ+uw~~dyJ1_j zz64M3??%FpbVC+dp6U!N2k1^k2<_(nJBu4z5q!tW1&rpd@(D%At~r#%X<5=gJCwkY zRc7P(t2ADK@YI==Lxza1Rn;j7$XnWRKIel|&JqKF(J*@oa1@TgTDtDC#~<$v0>_f< z8p9q8dQcgm5v9~>%LOFjWX^(|ttHh#M&Nn8U@y$Yl`Q&G1~smTi*uL?bP>FiyRP#R zft$Fo0bh~Y5`K9fHRie9@1U1_W!m;-|80pVb~FZu&=wVM9ejI={?2jqA#k zIv*19PKpO%UQ5J@Sb_LM4^j!CB7MjNYL-Y6&!~Yme0#D}YfQWrWHZ`hc1IhVX@5OM zgeD#~LGIn$MIQZD6{9oft`Uc<;0vyMg?ITC8Qm!3{{91gnMdRU#Rs$u%M;2Pjc1{ZZpIK#dOdmAkfeYejLqBv{NsvxX(z8g*_({iS*A7Y$B4C!BL||#( zpEC9J>)bS1CB63Lw>kyPyl3YL2?Nf>+PReBk1W#sY!|=1MF6@VZHsKPU+(KhZQtjz zncTXY9?l3>=OVas^$dKKy?u$ROfImyJfSv z#98@buE{u)^iTbH{9SV}ApKEv=d#)#>XIC^0-1BG^*etpbOtPzZqh|U(JL`}u|Gq? z4DL51pHASZ_dgEdq5iIh7i9nKlIvdj18Xkofxn_r)hRd&HaB};45LUcU@@a@{WX%^ zZ7T0H%xJut@%@U^LV8-YyuGKmSDS5>RKS1MPKkP#NEC!bz@KI9igMg6k06bX|D3+q z#Z_N|@uIN4r&58ol{3(*8fE{AWnYghSpG{yoZfV&IuAawkylyjh36?!#y>w&!z6ee z4tO}PX#477nQePD-1|MbZux64Vy*lh3nG+0Pt?z?q}M)k6~AHU9kb;0TBDF@zcIUc z=q|~ou2G^c$ga6&EHIq&&cyb#qEhZcSE1)J=QTQe`%P)paw-#@{)@2UYXAAp0s9H@ zm$gMfm&VN8t6eXT+Y~zRJDBcm9pqR562+;EtmngsYdrs+rBf#4+3p?S;v4H%9Xf(T z5Bz`z-BE?tuBSqxGoQ#%%u4AlGp;SGxVV&JritF@f{zQr)6wyG@5WyR5u4EqDzu@* z?GT*}64NMPos`4)-u)53#=2zDn<=w%OkwihrZqdlhO>7`nQ{WQ7rL~xgmi$ZLMan( zuwK6}SqTnX9S9+7J05f4i&gj-`>FTE#Cp>w*$2M9XP3-NJ^?`+x%WVe7M${W`0g0_ z(~XIu8{y+;oTHg0=3#*>wENTCgvxKPxngq&$oBndz~PL4ip}-DKd*HKxxlT&h6Fa| zVpuIq=}uLli*ETaS$XeHJrMZZXG>l6Pj9@w&;bv+!SX;dHK#LCsvOP8F06@Xsp*AP0If+R@lHu}*%{03&wn@sAAa!j=66yk@k5}~<20V^ zuk&m?&trklc*mVbN z{UP^n#Q}OQy3Nr?K$*|FK8}DaKk9C_6UcB<_|3~HLCFaZRh=7o((2Ae!+Ol+^=EEr zZI92PTxR)5E1{gOU5-|}snQ6*jIAE$Y6E;A=q0PPu{W;j-d-(#FsIL?B*Kh$K2ffK zSu_#y^(?%7{G_7JnUjarth34|3WtS6a>$6@qGW;ckJ+}*B*tCpN+QlBDf4S+99Omd zYHTcXk>;ZAcOp2Yj{UwRWIJzRM3Ds8m5DoCz-mDD%@OnLO|xCh{{D(5<4r!>#>i z_9{KdkgYY7?vz|@&o?(!&)Sx*8NJYQ97Ad1yPnwSC0~4t$J=5Kq_Wm7Uksb^b1ads z?sEXmy5?Ciqn_VZm7i>Tq356L2_TodI3UJ#MurpRU^*sXHm`U*T9v6zbdJVUBu?uV z4$B9x{;@8(`mjqGlOZ!`4UeK^q-LgHHU|Y#?a$`$Qi9n3a>}RJjamPkbbFuZ=M@Rf zxzoPX1ro0qu_m5xb`%N*neQ^XRazePhP`caAmsX0EsBhIT1hoLlYRyEC0{verKVKk z=H$_8q=IGki$pn45kD=^!)s_t!QxZ7ma9i2YfEj;nokj}>*Rk9gq(rOUv?2#!U1QT z#d6+U80W)SXrN{X)(b;6;4(9t>Ka^VkyZCtVTWNQ|5OOE$&9xy_;HgMRp-tZHuEsN z;plI^{4QR~9!O_1f6fy^J`)E#xl(C6+9)@$dlvzs?*|JZHNKib}x_hs5xYtXJd`FG%S4XabDk0URR9cCmI?6 z|JlkdxN<%5cGESm_K&E~tsUNNkxXE7DYoA?H2=rhWIejwuKL6hx$1Mnl4`WpC*HTw zZr#buh1F&rlr}DwPS~Tq*DL+bFbKFXOsNyyJfL2^&ayHN(K>{d(dIkqTAm8*U&8us zUIi9>@%6oG+mm2Bc3G?bve4+(PUf%6TAeSpFMpy;%o*unpXBq;oBh4x>U&|Ka?V+% z2;lXS&*7z@fsNu>C2|n9&1uxoVtw4Y=yDmbNy=T`SY7X0iC6Ep1^q)4yGAW170@cr z8N3F*)9zK@pZ!_t)-S=|>Q&7Lt8B7#@yQmHdh00JfT4zm;V(Z(VkcuNzn9(|l?D2t zEt73%%G2AvJKSv61KpC;aRA{;;CuV02Tms+QdCxzRm$jBp_2(2(FXby!pCkf(O=`- zkb(*SJx>_&^t#E0;9oiA-34V0;P~{RbZy0^vML%>JiQ%qtuh80wl91oUQtynPHRc0 zQ>^rTG2hHL*0_!V9qbO>%nAJ?b?Ub~7$O{00~)J@YeM3@wBBdPKi0rsadZi*Y>`<+ z)PY&fza`of$nyCP?B2&*J@BfL4*$6LUjFzlWw$iR8*(l$$?9BIlEvvvMgXMz>EZ0a zhbEovZf_w&K}v@ZkmKZT_EjL;eHp~=0F~$b0!$@a6K+l=IYO=sCLO*!NHi|vk__7c za)pCzk14>dix5B@hP^NYeF;fkxlxg1KMT2?Xp~GQI^Ui0R=JIk^MJC`VnFv9AED0N zszkG7^BLGZqUjW*n%%UFcg7gD+D|Qj1h_D^5v)B`foWX3o?4!CW8_->X8ZbqRE!R^ ziSHn2{}`nGi&8czv@ppg20~LgOy4D)ZQoQRAtc@hj&Ffd8b5(Wu`@KKvLH!ILBa(6UHv+ zh-m<{`zwbT`n6{t;Nlb7{jy2u_D~&(%%ygyswO@$KptcGAs3U}s);$*uY!;^R>($I zDBHkTUB}S$t~o)7yu?$hr8EDRO3qTjn3yRdq~#7|3!DuHg4+vcNcdG(eD;crQnn^K zNzn#wpehd*4}S^26s`#>cthAU= zy5+CL6Le?Xh5=Ta%|RqYK_8BfjcW#A1pw^{5|74UqG7>nP{1A>M7~|%o~c?Fx{E~O z0dW9O{52$C??g3gN@Z>BNL#JM@(^;s86$zi(@v8uN`Rf%(Ueko3lrXG3XJsKq%*l` zPW-U9!89vZwbS{A$VEFl*6`LNlPD#Ndeu+5Q_i$^_VWpzuTzUcUKIvE z5^dieDN26z_0(Bin0ZUcfxrF&VXGOhikXr@X4HQW(SvoCs?4z#~W`&>J!l&q71s^|MRkfH-=@;?_zE)_bXo7x2f2&5Gb_^6*} z_i^j~Nt-KWRo}l{6^#6OW+g_yK+evnelzcS6Tr-HLX>r8(LMu=nai27kQMF}Z%hU~ z*7DD!AS1&RFOwjb9)K7c450T~*_X#2atD_$P0vCu= z=^fYn2nr;F7O~`eaqBVtXtc@x-TIOHpWo2z&C-`l@;U!Q^czbW4HnBi;=e z)S0tnT_(&Hha=0<@2vCaMa7f~y7-OaJG@O^gXMd9xg@LDplx7>7h4oynj4EF=AmJ) z00{T7`E6Selbl$qVEz4_0wB^VzdQY_78#5T`$)Hp^#D^rD#4`bY>U_ggn9cqiC>pl zlGJG#tZvEcOhz1u9fE49&T3`KRWvG*37yZmF($&;3@xZ7Q)gECkHCB23xM#%Zfdbo&^Ddl#S6{D(Of)Ip{CF=_i-Z1z>dc zaHq310dAiDS7TFSWH`n$aNKmhr7pmEtI;g<3~l(%_eBnlkY8bAC*xbuy zL);T4LfV-w*CMKBCAhsAE4vhPEt0u8Y9e3Ba*4KUy*YIF>&&Y4ien#K3p56C0F$y> zO#G#FMX*OLh98+u1teVKVsC!|8iJvCO!--MrmqQN$>q0n?v)$oJN6iNP5jmhnuRZ% zZf+AUx>G^khH49stPF$dmyc801$PvQKkE-m{c8Her|&R@e}SOtAD`5?-J(K#rLcw1 z>+N&dO_%EU3IPJsGT#RsyNS*O|K*hHGASCF(2aBxF)R3|yv1@{v-xpN2AkFw_#m4B zWa!~dBThGRHXME4(vQ>7smm<2pT@t8&cxkD^MO3(KzD~$3XH8S?dwKYYJ!)A;#0OR zZ_6y1r0br6Vk`4f;426?TV`7LBx#S2pDk1qSSG~x3c-f+6c8(}>^&~tsH+tWKG;U| zR8ODU-7kzvU@CfrUJlqtCrHNeH{=PVv{c&EVpCH+J4q=}NebyPpO{2lF!l&;7R zz^nNT<+k8-*M~c+@Y?w@a|~5Y_HKt7d$}m9o=r zalv`g{dqea+NUcAlO*x@d%Xz&Bx~arI^@l`B0n9snXIIZETNa8|H!d z6*t#o<(3-%{yZS=LnX;?s1rPB9Sb9=!{4h8ERhO2!6#b!4Cl6YMv-)f^ZD55`Dux| z&`v6CeXMwu&^2%2aTwJ?n%%thB8yL|mOrG$FxDrr; z1akSdGlsapfAY4l0nQtM4fSDU%V~|1oSmvxs4a3VN8=KoA+qwS{em&ikQOIYK*rf^ z(`&>(lvZH;SLtFEzRK7Z2*#?aMp1E-W+*;YEykW8@a{hmLH%`e#%mGkKS#=}M2R7K z6UIT|iHLy5Cz?PfwWRNxw}qk7TuQ{*o*}GrjY1pxBwy5E)VJm}d|`bV&{zpKb2vmgJqj?p zDvP@aoSUExJj%PK)*#1k%a(b&LHc&MPNOY1tW%Uy)9lYO7?3WR`o_PtGcG@*+vWdl zuaMk&>!N5DLcb-TD<|Ses^HpvH+QU&94JYeWP%+FH9D6+Sc#Fcg}(0Lu<~cAA0L6U zgA^w}cB}Q@{LHCumGm@N;QX1Epp6fTtCijC8tvh{j7*ys7Db|A7uFffn{L6~Xv5YliwLCaD3M)>TQ1gO^@F;m54hZ7Z+N&jWr!Hb{UJB z)kZ^_Uv8;3we(9E%xz110CfuQ;^=1G^q^xwJ)AKkp?;21U<-;l`hC=! zDu3KQE^r@nZ_U`TB^gq`iwiFH1y0W_pgRUVSb#csw%H^>CzZq_RYYA}O*}N6NbT#A&%?NuqCrgujZY3adJ# zG=+GC*6OPj&w4`6kBa-s;vc~?)sD}F3e)uqhaHQ!)i|~pw{_Z|ov{n$+%UUoyfVwF z;(wsHG|PErB_`_&63IQUiM$rh_p=@x`5#IDm8yX~K@5vZ$E^|D1PCL2cNf*yz;B5` zUkQ^j#35m?xp1B5Iuj$%%8+=ZYBVsS5^#`)!ONbKBBu?2!rlVoztsExtfV_76TVjt z41BNGP6WgK-rqzVj&xLq*36uC*J1L7BuPcMZ)o8pm&KbE#z!aLo|KM_$YV@=k5GeE zjY+=9nr0T|R%jw49o!a!erO%sTxGmIE}Xcr$xB}RVr=uHc4UdM6e02J=ks-Vqq^?I z=hz5fB>9$X{qYho_-Od`r+OjLr*tD9hwg9P7n48bd1>up)p5M%Vxhb0^m!J{=XE?z ztR%C*tG^`T(J*_v;5jp$_Pc#G;(+(q@45nvE(p_d2r6nN`edN%xc5h`b0s1Qa}CVQ z`vl0$NM3dCalu-iaAMq7scBVd`g(dyMk}oq9|wOD^$iV z`O+uaoRv!S{`67^9z7$43qyC*gfs~XEuZQiee1`TFbS2H#>`ndj0mEy1U8%yJKHOU zT_=1>T6{ATGL-QCBNGVhQAvzS^xg++4n+8I1M|`HrX0MHoY_66dDQU|A`ve zqIcqXu++|HnRd^Z*w6DA;Q@cqr#qd)=D4JOG7nuluB-SZ*g2iMN&D z@&Z!AQ)nsEP*RG>fzmk{u*j^UF%r+xOQF^2YwW*_b(g+IO0OyR>P?vn7nCdO zAR_6<*V~nqi(xO&evN|~RtC16%noo@8k{!hw#mRd=sm}s%oM*Jyd#NGydwYT{LM(S zltvVy#CofPR-hu^nFN8IB!_~Ypi|9|3+WRjj05&bYKoF>5)|cGiW2;5mdMkhTZbSb z5lDK4H~*Uk`&GsSrFKyOsIi7a9Hw5M+Xut<1y75w7EfrvA+Jv|Sm13*HQ0l@er0^# zZL>Orotv|9T^l#EBs4Nr7yv|iGRBMlQD>eh(kpJ(by)*~yX-?ww}*;+?DTrPSRbF_ z#kx7o3R%6`cv@;}NE5JZfVo1zl>X{??FNufvdq>`hSOWLlP1nWo525p2)shE2FRdk z&wi4$MvtsJ*qGX@)=z#9B6ueAN;N7q|$jP;s z_!N0avzq61RdUGlB43QY5JYK(__a zYL;u-=g%HYUO2wS;5Nt{R);4M*N9@n(zrJ2C+URF?ws@?IVO&$F!}60fbuv+L-zMK*2P zf=7e(0HGaq)_?A)Gj|_9c5BcvIImpwZFyIDp~Ll~8934ZbFnNTc6;+R066KUw5ydLUnh*wIt1xJn#GaenR7pQ++cGk zL=9k3Cjj8?Ug~fQV?vgPo+v>7;6mg^7r>)~nWKaW zdGoN0+8YI26U(C^sB6(bmrDKKWohv!Jmng4oM7Q34C>!M#;P`aA}$QmUo0%kevepE zOp`a@vnv?OO-U8!OFJp#02Onjpm`O%1Lmhgl6-KZLPwWs>M!O0d`)Y7;!A?6JMYq? zpUAk|c&XA~g+aCJm{?xfZC0EQn%3JmA^wl6yyyxe%sZDYl_D;^`M&y{FS5SJYnWVh zfNhCB0A#fBzuNmJe-XXMpXC!2FwsZ*>_BLJnW!O9-taa`HH(mmsM2q6Z;~D{T|IN4 z#Mf+Y+q2dQlb~ek<su-8ikRsZc)%ZWVR+E1!9e}5*2~(i z^i@yVq-=Gsl$~=>0B;aAk}83EbBeC<3K%&Ai@I<6y-G)FglmD&7M3w^feXh%hm zh{+(aUIaZ%7Z>~8?8v20hC*x}y)&kVJmGu{*)Q?(kWYNui@xvIfFFHd(J2Z;GDQ zO5wYy@wz#eCYZ)q<%p`yYsuJW+&Rx%IS*ueU17dvWqEl}$EC+<{W80?0P~+yk=v51 zj3j73JOF0+i@a2IxOqhLefo)A1_;@yCHasAobuSEbqaq`f2v{z>(c^9*LC%*f|G;? zR6j$UtG8QeLX)ra1b~Vd#iq|*>>|8)GdHIjBlu94#7$F_}Nky#HIDiLM zxQkUB=a%F)iL@8Ad2>4#tp0xf{+G9-f}%$W;DdmiGP|4Ir>q}`sf7f>*po`U7n6#9 zOG^Je-`y<0REjwE3~Qq|N<|GJ)}~#)!*=AcH)jKxULL*@NA<_10TP)zEnY-P51fOG zjUu@(T)vTnUa=TEQ~h5nIsZW`dp99ORY1zZ{J)ZuH>P9v+CDNO5lK`1iCpG%&tZZIb*}F;;q;DG+g6)vzNMXU0i* zezhRnH6^P@0CSZUPOmll=?`O=Ue}rHWC>u~Gx5i6$yMIy=P(zhLW!eATZidlYSQ#u zJ3fn(DI%B6H4c~?9?5vmPUqb*_;t0}v!Kk4*0ykgCp!RvZYLE;`4|PX8O(h)^z^yu zCoP6Zc-MYqh`qHXdS4{!clkK|pU?&PB5(Bf<^-h^GdlMZ+^6Uf7Xs(aP`?07$zMpI zKGSaM$e^=;Cgja>P*q8aWg*_gT74@_R zzo%Qp0IEg+s}g7vNjw={ct8TVK)M(b6+A3}X;aUaDN|{9G68I?+1n{5y`}W(D_Xvl z3haEH530RprLVyCc#jI6=i?)l)m;!z{Y;tP*O3MjlSO#O&G>CjOSjKKnXQ>E9FxdOc7=5v5=MeW?2 z{rE1OA;ZV~*PuhHNG*Kk%sMDpqhm$s4tM`=R81=GKOWgxF5NomqJ6BI!m?x^DG4FH z$$3PFFL6dyy?x2gDR?Km*g0K+vP^i2>HwkfsQgLmnv12s*qmWyjOkZt0L!z06#x3%ADPR>0rvf*wL z8h2HEQq{x@C_kIXu|s}*DXf!RY2Eo|8HF9I9-`ZkJA8L_m&6ieX?N2XSt>lH!YS0x zF0I>m;38(~Nk6RG3er%$XvTd zpyniUdj&wo+6or9tuaUMd9)wb_l)vo4GCmcSB?>rya|)KbT}6;#oIu7Em-Cpk4NcW z785xCW21k!58f-l6AugAHr^W|o%xg@=KiMZ(_L1$b{FkKkI9@uv8@_!;=l$t&rBf4 zJpC(4I73at>OG$8eT7G&Y@ zK+As{)LI=xrQY!z%Z!BZOG@Yg9Tm|<>rVkvbEtBz@jNTd80#S`~uz7Cq4WOkH;JQ zcI_ZceTlxcachD9f540JHo|uDjX^2{`CK3EfAz5`+Y3r3>+oV7~(9=~e)X z_FpO-o)_cCNUoBJLQ`T4irj@C+!lH5t?&F|OxChCX9+LI`YspNQaAaMdIbS5Yx0{x z6NRKYw+D{ZpS~|A`k=F^lJ6Ttx(e_>tG58JBdp(LeQi|#n|kk3LS0KY-1>X_ED_c#J^q}7pZvG;c(Yf-*ljh#c@qxKXQ}U4tHQsaSB?ulP^1AR zqrZnW$s!@WT+qk<Srkt1aay}< zU;Arf$y}ZJth6#e{#d4Fv)H6^Ws@|Rw!I)yWF3XdnrUH&^RftKBart@`-TYbT)CUl ze02Np)doL>QrL~`y~}}xh1A_*ol9lN>YP^?)&s8V80J!#5x|vXIo(Qkb+laerMs;7 z^})}qQceM_zSO(F1T;q!$hly38hP(4qNrr4+fJN<8t3LLktKqwkY{tOdM-appX$V)_|yAfwSp<;~54hHqG{OIyMK;&t6OcxvZ1 z*r4a!5N_N3n{4Hytn{-NAr)1_pBQNjen+&0*whLQ1-o`t1qph{7l|7%D3aVokcoZ_ zSemlj$Zf~&=trN_=i7MN6W`j6~HX^!g5IqGA#C7|FYO}Q=Gs>j|B0?Teu0K zd}KH!zwGKq-sN;}l@s`*pJ5807P$^_k8`pfJ~b^^zY}a`^nEnDvR~f{%lr4H8ZiL3<3PdcLZo)}h zff>dOBZskBUP|Dz6-LW9n$AN&e6`$fwf^I!MIwupBap9$6P2V|1b(d!K@w&B6Fz-< zHY9KaG>!v?+KG)pu=mz4CuhPWwg5qym z;=NPyv4C&LDdtmA$X8c~s{dh;!8isNrd4L|r7+&Rpl1I|bt$c)W< zlDc;t*nMUL+e#FUL6Q9$>Arba2O||fs!7fbaVD*BR`AO;Cj8LbZ<1c7bme_?DZajD z^5p^sGd;SKg!DeNMPz?d^4WZkkP>W6xin?7gf+Dm&bTtf@{gI9HQ*k#BUk72daB#*M z+ePy{z=91Z8kYTJf{dg5H#u3B@O0%Gx_X`+Z_ zq^~Ay$@oUEwEt)FYx(Q6Klb@i=)laK__%$|EV2#~)n98!_=m&eTwks}{==G=E*V1H zvGe5hR-r2Q;XU%-NgQh(nlQDoMrAyd*127~PZv9>Ht>bccfyq$m&!uz z#&(?%;x4csutWpoC6(uCR}B5#jj9B2lQ(U63`}%FRZdMAH_8WaP@J8 z;#OAU$4hgaC?nH9_<@jSH=bI25*eWPiiAZfe^W(1k*{5Cz}oZQ{*yC5|mw>0DQO8kVh633Kmdt2f zsI%EPw~Lhh4|vMSZSqkjc>X-)Ex7az5djo$abKa|@h^|0l5fZH9%F62h+Me^98JTIgtz3~<($GHFpHC}5?Vs?Ym_l%c@EY-lCOeE@L;YTa;i9}nJRD~r>ZlG%8r zlbEvjA2mXY@?Xv!68bGLPwfH;wt*@DCF~VOB%ON^+tBk*>~!iwpmt4ERB8xr#r^3!W$8iG8rELt6m;U&x^d>_O&4hb=tI)fgag_KIO`fJperbi z9nmr+jL?Z5@`ocgKSv9ztDVM(v4^m>WeUG#Yk6SI$r57}hkk?U5?yag9nMeeptqZ(IRXALhMFI4vR z*&uRYk0Zo6U^6BD6O;34cZ?&>Sx+4UQld8Svt(~asKxv3ix};FY)G*p`U6(BARJuBZ?G!GWw6%Q(nPZ%hjoGpGYk}4UR7Qowr&ZGX?zY^4@M( zyWJN(&(=O+CyfJ~Pyum^5*HCkuyW<@Q9e&{P61`>QLMb^*AIw9Ava=XOB|m!$!)&v zIH#+&BrJZc0KEJV8t6D&*2*QnT(mt^S*DH zaRcbIfBkchVu#EOUqO$3`*cAI6>?V?dVuR0xofhWd`i{&kfa2uuTUFVS!=`lSgsx%#s*tq3^(lNP<~4F>>(a zPK&Y+i;cZ_RIWB6U+(_h4LkmjV|kl=?*6@Wr6B?vEk2k1TR^ZH`eaTNDrkoC*m;2l zswbRtKX83>ezwFAhq1452$}hKKnHt*zHPtLJzJt@Cw7YUeD)DP@PPpSDUv)YeF^Ug z1?L@CsXjT}^HX_JC?I;H_Ofa~&y(0RE{}%;GXKqpQk{_$cqg;_E#&$9hP_9f zmq%FWo-vY+K8%Ynt3@{FkSAdbd`d_-0C(nx^f2FefR}lDg+rSlOt9MX!>%k(T+SxO zOU#FLSuM>cG#@E|g9=ld<&}A_q)zcac2(T?Ip73sxH9)d;T^+7mjY7|e9I4j$rxkj4;&+M z+5(oFVThh6b3OjQv~B7W8s+PW0KXyuVr<77X7AzyPs(I7;XzoZJt+CniLbOj49~*O zFJDNJ2E+Mp-eala_j%6k|Qn1%E(pSEe4QwRaSpdgy}u%5hvYy)`KR z$*ckT0e`6t;ywEsQ0l3$(m%HTly@IQ)Lp6)^;tWs`Dh&rLU}w?E2x+RIQRcn{?3zh z4)O8DwC`J6kjRN(3Th9F;H*!HlSuh=k2Um2NK(}KZ@9Q>;e#J$;BJt;w8!ml4Imy8 zNx66bc*pKZl|+v3Ia>{6o_Hm*dvA_6oks}URR0za$5uG0C5Zm4GnG@<%#IDRr0N|2 znCjP1%QnI5C}H98>0+_za~db&)HSVw9G$vM!Z}+;3lxRElbiXnaNMf%He&;VljoLy zv5frH?Fyuh~x)`ih5g0z1U+_YyAjbeJlg*xjmHyh(TQK=s@k<~;-5m@x(C8f9}>U!WJk#IW3oU|L*bmKR?|&b;iWST=Yt{V$0&6t z&Q4It`Qqc36IErihYAU67dE%Le!|wMa<3iH*^UU!Wj+B8`LZSp{zc2?*sC^C(a8)L z^>0U+uS5*L`J9(3ZRWI@J6=gIGE6A`19*~{ZjJZ|ix0%y&w;woEz5BhVKcBkD8B85 zWuLfAi&TI|mhQO?z;Za5sC^am&s8qlBYzj7EV7*ltd*8xjzok8cxS++!wxjI!QU&)m>89DoW~qrmXRTzH6mQ{ZyR$k_`BqhHs8b5&Fz9yw zYke4-rBr46z?If#*`L~_62zb>cZ300iRfb}?XyH_u(N1Pf(?jsy2xp88T|ir+nOqgeh@ zvde8cS56}nP>f>&gbL%9Qq5O{!LSN5 zakF=gRF|1*eKWrvJn?D`@n@=pjOq7|Eo#NYx{sj7gEuii=G(M1NT8{I!~Qc(SXKbZ zU`X%}=fUuxWUtB;*}dBVl)VC3b57Wx{9)oF8hbURPl89+!bsEvsKhb@Z`~iOx}PQk zIo`qufwZA?+#_e2bsCeP;)!+JmJH(27Khr0W=vin4-y|@Dld9eNKbrPNY2ZyckbNrz{PFYx=KDK~x|2@;YL9ReR zohg2AqLzc_fn^Z&9{&Sl<2Z(VDbUPm>0x6Md>o|CRUcbPU1GF?daO>E6}* z_8;#4Yulr4`dnQ{3PV|^6u7xN`X4h`v|bHLiVR)7W1EyS1~D{V1YP}3HVW~cZdu!ET2O~R&5P~` z6`iE)1IPYLJU*Q=f8k1#R36V2oYpkG-i)CgDkt28K_X7qy|`85gID&==6Ij{;Hxl( zbvR%pu3Pd)-Ac{T7FGc>(a>oM4vt+dlQpi807i>Z(wN^BXDqwH{vj51yW%zE=v3xo zb-~6Efs`DZA0r^!d9fLiY_FY(yJ{Q6KGHmH;5e`x5yPV)d6{4?958u&_Nl zZx}HRH^H0HbPht2d?21ONtgg^dASs}zHXpgT=UTayxqY3d3!gD|fcN%|g zkIeZ9ocY9>BiO!q3>Bf@u7t@deh`0HMMVCpR(v#Ex_?^FZJK^iZ^Z~nee+cyX@zy4 zw(aiOEe+29sn_XnIeO6a$noa#>Wm{ZUr$J{qT5z7@^pX8h~KEcm~a?f@5$83t7 z8k-%C^bo?_Hp}2?o1W3~ICCcW@ z=fFP3D-Fue8<6u4nZEB+Y1N-7o-E?fT&A%a_?M7w2r73R%seNTP#Gax*ZD|pX#wy0 z?r+*()W6`%$LJ49`Sa>w`nMP#(k{vVX;TGJp->+);}c^A`6Hsy3bx>mM$!Lz|GYB~ zU`#xX#>yNo8iM(4=Jg0AF@9#3vMb&hY&&Xl&#%Jw=FB`HpZnS?!M4FCWDIN{}s;QgsdC2)`zv z5!KolE3zr@&hutObt?rz5B~WYbo6O@I#O*X2?I^;R3iy13)X?&jR0?98g7&>2?{ zwpC{B$BE|AT{zsQ`L7z1?sq&@Y!AQn`q{S{8;&}H_64|ai_dwi`7VhETfQ_qM_OWu z^+eAbejQhM>lLni-q@#CdNq@9W$hUF`;S=&+E8#C?pRwiaTSpesYC-}-VA>AKD;K5 z6EI%;Fc6x^nUE;(IFy_(l??r<$np3YEdQGks&rBEv4-JH?Vto>{v6vwqM=OfpdVAB zN~^xxF(2?hpvbkkp8ta?DaTEFvz4^b6R#C$uiu_FXS^J(cUB1ldTaGw0vj;UC~G^~ z|HcoAx@{hC4J3Yb_9sn|>RNT7dMhYy^tV7iMFy%JnA-gmj3ADzOOIx~n^W5KUjL?9eWzZR)XKif)>F+v#i(zTlUDitx-YxS>-VzdS)h|^yfH7ytk5aNYL3|1-6 zLv+;ayjol|V~+6a}OAhPI&if)Co-^Lv|go=_B$&2^Av z1+9&9Apy;_S3ix!;S8|EYns%{wLUrp>DY&G3)*PQ*1S#F>(a!sac)uZ3R1G*PqRw? zAl$=)wmb3=1yhnWio;KE{gxx?CX8Pq@M+<76^xmaLZg@bOA}-8`3yJ#8C)p?ROf4t zy@fqk=hZxKKs-+x>VK4qRpxXhj8uKd!!oIA$t3V*3T`R!8QMrGA?~ zA=yCqtH&>K&Oe>`vek7Zg)7x8IA^2Uk~b0uNG=jN7?H8&5zd$wfD`6!Ouj2tZ?~$P zc>1JIGDw81sP;{H;GyFEQ6QR)>%W-yXi` zE3UjY{a*d@7g)<_1ZGn#U&6rr!~{)!C;yz2fGSvU|Mi3Rh0j{uT~*fc`^abeUleDP zsd*n;-|{(*ij-c6_vO~z@W6C@eo;V>tf17_&ajfe8cysB2U&%DNDA{(_q6BQ06?iE zoL9)Sn@42dc}#%>W`udGEg`tUf`^q@{kjcFc9!|F;)|^K^9mHrjw~?FftCo5nCnvq zM29;drE<%8&&3Si^Op|FyW5t+&j{>8nqL28y<+*Hr<#|k|M)rXm;~uL^_|kR8;UCq z>Z%f5wUf1w`V_YM(b=y`+knT5>w>IL_N+ zG@;VgA8(>Ab2=62Hm4!iM*|z6=1eU&D5^eu9rbf!i}Y;uRf?>LxsoHyI>D3*KflI% z-v;5U_dmSS=+hB9RD4h!7X6`W(E8`W4WqR|9PG`rm@^04@)svrN)J>$#?#j1zp5p} zZ7wT--V`BvTp*Hl69QCi9set?%VX}U@TIV!NyqV(6)4!iLoEltz*#EX>`!C^`QlURm(og?>v+O0$n85 zAN*WVHzYIikW2(^QXwdthKqx{gWdcV6ON4$2(|_4QOy&f@3s5fTI=m84e7?Dk9KA3ozntI`0&K zH}wKzsq|^JIg+hz#|zV2bnvPZSW_{}L^VcRE64H8b{Od);6o;|zN8p0jZ;NoVvkh} zDpUjW<-adYmd~26l`90XF|r!-E~n{s`I8~{!R$ul3_%n?m2*CqPw5pdP-pD^tzGA7 zfIt)I*Djk9>M=`t#n%7*1@T{N8~hvMUr3lci};ORG~-WQ3ggM2I#V$GR2Url-Kw(i zr^n>=c7$oCHX|?W^oFXi&8k2u1XhE(`whJZV1a}ec9a)`7OXFSE)I|}^E?ySJYU43 zim4t@*n)*Ujfd~B*PcqZ2LAlE@{Y?@N&HdX0{_yRuEmn#$I(r+y&@NDac zioVERKI4f}sNRfRARELyBw3B17n|Snbbk%-5q`e=9G%=SG7!_p?)1P7L>m!aQ@pmp zh4#BFccW_IB6S4+ z?_!dC^iil$ugm~!q6T&2yf8%pfc{;)JX#4l!@thgaujkqRIw&TJI*V3k^DF*5`Iu| zXy2LH^pqD!|9O6|K_Y1tXiNOT)dgH`DYw@0tRVIyJ#^o(k5X;);-KV?9*5dXJ(k{s z0#@OQzJPhw_*?}>hOq~XSG%>!J>DZ4;N?T_$@T!}W7QwxHLNY>z{R~VXpWh6zm>9CJTg$QMQTv;QQw2HsoJnFl^6jeI2@4OU=}a0(rsrSi{-VbOT_|+!D-)|U zC;ns_dei&~r9CO{u4=`As5ft@ZUh~+9ByLE{nQ0k1{D3vl&k-M-@oh%IZUst>6~8- z4TR@{dMsD&D^)n(pumH3uD`-l8vDfB3-h0R7yv_n(Jm{+OV@2;yQK7n*Nn!r+?KW# zG!As(MQKKceZB&6mfQ=&%X^#MqKl>$=)$Nwe}qN4RIPwc!Iwee%73(bZutR@ow>L> zofb7ETgb^}QVCZ0>bAZrgokPaB0~tPnd(mcU0{b{F+RV#vl1tM^bfncm)QxwL`LQM z-QSS<#Pb1|h|{_%bU_P`1lD`d5^U_nM@+PJ*^ethYB6^6w>P4+6eEQmP zT8OJJEs233T8mZ#vOOpAz6M8WQ9w-7;pc`N0fQyVf*grAjY%q+Y;FuQov(qwJKwUDmDWiV zEShxP5!m600DNh0-3tr1L>h&;VxypMLXa&4@6YbgpwRCnyjWK}6NVP)Xj>w@xmcA_ zt5E(scZG{bsp8gIOYE7ISIV@)=w+z$M@Y&1Lco`ZZ+B|6f{NE3kQ!YK;vu;Y4!WM1 z0cDV*9pcRLcMl3r=Xcs5qrOAE{?2yZtv_f$+GIxINFG2WY7{gW8L>Eo*43 za-mLb4W)j;xWUA?Y=Ie=?nQa;Dm5?2QTRfXK4<)8>RW@{x5@y?{?BnaJ715i7y8#k zBdb864j`#w@Ux1og5Cp%owj5YxiJvzhs-P$?6jKsKSWL2K{0rDi$QXVjb%?-BDu$< zwkmgf;LivB0w=+7Ce8lNr+ipiMP9XP`^eFsCxQ07Tcu2nyzbpZu;&IN1jTPfbK0_z z5JEUoIo`!(mlLGU@bC#c#q?GbwneuWf4`#ubH0){H*TXxJ$^(XOQi;954?EFvAGwh z^u}S2iQv@??%k)w`@agV@-5ZZ@%nJOE368orWD>32%62Moe8BkP6aUYb;iaJ28wQb z$WKqIYKranH(KjH-4~y)0N6yQsM;J%@mjUnNA*znX4XU1c6<|p+A*Qn^NxaUZ}Q91 zvIsG~(akmB3TBQ)Qpq*BAaiGO&}aE|n>gx0YqC|#7dg3u^h71T8^7X6>%~;mADh#Z z0OwCL(oLc?yBQTFbL#yzln{Tlpwa}g)ZrCFZCKGM&vbhR=8vQ%w)s)S*KH0_hmWE| z1IfCgb$qq%H5+GYcc24&3C6Ehk%XDe-`A^ZH8S=lytjm@yY7ejt4- z2grr>?6oFJG9LF=ENo{FZKvCcgQpEXgRg#UAig*j*B_y^C2RSYri;EPTsFsS{dYyg5Lc#iM5db0(TzoHXu z>&7Tncr|WAjTI(9=egZ2+}K@E>^Wh=$wPUt=Gvf=Je3GQq z{Cm!jM4zr@(*)mg{o0$mrRx~T95bYZI1O^%!~F#}^ZPlar~Csgo#(tKNO~?d{Dt-C z22`xdG%|HJTaccsJPXs+P4o2qEFaxlR5-je#iyYAWq&T#_OKoU4Oj~JuuLII)Cpbir=2KDy zY+RQuWl}UxcItekJ6q)ufaco261}N<6qlE_mej;bl6>4yg2dPOf@xfqp-!6rVd5^5 z>zdW9(<*fGvQoG6k3Tbf4^BT(-KkX85t&`75^mdgI9^job?+AcGv7ECU1Kl{G!BOES|!uFqbU?+YXMAG zJclS4AK!xSoj;w5Qo3k3{Cw&#-my|Lp~s`X7$vbDLV)5;M2B(W2FijZfV89tSeJZi zK@aTX^x;=SY_-(B*K-gy@8h>r}`0Y3@z!OX#z8sV+ zMN^Ui9}kPCll}L^`8!kZJrkk zgt?!q^6N3YLK=Vs5<_@CZ&$U)FddyMVm1$JLCWluF`D=zV7cXY0r29A{KFuOvv z+#IrWw7@6Q!X_26&&XK9h7cDA6hBuJNm*w>?<5o&z10=A=CpOPR7NzQC;F814P_kO za>U_FL}6$iNSHLl(~RPHLnaELQ9w$F&C%q;X-7~hN{bPG&((S_y*d>JLC`9FuYKx& zea__VUv%4Mu+6(H?i^#|@q3$^@~u_wNY9q2U^sftHVtbmfH=W3>sI>>=6wTu;`0a@ zqa{*F)}G(Dk5S;c2>XwsFbt;~bw3d&Rt`bHTjXPPrC@DUqpL9mk;hG z`xXyS_ZQaNbhH!0AW5}&+KuF>gk#*78?Wy>U&VfZOvijS7l#WCTXle@?@m3|sh_a} zwXBx_BlI)U?*Dj}M}@hq*v8@?v}x}0`r#kg6&@NeKnTWCDQU@ei$lmC^)s^Y8kq8a z*}aE1Lb@RrY1`mW&xT12;~65xCCBZ&sQM!5PlypR3W8JIyd(WI(UI!8ii%JPN5Kpg zt8l^mnO{6L-Ti|NkbOWpzloS}G;1TX8J?Y&Mm zXqh~Fu>Z8|9W@~95k~m4!LgyY5TiFYA3WN-7&}zbpZlp4=e^_qXvcMjC$SYHIjdoF zY^)puujF@3?HTB%`5JJieBE70-Ti?45`tIAo(J#WnKt*&3X1o#uJ4?hbwA5CwyFuKd$f!tEpWMdjxV#(`Vn88(@B60XxrA*0(nsh4bAC`Gb99DDs-O7Uh< z`RUz0>zO_5Z}}7wVh5klkJlvh?W&Z4n~y@Sw5aJt>d)m?hY&6e}l;F}=WlvDkTM z2)!5z`9m|#kvnsyE$YG9NO76U48%8KH7l7Kl~1dW^eh^r$k=oZdCtH zlH<2$UQ9lGpX!3GK3)Y68RaF_pFG~8lgL;;Sij|Z5gv5+TMUv57YLqT-%>4oMpk}3 z|8wRV_mcp;Un!|Ec}vAZR02$k-|~_7bT>-$VADoE1JJ3={=t!gm%auStR)P>Fqy&3 z#K6RHWuNW@1@;?IKj#e?0$J{VT?}u5LEr(1C}asrAV4q*U4jt`z(xs|;6wsMqr_+> zc<}&2lthW7RDg7pOo^;qfP9ofiK0?~a+FGsYCoyFkardGMk5}r$%1IHwRMO@mM&XQ zpJ>1`WE&Y1O<1OEGjpN^%aUznO|)UzvhDT|Nh~tk-ht@Ia$-BX5cjh7vG*S!9%Q+) z54jQDSsrXpFQPZghwbY}^rx``*nvUBU{(k_G>jO|ieN_`CLUo$v5y`jMzfByV`7PM ztax@pA~A_|f}NZ~q_C*$)HLEr)+zSsbmAG-S#}1ENM~iTv$BcjSUK$6^Ta$BgPqSL z7O)E0MHh(0tc&bRmx)(cSJ@?{#A~eU?6Pv=4c1Net=q&37Oj$9RZYCZy34+IpIF1H zW!F6*K4jIiA3Y{Euo~H{C&VUJGrOgg*v5LwZtoyIV|B8-x`{okUUpwUaey_*9(qoE z!FtJl#U{RHy|F>i~g2WOgPdd6uqQO~1Lcpk_gZMpJTY#NlxUvcIKK9C#s zo*n%#UhHazXEvxu{sOO~d$b3kEj_kX?CHcToj=iywBMctLv4vMXa__1-jC#n35IipCVD zH|!S<)~v9yVxUgy)|ZesA-7OmjjP_>Iu(<}{282L#5sh$*dN|e!1>%>feP}z7b8h% zTns3XOqVF{%_MlVKBgW!ZSmkU-oc?+NZjZubGjn7n!NbnrX0NUHX~y@&ru>eoLP}7 zf31g4l)pm`5fp)ot(fZ`eOOmaYcP;zUoi)z>T~Kiw^sy1{G90zkw3COK_#9hZD5Lh zZv+oG?i^+CpWV2QS*Sfl?>ND)H8hOL&hoW2Ugej0Ik+a())v1#F^>K;?HIkG6cB&n zcp=02+>gs`gtsC_4^k-x0s)qFFK0aj52GAXvsa|ap0~&E?(>+Qo>570p(Q#O2#g^` z`EBaK$I#{*5AF_R8RFfmW{c$N7Egr1Rx_4Ffy#-ENrRp`=?dp*7*!n7BIkTDXTR_1 zp5M-3Cgvp90YJ&d`UGFo?7zo|OYYnzjm)=zp~yd6B|tPv=?Rk3O)Z`i{cpOu4-~tf z@Wvfpl~cOJz~+-mOXX?ybpnl-afq-~bbnpzUnUWOj0k!HDlz9J zZcu?k+a8x5Db@ouclNl>^LwlZB+N)Z&F(YIzZM{`fmDX+`*(AI{Ga1hNHEGp=lUJ^ z2ID9Fr+N7t|%O-GL)3KF9d|^e2X4yb!#~UMQ zdY!?gAfxI85&kew453c)wRp52Bmm;(-wsKme-=EMKcXi_jo^`rPxg5DwTC@#PtVj9 zT@=vj4HhT6kgM8+QnJHp-Q6E`OD;bJgLS|<%JR|Gp?%f!2*vdqm*1t!)0R9)?aJ-9 zm9L!DeOJmtZL{Z#9s=5g0~J>rq8oIE+@r6@^dNK`o@ImNjYVX@O%;c+O7kfYW3vFN zZg20pLQwdoh{WUFHA)!w7=Y*cglwS0_t`Nfiaw$`>Tvl3eqceWrmplZR~2w@;Pdof z;2x*@#D_--wLBGE$(yEm7KYdmkRUx@=bbN6&#JzLD1cBF%@?ZqYkO=nvy%UyY|FCr z<8+lki9!*eGy11NG@@b!abctKEi5?qH7v%ZHa#PAv+^rd(d7b5F#KAxbiEinS{1!~ z**r4zqn}@4hE9(==kwIP7#TSu$o(~_#nBG{IrHc)4JhB8N}%nQb3T=GWy<}}uV-2Y z`KiKe`P?qZO8LjX9C!~TejfFGs(e=2^K+}!Ey@a{0jkn|t*>9P|1|U!s^Ll`;p*k4 zaJ+{FW|-mWtdo)%RJI27TFA4(#Gc)%WDK2Gfn6QMGAy%OOXSuda8 zgK>G5Sy^m(xDrZo-sydREri)lgv~P(zr4ua6oj6hKO=hbXRrKkbJWGQK|UK{GdO3P z4u(jla|P1qAF=$=e__-v6PRKUAWe+0kxGO8fstu>$N-O%Qxz6_d|uS5z7O}zMchaw z>0j;%42rne?`f2;ma{tK{0P)$`MBVoHxBKCA-x)~O2TsRt1n_7n#R|ZRo!bAcqUTE z+%&7vV@i1T8*g&VtntZL?TA16?0iiw^Pm^+m7*i@G-c)#bM+lh50q9V&K%`1dku}B zy`&lI#=Y1v=D%eISwD{v^|Qn?jN&%%(VfVOpnGreLa>K%0(H8EHR;QAhgXcKhWzst zPb3}{Op~%Kv?s-HKNNK*-O_Xd1oXx`->*@BnJ~WFaR5Lz+PuH>cJWanXyCc7Q_&yZ z#uDIxC=EAhTvK`7?W1e~a&AXxvhXW&w7XNjyK*hbSi%SvnKN-l&c9A?B`>FNJ-W5Z zU&Awc(!JJXAvb903AMBeYU>0&Drhbs^|q}IMy|@SVG;TUbf-y%FEL`v!u8<&U$Pu; z`g}5ads8TywSisq$nkQjGJ*o(w^U^|Rd;oRBm%TVI0Jl$2n-fJxSY8ZGoO9=C{3Cc zZ0c?rezX}f3_Uz`J}9rOV0ibC<@3}zLrt*OM*Kq~kTDLEei_EpyMo=Q38seP9oJ?J z4sPJV8AmM*%lCLynxo@;Zuc zqS!=wrwrD?Q)%E(F7`bIX{$M)po!rii0zB!7`<$j0 z@A8cnd%Q{dfR@y#wKx8lZ7 zkDct=(26B5q!#s^dui<_MnjlQYX(EeSf7W0?XnI2mfhH2EnP(9BtEcOPDA2`$nb;E z2J?glT40Ob7^**#)`CP1iH7>m9D6U~I8(LH|8?|TVq=VCN$@-eWhTWz`ZdDD^6V<< z-)+R2xn3O_eh~QKxByAZaWB6}p`=Ht>oRhtGRTc9*$Hyi)};tqszSUa75sm~LI)(yJOdo``ZvwSRLC`dJ zpk7q2WUqgKWDP_vZac{o3G(uELWMvPzk)mR5$-;u;3WdM?K1Nf~Q65(kg=BX7?`f$H;j6|F zTS7r9$w(+j8D7*L5_j~k3dZ*H_3)~v8}$=$fw`JOj&MJ`&zaTsS2Z87s&(JZh3vOM z{(7<>;JUtr@KrBDtuQbC=T7#-mjx+xOr9VXyuGm23#MQ}M;GXyHni`w$vEF%U+yW^ z%=kusNdxn{P#0mO;I;}6TLfdF9KeRnOBlXqU@yv5aYy1|^6Q%3YnqG7@rt1Va78EW zz3z^siaO?RZ&9G{0H{RSOXPyFeicG|0%i zq%*NbV%=mx=*4+XBFpE5b@GIASUa9HB#Z4 z-#eYRJyJ9C|B$LsdHzq(caUDT-O-k>ECX$qP%R(A0N^Q)Xfmx3^imDd3p=t#`>I!f zP+VzJ!_{K7QzyTQFCY~@{_+oDzqSbKbdS^bG1@f!DAxsImT!C4XidC9u4NBEjBWLb zVgVbX_o3g!6xL?K zc6S=9yBICM1ry2e1+BktQ46FM9eNC)=>H+ z0`gnN8Jr6UY!O9?`KGIOfp-#=48%zz6;v|)yUTg+p6+CVGPgFT-Y4d95(PJwrzkLZ z>mS@dH+#g_vzZGxs16JoPkq_qCGS3j1BdHZD9%p$N_7kOfOMc9?p3=V_pJA$I4Fo@ z*qCaDi~+c{)PPFMkuu`J@?V#vTMYBS8KbYV7vR(4a6jy7QoonqaFJl$`N>euv5u=i zSO{}H^FLsdmic{mPpL)rbAW^fGSDO3kt&WFb8Xs<{{zcDyU;oU8XcJ(cEPS~o8t0r_D%`SC$nLm=4g{OrwZ1*!dS-tFuOe`Y7k1sh)8$T>>8Ry7BrpfmplCsoDU zYL%b8{>po!-mXi#hvipVU3Z#(ba(OV_Sc06>0dZ%t9gI)EXA#UzWxf=B#j(!%FXTi z_UFC{EJR~pd$-OP`YlAeq4xVBgw`75pPnry0~i_^o0yuJTkKjI=-Q$LPGrFk4O32a zcO@nTg$VV6BD!ZU&*n@j8CDeUfs3$%rVFWmloI;_drkz|obs8kV(gI5;DONv5D54&nXjLR8Q!5TEtX|R;IeiFH{LCG{@=^eJ3;=>iz~t-7r8_VJtd%FC{o9>03;a#`);_$S~}^Wzj~z-XrH zvBM@FqYlU0n~@g`3YZpiw5-qcqpE=_YBTRM(V?rp@%&E~wjazk4}lVdsx0 z-aAp&SRebqSSbpM>wkrmHkRyN4-5?edLVp!cfF-rePk{YBn$zQEi=MF)){*{*mv6*}_)eG3{w zLU->qX@bePK>tnKV`zM?`hP7d(9ddOj?haQB?Sg^*%88se$dpNbI=BmItE5o4iIsL zToGG?&_ECo2k1YZkculT+yO=eL2?D)&(_cg7#svZpa2%BijX-C6yQx=%%>nW4tY$( zN&7qD{SKEE$qpR%B%j?BO#=fht}>3?yhal(nTB^mug$3Uf~x6yaR4acJ93sr^H%H) zKfQJG!EJblg?YBf`a&^04c_z={e5pc4gN0ZLtyz7jN8rCx2rxYl5L&}#>;d(fh+u% zHL2wm5{XL7HUT#R;tEPQOED=Czzip6L6B9E0VKfJEOfuQejbBsx_of2@ri!w|?I9kt$cgPMJgJ6#7zfubEVI<%LFHFqsD zYiEE;mXV2*6yE4Qq_^LtxKm@_N{nJ?E%>0+bD?+d!U=OTFpF>v+XF9TJ7AtQP?}oi z+oOB`CL%w8gIY!4kE9y}ypgfz0C2MB?V=@5?KIz4dkq0$VZ2445;_AQ=uy?`sdOAL#)48UCu^CGV|E1R`G4rCZ1h6cVG|t5w<= zBk|wU#Ph4h0vxpYig=s=LB>LB4TX}WDFcglpt1xq8t~Q8vcL%|tIsNm-}Okm12!_) z#u=l`_0I&6RCiRgZ%V3MdiGisq@kZEW@QM-`e>-j{PQ4f1-a5xj~Pdmdt!D87%6)( zEN1SJp|nB}Aag}X7E=fwiOZzXfD4vZCHP4O?ZJO2!v2M`T_JdaQuH zv47W94C1GAa)Nn5cSwlP^nQ$ zkS3xuF;WB(l@c%_Mx^C`zHcw)w>LYNJG0L-J1_}7I|T&~96AdG0T2KRlt~K&eUkre z{(mX_7csy$OfxR9Rqiq4kJ9~mdPy%F4wP}=E9kQJ?O%7c4CsmC`1J4Rp48xQID|5i z@Dc*BMCtzh{$4>UB0@rFv@EGea>DG#Nk>RT4Uq2x0Y(IxNs&jAwX|(EHOQ$L;4F9- z68lUO0)c>y(qgFQ6vsX7tAfXWhW1$wQ6HzP%7ZXnq!$y}_>UH2Xq!pqGXvJn*f7Ho zn@kT>g#zYfK|3}7Qs&$00J}JgWroM34GWOv3Zi z*9@$CR+7hzj>?3KGN{nfZQ3gayvdHGzmv1k7B<$USQ?NdCfz_Tv2Vsrn=;`{e`xfP zMwuSuG#-#esJNr(#t>tSWFMB>dP;$vF^y3!xzr1Uld)x-oxoz4W)YkuEhPpPZ#qHp zx}n%4XT(Gg6i;3L7T)BDiciX|XE`)XVt#$bmMEvbh2?!28)tk-kg?D;&9dkYhm98q zsC-0T&X{+n70vEJukh>@+o9OAph} z#4&!ob9}#*?zz^6g3$Ii;hsVjWgEYTeai@H#pFqX8d;tTxjWoe9z+)v<6p}NR2$ab zd1#Dq``Xk~Hg4C=F27r|FzKlI?FdA+WfABJ?gwE1u3zFXKzN043s-o7x5dbrF9}=J ze=~3X#5#b}kgi)h6$Q7h)bcv5AILEVBY1nVg6zg)@kZf`G@LFu1COl}`aJS|cF8$zM8U)E;~2-KGUpWha*_ z2le%yc*bp-VzatWLs#qAOBLtQ&zI+4Z7r6PXG_3gwKupe+j%yo32*huzrSMaP}>Ll zqm2e<7n_#=C=1FeoZZGcENl)}*af{7Y>6D;ja+1*nqOEj z0~U5t${f4eTK&m9_v=z-4Pr*HMx~01dQl0p&#wVRuu8r*bG>A|gEwA!Q}lNXvQzEB~IE?Qi2({|UOfQwJMVjejxyb7SPo5CQ%y zO}JwMNjUf+f!zX;kR-Y~>Irvx@w^0J!>5=24Kv$inw7}!!$zg3{V&at0<+2IkO>ZB zE~39u;z#x0g_NBXIA)JpSNQ$h}<-ofq6J;4s z5l;!@m_doG8=0D0-A_>a5{lFxzl-53{Fm2Efqn4kwkBgQ3zjR{5C!8)9X95X-zMkNYX1ji2VwOHh9Ak z!EOep?6?AK96|cdtbhb&Q$CSGDdT*S6=lpF-{_R4`iBIjD=Co$>7B5`&7!6IOA??6 zrJ96J+vy`=Xjhjyd8AJiSksQ6FD%3*Ut>h6o403Vr_Wwy?6{#7eZOz^sy*7iWaJwX=9Y7A8hGz-w+pSvnQ#7)H*N0Suw7#QTtV)s~g$d zCK6+!r+YRQjIRD!8d~zi{q$I4)jat}U_X!CS$aVVnWpMWDl9u%hn?snGT96xiP-IQ zUDED!=MOphIxtf_tt3Fu7y5MjuYfi|N=ujHlaeVTitam?mwpG+SfqwYZ&#EUDf@s$ z{+h}fXb7g6k}NY`8X4B;?Md0-!?Iss4ymzvL22wl?6!bJSt`wFI3J4QM^XO1=PuE` zgajn}G#H6Ydln(@9yt|DyG*Z7-HJmSqFO}=`{5TxapD&;W%?RR5NSy{U)}GG1{SKE zJsZD&)Zn+~9PwYqxco{?^;#A22(A)ln!oTV<9B=m&ggJd?K<8ZhpvXobTdouCdD6m!`E zkH5J5G&y$Mq*i@YLPCQTqWuA1fP9#Q41bQm{@FF!JV%KhPIXOHw_=6hL?fbwpa;P| z`DaVgGaqgm21*mwO$8pj@O2)LeLK;2)%E7#qlJos&~#-|Fh$FqH+A{*PQw~M zKrXm03l_{JdTgMQ4#KuQ*^;SQ)X7WYGU_s~GL;0*0W4V$498~P#=wcZ$k)ipx8i5K z@*CGYBwCZd$4)Dit@+ZDp0m+(5M+Q1mdT=sB5 z<&*U$ zR&=DYJiV8iPIOP6STY55cqQW;qdrILd&LDLQ% zB9kaVEv(2+e`rt(-!9iH(_8qt)#VI4zalXT8ocVakq)ACqYmjFcMP;^eiLU;{4Umj z-Cif`TbK4^FK`mK>|o&S!@{*qe1j_TdJ1lA?)C}V9?z+K9&N^Y9(b}W=Eq1fFmU>5zsiiOw`DPPEaw5F;oSgGpb&3 zPV31)^pUjF7xSEbz?d|^H#KtVaE$fwT~3WLR$gh#6yL+n;AUiMx_bhimXcw-lbn*2 zLQS90%m7{jevwhr_@gPu(}8+d=^9Dhgk-HY|5Si%WK0n#@rB4Nr&7ML{`%U)f8t{RW*NXUM*H{jrz;-Zj2sj7`nu35pU|&OWOu$$$r9PIW z33#j1K*00RGH_s&54ZvQ6&rH_mjuV4LwCoXB(4DVqchRK-U$+rcIenxYC`?dnj`TE zaj`V}#Hhwgy8(d#pbzK)x`03xkOCwCF`z(nFWbvoX~xfgsz3=L_g(U8=>9E1O9a5x znQMu3f#g{y?+6PR9a#EGQSVA#@xHbhkP<@rOuZ9hIfQI^(ZIq$h<}OAJqrDIq}r<* znx+ZQH5&u2?+jCf^K9`_AuNnQNjylYK@=MfCe#uaTZlmT2sbDQS*EPC9UOeiV zVh>`>a6fnHS+pg9>-n53fB)VlonojCUcFEqsomB+^lfN}L4Gf1^yp(d;|SP*s-E1p za8&qZA{Wxsqv*Sqxjo7a=FJSt1a^a!|MkQnLsan|?`d{WUEl zP31M0!viU1O>Pp!1fUUTDBu^L1|W~yw`vu)?j!lM!vYQ#OSDM}zr3~Jk}Gg{Xmu>) z;y;9#vt`>{x(UQwF$@4zQuL3WC7s`SLkA45Z=NL`$|&U{puI++1;-MOXl4-?j+WqP zy{an@y(bO7AN&x|X5>d0J zt0gdpYBp^`b#_K2yeiOmi0Z57;5KpBn1ZhB_*I-pJVw@R*cTKMPi2Y8Db@!@VRSdp z>ATV@g{6yM2qb&wBEds`1wZ5@IJ)t{qtxKF=pIE!*u(8$xfpS^OcUL##ABXSR!`~wi z7z_Mg7D;dqfC7qmF-LV$=+{(nKXOVSvpX>a|DVRRpw9x5+dGp|u8sj7%# zjii|yLb{?Cafgn;TN8Ndq+^T?I<~rcN=#S26W3y1VFLyP z`m#r2w{ittVSMP{#QhrB+dUa12!{og7hldtGFT`UA|IGrjTOJKy4r5V$xmNRq=5ms zF)(04l49`X?7+;obzLQK^iX*E1Vtfla}z}qV#zx^sxBqY!bOo)jrTZ+@>&CCV$qdX-(a-D!^koiKRf2YSoEKvk`A_A>h1)5s+GJin z<6z$M{hcP{$U=2lzO@ja7RkbaGVpvqdCYNCETMe0Jl~_`c%93`9jsr^+}#~`u52FF z{=VOyj;4@;zJ_zn(gq{*cQgVi+>{3 zc|}*DCJjs_Yc3OKadpdF6LFvc+!LP=ehV8l2s2srO>+dkN5WA7r21Oy`!f zL#k6|n&uNU1I5W3b6{+4GJcjDesKCAg=Tj(nywxVlDrJ=7Cl-mV*83AZQ!iyF)csL z=>0SMYiPmr_5EjINw2SV^0m{FAtSHxbrT!=^pmYp@Y2ixP)jI)AEBOx3Nz~4)Z~S~ zgaV9>d_wO^FD}Ka7QvKVF<767T4AcRY{Vkkncp|Ew3v{S>X`h~2Y*Y?Yi>u1&O!l) zW|uDy2Em3(t;r{A1o?K~Bm`ey!i(TE$Upj}u^~rdG6QSBAPm1nvwgZQQJs>>ADT(X zc$Vcg^yNgbiQDZRa^!jkM4TsQ?^=yvzz+32Jbdx7`);IKu18ysH6j3=-tNh;kr=|w zeb+%$hA;oB@QRS=UY*rOV}YHV*wdCnhK2 zB2u)GCxE8CE;kQ;3wZ==!hlWv48h0p_7!|q`7Ibn=H2^ep25O@AbA^5mB9Jt2b|Gxo_v5GNFjxeErlg>>AKoqH}8EZ;@O20%{KEZ$&5> zJYiw*k4-}6HF&8#LL&jso?LZ1?vfSkSGP}KH~1rP@oZF*LUe(%{7U} z89w6|{s76;h1B$sBp(K93gEH6mHH1mq<^_x8m=i-Se!Tibrta7`R-Vk&$E|ymd?Rz zdiFi(9za-)dbRf?Nc%|EZ~PiMz)H#e=g($Hyrtedlx2F4aE2E{k+w2_YHve}#w0{m z_r*coV;ypGwzZZdEiWw<4gCo+2RfeF1J}qUr`8PiPmqU4M;*b-SwpeILnzwp`XTlk za8JRPS*qCSVkI)Pr`L zQ5q)x-f&dGDK~5M3(#;s3G+C$CWoB(6ebP3iG)xkU4+_E1ob9c*=d#3|F1w zTJoT$`GPqC3trqlcJ14nK$v>Sx6`Xc?_$rR#jw<8r(<%`OUBu)_{gsmLDLr&nd zzdx@ucs~^XKA5a#My}-y3n!|~Nkq2KEw4SBbOB8qxNH{-kyB>LnE_+!y_y^jX{=E4 z_Z-U#P`Q)Aax$UI&3xO)S=J6=RfNt(q0SrQIwIp*J3AKWb*UZ+ zp{H`#zIlsKk&N|m0%OqI2ZjEkQXx|3rJztm?EH#}(v6afE_BL!k z|FULVE-L^+PqU!vje#@5%Cio04p^m!w`UtIX8=A6X5*YmNpo&+OkRCkhrqV4y+JER zUa|p6o>$_Ecdi;Vb=wsZiNOJDqG?dcWSw+z{VYKSNiuOoy|!=wmL_ZumUPbsJ)R@a z=$WYp3Oa2&wwz`151E?XXb;$M;4wG{K~=WWXqs?&)+_b*T3rNa^mZ#9(&i?7aIjVA zZ_lRzO}g!v7-QT-m~l4AO8FI4G%PpYz9IR(O=n3EIX?bdl_{H4wfv^o!1=s<3vi~b zX%G@Ir}bV(4UH8$CvzJ_<--c?9y=ES@1O_zYqv}duy#0^N7B1cO}APdoI_5O?2lh@ zP<1bOp)8F6=)RL?YV6^ z*zRZ;mxwNkh55uG`2bycg16e^8^>E6`i@c_-HerATD7r{z+4q{ErIjoyq%<;cTFO> zJ1~{qO@zoQV}w{?87f62*mVQR!(I_Dh~~|$7UJ&Kwm{BO^1az%hW#DSiq!Zb-znEr zNJ|%;p%x$l2-oaje9~^5P_+|ekR=NE;+c)Y54NRLe_g;zEP%rqpQ)>s{hYK3v3R@< z+~;nP*(rxKL2iOQ1)0ZrjS5%a6?*Je+Gh)%CXV{K=ND|hFY_zw6oub%@lidm0 z8R&=%ou^J2ITlV@ua7n+*$aj<&wC(>J6mHcK-Ung4Me$WM8~A<#OUN*lm^?>T@yq(ryc(TUIs>HDg=4zRBBA@NRGqd!%OjiYEqn3LnJHH+%_sQ0b8AvCOlx6e z5ZTEoa?`Oam3-g|;dMjA@o(LTPt@570`-tgY>0~ z)A%UU3UP!T6U|IH7)zQ?Ffq5@Gi{!PGSxF}N=b~nyWebg+8fmf(MJ+er&W!Fs~oUf z(rt-HZbz?KJHwN9$;znS3GPfziAy{jFHd;+Co(BUf|8k(p2rN@S0Ybfxx`R-N}g28 zD|VF7#LB_nKG!>Az|7A0hGe4EC3%_Sjg%z}a1f>c9m|j#Hs~HP{`lHB=tgF0{Ltz9 zRo!`4>KTc?QRyOYBM-ah%^it}HrkaO9n|l3?c?@TdN&QXI(BYQUT;LMR4YIA8!Q1C zV3+58teLuhK>U8ZZg6oT{f3NG;N)FuT1<42iB7@S7PiqrBhX=gfxN4yp7(|FbZt*f zpo0Lt4bN5L5}#kp^Ke&)t~~%BE;i{miA{A{`WqFIbYyROX|1F56N`q_>bUxZBz8uv zTw+J{i__ENDxz~hDaWz8{6Nsbh6s;^-VX8hv5PjE9*ZkbAN@eMOIg85n+ zhascd?u@VW^WsVIbjJVByR9fy$ABgv%)6C*n6-XSiloYVt}FI`>Zg27naNfXrs!|SK~ zhU3Z)b^37AkDT~7NI?;Z9$(7o3s#Yii>~MvZ1mTW(q26^FY3P0v6xwLJv05+W(8y#A||^0-k6tMr!~XS?}(>f zu&|X}C=s0cm6UWV;QiTwcPj{2kyyinGLH-yBn_ERH%P@%c#RlM7Xzqn*Efj=?eT=R z(8+H~ZMFwg(zKbJXDFvkV(u*6{GMD>8xkvl@%i_U=yO|iHoB*9O(1W-FpU6Ezjvc=^a7Rvw;PMEa@P}ZsfVv|+w0hLSE{6v7e zo*(K7<7FE?cdD5Soha^1T31!uABlN?ccYF^S>r<}E`cvo$ZLjEC*KMG<7ctIU~0Y4 zM^J~$|D*u4lW+1bc?lAQ7)9ojD;n1p&MC|_zQJusu_r(v`q zqwlsURQKoxK8lA=J-x~*lIi`KowwI6owZE`j3Rl8-+8NE%rc(wDj9$7CuLs;sF=bt z6jkJ@7o0>Z-~!h1@=t2vol9%V(F104Ucj8!uXcrfUS#b9!m@H~IRfJ0+e`o6nO@&b zqEiqDcG^3w#<8H`n4yEYS_Yb~Dc(ARFZ-L2L~dg!X`xFHgF0xrse2)NSXgqGf*f$z zW6i0r`6ex3UW%fisLtR7tV_A(+h#w5(qHz7LY4 zCP03|Vbconj?HrD)7Y;Zmcp1DDK&r>5U7)X&nfnz_D#tudsZsVvfUSp%oPxTa5vyP3*)XXZ6LGnR}V*Gc3}7 z{QHGGVg-^SwwJL}&rnKT3(%DpCEj!bB;*38f(^?@|rXQ}8@#$jf(yUNM;J7y1 z)wA$?mY#9&W$JP?(@A3?1Xq^S=!{KFW`qihbahRJppKl%uC%ZtW|5elPzY6)QDZ99 z;2vVWbgCpLB#-xx4{HV_K(cPFa?(Lu_2lk_$y#74+Cqdg#uUBNJA*6e3m_3A|0cHT z{L8Y-x2rId%Vg$290)~2JXg#F9D3kr-k9{&ZOvCAjCVC6uW4eqS7WU+vZG zx#P@f0^sf|a$bSmUCbj)Z#nGutz8Fk(=v%_ugv#Y31`4wErzU0o1Zf8;e$>HI`9c< zac}ou?M{Yp*22ujj`O2cz1^R@7-OjSpn z2p+M8$^_ceGi#-_o_Co;2SngL4xbtRmz?AYHu65J!JBxe zl%kE2@m#XGBUa?u0|$TB<1s>XkM`_4{6aQ@yvk>E3qQG<#C+XL*h=Z(Dx z++?iob{|<9nSTu$jZC!&M4RAnQJDa-=XoP3HncT3-mrPnJatq5+6v^u#l4ws8B2!N z$t)BgTcGy~E3^5Hu@MrWS^THd@O#Tiw=d)4ZTPz%bBB+%PNm6?UC7qR!8xW0cU#CDCk%4p#o|rYd*1|$nJ4Km-YcO3 zI)vKfy42;4#Yz-CXFO5JCOci+*-bjt{6bm3j3sd<0#6hwI7aam|Lt+IWlFO1*O0{C zQ*ou0iFlm546yh6njoKMrrE4nE<8DrF0P)s`M2}fSn`TSA{H(Vv$F#rjX(_$XKHy1ctL6Aed zdf$Fk<$zaH{8!`*6e{XfoLTeXV1*)>k#tzyZ zZqa=pbw);IO+#(G!);7D0qQ_`Me4sHR_Pr2k0gU5{jtkt^E`T1tHN-mptpsF#=vX) zw)ULTxash=ktm?lW1ubDO=1^z5=Uo&bE7EJvYI{dj@yZ!%?-_EObs_pPom^vrG6xV zR$iC06mMPeo@JUBP?_OU9qf{h`wB#@uE@eZb*>k^=pM^onIZ94CFrU(Q{vm>nR#Xg zHga(6N{&puu2%egVyeeRv!3Or=QK!N!N#DMHmp9KZx&_>;hHkTgS5C|^Y%t+m5Zpf z!xfGsxG9E*u+NMRunzAE9P^tI?gVFNHO3kJRa?rMWucx=`y(*LvTxbUZ+FV-6IwvH zwYeB2kYEa7ypNjqobks&@2(s`xsUt@k*K0faKPAhCFdKHDBj;#?eR@wxX6^mNhi;< z?pOz;1a?^RIMkN`Mt0{Q=X|;$LS?td_g`lOx-Oo4jRJ!1gOckD&$ba@{N6h|3++3E ztC)ZfvNMZ9GrYW`ysd?EJDSB#9@CRrn*fkuL}JkL*5@Okhr~|g%G`#Sf3kc{Nl_`= zv7F&h|4KbEyr~tcoSop=p`G}PE2FHBhUs6MdyTzA5h83`vZ=z%D!g&hx3CD)JI`rH zQUy9a55fe(zj=|x`M*K7awqo}qOtT9@&zMLhc0isu#>+{5c83A@jB`tf8&!b#7$R9Ew`}iFr6I zV-jD;OsMgYzUx%(cS5(MojEm(2^b(i9 z-goxa^0D@JKTi2N*WcLZd_X$=9O;l(nTM}KM2U-`3wP^}e$c%;l0!MDD}ta`+&IhW z_6^zINYTQ(rn{D>n zIONa)O;Fv88b1Fv_}qV!3QFJC7KnmdU!=V6N1?ABH=XRrzK~hwj3L~D#hW8Te0A)p zwPHI;winB)AM8|@!4}rUelV0$%on@`pB4%}NIzPnG-vGVolNps)T}I>f1N85z9mZg z??KN)LhJ?)-6&mcNfuWcy@{yqzjIrlOeg;orGwp~hjlVey&rVn-#w{bktE*Cz%p(hV9yG51yBdL1JJhDvM;ij7;9CC@HKIxPkyKG7(c^SSdIg%S% z$d>~jBQrNgfYvB8QmE+<_`wSjT?yXCmGoHP$Q;jH=|@@n>pg^@rxN*Kf>cI2j}{FC zyA3mh&gQVZfIq*WFMrj&^87h7oC-B%+bvvsC&Qm6gGg%RfeRU|kks$i8WRGAz=CGd zW-YM#*fT5n!SVCL85rtH_|6i0NxwDA0&E`^UC@#DaJUZ>?8!QFjhkvZ|tf_YLpRQ2rSvo0x>umznCtmkbM$C z{VYsQYo4m1=X(%H?V7vv&4~!qwb6L~yV=ezX-n;(=M-%67hLmusmDV-hqms8d&BdG!{F^i-v&*5?~mO0nH;6u zYz-TrGMM9Ba4JP&;cvkNA%wS3|JT*X^;s!T+>7xcD0sgvf2QR8J)V#?*tAfm`x<`z z;v)XmaJk+4%EvP=6apSZ+PQ0-a@2JCPZz|CvGXi#pW}Wy(r>jqQht0%B08S@&E?nx zrNLh1n{1c}T*c==F1J$N+Ko^!(RUJqN9s-p_pZ*Agsp8V@^!i*cIxa}I!oF{&8)Fu zGzv-3vAXk)5?|}}1|$D37&-Oa9aAJ=3o@XF{Gu7UPieB9t$U&695Td*c>dCT{Oha3 z2igR#)IZKUceoYX^1|m&G|8I7LTlOxzm1o^*Yfq3E zjFx-^4eb9s@QcT~*8{F}2@QOGFWshdIl3JZaCT9wXDD3Z*kj{qkm;oJk-~i+z%59C zw~fw@bQ+EGbHDHe@L2|@H~V9r_}EE$sZ=gsM?-nl&b}jTPdskX02A%emI>(*`_DpN z!hY}xczQ|xm1E%rZUFy+)60>K-0zbYHW=%dw}1-|Utv>8TQ@v5g1J>CGj7yU;J~wW z2Q>)|seZA2)g!iG(yIN;fC)}SZpO=~&+woV;RaH$Y2I|#6t}C1g$JtU;Ae@9ogiYb zI6_sPxn}*;OZF8lv1;!2Rf-a%GjQ*9hrUM3^?;3tdUv*h~g-tYxxI%uI~EwoBs zkg4rL*Upv>`*l}}Wf6zY-#nY{2U|UzZnt_W-F7&aRSz?GWR>6euVriA8Q8_jko|k` zs3<|_0(c3s;02vnXoD8){tnO@?a5cu8(m=+(=S_Hpj@^%Pu(_awKFd1ANHPrSe$_Y zQ}~51FX7K%w5f{9bmvys^)z$Zg4@l83s%?hw%+iCtdGz;8Smh3+qcq4G@F-*+Z5|Y zSoMOdzWqeA^OqE;2x8%jIieLEWFgg>dD)`^JaHwguGQFror!=GFuSLr2Vt$!wU$)o za!I-aET3UD%M^M}Gc%{+4F?)Hf1p2AptMi2WocHl`R4K@V}(8Wj#zw>gw@mk#6%gi zb+>i?3z68i#Qe0ww8Ke=!r<)IZfz@D_|c*Cd}Yxk)1@yF3&n{ip98H|RDy+C^P|BX zVpi*AGd+~TP4j%5v$X@V!bC*~S8kD8`}bL1IWjLlGmiLqrUPZ6LBvU_T;a~uy5g>;aBoXsJDpRz-L76upZGn zD9_!;N$Ww~QoylOwckwwlZm%uL6{d^cki`pK^lS;QLpQWWq@Y`ou#6>3o*+J@_^V6 z5g=8j{yyT0l+@2BmdIJ?_2PUjk<;Pm2{aJ^(xF$f(7;x;uyZ}oks&jP?k%EX*{3keR1)tH8I&`L8gWFDo0qeW;)q6o>(%SUW?RvEd;-o0ipW#4n@+Jcyu zv!!7#j6-h+tgjE3(q3R|Cd0&k>mef6b6%9SV?%D_{e@vJcjF-2t*jVkPD}e<)4s}# zbC(~veB_``*z2a z`4a*2jOqKT9m=wc-2ZMv4cSH7e3?j{#m{1R$vC`n5RbK&Z&<6O&t-;KKSrmkO&`+G zF(vDtU7yt!O3Umf|E#pX1Sos)Ak~sz!~5*~cIR>`HtUIU?|i;+!Zzj-5nZ{ePPhbkM#n@AQsYG5lkIf|bq()D{#TMI#QzjR zWNM7_(QOrnCx+I;5h1FB&x`+WdZSTpuN5gKAa}U!!^A=5_B}vs6Ee7q)lFl zd1F;D#4JOkR!Uct-4Oi80{0H6D5u*cg{(u`d>5nu>%t^?%4r z?9}XJn=BabRLA#vqXwH3cX_ENeMZ@=Qm9zTb5`ikt(;==L)#nd${~H2q`FisBi^5N*@&Oc>m>`&~|&07ojv|z^4Le zRzC`BdHD*9;l^yh$v-;9`t3x5Zq72e_ty!P4#4~p*RFrf7~(J?gr`F!wm#?C-p&K5 z`|CoO4Wr+7vDsBAV@)>(A_}vwqavdIxCHpsf;5QUcb{Z#z;Y;LZW4+UKq* z#igzDDOcn)#t)dzH)yd2+Py0ubS1Y&+M+ApC$0D?2 z9CwUk{>e2v9L1CYce-*`=r&w^s*TO=B8|AcjM`26iAi3Ty(_C5sqYePiKI5c(!d}K zBQ3FiY;WDCO&prJvZMBa1OedZ`{%nBa)T_@4xsl}yljhL{TnEiB60FaONvD4jr9jR z!IkUBGKQdav?zM3=(ZZ=Tb-52_lBbwIhP6iQ#d60cE<~8V%zC%nC9d@2qY_aAmrdx zT&~dXrOQU`bltJ_fkUuOtaHRh5f-c^Y|JpY!hzaw+s-m_-D$)*VnORIM5d9Z_Qs2X zz8t4u%4RcgX{Zq%Rrcu^lePgB-^$)GJZaVjvjmOuR<;bSX=~Xo=F5MQ*K#4J54jZ| z%S#>xUz}?Ch}9}G_O)M@H(jA0R}t2@J}2aw{djT*rN^Up(%dmgYE=8* zbx_!)c)_CuBLQiJMm6%<7GBU4WFV(d$OT1~L@X7vfn5+MhMH5wKVeV{NP!qC!((A< z2QSZV&K24{Me&ico!H#&j$b{a~6#JjykX66+$)>`%rvMZ+Cu< zW{Y(85swXCM}3W3NA!hbIpTY6Fhf+Po4b$rU#Uo)TE9Z}RMxXw< zu{5f35vARwV26hCSE?M~_xRXD_HLIffucVQJ+%De)eo=s;vMc@T=Mj|yi515;MZLW zT(&RoV}XM+_%KZ!9t2kLU)3-;knCv~3Lxh>1+o z^G&Xe(P!Hrlb@$#0fu`#tkMU!zz4)9@`ud;fBKX7(`JZ{H9BLEFl^HO(|73P>M~GQ zh3vJ!X$>+)gf4X`wVr`|Wc2oFf21H4-@T?*&DIWuw3tOmVei4|K@+psKN}pCK_hn1 z>{dxL1SZ#{&vrO`ClmQ|*wd@%sxmaaMZr>RDct?MWi@ds4pu)9863ti#b#!|>Y-YM z2Q1Wv8C4(mc|im3f6w^@dCTCjZ9c;^2pW5Stx(5Z`BG(Jt9vsUiaK@?K~|yTW0_-; zg+}5%yw0UwZ~FWH3j8t92suE%^mLVAwQ^iZc?FiPMIkG`mqm)KQ2ny-7DzjCTweZ+ z<64gs;o~g=3Q{chY;9r@y}J^Q6hoLA3J)GamT4|sn(4R~T;tWb^dq=x>7_Xngywx` z#9Lp)!=gP^?O6q_5%EvNeg_X%JX*SaW0Mh3j34FGfh40OHBdY*S!-w>wn(MevgtYR zh9SI7lNDPr)i$oZ(Zp#=fMK!b2mH%(6@$B8;D(ax$XkOSiXzrey4|S77;Ce;lgvZ^SK5e%YxX zZ8LMqStL}OgYkL^*R&euc~w)IDVik`^4m-jGXz?Cg;8TJ{&9(OdvM4jZqm5N+4!08 zZg2AMl|D$qGoH;eoxvE7d68El#|OzPXbl#+B&>P$B0S-2Kx_%)-7maPyWIB!5URXsA*NRVFm~(*iy&v+lw2`{Xt!Kp~nhZLA4@=T-_YAIB)>JyKRY z(4Tuyq35FBzWak;wKA!puTKba-P1X}BllI1Q81sMNLOi^d7CCqs;PdOmfNOKyXnMv z`)HFnOAOaw6=BQYT09;%dsplj8?ShS#ZirUPrlQ!5$3M*Jqc>ZmM(fX&q?f^bkgl|!pj*+{HbnW4>$#B{@WY1n`_Q^Yj<`ZZNHvpX;>{jIyY!MmMseR zX_}?g!fMQ|xMl66s*kNVVzmt8(NAARHH16OoyaiLv_Gx(g&N%~2a}62RG?7;WQ>E- z{Dt;sF#^t|jL#P}#81x5vxl+Th#3uub|z?&D8}X+8A8ApUq+{1H|4V!=3AX(Mgb+jT!cIvbhB$QOvsYrHc2iWr`#U2D>u6^ z41ZnqGX?DkF|GoVdZF~!$du5!Z&6kE8fbIlRlWUs$yGwg{l5lKt|u@LTt^IdyMkVZ z?eN{{hiG0|`nFl_%jMkgw2b zW!`^EH%?;BKtL1K_-Q-u@HKdt^-(WF=H0hdr0uwl5%+x!jsEnS=ufJv5ae#8`=fut zOyi8kqr>^GarzoRm1e1Scg9M*O2Nir#2*#-95O?Py0D&`9oYKGFhl+Rk4Lq|#8l|t zd?{&YIqiPAK7D4bJ@*b7HaUD6P`p`G#Oul>xFnWmRIOM1)*45-O6#G>#ZTL@D6)Uv zx!?epQ^kPcuP!>-`_*vCm%$*if7+-Rb}T<_OssG8-Qp*Wv~lU9^Z*4bVAQ|Th;%$bUe`@Q7kA9% z?r-n<*XwdrD`$`z3Eu>luC*GKK=A;J*eat{0f>J1t}O6n%Z`OvSa`|;_nFUI-$mPRn01}qaEfFHL}2ZAry8#wd9Wk?F9U6Du)8()cp^ zvHU^2uJ#o*EL~#SPD5z2Lo%Qgf!T{@`q|0$#WPu-Kh|h>=5dMn5#?3Qdz8yQ>LLNR zSk}}$C?p%_r>gb%Jm4FrHT`|#>U1)D?dmBR-CQ&}n}hd7Ii8*>oA%Hhhsj^)^Sv>@ z_@FCg+IRlyR2Z-Js-B&YX#<|@=kT4(epy~-f@F3zU1*>IKv)UEe4yWY=T*9b?kgp) zTnj?zeRwj&Q)|^q=0fb zC5h;`+p$YmR~#gKd zMQ{3@GPr;U2Kq0H*TLFRCFFIA(!ZFG|Ht$HXqG7PqYy%qVw5s{&o9$b|6|}h9HH>v zIDY%iopCs4@4ZK6*4bq5y(P(tJ5+MVrJR-0?pqnZ%1Dt-an4SOvNx?ehbSTA?$8+s4QoIPlzwy}EPcy7pBvO=LRB3!9wYW7bBV;ae&gzR_oGEI0h2%5 z6!8Q|0Cy~~*tjswS@85J+j0Lc7uD&;Qy@ zkk;a4b|sBsmPI)aBf~W7EPnrwr9!Q)c5T#J)Ke&rTEylmoL+MIXaY`N^DC05h|k!4 z3jikmByvefb(pU3WwA3rh6V&hQbr%dRI};>kl80@3RedIB?BA4QJ>3`{1s{=V z?R%mDrhk`&Bm?q)bsxPzi5>3ekEp(L$dY;_aR?jBxpo=lm+S8LpGKAP?_%})-?lL{NKJoIH$U(h3$wGCX_%Y!G} zixp9S*WQRnJ%verOYzXm*hz-_#>^L35o0@ajs^rU5%iRuXA>#@J6kDosC6%iP!rJ zW)rl5BXmuzh2>4H*_5FpsY^eG?Z0XT@;7Z9F>{0W)$!%b*LNSY)QyI(@{feAkSqK2*8?nwO2DDj1thR>H=zi$FQ%E|Vr{9kZkk!Tm%) z67Q2;<{Ctu2pi`AdMU^xoI@;|3B7(|05-kP!2!^wI`1QQ@+3#K7)K!h6FdRIJ6DC5 zSH_J)cy$6H7zJigKHP;vMu(d~!>PRiqW^+-T5M;Pmz zi)jY3cIQMuNH^lhImAm`cES?ry~;GulR}Aiv%)A@tE|-@7)7JEeSifvO)14m5i`s+BI0xGi3^2|RLk)Yo(M@nL+Ssu0b(-{M7` zh=HyCrSlhQ;8m}4ZKA-(2=BhTbiKZZW0RygH4xx#?Hp05-mPO%6HA(p6wrQ&5CUz| z5P$c83@}^U254(FBXe>K%Zc6>#`DEvJ4o%4-&nWmHTJ%8VyW~E4d!~jy*pk-yL(|a zyx}&~H@n$)@j+uDK#xc1KiGVHqNEzRo9o}}rH?RD@FJv)QgfiLq@xqUwPIprEX&YT{uQksNS;6k7;JN&z)SKdBYp**j9)4P<7&zx8gnf0*->9&7b#Y zq4-cBC{UEAPVeus@o0h9RhB5c85-ye^G>+E$OPz{AC$jE6{vEsW_RIWrI@4x3nj;A zJz|@EJa3FHp^KF`7hfM)b4){6BtL;vI*oV~b6r-1-Rd2c-1K_hOp6cijfokW*tp=F)vq-P@6C($=!40yZ})y)6y z6@x!t<)8D(clKTAhsTmu&H!=sFWnlf+pF>S#(r&snO`%5smW?|cQLCU;*!vGbk4{|@yyRg*G%OaHfUD)nnSV!WVmoSa!gt86oA;#yr~?-qB! zzI}(hz>KI-ZPIBd z(m6qLpmAg>d@_iI)Q?6^3nSg~T6;5hdt=>V&=qa+bq1W-T*4)v)2ytK9!&|nyz1X+ za{}tSifNhq~gXO;HJ%0 zbjX#ll$Z;x#1zZn=6{h0j|SS=WjwKzwQJW8goKP`bV>>48ha_CewO z79WHh&%JWQZCfkEb@ZC7C_!B--bET+;b=4LQ%d0AV*EIK%&W``t9n}b<@3AD?*z5?%mw zkh%J%$bLKhwmr5N@wDqZw1Qd4#?|1>jh$jSv`aeI^F10D!SJNav*TuG_=w6GFB^NF zn8M|J2zLFe>HSyW?Hv(4KflVGMV`w(Rs}2gSvmrwnfPhwmvmir>%L2=!Ch z7Ih*JVh@*GcxQY0j{qr&alT4z`p__+B-3Nb<0EHMFfKOL`=ciGIwdPAP@eGW%*P;% z#_6Q;<@XEb!@9+z_;Zokp4WDaQMRL0O6I-icc#OXrVjEFil}N<7kDzWd5daN)m@Ut)FDU8`%NoG+#f_ zZ|p+tmU%X}Q@=(m#6##DfByx#uV}HMhsA}bA`mbU%IU5WsD2Tk*7zOGrPJ{oWJ7)a z%e^SBV3wN`z4e7&n0Wn);0M^6Y?|~5g#G$psJ%q=R==R#dFXC7pB?8fbu0ASr<%Wt z6*?yd@g{spw-LNAUwr2dtnuMud)A&H-Z)ny9>cAeBi;`6ZCL)ZZIy`T%*{!UXkrL1 zXso%wZ2KHb)^8wg)_&dkij2BFD!5S%ftqp40pS;xY>%W1P(s6hDcl-_`mKO5ARuyhSyL*H>>W z!_b<(;6Xn;WGpbEaZT@obPSCa^{GN!>f%hJylOfzU>Nl&U1$q6bivL+`f7ZQF^o97 zH%*=e)D6RfB{0&5ba6m23X;*q!{?9E;d-k|5OG8$T8$geb`|6g=F?OIr++u!0R5}_ zjHwO{GmYOR%M;PY(`lTl8^oUcX>_bO_kKQRWDjcJLRe3$HRT?GaHX;1)z;a)2>S|Z5P3a5wN%=N2}_l8#Kwk6i#dRbKde*)+qwAaM{tnco{gV{?nT74A4i5xfj}I z*R@sUYAM)dTc2xG=N2n;N-PcfSJNKGW4HN$n>BR-M9}mZ>aQ)jD&iexrhWm)XfQ^FQzO(%+M2^tXUX`5iLne6dix zA&chxLPsjqm|J~44XL{D_G$-(hq<-H6j1ib(kAqBK63tcQ6;fCm$F39_H_3No9da& zPZi2KI7!C~9|o@b`nzY(PZGE<4V9BU15TDp`E4Glxh*sRB%c^%Z}z&1UOa+whM|P7 zm?{l$Qc;Q}uC78TCK33{h?W+BiLfh4c9kVcSum!f0ES#TIk7rI;Foy8>c^?&fNMUf z8>3=Mecz_KjMF_*yjQG|+goXvvOzh2(}q*oA7t&VsQo`ICp=)g=iB37u^oe9J*{Yi z<-3A(Dt*dMALn8HkR^siq`SaE${B9!(gECR)nVavZ^mIeM-!B#g3qv<^rAVu5;2y4 ze5f2aw_OM#o*2?M7EETtZ(bYI-!r&@2kQd^JI9tgqWQH4ZOwE?Jy0Z7-y4Z;c~9J| z6Y#lWsQj&zb(szh@#V@VH_AIE*zCh#%8YoF_ZZhmUbdK<$0>f5mdCl`(89|G<^usa);p$M|=q$Vi_Vy}L*p|<*0^~+Ss}|CRg{z;c0V;(>qlG`^ z^>OJTckk>&bI!~Wi6DmdQ(Dpv!q|XNw>0V$!*we>v@#Ane+@tdXBu$&gou!uml^}o^4?6Io$i{B3lygXDD}n`OZ?cU-m!OB zpWbLO5!GI=4ub8WBF=jCQAh~sJCP*VqgkAWq(`$-#8!x+;xd;HaClctIUo7y-a1wS z6q>e1S7h4)4OriM)gvT81%UxPz^mfSwZ;1g4dxdr_8V;PGb0)(9MQwG;bK|`>ZrUc z^1V1T1LUPSr3Fff?<{q;I?BRbk5!!&>!qAe=zp0zwLR-j6U;i5l;Q=X^eid`RV?t)~emqT=-LB8hd5q2!Hhf|2}TF>A1#GJk^~|2>L}@ zVa(rpwT8GK@dg^ja<_~Rn&g|SF@<~5NyR8BMKlmve$N1A2kby!fCHf*by3AARcdCK z>K-5=>Av<*9r5h`x2$vQ0i4pa?5H9rRk;57_&e3>PsBPn!|hx6SAIm>%kHJC6%#pR z#4nbJ_Q~!Z!x4Dj4p_;hS2I6~&*oBhahH8>XFL8tb6te~EedJah?1#g_x>mjTaAn7 z_I0k@fX;)R+XR*F36{@uOyt{MEd;<;aSju+zO|yMj5SUNG9hLXm0_KHI?!y;q0Uc2 z6Aslm~9$TG3@* zEs6cfY37&jg&f-PGKVO3m1BzF!T%wto|5 zbsoYSR@xp6o=Kzie54q6-Zz@cKr6Ld9jLnl1Y^xTdOEJa*s!m_d~`NTyJ5ZSYjL@a z;j@l9G|2hvAc;>K5tFC@jE$0RTE0`Wq;KctLXE|9YiO|7Eu zWhvEBhP|so_+};0KruB zY%mf)`XUQ`5bRvl&lM{1%X$|tX<0p3e+L2#i|Z+cLZ2ozVVzdg&y8PRkT=f%n#v&K z^*P^4%&g{_MIjAo0%AY1g@prJ>E=1s2X;Iy(x!nwsa`?%cOUlKe1C;Js+InOQ zJY;=I_;c9or1D^X8Jt+^yE`QXp$sab^3ix>{sYl3>AK6%S7yE3~(Q;Kzj$+vQ0!OL$~igV=~ z^(=cSS0_ylJmkm)c4PY}yKl|1*$ZtsRLkv%BOVwP7o4M*53FhiEF{J>r+m7)(#Hu@Kg*s|P66orB=CY1F4Fn_ zi3+zGZ69ny`@~z$usCGe90XQvS%$47;0p~lb`!SuNjg4o4v;jJYq%cba!pUtfva6v zwR-Rkp#k7(9%1cjXLl@LEN4QY6`zh=gXG?3)-9{J-|1WKT(3*h8GPYN8yWT~&Z z@L}dLH`EK2^NwAk7{SYO6zb3ww94e`bK6p~&V(t~6MUvzTPw715Vald*`Q3_m09)P zzTVXP7d~^6f7LxGPXE;i68BcoL^jEn15iwt4i?59Oi;u2P{4W47-sy_6h5<}@ zZR}1+yN6Qp|LEpl2HD?Vm18bws^;rF@Nx_D9FPcB-glGn<-BilcF{qvcA=Y@uu*St zecyYUV0psWRGIhEE#=~w<##8$)yGge5Ib{a+BBg-UjKF*`FC8u`6fj@XYAKBGf&j) zP|4I(|2n0ci+WC(=)b9irT)qyf^LWs4{+I+%SC%ABQ~lkg-y1bLM0W2FJ+$RZY3sH z0`ZYsl{iAUzK!-sMPhj0+1ssUjplu|ZWRr=2{8&ZL+$spRIwb(r^XYsWPiaJ$|kQ{ zX+SW0p%^w2FVLjS($LzL5=-P$rM`5CKOScCG3ETHxV{tpM|mchf>frM(rjS#Y?elU zyK~3MSJHP*G?Tv@9@-Vo%>yF#kxz_GM0okW9dHp8?4-t)MsCVbsj7=RF297kNd_?9 z?v%e)NtsfMz+FZHTbz-5O6D{d7w`2L$NT?PJJO95O7&OTYQD?qRAmRH717Woi59l6 z4D09LqX1jQ< zVR?NGcK=|MV;T<+wn3-Gm7#b`ehtU*0&#IeT=DNyoj9M4wzFNoNR9H1?zEMk;)1Qr ztscy!vl{K4b-Vv-t)|uv@Lns~F?%|P`0zy_IiJXV$vY-tDH)LhtB+gj2|Puy%-+E>^AiyrU)za$ov8uv`^CYNBs#d1yk;fW*6nJmH7Ru{@#rB%5$fLl?^X$4HB^^eRcUU7lG zHzD((W*!}Y)iI=b>xxr=LMz~v@t%_Yl$n#3D9*RPSNPVykpZk5gUGAd;-Q!#mF z4F!N?7PpG8L9)^MUNF+=%ymLnKLik~S{|r*Ae=#R1wwQRZuB7Jm!HgNy$-`>{SR)< zL9ejoK&SR0Cny#XYY>aHEdY0m-rE_7%OY=3y!cjttLV!f07zEQCc;1O(HnHCEXACd zEjn;iMzYVDzH^ENa1m<#zFACZo8y&;5sPW4;0VO2--njIh3UTSw`vg)Q-k_qJOh<) zV&d4-=$lWUodOnKM_&^kQeX=8OQv>kVv(^m(^~&+97I4a!520kszdbk8DHn#09RsU zgfh8qu(paBYHY#a4mv~n3Ol;qB9l{w@6-Pu@)2CB!qy++Q!fx$DDiL@r z*m_Qq9g}o*qjakfXKV6@shq#Hxa)7kj{2HR?~sCKw^?Vy*e#b*1}*llGKt-CdA!cQ zTP>r>x5L;gE}{fR|5ctyspY)UI5DK$tO1|{i26Z+ge|F98&k0@BRZc4v5u=J~s?9N9yt%B-(SzfN1DT?gS;UuZS zCyVQJIfrX)C;D}<-^2^HB5`_yWK6?ziSHdCEQsp;yS2tYoIh~m)VzkQJm|}7 zP5GV+P;?j`nmuWg7;z3zW8`$1>94+1NXa`{vbZEx;NJs1J-T8ysKd3Zdnh~Q>BvdoBTfMB}> zdrnZ&(lY`Jp1I+pl?^w&${|_?9h{R^SY%7eXw~_cP$jxHCb}}<9XYn=4_Uc`S%=8< z6f8-`&{@PFpB;WuH8R;eaxwlb^Jf<8jVNBQFs8hUP>z_cV=s<@m;(ka^rB|Ao8)VO zR8Mz*$=nb0V+Zev+_A1(4Z#`1^jmVtX+gKTBu+X3Q#zr(AG*(MK0UU`7^6f#W1I0qJd?H|FF1uJ9mLF;}1+#`2OB15H#4r~h@ zVg0aFfe;Y2^r_F7%T{Xy9qaZiPQoQdtI}NT7rh+Jg=<^m!7Kg-)DqA3XY7hr)MwJj z-5_Yog|QXTLJJt!4T>ejwGA`Pc?`e^L1egN))0KI>v#GwE-P?zxoW*TlXmFo=jK!ce<`e=I)Zmx`9QGl>M^4qnyu_ zeyBW!G`r`aW7Dftb%U|WSOTagR0qbrFp)uvrliK4RnOvsG(ut5h+8rQM@;2D*LC3C zLe0w01bH5~M3VkAWJ+Zy!K*awDLYzPp5(m^Y!f)=rgo)XhtD#a#7ua}y$L|fya4#b z$RB2ZGEd&o&Yr7V?X6Q^(nt%>7f>nXCGBboWP|lF&3lKU%g;acNn7a8QFw~P6QHi3 zD}RDVv;FQuG~!u}RRLB@b8S6=v8*A{BWECOY|Pk{kZEO``iSjZwpR+UpXR5wC1>cIX5F13$?*+)DhVV8C z%$g6Zx-+ZA98YFdTrxXGgUO+~qDz+g`*uk3-_jPLHdrF2 zJM9!ZpXiOq4~7|y&8522$kcxH%LB}?Gevo8kZr>W_q6bbuVx8cshcO3CeG3_0uAkA zy*ObG_HB2<$i=9^T*lfVKVvn-=Yf+-lv!Px;d_SM=U z!Y&!|WU^g3jnf1%2;&VqM5@5N9fEHLiCjUN`Q|SxRb*-)zgR7BaT8t%oa_x_ z>-~m12lcFlJFjfJh)a|fLHsj0n!9UxYqU?urmcGularLFVKg%+p( zQLE5Z@%Y7G`EELwIZ)oQ4buSdOG6r&$+tC)cR-3#I6~S+4AgO}F7P3NfVOE)Vg-Tr zXcQvmr90@pmF-Tv^IU(9G+*zE?d}7`T>zfwc#WlMWbHOFzl3_A=TV^!c>;l9^$>d; zoRII_z)my=-=Po&vL%#k?IBVUK zMYka3-dO(^O6Si121g8Qy%3@B9QrZokKPX^{>p#P4;S*H1A#iz5_;rH0wI^$X6V)>F-|)JQ945eGcM18iy$OJ|RN2sHCrQ zrriL!2_mU5eOTy!E}Q!7;hK1!)Q&m`b}sls#c-p@*qcS$3r#mvzNHUwL6f)pOmd-m zt#G^JfBpZbCJ%=(92V=DDuN2+0f&6J+toLe2Xlhb4yqLXAzX~hPP9s)@K9S`((_{XV;lmcLKp@$M9OL`QR*9T%M!IZ%K37pi)PmXJeWz5%W#T3K#1+n8x)7~TjMClWBhB=8#s;i&P_T^{P3=vM^04=ZBTr`4lGYHEb>T@W= z^3-LV+WI5rEu$@z?TDSm8tYfdW}nHLXfYQd)Cp6J3(c>L93_7uajxy}GXSgvZN|ZI z{vVM#@Z(hGa3VZdDp?LXWOSLK$NrD#5WT=qVp~p~wZsDfSWiD?wF#*}`r+X7JBfP# zq7BD*gm6*D(Z8W_fO~3?KQiImJQ`K=ayD_6S(PeMyd zd)BtHRhI8EZN_tY&Tfudkx3fF-AN~}BRB)+#LJSxg|IFnC;eAR?SX%P{_TepEMmrf zYj{&%cNwXSXQxEH;ElC-NSp!VcsvJe!@?ZEqSTIhz8}=H1I}HP*~vC;g^Avxe!%M0 zI%P4+FR8n$<^u(Bya5nZ&b|}n7SW3KtE<{Ws-apSZG9e1X|L5x9mBp+A8dVHnV7+x zm7pN(m(1}girH(S3Q}G4Uf?iRWx3&HVqYO#r{R&r=kVPA^U-QWQ;4DTvc6>@TMoPY{Jt{7-M6;{b{R*K{O5A}^7({l z;0xUTTi0WfK{pEW1Rz6eG3qN+9)!?o3GF_)b48N1euuWO0Vff@=4>|#|-w( zc^Tep%n)!hax8&_e43BPIGzM8gbbg!S&nG^1yIqJXNj|W!alUgRSdzIotEH!M(c`>D4dnq~kECBh{>I~60MTk) ziei4aTT5%#G5mP)edfpeG?!B|7^ijg$2hX`1`hMsP3f<4BFu6Iqr2X#9Ob{^g?a2F z^jFyi<~M_}Uq>iMX>Pb+9!Ej`DvQFBW-$2mBjqUQMl$Ac`u>sfcK4%H<4gQ!mWvnS z^_56LkUMC{tnNsRiJIBoxbk2FQ9lCnFMTc^R>&FT4Qvg%t3c0bLJFF7wlCJryAd3qEn${)I-K zHa%aG!csAuapHOj@O`p-ySTA&Ff3KF*$9tqw@lhKf|KUlCaa3yDgcR$rg~7_q)@tH z4q`Fn$N(w@yE?GsIrk15rRLIrsEuKH!;F0r2>-J2p{pzLdg6EN{rrXKz0nkC6S7sd zuXB;h7@EQ~ij$5!=^ihIcxxzK3x5TuO+<);-)ErTcf?Wj0wfGvL)_vO(JcrZcK6?v zgJ(|HM=7q>a&@tr=*rz^815xn$aMg;O;Cob?pU`sF(R-!>w>W~)fNHg7;@nOU8I4F zmIF^rmJahPQ^|-|v;3dhPrNvl^lC1~P0is|yUbU=uOA!!cAb_Pj{B-_2kMs<^A|Ik z0N#ley8sD`)0Kt^#Wb<=WuE63*hY zA^Rka=zyyr^-eGGq6~0F>G)UuKg^%tGX%>cX$9RWlt>}3$$P8{Paxyh@%hOEJ!xTA zVI(9CwYuBw0odZ!rb@3rUG8P>Oq}CB_20FL3IWI`NRiesD=p z-rs9fh?Ysb?xm=*r3wmNV>0fmObB^IKtXPWkV)YpNz$yy@J*Uz!C(i-ha8gAi5wbS zHZPbKA3P3>?<~#|nxHN-zScJnjY=jvU!<7xO@v&~DCB4560nK|(7reyEyef`x!#q4m;5F>*haP8_g45Xt-X7qZ(Y{>a*q&zj7#uymfV zE`KM`QA)hOR!taRAPB(%Dn9t2LYaL{o-z%~7wc^>Fz|9HUwr5^wDYGiEDSao&zT*i zkdFIr0K79vWA%a)-y6|V8ll1<&6b&V_O{VzvYK1G%?y$}D<;8V7x&$J4-J=Z(*8)) z5amC_eLy;`pHQYjV&c@!#JQ=g@)hlDaFEULbQS@5Wr3G-FqLqn?e_PUYGJl|nm^yzpq+Yrnb`TUHnhB_n4; z6;-w;aEChZ9>NPVSbNrQJqfO4A}pi2s5zEfU{s_>{SEpnzqFx?=Kf|h^EOdT_|&mbg;&s`hLvI%V3hZ;$cHDxq)ft2^d!W=b_y_ z4ZpG&qKANJnv|`fR*2ZKby%jkO$VZWqnkNznl*J-A37ZxqAN&m<-H4D3pLU8aQQCf z0?`H(aXtfWW)F7Cz97%zgdSb<&F<8)V=Rv;2q|6J>lJ0UV`P=Le6EJ&eS6K%_G)*S zU-wxTfZcEtaaXzKAI)DqQgUI!%Co-uZ8r+P!06DI|!1=h>LfG}ZCWze16U%f$`&uYjbudd6?SM>=>#R=xaWnG8) z^ztFT6Qj!RGz?rHTKN@;r`k}(;5)I3i?_tip|J5NyaK7#ZD{qPW%(2#k7kzoRJe97{qZ}ErCm?A} zrpVjFWlCF;DACbFPXyIitiO69>*0Su-8IB zPaCoYr4y^{n!N(}!8Thftyri%UKc{+cyZ3GgBw8Xb1DjNX$5F)ge{Z~H^*5#$pfOq zhvc`K-(qz8TfOZoWbU33D|SA|=>6ZZ*rrtX*JZlNQBe~w+5blZSI$tLp8`htRs>qT ze2bIxo^*z?*bOX(F)kvHPWNkca=0y{)SLYr|EMN-r@-f=4UPR!RCi8S0S8{L&q$2H zRvxjt5fT_E=^;Z3%6c&FR=*c~(k~2a+nrs5ZxtTe6->gzp-E;hz7Y0Xs{yalqwI|v zO>9+9%jyL3J$(W>?K$bz$w}XqJb06JwDDsp6Tz#bUm8I zp`h!|Wr^U5H9j73LUxPL1zfh7+4-ImuZYduGM)1YV=K6i zMw`?328tsTzMtfulF3pD}^8}A)&IPqWfYd>p_lrLw#9d=E z(X28nsb&1B{Hnb#z#ClZ)wus65PaFPDZp^z+TW%ZdckrKx10Qe2xbMa)1J9O*@t9t zboVqln9`X;JT*BK{R6Q=UtkfC7K>?og&toRwv2*zqW=2)>2!4V@%W!oQD_s~0E0*^ z=T+}M-^9VY(hnceF1+C*kgGz3t_kEe-(3)bCvx)V2~KM5Zn72fu88x?0bzEeBF;r^ zY741oID1d-ONXepmfHgNVk@Xgbk35%3377?)n^63F->_zHv)T+5x@dn{{Z#w>8?hr zHOV~PLywpHvmU#>%@$bBd}5ZF2>gHGH9RQbl|Y9)j4n7PUz{tDN>opR8`1K_)|%t5 zS#9B!I)Hwk4TBq%tX{72b%0(Q;cXgi&t7D%TRDAlGllkMa0KYxUoqJr_lpC(?mps1ek(Kb zl72y+rK+i3^{O37i{2L&Mxk57}#HlE$(1rUiP@lT9JxR95ba zp5T;sfc?W!Z^(fu!R1c?C~nn?t+tGbHy;{LU2?v%+I}3j^928`w>$O7y3?Ngodupl z7=toWc_@3Z`f5=K{uw}Rq}--^FF)4ie@^aaHi+S*dNoG_fzql*eZe6I(u*RT&wB4C z&!%yRkbZV;d^9GPhbl^#6~w-euVHl8>nfV)Cz--#>29%cZuP%UjClw9D1q9dT@Q z@cATyfk@E^9=f4wEA>yA)y-^BmDZRQZ3|-tl0_0uG4>`#covh&DKof;~Ht6{=W`HmMY-OM!!hR2+U!Tz{FT{<6j5 z8u`-l%MNZxADsQq5`8Aub2c9uQUND$_v9=Pf8R|AyOe6KSb4*w{s4dKT4l?TLT^&~ zebNY5x<^(B|4Y-|-Af7?VQ~ZOT7gxf~WPEU9){aFOq4@9*l{Rsg zLkZ=&5wi}5s|Wz@>>ux1&mzW7o=B`bMoLsN*QV#_Pa(t9`}o*QLxUr?0J;Pvwn0R(QX@|xE3jPZX)f7k+9{`vWb)o-Kc zzYcIN$!~<9l;rhou*)A3N^Live7H*Qh9RsT0M0G14{vV1Bj>AJ0^2TvPA}hC{TlFH z{eG76+xXoYCIYXe8GqGDv}@j0cgvz^W?DZ_Jjsxzw;hY7lLU$En&MTq%Y11fggHUV zoMcGdErpHrW%gp{ufznYFG@}_*JcD3FQ7ZLfVUR)^-WT^Op_A=!BR4(mq{9jr;g&k zN(Q_dP+MkN`$+eot3cb?9;$uZ?)88NdS$E4Cv<)ISJ8nC&uRQ8=fP#48Og6vBGQk) zejlvc`0mdwBue~}a~%0?PcEWo!rc&_x21r7x2+Ck9~N{g^xKF^{iFwhxFvo|UHcLv zzerWV+pO^OP3&kT$GIn>W7?5US@U9hYXAh#0Hy$+>$Ai7%N_aW{Lba-ptvk`;xF== z7VvY76>u0=|EH7xH=Ya!)eQ@Jys0f~WqDzmULoMy#NKSnyI@mt^@N~gu51J@;mAaz zN#>@cR6#24{h|D<*vu18Zu_$-Mo8qZg(_d9hQc0F{oN7C8G>LW$G=n0sy&YsJsrX; zwRiGHl}yR4bG&Cg)1tBU2MPT;&EpPz~0clSkiO`61{$eFO5Qanu@2=4!b zrt1?_h)Vb6x)8c}OGb19;P)TqgEXwka!kNLx%(>Cq4geD*8Vut)~EVd!X%F1G-`ZO z-Cn#zoFvN6Tytec<hEMTc$X?=54K(ul#HLU+VqSiY|>N{ zvRvsv=2)nXi7#GY&%}i=8V#leSa7A1m=xmG%OfxN%`P?4@tstjH_po=MEDtnU=CZXYvu(|)mJTh5rNr4SIX*I4BaY%X zW`VX{$=`5P=5|!}=u-MXmQ2ziyZS2r4AD`D(i3{~2q#kXQn^$p!|Dsr@puX2ueyES-jw5>^s4#+Tw}nFxmvha2jNPcO@On=qe$E*0%SM%S+OL(lqhoIWM=t?XbU91 zl-sY3E&Ni!0vJS@7r1;9TIjBgyQV^41T>vFPW9QR9Yo&|S%zql@$ryr9d>_@pPmWn zB*^!dhI;dtTF8b}AHy2mz*iBx-g|Mc@k(#=?(L#e3kRNNIf(jncWB`4h9ry#iKDn? ziT(uCx4YJ;c#9x5@k1nuHD|_tiuTDwZWnk&7j84>neJ~|)D&zq#3=9*?!XVG`H@Oz zx$G60U#6KS&iR$cKU9C3eQ)zU!{KPJ{*{a13I@}KV?h`?MgCH!w>O`*_C2*R|VT{<=Z-9I>cNb1R-NJR+Kiw4LuT;kS3++oN<-xx$Y1Iqwo zp7CW9(G`p=ueyE_8ZU*GctHb)6w@f~3hRd>Km+IP&?6x*K+Dn=jJvya6GpIE|4&OK zE@Cb5oJmy5nwp7Qg)-45Ma9GlZ_#Xqyh!2tulIpVa$K`lRRKo|dg_Qq5>(x8~au?OTW2WooP_N~;jie}8c zvp=82u^C7!+O$Z79rLwjaL4^1DD_=%K&8~IZ62Vnj^Dyi6nXX_o|M-E1Bzz;^G$^y zUY;Rsa+UVrlMuO8vbM>~_pKCca_BAHLEL_4dMN?la~BlN%VA|wfGMDAmkZv+EA}QL zEYMbL4InBV_F@y^H3{EBNYxfq{*r%MUbzvS{!brzk8zF-bz^7TWEL<{drQGr$)u$+ zi*QyBr>NcuXeN|{HJJaVp^SJA#UWQ!cJO>!=(Hp`L(~Y; z54+JT^`%1XUzJSivIpItswtZ)xHPY#ij`HYlrWU$)hre5ToNef&ZiQ1w0KI7x*1CG zr@dN1li;P(DZwVuTgj1WQQ5NCHDA7gpqqm4LO~B;J`(qOgx~Xj^CA8{F?C>aA{z_u z-DkWq!C84++@eztOaBEJieYKbxH%GF41ELn9pD<{JJ1bgd*Rb(;ejNNxSvP(Ehucx zk`KZ;*M*3Gj{eOLItznTcOW1D;s0(a)?fc?Cy*pAyAe4MG;N_Wn@yNT)Zf^nyMk`_Iv#lGe9UAB9t!y=Zkn8VxW#$MPF?RXU+q%W z!q509*(nj1uxtqhct2x!Ij=S|&cF}gsOw2Ee%moKcfePp;h!E!P)IoB0|Lx%;Rr7h zEIHL2^6L6ZWIj>q^~6kcU|~PU40erI8z?z#)8QL?=dki_FuR{w#chl z>IwO-#kROxGc9yciQ~Fy?IQvE%#y@xF`|qg`_ZYg83jp3Fw0L-Mb^Sc1*E{veN?fG zMmKe@!>=H2Kd<;mGV~i-^9gO_KfU$^^e0DqE+gY~&9#Uzfx5EHxcSRA;u46QK2s0W zt`?s8Q48HPd{{{0z4tzLe@8oMn%REC>f!VM2=4HP?1!?i7)?p(&1Y2;7bs4(Rm8&^ zHPEN=-{HYf4%G7AmBYJtEv~!|`XzMFR(YJuy(l;NP|iJqj}DA)RI&(bC$$_=u(4pd z8*rE#hMx9czI)SRzOO-qElYga=ZxO11S7m(HtzPHjfdou<}dRj1wsOpz76xUwS{>< z$o;9}`8;9u!kv<_SL+`0T;>2tClGO{p^4Gu|5tP#{#5^89RJ+=x%(RTa*gbfy;rE@ z+Di7kA*(B!%!=G=kBewrqHh@~l%ixd%#bqDFj7c$txFO@K0m+rpYVP^9_O6L`@CMy zSAOXrLYcsOyi{P$A3A$4WE$0rst7mQn}H29z;H*yO=bM5r_3DsdEI^E;GVc>-j}B~ z{Rj8ekZu;_Ink1P*b+&b*Y7(otxgs5pVgM(J2X6|{>A6uP}a;+$8d)E?`9?E_`~bI zvHYQ*$B^rHyE`~?w!T*s;}6h;W=plToX=>K3gfeiNn_gPTcuC9>v0-Y3m+j3dKNd* zI$_JmSVgZ37^AVX+3(SjzXj&iJK6Lfdks(FxO)ECx}U?m^PcY<@#Sb@rUxFSn8&)# zfrr)FOC8pC%z4ZGklW%(&#)^A=g!6}8&_4nbB^)NO8dL;?Z$)ndfFSZsA`WngOBG_ zaLsobeC5yJd=RHJ19p~YU83^AbTrgMAJ!%{;kCztlyuw9#_pNcuY+SbJ&83vzgw$f z-w~Zm%b(r<4R?h7vc17@Dmr~qinQQ$|7XMLHB3xBDIKlB*O8=r=ory&TjM4kGp~9!%hYTOREO48!7cN<Vq+z4uC?0wiiC z3f!doXYSFMZ+4~Z`{iF}4gA85@>bXj>8)nHA&l)tb0wR^5p~}FIZu9jS-G{>`e$)5FdC8EO)(y z!8hPtZ}O3o2slz1^%y;X!7Ae(;|Dl6mAM{s4-km^6AIcMT4rz)Y7KtP!G|V zx_T6S>S5-QqZ9+`F{UAzVnj7&nwV0|sOC%yOUiNT38vLaiZ#`SX=_KZr#dhlohZ&! z7pAKlg+e{WboZcmQcp9zyeU3ZU#8y~3YF^5JR3j>qy{mALnxutFlKlJ5 zp~f=f&QmT>FEZm3D3_>-Od5?&Nunk*Q&K5u)O2P>CMAoS&1B?Ia;bUD%lVW7>J{eI zYn1C$CbO`Ja)VmTEV)Vfk9v!FyOdH!EoWBTp;S`uGVfJUs;M>1`wu9!)Q8MRb(DJQ zW9E|v%2R41v#FWVLTzQXJ)=CQzF@v=r*u#|nO)tK9_lM*FRhQ#PkqfCctd$h9b^s- zQ%0!on4|A0AE+OhpT;QT)CuO~XUY`y3-jwVWrjM-{5D7VPW{3B$)e0t7nqAnlw~TL zxw1<6MO|b5UZ?z_ZZJ2uD1WKj%$;4zKk8l~Boj3W{wMffjF@Z&)&X%83))wK)v~@o z_YJoPhO{I6L8!8^`0+VUeE6XG*Ng{p4;(%Bs`%{hj53?@;V<74QCy za*2Dzb51~i%Xr57O%KW2qv;jvBmelH-}6fKsz!c9=c$pnLIMx2m{fpEJ=u%$&;jO5dHE){#UD*ij36+9QE_t zymsqQ*@Y}FLC#ClVnV!S=>*f1|L!Fhq%Doaki~Z834>0^m8vJp6-zvtv;1rxwcM-> zg=WZRS3;dQITcJVNG(g4UOduG)>fdcnV5uS>E^&V%y3*ZUY!C{ z{?`(!Z>uML^Mfv_SakeX{`~jVJ%=&zOr&Lcn9u~a_$i_BMcJ!XZiyuUkyEhv06EjK zOXc}e9Zy3wE9!`g=D)|SctbTCD)b`W%iI3WzP&BVOaBI|&CMJuQJ*K{^V{Fk>|NjQ z^nOJq^@{K+Y<1MZj4V>3Oodgw;QKC6DNn%`p0uY*cD3AMA-3pxG}`rJn@BAY@{)IB#Q=Byie!%$tgINNZsOR@Za86SrzM@GWuX z|ELM=jPIV}1hmn#-G3=NW!BO#1IadI4}BH09R`T*Axgqvx!5Kf6w<^NX8>|7F8 zZBPct@K#LHcF)iAGj24e#tZpHc%f6gV@NxEl^E;J7vxY}j#9hb^yIhkYsgR$&2V*4 z&p+&=QIg7Whz6C6hwYV2PR*1Em*CSkkpaKQ_tLXi0srJR$i*Uhkg#AX1}~MYFk_ z(w>t=HKE}Io>kut&N*FY>7d2Z0ZzrLugbpKUQh33=5qVAFOI^+a8h;8At7a-qX*)) z5D248bXJ9G+-J4L&(3{kl;3$fRLkFstvr^t^yHL#fu2K*rlx73-9rGc|EM%)X2rhL zLcn!9i{`j9$wgWX?RyZaT~$|nmr!d(?5JUQ`l_Ze9QL}jCJ!AUMW!`ct#)#|Xt!Pk z3jzz1eZP{V7~A8Fp?TGc9((hr8>5U4jTNnaEqq8HO>1$Gu{^@)f zSah%S9lXC*`v99(qw%O}DS#gF+R8aQE#nD+)_Oc=LV5JRj2xa?`SPJ`Gl;m_ze#9- z{T|L-*_wo)h=8ds_niD<<+9_V*=Wl5M|<2p2l_V}htF{k~mI8kRSJ3(HsLFjj7NnZ235xA-JRwG4H%6y=okqA&iyU^9Z2 zDrA^q-4ozh74l7^ACP0zrZM`5TO2Ljvj1B$`}e#dV2jC>d}WZFek7Dkgvg5M{_EEr$8)_4!^)Q)VA zjDf@PCzQEaoY@R@PPU9M%mrr&&<3L3&u3p!*+Z&4SS0kxa_vky-uyIl_7OY!#FAxy zJV#k%Qm%?dspS0)qZ5t`23;Kz<%R`P5zGQjf+cgfA6CRof3^;*h17V7Si*<1ts0r_ z-aH?ER@jm)FYqebc&Ay6lryh7V*fRF&Z31$uV$(ihW`_I6T{_K^==orvu69ROpjxP!b`UJ$kP(^<-$pG!$ z?~iBAk!x|1=Y7BGPNDSByNif%6dB!&1KbwiOy91-1SO>YQ>4j@?`Ve;`0M5`q&62f zr>4FrpSsDp@j%XabwhTF&uv|HoKNn|t6UoD{yX>pQNDEDlYFsW-r%%*qC~kr_Q<-= z86y>S)y9zH0wZBYjU~a7n{g5WU_f*@-DrJF&o?4M0xshcpbk$@>XgLo2k~0t;|Cj6zdxjnm8ro6tc;b@{S(W<^ZN`Kn}$Q3yA0b0HksMaXX*X3Q%5 zdsv1JwV8(Z=zVULp#CebRBAdWkcD0{oio3xtCSIL&MwdXAu|Q=Ea}cwuUNoqcvK|b z2l6-vdi!EMHI_I`jE#YC4np4d)KeJPJE1l4V_9ot?~&Po)S9;lS`9bcCUhbBGQKgJsOVYU!h>)eXGC+*Cg z^+O$B5XUk%4T+|;MG^o(B`Ikrf}RhPcMORUa&d}NZ^I|Fx{WZ$cxglsOnQYU#@ zGKk3YaOTiI=jKiSA5ujH&e644O}=D7g5cI6uZ|~*p~toN`-O4aMmv4mZ1c=PLtdXu z^P>fyo(dh%zGmb}j83*LjL_c($ZeLUM{FXZq8t*%rfH1N4tq8cI{ZNX+rbl&iUN8A zi?d>`E^rUqcsoQhn4h0aqQpC-CGa!nDMoFV7d3?=xDsH3d*SI}!gh3dwfE6+Fzaea zG>O%5J)a+*g^SsO*(t&4!cjjiSwJz!$&|>AW$=c_46Z8d%klP(5_0o}*l8Kq*jObX z4A?~+nWt2*FgzE|TQ~49N1TXXb?m3EZX~f_ff4O#y^oL$PZ+g8< z?tN5M23_>R7J<|2hiToXO$WoL_>)Z%V!>I+bG@@!LP~^u(tDmU@uFG8>mj94vaw@( zvZG1qL@7hHU}k#r$1_*)Y5U~d3pMDx93z^0N#f3IR9x1oV3NOW_S_oZ`QORJ+nHY^ zYXztOjr1h>DwJC}88tvj9xw9J>Q=RtokF1`)D0CGPyA}Oh4xealD61LruX*P-0BOk<_O_ z+K`l#0APkb?lS6{<7YgzAB!B~Ezc4==NGOlA1STsHx>}gkz6hIAdw4651XgdWMZ!&pf0&#eD6H0aB8&Xf#SPk=5Sqx{&DNDVG(F1Y;f$wh}|Uf}CV z*6Y1y#0+Ysd7QRVNBh>=2{y8^;6J}Q;@3oW9j*04E9kP<@BH$hLCnQ&dxx#RS!;+h zr?y(>%06tPh|^@4#JU!&p0X;HkNYyt4YZWE>TYFL;va6Vdr8dd;g`*Y%8Pi8y*x$X zp#-Sjg;Fm5L`%55$(uO%bM;>WaI~`1hN`wk!oOur=1hRJwrrjp%}v&%q|`n;@bvk0 z*-e>8-(rPxCjN>(PcxRYyaDoQe;;jwfFZ3#C5}PJW5Z{DzCGnP8~IgPsV#c)sKoT=5G+4Z@5Vi$5NpIrwLt_tBfI zH6S>fExM$n8`hbD>ifVpT@`>;afQBiSv?>=ju%&<>1@`6Bdj(L*u#aVnbM-^4&OL9 zh)vo4*lo_%3Gh0nvyc^TD()|%g0KCG;;X*?Z@ld8w#+fM*liKaUi9BOt=4vM!Jne#EG4G~|G0t-M{J zjwk0MZg>0A$~+JDizVoL_yXHFyEI)ODsN#K${xe+ZA0wruA1W?RXEsn+_*Rr1?6QW`o2p(eja6V`d19E09&dj@utOFC-yIgL6Xl%l?(Hq+lOI$LL%<;NQ296iN1@2G3sO;l>%?8${xCC!Z})X?WS5hjn{ z&!t;)?2D`-F=@XqK*uM)xoLearJ#nxB%9xltvmHq5X#nNWghzu0St5QP>m0>E?bW9(4cKc z+hrUHwPGO~OYMl{?FSqtJ`!F8)VS%dYh`*stJb>y;v#K!1*Ilp~ zxdf29?2(Jp7W)$$dbj3Lb$Uj>PFgMO5&_dvIi9&C*W0lZ&E$Sj{X+v$&V^bKygW83 z-jY=+w6M1{pNJq{Ia425#Q@*NWToFvx)wSIX44w+BUdQUos@YnBn{ zJY5iF`RrWObpcdt#d0`bU>gtF;Hqze>T^?+(WwO2q40tWk0OD!8_-Adjh3YD7e)v+x_JWM&dqm&elg5HK#D0Yu z!AR*_gy@T`PZ3(@8eOOYGp`a@95WXPqyw2cv({Mn0sJ@;8WkDyjMNNXL! z^$m+M!*c$hGeRsX58=qAU#mA`ckP{@N@j=Jw!Y-r7&9qija&s%>tCq3o;Zd1siAD? zAL5Bp=u>fOOoY5uRUhlRKi>(aGgRb}3t+*(y%4#f85?Wy3Z&B|EHVR@d@6qy4DxHD~!1%T|yJn>vVTj;- z#Rq>lYk-2xYVAeL zL>QZA+_CP(~-e#uHNS5b-7Dw8DgJ%vKZ z(=o|&e%8l68cFm{KTjhh#AFTIUmn#+oG-IZ0mmx>XWB8+)b&HdNl81+0#W%%o?x;f znC;WzPBvT3S|@WUmTA;44#OR#_8&GaKSYS@&+u$gnDp@r$5&|*w8iIz)k+JlPJ8FpUJGB%-r?=` zOX;eKV@VoT-@Inh2UJCHc|ySm-)y*2B^k{_8PHHS(Sd?e)xM>4!GtIIJd^>%hOV|7 z(;su`Z%feHp$W_K>nTCPX=N>CwkB&H$iVED8We45lEruGG3Vd+lb=EGFA#nDE|s)?qA;W%If!DwimlY|AKv+f#{hlf%g?^xg{>W9a|UP-EJR~?)wbEj`9?62J#|=Xfn1nKg;Y`S<+oE&IWcTE&$wR z0rRxn)5tb^0R?KjnAdz5-4 zY&Oc9z?uS$O#k27rB?K!<>TOjGrGlFZd<5wTT-Ob?O=HXnuvYTV5 zOux{37Fh8GgMK%A|IV3n(|jIm3m}lZEqb9y$D^K5kwxtF#U%Nhr=$_cPp-?3 z$hoJGgT2(#PC*FhZ75x>GxBGqX>XrsYqU*Ze2Un{pykG-lsx$IzXKp2YSUQBuxZ)% z0tcPuWBPi&gq29861gK6d;WfQc zY*R|(O}F!=eML;Ab9-7kD7r^*Zss`~Bm-`0`t zC=P>o1@kzTfx>5k0n))l50JMH;t3n!@4O8@fm%a?rkb#>wG8MRbNwxLG;`VAGy zyda2lb7G0-%HD|o(ufNid#m;|SB*{DebztU{Cu0S5l(P~1E=kc_Ltxj`zUNDBChcc z#A>ChKiC|iMYM(M1f`%V=(vfeAcog97|U^P9kd-PTK_kHYOioA>yDQI3w7o9$4{~0 zx#zQOrZ1cb7Itlb@f8hnlKB`TaslioJ{?BBQe@aR{X~OOcIoz&#h|f_9hC6)MvvGv zN#oG$-{qMd>i_4sb!A+% z$tOQ6sQyhF*gDpO$|~<`3KLArk1@q_IZltvFkE&K9U4J@3Z?pE8@0|Lr!E>83d=e)VUJ zH1;wrIB7|+0x{UjaXyzhw<9x26u)GEAB)TMPg2xr=;+n12VmyGD!LsF;oK(8-2gA< z(n5zfK{?<@RdhUJD!kS^KZ7(!7HW}SI4gyCUT6cyOnN>-RT4I;nn3_dyTwSK-TB2} zPVZp89X{(_fe|o5svxRv=wfg&^*XnkXbcTldh%zIq-ZM_fQix7RdeR}ZviDSl z!MC;a<_^Y-G;^qN4$4da0VyWWg|{;DSWxb!zddhDViwZe;&=>3$6kCtuGod)3r~G+ zM1=I$UH~v~I{nLz)KJ4xc3O%$fd98T6Mcx0(_pi~wthi}?jBkhVcQLc;AuXnhl!dB>Gy<`_`qxCU?C(TmW%G3FCZ%->kWMHNcG0v8&=2;uKx@&f*AcYGAtm~HHZ`57~IHb8aZKS(&{k!qg3Pj2iV8h8!0T&_~rxA z$BYs(m^rf}VXF}xuai@pJHNf#;jR%lif9>o0ZD2O^Mpy)@4BRD7c~*yTIKJwF4(OT?7E z!P+Yz94cD8kd$rp%zc9qy!u6<8J(cD8t%y(x3Q7e$-~X^^dze2Z(zrCx~*<>z)n4Z zwNwep#-S2L$?z?qW4>eOdV9;Os`@6v9BGRZ@ba&$EdEy+>GLTfqDR@~8@CHZ_jEh@TQUCDrk)sA5AhMCMiK#2aJY6K$UDh_qo+HuB z($31dQ*9hD0f;=i4s;(7o+Oy^CKX>6*&gEu#7#y4>exL)A78&SRLWIA$KeA+>Ye1c>6T+j zR~BC?0?uT@5=HlgHUNAh1i|gRtVsfINbUebBvAj`-I?OAQ-PCr$BRFG2pqjTU$XL> zMAeZX9;4jByj8;s!x?L-1bPkE_w^M-)! zqr0Ab-0{)i`Oz5b$Z+kDQL44Cm$u#LNo&hvIvbykd;>kX@!z9C{n2!b0mD{-l0`Hg zyz5kKCKCKb;2|BILxZk598bwHs!vAHAI;S2|ExU(PDvltvc6}1H%EO%Vw@XwrKt_h ztFQzI;KU3NcnnGxBidmYH-O}3MXOoFs+R7c6 zJPOf>1mO7FiRgnU4D7Hkj5xFe0&q&%YA4E{mwKU}CPBxrk|N;f1Do>d>IyRF2mtJ= z8f}^9M$}LuSR7-WB1m7_Urz&JE9lQOTCu9Z(}~qq<}u8B+`k-H8% z_|p-{-=2|ih`M8;;M@qvYNUeQ)qPZb$sDjOgbv=Y%=EF_+6dU@Y< z8KXidW$eMPtXIGBqECjA8DWURuup99f(fUN2O zIqV4vy_3buDR6Kc>nBV~*Y?6I0V_D619ng4wx4?;0gen{Q5pd+iqQ+4I!h|Errtl>$ ztN|C0(FU4B#3f-O_9#+FQUjf8IcdC&IFcWcmOl+0`jxljpm+efP2*RMmW8>g&1W2W zn3SlN=yq_Tq}f<@xmZ3GwffdlgFAdx@028jKf-ZH=75l38s};_0w*GLU&8hhZ1ax5 zOUZJlEBwk+BpyvpR3GFSq^MBn1A_#9wh&;eT386Lt7OYZAPYg_?!wnNML2TjVf+Oa zi98sL2yIej0Uk>8GH#R6y|GY{utz&6t@xjybjuan$MDk-pLs1P!fyoOU6d5`2;5S$ zvJ!k=f&^_{=XbD!oaxt~!x|#aa z@XKNaCT@#Dd5+!zu+xdE1d$n@&>zBTtvpV0%aU3Jcb92NB+1S#@dJ)0U|v!nL6u{R zhwI0m))iyz1kH7*2KMMXw~)5zq;`$daN^1C?M1|lyW9oXWQ9D^ph*$DlD2sn=B&i~ zuPb{5cDEH36f`XORSI?!fkAi!&ar~H5$C%b^L%Q`ISXxDLu^nU1=qC7=z_Nql<1TC^>F zHyla#LRTsL#1t|q1+kegYAXU{Ew*T06Sm1nZ9a@^4XJNBd>&&3v0a7%WYpF+6xVw8 zZ-@#@zFoFSAjc8k3q6rF!N9d7^17rSww$Xv0%l;k!*S$3l(`Ts5E>=+b@CH!lw*MB zhpv6l@8ztF8dk|%5v5TC&@$>98lu%cSq}&P+zcP>R^*fgh)E}rN}oF5GEC_R`q*LU zaXp#!UKAdX?L+#ZiC9P}rqBqR)p1tApd|yN{9kF`6@Y{ll(Pd%;F`sV!UE_nC|2SO z$?t)3ur5eyFBHE;`F^z3$uV9&FNxupG=XXTjV%pT{s1R_o!lFnx%Sai`s&U5j$q!NkUV$@<0g9l+H zW)==pk~+zzPlkQfu%)s{@M&)O7zyXKpJvXr6bOHY#(11WmE)8Ieco+oV2iukdgENU zxgL&I`rB#!^R~D38XB@iJ=ltaDyrOS3D3z0>WHYx2OYEgce#1H9XtqAr|59V|4wPU zcUSE(Ql0T%Z+XFZ4_3P(xo*Nqv+_ISy6ggQuXmswfNz{)M}Rb+s`~b&*IXVgXTFpb zzVL2j{#llF06SeLryigntOvEU&JhCH*FXcFY*uwpava`J@D+~JA^pT-8(<@>A0tP0 z*7Lq^2enotfU8tAn(FVD-{yNdPeE)p(gQrN9;x?wob2&oYmtu$m3$ul}4J_&TTiyq_B8Iq2!G~=`kl`PN2WWs<)h#{kpeGaqYw&8qrxNvM%C|7KyMj5G_D4!gd?*=@|TFs*p<*T(~+CXKJZ zV~L<-%R9N034T>uHPsv9p@>Q-MaH}vZ?Ch z7ZtjT>7i!TO=a#{U{fkTpi3dDYC)R%1m05dBl~cnZIdT-b$Pb|u8MqF_UqT?$hV;c zM4CZ;Cx=4#awDN#1!5!#FVHo3-R0vW0Gq!GA8uw(?`W=6qlPmLKcQ=1p|S;Ljga>o zTG8A9MgmvM|I1C;&E4gdpbX}G#=;_0(u1>w`qv>y$&F|;oVXFx+LQs!2R4M{Kf^g` zA`c8-44W}o&~;(Kee6qpdrlqgrK3|*>oE_wLA>OsK}kiu6Rn{D6(PyqkOMJsXJiLx zNF9P+9Yz9m>v?A4_OlptWpTUu$DgqZ3@8w3*3G(oLX)M)rY|viD#T?I)m5%0f=j=r_E-A6W#`~wh1dorYAPOWqnl2wu_=l;67ioN^w4tz za>89-+FpQ-IMyV+Z`LLoBn!UUgptQ>tjP7F9)+)+(^PH1^mZjBfmu3Sxu|%N^Kel@ zL_n^!5lZW~!K_oSFLv1!k@@h}T|xN4Iaf`MTS`1LBTyvO5?d~qVv1E!hUSY{Y58|Wq&Z)Wr1*_fGNhJ2s20LzUN*pQbO%QF6H2}4JbTmF7t z%#H9-wsAX&FXW+dkxOyZD^0NcZ6ON-`;HR?e?;{svUqi7cR!;PnU-_nzqkj1 zcU4U27Y>s2|J8gr_b=RSoU;-;D_lPpFJ-82uJ>A!0h~WIav0D$}AA9*Yu_JeExnyfZLGbAP!^UEau20 zo4+kg{hMAOS9y%^pVs53gd@$P$VVu_3)JHisEtNs_Q!k{$r`vAl2EHbk3RqsejV&IKeb+B))#Tq;QQ!Xk}m7}TS#gTU1wF@tdVl`$oJUqt?dYXb1yo$?)xD6 z*^Z*BBE_3?XtA|t^z^qyQ|(<|>9}D(i@HdY*_o#hy5;428{xZ|F9YHvI_;-wxfDU` zE*g(!Cf=Y_8D~GfsgEew(Jjc({k7fg=7P8@(F4}JNgc3l4I=kA1P7mz3v5Fki*!JV z*<$N=HtG*-ba=D4iQ7zj4UiZe)|$spt1;fl#BI0k*lPrArC~AbwSZU3s#}DkfUfdg zufMt~SF}+1_?KV8kA(c=A@GZjBLiAVnUb$rF+zY7S&)_pXhah=GLxYc3`a3m;fb#* z=yS|D8HjmvJk92^i@a~_ZW`d18W|nSwLWF*9Q)eJCyneEo#FyUrlxZ|67VT48Aiz| z{G=30y5?jC0Ic{&M&Wl(q?}3z-0Gwy6LgZpv<`2j0whBt*nLCj*t!=bNixGR!H*DP z3ANBOZ$6nGuuL+8R+^d|F+QpfG@iNPTHbVH$}tQG0fK)5M}XFyARrJpklY*_5Yj}h zi__!)htvVE#XA`AO!)-315F1N8F_%KQ*hAe{bwzSfJ4To7+^orW*kTxJ(=2+PzyC}v!sB;Kv`Tofw+6Y7cWM8J1sdnqUgrJSJ@5)!<0 zBe^Pt)_PE)qzQP9GZ2)V1DYXEw-Jhk+bDN_biIHbiM53#9^HP)brpy> zS_Pk+yF5U+pqsIiYXXTd=Y|7dicsPSo6_A|xj`rc0Bd&kAQhhLkggBn;^Pm=)(`uE^5U;@#Xc)O z4#bEBZMyHdnI;Uhmb?_)pK}5+pZv9cj!Nw7**!Ma6*weERMRtf$g)Y|X*gz&ugt^Z z=a;6}8q|tgK0-G@eu)>q9x~iKEwpO&@f74vh|or&#Kx_)|3PrG9Rj_#q|CX$y7ko2 zSYXUI78nT*3tkmVEO~-t@seG(>ICd}$-qz4By0=1GeB7gD=C-H9!b_O->-+rmVRkqGv=IRLG{Kbb1bW0#lKLa!UD`$o)M7V-ws$Qp#%k%7YM6PYZYwxa} z*-PTl)Q>1X+-*%pacggs6Rnl0k&JpAD5FdCXJbw|5lmJK3cNIiPc>LP-i`C0v$(&j zD~pw*i1>RMi-dL;_Sau*d!;`zuc04c0=qgahpen^GxuFw?%Z}EE>ZoA&$7K)u8G}d z^0V|^EbRkraQ@#)+g>iR8tk(CMgC)XZ|bP8cr%GFTNI^mdtWOi&S}~)LYf0IkhRG2 z&f13$2$@UBRzh8ye)cD*INOfHxFTQM$UuqH2TrI8B;F%kZl+PNaNpFqOepM7Xw|0bSO8xgb`ia9*snRs zI|^L_XqyDh6%x)V+XtCT?_Z&AM2)S`MK(v{kaX0hwHBK$tUiZP-+x4UUi0yes3;T= zZxgru7SIe9Y9XIZuMs#*Kjd+~M7&S4Ge7^^;g04Ui45!y2VqYHRgCw1<9cmo7Jq0u zBjkn8_|Ui0lP2!FYNQQxC%S?+>?VSdp{aiE4~?$#NZn_nCgRn*YmE#LO82HgB<6=Q z=Co~*(B;kFH9kV-W4=N{?3p)^5pLVzymldfD5z1x#JL%Vc=<5&444ORn!6^OSldl` zr8UfF=55`)obhU&x8-OPd2X$?<8++l9>@1VvIm!E!Ef_3yEn$p(dBOCE4BPC6{{E& zaZM@C7eGIyy*+C_`2hk%Y!Oj<6B&ZBq7|SZs}N3*>G}BL5f~y2$=_r^QS-p}{9V`p z2teS$xA3ZQ9W4`h1f^DXFA`a>Uf#h`M4C1K2M}>M$bnQRjrVPA8F^gKCQ5-J3Sm~T z^_vM6O+~o`vfGp21lXKALjpGE$q*AkJq_8E^66pNoeig%(*hA!O#%+~IZk!$>>Bbv zam~+3z+<>Mv)sAePWg-I{XJX(xGQtKPtpT^g;F4V9o5?5J0o*o_tL8B&&q-x3&ftE zYecnQjw_<$^XZTOPK&mpBK!?qRAPullE}gQA9;;$pJ#2Wh_>QlYS@AA4Md8bKfT1F z^#;Nac|d?hE@5ok}^7zYkgfv>;%W>i=F{^KRkO za(~-<@=RTMtz$g-@T;IeD$b+2<+1c{gns34S&PEF`J>-SfEUkW_h-dCYj^SKMMQJ& zfu5J|<*j`DdLhzJeI>ta{`PcXyvn<_zLgsPLln$ z$9p(7`V-#D4qmTmJE@%^RE;?b>I?q;`b^y;1v-vk(2(l-I5!LE^QR^{0k}gCz3z_F zOv2qhGe4!fmWvTbUzP&AG7lWZQa^!D8xf?lyS z$HOS|1p>xJgbwV+k?-$9;TO`rE3D>`_MWgUfwNvH#%`sTj(4CP3jZ4xe4AlFgjCHA zii?yb9)voD_>;=bNNnb?UcJhkcjO#wt>uNQNjm7rs&}<8J!{sQ88BMWcb#n$H7YMT z$M|Fg6yBR)I1lC~%(jHNt2aaJb*FJ#BI;1%b<%`)kiN0P1pFs3PgYjfvo`qh-^39E z)w_DxMtQ37*3*2aI{Tkn5H=a&_8W1V*BSv|YLAsz(HONKv@DPXf-#e!o%Ch)=Yado z;GoU3^@2Wuy1Rwv;hNU0=ny-!be^$8L1#whL^%`5NC=CnApSFei$vV+=;G!gQ@g$D z!p?56{PGu|<{9IJY1-hS!PAL;%i<@dO?f@)~AnvD10t4&%xH+KQBtBI9douAABj*Su>g(I?1zv_oIp zwhlsa=W4b6ONFo`nS&S6AYR;FuH6MtgW7oxY%@*Uuz;0Jz4R?~YX{9mDDAZ2!I~9S zVZ5zwPYYp{&5Tn2XP)Bt8H~|ouEi>t$R3B(!UwP~#EbWf{22c&l)h9-yRFIB10T9t>9#Jad@Oh zR7FN*2K2G>o*aub=h738$w>mm%zxCEkR=^v7XF1Gw$m1((q?VQ#6kp#vB}5C@x&BC z95FqGk`^7CB9umqao+dscyeS4EG3!vGcEQQk`tRL7rPXbPSkIf!3Z0TYoDFOl2e*B zj>|8_rX5h>$44f|*i8TiizZ~vP{JoQl1syZwsicCfwQN>714A~Ecs+~f;&DtnVfj) z3U~q#czPanrX;00JEWYlB08lcCB`Ik_K@vTllBl_%Q+wR^wFO&G*gjJqNI+;#^rp! zu9e@Cbv%la+DtyBApn9r{gPsnwrn*LDH!)<&w?fwv8M;%4(Wf3o&p9*#MJ!JjP|s9 zkzb^}sYFB13(fDSKQ4@fMZS$`j=7c22Jfc}(Z^0EJF_Us3L(Fs44|hfMb7jXN92@b zO_Fm<@^QzN=;-)nK=l|$Dv}YMX-AEU#5UKaO$r;BjEeZle6@N%fg=0p8y}11e00oy znLtcV(UXhYV5k5NR}WkBA|#Xb`{Z z*w?ZW6)TdEB8*HEmOn0{oxBAI`5ix<7IaVqsO!WQ#$g+y89F+Df_q1O(+kxTuGj?2 zc8-q&{?`ezhuPgR9(1kTkTB|4gudR+ZX`AGcw%IfiGf;;A3r`N!_!gka~x_kZ$eL~ zD8`H(O?j)b#*zrZlH&1^dq7S@YUXC7M5pRF$2BP4B|zfoI#FMLUl=(vk?NHOZ1wB; z*Q7pRi8U8x48p!>krT$#Un<4KO1ajD6FV`&DdZmt;oX7;KT6*9(vx9x9;yEYorzI- zK`M=&TvK+7#V; z{Yhr3RpRm37)?6=h)q($j)}Rov3b%e+H}A)r6}=!`oVpPX{tSwh9sw$9gB|dluvmTnG~BLk(re4MmGt%P|6^<#x{_7OWqVR ziv-hKX*%*p_hzh3CKzwlOthM*WXPN%FH$hTMfBj0PTKGj{gEW2x0j91-AXNsKPOjn zwa5A@Ex@lgO2q6#w2X(@Tx`rmLrQW?!N98=~~FKwNmZBlTeKT z=tI^gDF<(ek3Q5XENM&3oskI)yHDv!i!FB2cKa`cbFN}>~9S6GV~aT@dUz3Omt0J;+%1Ro1-F*f7xGVQg0=_WszE&a4aE!#mSIM z#Ma8Z%$`ztDhjx<$DJxnJ?TdxlD$5Lwyxd1F`1tJkro-F+@-gtp(r{k=}MydljJjr zD4p1q@=c$Vn&V*Hap);AUH?L>3o}))n8q6-VM#cDlFwuI96B>#(g`ZN-}7@spo%8 zqvDCLUHb9U-T&q(i~{4{8Nks4gSvJa#E%&F^NG1p#49RLa!OhevqINOWBI`0sf@$XQjE*@W4HKoatQxOyNG>GQ zu+^O~k>B8WQc{54h0u?KD-muZaYrCe@@X)Q&VsNuNcD+Bb<#Rf7+_!1=T`9o<27h| zZv49`+xIGIdpUGA+S#0#H=CdPAWNb*e@l`=STIsmB^l;1PgbZR*3QTZAoLKltZnS@YYzH$(Ioe1%j|!v} z304I*Mrq!S4$-2nJG5K#f=0*l!q&7(4o@JuuqzPekZtliruNvUiCAagazyDF2re4f z$lrqJ!1RYWx0Z~Z)KEGPL9Pf;e4pPeXk6jHY7yk;tUxFsrGNT54qNTZE*&h}>;T;a z<;?t7M2G$PrNgx4uCgNSo%s!ALRn=K%2&VesI^loT2=gmH@%4TM?kN1CP2@!tWtQA z{>CH3Ee0NtgH$YB6NgpIUz3DYEL|l$@e?VK>yuGjWk?z@>vZ?D5Dq`VOTTFytvI@<1PYIZtg>J@TR``G5jQP~`Cp zRuy?}K4&TvW&$?kG28Ws#WgeK_hw!`_BbDJrhUH$D|Y-Ce>*H8wFCuu6B+1@pb{Q;3cdRos+v&PZ1v#!%@j9qqnl_N`tT7 znH-^qHzBE`f)&S2-26B|n`>MucQ2$K()uo~3sKmhfxpFEp)}uW2c*kU7jC;d*vtS_OTS!M)YwW+ClCD$ z3m19c_*INPxHv7)Ink~EYlCkMPwRE0So@aB`&>G#cqbtFoQb_EYc@oj&e>1jU@&d$ z(Jw(WHauc(bvn;2(COS*#(<8K?@U>@9qw5Lm!84R$JKHXJyj8q0mKHnrR5k zBPrB;^lWKPTmJO5dkiK>fMvO?Hl*V~(!^2j1Q0RVXCXh@Ko@oI!!Zl{pV$ytf5$qs zy&3lQ=&D3H9z6%@s8@W4cLCFkfO>w;uamyMrTIpL_E9AG?Xno|P2HZmiSo49Z}w~U zy127}RK(R4Q=h=nZu&6&jw$xT_MYdsDFe3J8}m3T;T8Csh1ON+l(Xi&&PyON7x)TK zeGvY4Zx>BEi@Vvm>k_mY6#mtRHj4ku=msOh5|Xm{)q+d=-J4W)%cn|Qkq>gGun%Aq z9i1B?3a-MzBVDv!dFO8-u2UiMFY_(T04nX*oZ0Y4dkz*+>PV!-b)0{Gg#k z$bCDwL12C+BvRVa#9mkl5Ax(|+hp{r)nSc@5_9-_ImA6j7VU6lu^GYE%y+eU3tv1{ zyjeOUxN7f5*uJ)ZprH;3Y z0?iJgfO=oPJnTaBLE#6z|3qiXc9Q1!v>xeaW;y;=B*j7D`XWv6!#PEVWFwlC42Gfs z%{6a00CBf-Ry5?Nt0--}QP9j#MHZ?C!{4CNbQ8Q4T4b?E+;JQ8oc^v<6jNS}9krtO zYO5+vVjTT?1{={*^%x5mXRqu%edU@9Yq0tIlw0ns=-v_Gti6IMS$mg0kP4@?! zV*|99-zW?;Qr1ySn<`o;sqHGYL37YJzmBR}C77pNToo}uXUPjwtJY9ia_bUL?g{_# z-MG-(XM~kmv~WF`Nqd_=JmX#K5pj3$I5_wtkK0-Qra&V( zR2in@+CkEIXXU8$DAbYr#^%-)F?$!rHA$Lu{aAO_mXPM`Ayb2C;XW!^2qRp-{f{yH z;O)W>DMSGolK|bJw2Eo%vOmp2KB?mq%8i5Li+0#E<_5_!2?nP8GibT@Qb}K}K%Xn? zigyeTb<@p-DMzKH@=FWPKC~bnqDS^)-Ii*KA&01km1&xPor27)>3hD1P16T6uw8>! z>vCjH=p2goCC+$l>ZV(MJx+xbMsNC5?-fcv!A}Y|g|JQOM;o-*;qwkrr0NBHT2EDx z4aQWGhjrk^)L9>;2m04d3m46Tv+m;q5;Ysjn&n})o)2_lB#l4N3*X->Pe=gC2$HQT-?7IE9BT{%19R_9*!?dCrK={M!DiQiq2r3sX{T69?BafnG=KJTG+i`by&;-@HlI1?19L;Wh)q!4-nUEJ2 z^7YYwJ7@OfaV7R!fyHh0(A(24NLuF>W>MtLjMO;VTsL%*eSSAg8!5<-M+Ur>hCBj{ zTxFEd_E6uyr)h65s=Qq4RycP)H|q1ON*8Z{TFa=Q_^^?nC=+D0}0mB9+ zgGKZ&bzah*&i=$dI;BkMsX_kx+mvQ%sDF@i$b-?4_QiK^YIi?}XlNFe`f?Uu;WmW& z)s~&VF@N4V`>)H1TNhobq`zOZY4TCfbDVw4BP_ zH0tXT6}gW{hjf7frL=2Jq_vCNkPEZ)q_1AQF8Pd;<;)L8-zp9t_jSVtt#znMKJXsd zLn|NLa5q?6rZBC8SQN(Gyr>B?@6hn(5xu{6`CNkI{>6)$xv|~I?cJp%Ay*_*>&Td- zk*DOi>POC}?y=a_$rN#=2e(CPuiU$N+N}2Xz$Q zSePQeMYVM{0Cm3zhjfmxgI9DfoFB!D{Zq%pKQ^k=k(_xjBC{!vrdPhP__=bnYr4ql zXSiaJbOqtuW{^BI=?+Eer=+TLa%8!5!R<;(42-SoHc>ngw`^K!QyuwH_Bgb?h;Q-~ z6wMsr107LjHtnWAG0!h)c7Hi!WS{#UINrxIxBXepykxBR3(n?)3EC^^JZcQk;yw%$ zzp%~l0oH#bvVOmb_MV;{o`#-a<=q(mXvps%fhbDk^-CF{)YKo=?o9#(ZFjRFBVzME z-khvQr|t?*Vkm-@ql>IXy^gGUi(wGf!`1d$aD>E)8YvxJld=P z#IB&4BaWfJTkm&xxhFNG_`sTSDy{TG7lIK&TMezdwP(31MMnG^H*hBlrUZ)h^;#Sm zNQ}{x8P(I2IVdA$;RQ{rkl!s!Q^(MyiyF{ffhe}QSDa*jNjy*2d+eACi3A_3$WmP~6(%Yd@r9R;85P6RFiNh_zW6Q=9CbfUi!QG%Ot=hN=9kSD-z!?DW?&RWBF z?M>sKTD(LBXkWGW(;!x84k`6Q;+<3T%k7eIzwq<9PoF$it}GajA$=D+xy&Ius1$t< zcS889RC~rR$>ZGlgu?zam`*%jN4RfewKROqPLcmhJ7`zeKDn#3>9rXSI~h*4sTZt* zAIb6cFMKe_f7c_yMh^^F%o1ck4fxZ=)4poSKB68)ZI&o$U$lDV0zU5T@J~;H+Yn_P zyth;(I4l44pH^A(yfB>ogejjiv7cv~sm+y&;2+R-T^+Pf4G%WPS?Oc0dF{)D^op?q_?56Pl1~CE zi{8vQF)ju0FNy6N+06TqacPsbp~2kNcx;GOu-SjhYm>0_t!CO?u?+Bg;j>Yo)~%5y zHh*A*W48)AWWG1S!Q?W14EwQ1#1MJ6b_M3G_f2std;a&`YB|is5Kkd&WW|9uPIT&; zwU2C2dE%#yTLm>k`cflyJTFH-wqFol)oT93|EU6lON2gvj@F=b;mLk_xgAdP@O;KVz&ksEnYPd*OiQRuCCGFSx4V0H zJLqjto#k#ZJ9q0sy8l=Bxpe#Rv*~uhc>xUgy(gnq1^@CaJI<%U8$*SR9U)Q5UEE5@ z7RdF1a$iGZ3;90)sso9CJ>3nydO6){^%B`a@DIhT!``U0ec%wse$RP0Adly^d_%l| zGpJS7=`Aksn`x8gRQKCvm#kPfQHKy5ZWi>O{zrts{Z5(WrM3w#<1pPE^4OkrB36QzZ|koN zpyfygk}@Z-?4(Xtp%upi)7kS{soRd%e9@MriVv%Xloi1Ut>XKy@N(kiF0NLc%F-dV z+T8)?>ou&IL+;||?Yw`hNr`fC zN(2AgH6Y2xtXc|T@NgkVQWRg9%h^(rkp7>^0o8k$7m=$GQ=+C$yE=gB)nPy!F2ZTr zSxbvI_C>9f&;JRSr%m~(p4~6IP|6Np(=b|C2ItE~qAf~h5vAk8gZ4!1S@Y(#T!+5Q zHtSHK?`l(x8vZ9pAs06GzCot_>LLBIKU)dd`S4(pr2jhl?H?S?V^{4E6Xbkve+#eQ zoMX$$yKh;MHlv#}1v8n3{ldyJoCgk`z@yC34+|2j_6G>M!0njWC`$D)rO%|Z{)2%A zpiiVbhrCOSicE-1jV<}KjejX`?&ubq>{`=G+*p~R3Du^HQ+oQ!)lC|t&S$$RmJ&1`I;P&hOdO-?F8&4%K)VBSJB%3xKS_HIiUJyU9WjCKTDf*&3yy4%bjRFKEQ1SVFG@=g;6<70-2@D=Gb-ZfW z%ke|lHl(J<;rqn)YN|t;UiWy)(HU@sG&Q*A!Mw&5p+i^mpw2V?uTXRKXqvHJqA#VkPk(JULJlv4*olCfQN&Z>u>!~;m7g{Ck!Dq;-B-sX}~s_ zNj`+KsrSBBQ1!GELa)eguu|;UO+?wxBC&y7k%rsdv?bpSU9h?UGPt;uH!yDmDd3>+ z5Ma-CKFjWIzJPMDA(YDEOt~?)T!9>J_#Z#+C(sWy*M`}HsxDCJ-1tsWnFY4q_1fb(w;lsQVTA2 zjHPoTG|kKV<#|4CZ* zEi2crA@pS)DjjyY0`D``n<{EH{73(9cr$nFMb;s(Og}r z;vN^gk1je1y%cM_8@u} z4&)xgvzpg83;VlsoEhXTpz>x5f>5q^a+(fOH&wRx>>6;+n87Utpke-sfi-olE8BHB zb3J(tB6aS8`@i{w;d$_-&RA}rR=%IQlKfXwhclS3@L&+})yjOaiz5_?`*C_BaIw*l zY2;$y_+A17(VEV?9lzpYLEL|bJ+k5-MwLh|o=UO_CGUSw5{sq?t)NfN79(`(P#^?| zvc9glQCc$&?pu8A?DnAq?=cS%z72yY-TrB2lbntpvWbNHu7^_g#%C{H<9+~wr=|Ds zF@nW%8*)0vCM~%Br-;a6Zbmy6C8TODyy(5br2;mYpIcjIc!!+`Yi!@sCT$ORCP(zg zV|M2}BsppnhlT~HP`sYt3D*!5*ia`ahCaeuTung+3e7-q6(us_D3~=YSKOXqP7%kv zL~~IBRrHD%cYBv;&T50f_O+9JwX-=}JEiffFWCJsrM_J;lfs34uJ2S?Kg2Xus#{4( zVqc^zbi)gBG~4yFN4z$9`E?1NF=sE$=7`7LqPM9qD_;Bc60HQ<7K>Ww}rhBfKWxBJzP0$7FcMIA)W=A6g6*}YA9_%r z`?TjGHbmwD^x+K1yjpqte37PfwS9luYVqm!8<12Xi1D)fQ~b9(*wVzx>N5X%pcRW1 zvHhRQq_iJc(K2j}2z_k(;0rzIliLgirvDK2;gPiQg@WoJ8#+NNKuD~>&lxTGz&S^a zX2y}OC1i0)f_tWsk~!Y)M7;;&4KcMVcj7;zCE1ygX=pI`QEW3bPEX;UZF_`pmRGEN z1Rx}rL_z`{t&e^jAndsK`g;4mB@H@3nr2e`?&p^G#CdfooECYV925B{$;>QfPzHbX z5aTRxnCIu>4B&4Z^9Rz!04yX+4P$_R*CZvKHHK-cKg{&A2|MMN@+j`Y%YexpUl$iZ zuL5YY0xN}>7*kTuvXJdyOUz0ng^~En7L)Glo|F{!Gm@If%}hqg;^24)!A!;Cn$ei^ z{>J=0dR|Mhk!iaBCdWqV71)X{Yv)5Dx4OtDd+cIrXv`K3)t1$Xtrs%JG-acaH%FuKLilx}-QS(#912xg77HRWS zVyKV4u!1(V#*bZoz2=`6+oELenf5IHo;0L24@keoExu680wR$@zgHP2*;PkKkL1DO z8jP6q^qc>Vpee#t7OumzF~%D9`#R5CtcQk3f~GIlS{8)%*&}dbSkp-1;2M;s;$mfIXUL%tpT%=O zL!1_~%;_NX2a+~qt&KG4J7Vpi4g9$e;7GM@xCvp!Qzrwkig z7GNh;WoH|irV+1n#exh67wh|1q;GBo3b^FQs+rGeyttc+n>~wnx9cYj@^4~I_=kQv z)}U{FT=>?u+w|adqI1rIZms#C?w1={%|krLNsknd(mnE9bQ^jo^r}3~JVbo)1^aIG z(9L75M!haEF9`eYl>M0m`ymOuW479Hg9BcJB5&kRk*ZfDG%|!r!&lQTV@59oyf6JI z{+swXMEE)3V{c-K>A=xrWhcz4lE;1&Han_>*%4X~Q|rb!j0f<3Avrz=M)g}BZN(qF zGsP>w(Rflp%GRC(N1&Fy+D8uf{ixRBQ5bJe3p}7d*cV28Rd7)t{O&KDn?5!9wI)ud z>Esj@`r4v?i}s=6$rfSO{lGucn{$GrO)}>oU?h?a%j|QDG$e1U|BBbGl+a(JxVQJ(m z6Qpr@^56k`V$!8y#O0dV6rmzWObx*4gT4iDDeVp4yK4?5QRkX!`YLrNYGA0#-`}Gv zPD}Y$j6{UH`4})U;pxFtAHJR)-($I=;(z=%{x(jbj(UzUrk=4Ed1qAi`PoTkS6qxT+7QlSO#wehtC1lw~NJQx(U$pi5V(RRlIeradd@r zkQhlShAD$5Jw{$^4uI3%n?(MmlYm;<@Hd&kdeWo0PpYz`=($m~e?Hw>_>f6$kK9%9aeeax&K8W>waDBID)n@i`-(0xmx?|Eiivs>I zcm?bDefZCIBrOA9(nVv+l@O%Gp|9Vu-8OCEK!y?KBgTX_-BCg%4mvm)`lE#kCElFS zU^%UkHUd&4I;HyZE;CF1t%=&#F<4KD6y`79=rAmW6Cp%uholZX&3Vo@0}aXus!D1~ zIQ=Dvrbi{}BD!i8Ld5yB5?Z~9F1Ta(KsTpS0xOxTmmeb$0lz8Sw@Vcku@|}-ip>qh zUa$aw(lH@Ouqlyp9F(_(n?8(853)a0fslpzD;+W%qtHlUa(s+u*-v+(m# zEvuTE%6Jtwd+M^F|0htY%1Olia)nr zKIKK#fy-Zd?sshd5xCHhlHoUheR3(BcU>0`ESusllkgXQ)W5o`W`b&twER%9QABSw zcurhmN`?xta`9U;CVNbevx!d@0F#{8EqLD80l*RAkpivhX*l9A-zE-*?vnnCf3dV z@FWWGN&)Mz`0*5qldAE6nBo$!E`r(mKZ?%!uj%(~!|RQW*no|O(OpXCXhga@4Wxw) z_z3bIATdf5zhX;>5~3gtN~aj0bYpG|5D@_Z+2iy3{RdpH^FELBXxjpMIwT1H^4>w} z=eZ4<=-#nRo@g)vy6_HHkm1nr86Sq&&HXsO1`ZY1x_A!JnP{BL3E?y7R@@)fACKjT z6m0$4!twfhWScDSRPJ1G1Ne{v?KozUDgqgE&>2f_uVQ<}8CIjsTk*VQpkQdfD;1Pu zr=7EL#Hu6ydX`UNwNvtYm2Hg{uh5KA7ifrd#OXQ{)~`nj-PP3&jV)@LGG#4i2-z|% zXYJn`96)0|3q&iIBX?hcz(PMbHi<;TRTg(@GDJt4BKwn?3^P1k_4X&2DQrlpTNVVh z^s*DRc(Cx3Eig8Ns3U-aO#R9_#u&mYs2TL9lmpDstM;dsmQ%<_9FIwdKWSPS2x?^3 z1=d3DKv@ieD6^S^pxw@BQ`+w;K`Op4CjF{jO*gv8*2&*`1Swxe<#EO#7RxD!1f~C$ zlMp92+;(%@L@gBX{z9(cD{)ZnB^UmEqdJ6rTa+v#0@%1UbL^`4PQS?bO(g4K@>in3;K2oj@o&B@ ziz?u$6776&gyzTt=IicLkxe}F%q)N9pX5?zz3RhbWy(HeZ;7_mXBl{Vi=8%TnI3c0 zs@Vn3-g=*0zI$0^#OY@PfMcSr+5rTJNJ9>TcZ_^am z(Ml}#h<~RcKWQu5|M>NZ@{{Z;kyeMeRAJ<@;}IJ&2gFmSjh7e5C?wd?c$>k;yYqq& zwBx%7)H4#09<@w;qc8(;q2)w6n(@k6D6G9W&^7sy@Te0^?iczmh_8* zNxjs`&w}mULg!EoZpD{0)$fs~sPRe=5VLq*F4__3_@PcHq{fHvsQ*M2KzdO>{(u=! z7Fiwa8fh-^P>;ZlGLr8|)t1@gewyYUWq*yO*(&um?Iv})y6Eom`-C?K zxMp;duukl+UL0Ao)x(ZK^@RAb-lMUrfQHl4kt>Umo^|jvEw1(Y{j?`^jhH8Hnr}ys z7MN{jj~+VX`i@s2TyxDH?A`N9ggM7%2}|fQ?K7`gwgv{L%3=huupx6F>?c67)sI}o zG-ia+xi#7xr5@J=cLK4wHxkHshdp{lP%y+^hyEB`SUPnbsojx^wlLV+ZH^7x)r=f5Tn0g7E-(}%5Hx>^SxG|}QGebuzkUVvI3x|=*n*f9Mhjzd%GQoZ*tQ3GtSLQ zV~ZC$cH95+AI9vjZ}Q*wUvkp|$FFZ_-`&j<1s3Ywz(Uc~iC1P73-n$BHC*LNvwlcC z=XC2aI`SO4P5@n+(|<8#H#@9k9B0{nT04lH19q|~;k;Qk`cd-&PQv?pFGoo@UN&JY zH&jBPxRkdjQr^1-d?1gE4aViqm4S#QHwPC$%16|gEYmA%AzT~3sfBx%nJCqa(6~n6 zk}p~OjSu${9I2WuG^jcT#N>O24cNtfqa0>!27%(5v-m8V>Oy65?yqs}Zru55A)Omle- zj$8H#Bz@@JG3d>kF#EfgCsxAFi*+e@1#^PI9cz%<@zJeUOK-c_il&<0XT#* zVH`qIogD4oxrtMv+!g9puSuiDV$R;nh3|u7n4~Z_%6nA}%~YE+i_(xiOx`&m5E^VdYoJO7kP4L27fjp`| zMwytt7A`a9W;oiTQ5_zFS&h>HNOuJq7c>f!R{ZPG$IaLK;k`$eyENv@+i~pFAKLmF z%&K$h`OJuu)K|}*{Rs46KYoJ_!cK?#Cm@&7r|BU5>3|FR zeF6Ta!6~#M+St>kI^~>w&nAtf5DSl-r!#9S-a*}!3`bm&r&yk3;t&&Z$tqN+l}?fifuT5)Jopm zDhJnBI=|RVKA$b(!`cZ74@zS_&+kg=`SmTn^A^~EE8Kxrz1!v=u`K89F3hmoxDj{v zO`>Jpw|cIK8h4Q*r%d4FQ1dqt&a{hJlO1rN>DSLfTpE>#&q4n;(DZ?C-goUDBCoG_ zT^1!-BR#S;j2geG|M@PDRF$8IC!6iDxlVEW+iTTzYayG zDP0vE%(p}%tG2G4C?vGk?K_K~cd|9poA)$){8njTr^xX-rWz3TEBth=8$tB3bLwRuP`9T-;}8P$JX z8!N-Y>dz#w1B!jQO*7a^5e|CCD005&DC_m8o4cZF^Com20osejSt!K*PJprEf9&(@ z+9e(m$7jdqH2{Ivzhk$cWG?pbDJ}ro$1@)HbBVc(oU_jjzzm-J;J@NGI*RToVU-3V z;8>8A(0%v z*s3T$rW%D6nju8nkkobuKCW1Sq$$WG_{Xh6@R%}SVF%K}3cKW6rPa07WUI-XN;3~{ zR^}AWw~a3G<<(suCG&Dpa`{YZIjnt30vcha7Pr=9@|NHG7rH!hiq(OsI3JTw8LqK_ z1apjo?omvvslwP~a7j{wEVs*Fgf$}G_(hDRgfu&q}!uAk6>0y-R;B zG0xY`9BuS7ftPn!QOZ!uCg!bqs7+$`_c>GNl6U*<%5_I9Q5!@n)=0aUkE=(}imv61 zY5)Zbzem|4FlCH>`*m^ONhRA5!pjNJ^6n&UIgk2rv8Z64Q$C*;@E*AV_Sw#lhMXMH zV(Ih6BCltSYi;gnUxQM$6uXnhJI?YTM&t&K$8sDw;IUrH&h(szj`{ID*}^Gi7uR7O zCI+IFJl7A(I#{eOf7&ch%}Eh+t+5*iT1UlYR%U(kN(OF z1o}je0rK$lLqgR4l22H1bo66&vXw>L7~O9zwlJCFaW zM5~SQYJvGVF>+OGiBYNBmT8-cUD7`u9RCfqq*5cm`TtJm;lyT^h5WLFz#wJpO1iMc z+eqXL^P?OUBb}iBp(y%|%GIvIwp<3)%sk|zVt&x5fSa=l)m4xqRAAu4075%s_w+v8 zG;{+1AdC;{y)g$UeQk>8@|es8#Dx~Z<&CKS`c*o3m|sLJ+7a^wPf7{(7nzIJC2Ee{ zq&uam;D>~g{UlH&1QdfaiB1;W?QbU9)jfXKxK*s8+-SN?9{(`@8tdbE4jUs}JLnw0 zVR-xm|3-XNL*)$i+SJ?$@{8evq*oL zPu$?MvT1{P*BF5kU)wlWP_UyUn2v-#8;DdR3ThdM}z$NH`coaLY=`$NjHbLr6#T6XNYcaE#Cu zMar+BYPrNT5e@#I;d6Me?JNEF#)wgZu5So^*3)`h%11gf*N zsONMXmg5!tPl3PJCUqpK|Kj(c&q|S;Zg!O$@Fl2S8=u@QKA>!YZ8Fy~vjBwnLOL}) z=T<8e&sbwWm7Wkfg-J1wJ?CRIe5%e}R2Ag!HEMEG1q{A&HdtA{H}RKIHfj0d_&56p za1%WLf9i+YlfMed*|>q=plwdN5Y{-x8m`kuxGz#I*miJA&5B;SLh& z1)CocJQ28UtFDby1pg+;Hx;`t17}XUM9I=`vhXsP-jzc(A;Kp%%5!I)J89*!+_WZd zpNEiiqX;XQ6YStpBW98;cjvTN2|BA#AobJxuRN20PZ}5hf4ywc$tuc)XSM#&tG*V( zTSw%T*&!a7+6KsPT|-vLsb|WqlK*{8!!?!cB7R*_{mm8dm9(xH7AEz0S)}G@^)|R~ zrwkVu16`7FS1kK@ZTB3vz=ALB=x*`n;y;<*h^pkJvPA6lX$QB3Q zP9PN^0&wo@K%iLcUm&^S$FPuwS2JG<%W~|uZRa7v@}->(G1EZH_qNlC35^}-rxgZQ zzob)#WPkhxRV^bD=DlE?rc%x91LD=GV|%GifxWZnni;nwV%c7&0dX+Mxci!@;+Mi+ zUK00peOHL?gfi=kRLE6zl!zuThxsvn%o2E?&iI=1Ioa598*xTi2-NH}&O>ec!~3}r z5{|f1GYfE5W-kn*!8W@@_Hfh78NU_;rZsVfAzlB=`E336V*2Mh(x5LzF^`G5ox2y! zD}!&cKKE57TchtZdEM14f+?ezJg$-~FMNgv+|u(3%g?y`szPL{W)ef~4%cf)9S9f= zK54{PvC^buyXq5uxpMKe0pZI!Bb^+<{>pIr6L0c4bWE>;E(3N#sHt___zY1lN(b)p zza}6;{I)O*WH`s=aUit%m#n15%XwM1w$Ad;B2mbG>tQvWpzxp&#V)h!%qr8P|0Sq( zmvXt5Xs=|*rY|na7Y5L-_S9yqhXBz?|8;?`kAZXYwZplwXn}bp>ec@@Ip zCSvb{xKHRjboPo#@8%9*ucP_*6h?kZvHGQ1LpA6UDOdtHWg%TCwQfM`#e}qbi9xCF zh`*`l_JI>`gchl z>3uX-6bb{6>;buWYg2tj;Y8I$d+v;df7w#t%-z3_&Kxs*q-fpjSFS;3YQj}TC3z<( z)4xt~F9RH_y$FbKJ1EIb-e5<9(@piNQ2!stxOtw66?|Xh^T2nHx*+j-^4BnVN+8*X zxksW~+@;&xoLV%WZjLSoQk?|?$~|EbtATf7(Q-9%FGE}loTQk_svi||??^jZy08n! z`u%So;z{hg-G6@Rj^@H5GfK36Mtk?K8^tvJB%og=ul#;lzHs(6c&bMcLxWv6Hj?k9 zH1tR}7PSfe8a!{DMOGeH*=FYS2>tvcXK2`bmBzt&K^`))MQZHXMghFpbHD-YG9EiW zKzkP+6`4O_xfy(;AirJW$(DF*S0$JnQc3Ej1kG8fzsrxw9Jt_K`nl1#7H`^=pWP7( z$mpnJ-o&GY38wnRld)cGd}UHw7N)+bEb?NZ!24#r{KRpq+D~Fk^l8OsVA-hA-%M_% z)R}3`Z)e7gr=mFD|jK`*xGqKV~mo#zX0FceR2lh_8SG?;VE);w(pPhH+(t~d{y z1>Eel$ruBx{;%7+kMFf6Ec2{fMFX_q)B0MFD)`mA88TAE0-MlWib0L+G>-x}Fi~ri zL+efaWA?}t*0{rG1aIa}Mw<;b`rm}f0cQTVx6xW7>K;dHw_E5)UXkw3R{6Z;*U*~$ z!q}vm7|Ym0R2-sy?PA|Yg#|*+9_q^S6`t1@QL)@cpjn!GhdCr(}Q2*y?goj9JR1C zpy;lTxFXjEcAzr;RQY9y)W%g-?3hK}Taoac z6WMBX(0bMff=Q~F4S3=8I*A+7G-Cj!J#tiP4#vz`DjkFF3$(u7EaYix#3{kpG#bib zCBB5)(6LugP5(i&c|l>NG>;s4zZ*H%wOKQTF!9G#Hq?--*dURSp?3bkMX?U{G{T*l znY?@Y2f-$ysKdqE%BdXMjOH&d!E>t;h?HnN?YMA-p~O=4PoOizS#0NmfwT#s6^V)y zVM2}TJl{9RKM(h&_Jz$A1UE)uuV5y|IzN&=Lkj!gQZ*vA1nTc z_i;C0VfXjy)2~KfNp@NZQ-WNk2Td1xYVgY&ZrM#+oWnm(&BL6GZ0Z1x7Uub#{? zws(+i@?|e9u2|2pl@`5Fci=dzdI^1ymt%qnkmKFGchoQ=>A;@)fVQ=3$^Aq>Uh%e2 z_vn`2lyyuMTL>K4%wh>4O(Z&w*^sD9!Kjom=8lDslZzAK5yw8}0%z_)<;5dzc%=?nKoAqXbvgjX(Ou%9R{iN-%Dvw0hoUS#G1CYa0x5P@VeABH%b0CK2(CXYo+QH( zlNhW+&lrgEZA^A;B_lg5yDlDQ52qeRqvHrvn3V%m+bM#jr=%DgVZ4+O$^4Ppax6sV z%g-;vYbKz4_*p{ZkU{29%PzfusCv zL{=&I45LX`oo175R3XI45L%K^UJjd;~T7&5X$Hx((_MvK( zMxxtIdDuwPwNWnFl3#Fu&&0B*H9!<-Ep=b7t$EB{%88)d9fRN14?Z!@U_NjK*;Ir39E<9c9RlSe|=Pc3TiZ=hqj;ob3EFM z8|1y23*8HK9<{)hRar3@%h{mjXrHR5bp{foNJ@Okg~n7H*ce(zoR~{_*vF-8IrIX1mr4M2#TXP)kE<_bsd{MMZSv_AGk;VaROu-SDXl(>;RGY zO@F6WwdmCSWN@iJr1QIJpix1~}uoO9g5U87uwWciPn z>$h2$)umiK%`z)iN6i`d?qRuvM6xP|P_pz08AocfxkYCnkN7TdV~H%*yK<02XBDtz z+z4{c_bM5;969Q?i+MkORiBY|?38tjhE$wv>G9sSFl7zQdV4NW?=ynRv@XE~>(TUr ztCWE7uzBcA*0|*y*?i*dMoBB;I1|TUY#yt23siml<|iGBwR#QFyX}p-A6SdS#~TlQ z`XBR@PE3zgPbzRz%rS393~yvh4%L_ecM^4nV*@e;vX=uitkCIycnSO)Z3tdgPl8RZ z8!FfD5TQFB@6?@`K8VHd&+^*QQsjqO7VIFplNWL8lN!&>Ie<4(s5|+zmQ`e!c?pCT zo8ekEpFan#{<8Ar zK)!i~^%GQiQTkT%sCc|p8;ZLDjqXJo)n}ahP`czDE~>hO`4fK;baD@p@bH@+=dEui z{_E##nI*+zl6wtntTVL-_AraRJGwJ*Qrac*Gvm#l(D5xeVX)5vaLH^$6o&PFFx0frCBpUbM+Pfe9_RvL9qo>f z20qfuN~L9%vGKS9veO}U&P!mv9EU?y#5$T^> z*v>6EbD0}cJyBnO4E57W&tP(|%#csv1-Ox~+U{v9r}o=(4l6XZ)w2UugJD( zPVrDjF7GGvgJ^N--d@YyJGQ&sQ#F9_ajh=SY~k|q$8R)E>r$W@21`Z|rcrFm>@dzd zVC*3qH~$Y_SQY<3YVpTx+85NQ%Hhq?<5sO&qJ#a``d=nv!9WPokiIWe* zovAL3uKfkg9__A9q`4oL4FzDNxZcDX^$fop-vP@cQ0G{q>Mv@>7v`n)!>+l0-5TM*#0-2g$cBeCBd;F* ztM6AN-W`?WF_}qG;Im6FIF*YmZRg;*)xu{D$2(sD=#1pT-})Z;nv!H&;qaA6PRi5o zZm)kF?j0ElDO<(cAC+kyc+MQsGZBRea2G!#Nw)WW#*AfmmH=nawLd>!(}p?>nI%ck z-uc)1D&6RswB<1@L+4+-LPT1HGnD=DNv*OmfBz;{=ZBDjZPG?hj^BQ5DM#Tl<>&?n zn#}>}91VzUlqLRd@9K_FEES3k`BxB@$90}y}oVCh9(QgK0SR=uw#t1W9$u^0< zg%z%;bEFMf=*d*DLM3H@q)Fo>L5ctk3bf`M+a7GhQrT74_8FkeV|6;?;BWn}k~;1C z%+bK;SU;o`(O0*aF{{Zs_4XWNLXIUfS!@ahnVfY_yRYEv#d$cK6aPQWon3QqeI%;5 z;HamAJ#b)kdN@RbkkEsrRL#vtQ*BlJP9PU3Z`q#pvDK$II(M0;4QN|Ui=S@-1~dge z?#oP)FG8KbsI=VZ!zYAX&f-SzHO|_MAMobnAk~lLJWx@Xdy<$$| zA-SF~d>P~=+NDIxMD|Rw6* z(~S7bu<=NOz4lhlv6axnK{joPc0ZJeLlo`9arUUf z9k`v+K|8wN>@xER2;7obxH(vex}!&tAeH}Q4BR?z1V99Dt+hL3T4d=Ck;N^sE1!tr zXOT~Yk%MMuXa#}OW+OwT1N@K^NQ2w~uhM~C`iO#y_jMWmfP8jP~X9Zy94{CP%xvYx*6pi$e;uY5TZ+h8wGZb$WAL zI11!i?ipL=uLJQPeUOgIS@{X7RKIaVVfCjqRfy&)UvNpJ<%lpLX}I*a$kcTLVJm$j znYC~U$OoY5zrP>rE}wYVsKhnqAIh!peM(cjed8RYbnKN7hmX3Ig8!I(esfE_x*XW_ zFr&Kq#O+(J*o$Df!zx40?BGZ(Uf13KsoC!Hf>X{3vijtU)P!l!;Y8lN4=KIp@+}wV z{fF63(yRK4{>-PG_-8#ye{W%&n#$fpxgdM40O(xu$-U`?*igLOmmgr>)NAST+fj;R z^g?(DuYmLUz_gj?l4CA?&=FMewUn8cGMyyvUcT34nM3`QOYu@1KBSb^b-a1xkIO!) zbG?jJj&2r5#oqjJ*90T?r$aCw^JL%?ALo=#DFdmo!;ZK5mU>|WX94Gj94Y2wtloP` z?tl_RTW;rH#Voyd9Kw2L2^?A}G7_PTj)Dg{Dlg@Szdhzi|JnvT)iSt@=z97_1 z;!U7ukk`P==*NV7VMAmv?*s3JA^H0~u(1dJb=pyNfOoUX1gb9>*qz8la-Z<;Tlk=d zTTpoXn;JSV9L6u;8@Ymdr6tgT^nmg38$li%7##A@UA$#c3-j&~Azr$IF1f2fW=way z9Q%xj<^pm*yuit@)7t@U-6DX!J8@fnvi{n(4xJ(k5(F6&p_U7BAL&Uo3MNBM-h{YB`zM^VH|(V5p*=QTyFl{_2x$NJyW;NfV=ivyjnIP~Fh zQ@Ro2gL+Q$M3hdFIMVw$7>M>p=|SK8!M=%)plLRWMmhL9&dXv^(ImnK77XWV)^L}b ztu-BZ+2g=D5EE3XozDT@v5b;Oy8ogzkr}~CC)QQNdKK}}cA@D9f^>}rp+>H1VX$8pxB!yoH$4)}Sl!Uio z-4UVU?EDkntO3a~@r|vNom}JcC_kx=FeQJ`dC7d@36MH_?921+k|XTH7gYC2eCL!i z!Gz9g&VtnB^0BZ_Lcf_m!0qQvj|ye(OvCxJ^B=q>;JK6;+pT}64(^BxJP}Ak>$82@ zt?B_Ka;kS@*FUTPpO`yg;hYtB%qEY&QAfbc&MJ0Z9-0%Sxnxdg}qh1>`Qr2yC0MBSdPN)>taH-j7o zd?Gsw1NkZ4i45I(<&m*_Vs;N`##bhb|I5w4^69u#%mo2Zn=?7YRRY=QVao>_KiE`+ zlC#2m5i5e8kc}#eNdZ3JT>Fx6`oSP!$ov42-MJ0hy=ZmU*_?aD{CLcy$<93Vb;kdP zd~0Ep=0#d|II+WBLp6ZeGe5=0=UjMy1jAmF#)tHIzXGHI~JRxlx`6^>z*z=CVJZ9+j%$aE2Q)@b(KV+JBM#U z9yTWf<$EO~OhV2(o>$=JP1t5jH;N`7Q_$wb`Rp@GxJu8a@Co5{r(L&W#SN&aRI5Hz z@TW{XOv@}*SYhH4VYvy#U92@TowaHV6n!)n8UV;r-p^2V>=4xIE=ia?X zw}|Tg0tMnS8?W3o5!2m@dnj}+J;F@b?ylk)i<*s8Ts3G?!Q~xkP@uBoKJ)g9diN-x zm4{=7rNg->Y!aOODm0N|O7e^cgK88yXRba>p1lo!Itr2&{HFOWCcbnLYS-FH9zYC+(-^*4@|f zh-;35P6}CG=Xk1zuG9YuGpnkb^q4~nIJiv|gD6oyyxE_mjH`nuJqx;Zq zRanf%biaRe^AukmL{_u*wI;2jwCi_-Aiudc!&= z<}?>u;SYrW^}nu9 z{$Crd@0W)gGQHg^=2rP@zir|i#qMf}W?5InN47q)kQ?P@d~?_MiL{$Rd*WiQ)>%4I ze^Ym!i$6&dP8U-GW@Uv$F@8z z-TVq{HfYi6npR%vM-eX64re_2i;Y=N|AeF)q#fxrSbA}MZ|BGuk(UbOt+<|zZ7E@= z_Pn7!q%DC9VFCbyfj%0%(b37~h@mz$dn*4{>~M=iiYMtD6=L|Ez;G+E4*xQ2>YVEA%;u`w{JmQWsQ@FgE+L2nm4sYHs*Zs|iV40FJ|8S!bV&C0K7gi9q z6o?0g3T0IEB6+xL-|>a3l76bO_+MB{eezxA2povznwd!PmEfBL4^v4szr6%E^o@id zrCG!tvJGF==4bFVA!U-AP9TmOW*G+=0jitoPg0~{raacZC}ugrdY`#L+sCHL_BFv} z^_e9jMpZOEE#Z8+2e<&G`9aDS&Um-QG1AQu#;?7I%n~EZ zb)ck%2w{}eiG0!xJ3Ar@+tdV==Zd%<^*&8mt1^ut?_{II z5SRj!oS3mK14;+J`@M1A@j@nna*1$^y~KXOvFPXBh?3`OZLL*lt; zd*JH&Oh`BRG3H$vF6Os)u0@p%4#Hxu2M3*cg@>PNZ00*<3lGkRG&4U)JZOTI^lRY5 zBY8DQiQO}lxg6Iljw5<2k0x#}25gZgIcz~Hf1}`L(<1XXG~f=c4$X79;9nHDo~fJ$ z(|rg)Y`dkJazvNcXYMX)-(45;8D-a%?asMWOz=iv^uf)eNdJ-mYt-HO&j)vBRfLf~ zE54jp4lP*(Hcy2(A5RV~&ex;xon29+sxy3rh;)~N?@1sHFm_VRN3#L#q5=QqjkR&% zyo>32`L5gXceW55uWV=#xCCooipzj49)Q5msOmBP4rA6o7t&vaXV9`w=|+^P!lHiy zsN{9B_=}4a9^&b}%ZMjQm`LxW`nC${_OoyAH|TZ5pUq`0W%KG~$|j~!{VcwVelgmD zA@9f?a79;Qpu0g2v%yAuS4HHRl=~@V{vl2z6}0hhl{3gO(1P)-YWuJjRP zBOONZgK3k{WT;!l-PsQ9P9mb}WJy4nEcR+8JmMk}^qT+rFUr+t!OAr)g+b~2NzXbs z!2{q**8JJ?F1W^A_^Waxg%ili0NQ^QM|CG{7|S|I>Kz1=|Ci+ybtKcjns}1RaWC;v z>PwzyNxMnwGRfUDL#$dwkpbT`lkq2t%LgKqB6V;IdVxA1?9gC`b~mTOi1s-1YaowK zL#+k!q-R3{xjh@?xzKO&G3}DH7FO-wE&TyB3!50Oo+~(7dq;DZ9Rf_k+2Q7EWud`y zMTh+;Q0j#>x7sIpA9ivCC#2gND zlFK9`|I$HKL>*mK!-AccBX29doCYIGxlAUU&bFk_(q_)C{CJ9ox3tr}QDXKNS=iI^ zu94V}1j3@AU-~f&fDIT7_#G|dK2&1K&0>hTY`E87b5+yz0+o1bt=^;|q3~BP|HsZx>4}H;vzB(s|QRnYTgHE9;|+o3C^K2~Yv3{L(hILk+ql7olYkCusO3su@a@7+p~T@7Wwh4vRHCFHl-x zX4i^m0@y0}r?d+B9L{o3aAkPur;`yH?lPZ!Mq|5K zxdMFT{BNB0s0F9JpN@o_{(v-z|F>bc;UhyUal*`e%2j&ErCFWyNUR@Ma-kS%pfXFj zASQttxa`4Fmkt+xe8yYX?6L86^ixnItLlG`N72j`U75YI8Ek_WfE;C^fI0>e?(RlN?`@;s?{5sym*>V<%qEiLgS>DkHIG~Z|jd%i@7xj6}!6V+BT7h3vM>-9B2G?VjEgqP9_4Uc` z3hVv>lzD1omo?{`gi=a@U-f}+)QlawVcAZ$+zXi*7C4bI#YI4%=2(w8#le`*T+sykY%WX@t!_*E{>bBe z5Bry%YRoJcH8{T!=Ue$WqdS@8&R=C0iGJ7Z(e90syQS;ay92mOeoiZu>4z4rxbI@W zIkTFaVqR6>!K(~eF+b(+)xkK@=Aw|t>0@Zphg8_E!{b6Ra*3dcUP{^9b0+At63%mN zEP-b-CSc$HE#NQf!Se&uNm*L-rJcs}f8*Wvdk@Y%5?q0)rBfqeS?N}+^$P#ZPU*(M z0JM0cHH;GW7a+av1_j-Kgct?BAj|!Ho6ZzH81*TJcpOVa1i*aG3cxms0JeIJM2GL&hIvsKc5o8Ilk~T{y(@7hj4;mG7vJ4b6t|nwRoN^*Gg@Me*(V5um&p z++$eRm_3D?6N3CWC*}A5(N(|-7Sj&`2al1cem>eqe12Zzh7MMd{k`an)m$+Y-U617EmAg(X_F{UBF*s}3 zPp2@n;S7An%vv-#9pXkg5kR0MmcZFIJjuW9XDKdMV{O2J@DFB@j$)Ml67b4fFioEQw$Q-oA zB|2O;9P7-Z^9W#l;@hqsiBzb{;vZdh*0;$TYYEV*!}v$r1KpZ+)n#R zU*6Yy?r4Br^53F&A6A}R#e8_ zt`ql9)wy;0#@^4%oD2-BTkS16ijokUwYD^ftkoBW1C>``HfGj&`w4!KYiq!fL5bWL zVKN0R6Mp`JOD{z=NlPgxL@WtS&pxBWO&%vNmtgqJOVAw1P*_^aFhd$+GY|nerEHgo z<3y~=Z{>p*PsD;MjWp}P>d)()PcEA5J_zr9MWfKg}-%VscWW~pK7+>td zw;Rm5^IGvnORz*`J|h{UD;Dm#&Dx$IY14KW{a1^#^9PgMDlff4C^FiI++dK{4bl`P z$`nC3mE$?!7$Fo%3pF4-y3L?MfR9J<*9`!xadN?1H`5l?%)$ffyX%*Z^_38LIe#av z96PNzWjtSH{CAw7q9~1em7)GlMFUYa^GzfD*&VHgKX@+q2Gd{>_ZP?s6P7!9%_OI7 zxzGQb8q1{kpZV>Q9NT@vhxhT;dEMXhc3JVH*GGekshW(%)P1)7Ij{QTZjmWr?sOIq zbiY*bjLc<*-*KR9Wxj)_0_qOyzC_+8$@J~Sx^SU{d(-Sxb2S^D`KHC(~v_|m|XnT5+a`bww)v|$BiU5(6qAA}tZKcoub-l$FN?}WrK>)Nkn<=?ZX zlyUX&iby}7W5guRUr>yWqS;Q=9!>(+;LR1^kk8;5Y%9HchsCE&df%vQv)_``4&#s; zx*2=O?WE3421k0!5$gs`Flbs60m}UlipX&!XV~ACn_JJVF{`&c=G?5I2xhnFl08j` z<(HQ4_UKI;=Z2u4pXZI66?imY9(Uq*7#HQE<77LH3tr3X-H7TW(C?E>r-7ZJl|s39 zU_BU&?g8JPJ;!>oAAuM`$c_D9L+9a7_4mi|&*yFz_g;H*uf6w7>Rz)F*<5>F*(9Tq z?!89gqT9NOhEe^b^d)6B%pwhvG9sk9MwcWje186h^LU)cdB4x=^?at&r@+3)nc(?! zA-;rY*KwC@%CJ)!Km3tEn!}=j>%J1_QaQ)bGCVx7#?mLXFq!Jp^zaYC;Og{(|(DC2fu9Wzw4NZd; zl>7Tsm*roTXjs?TuTzf7VZ#jOw8P32DRSHI#0265}lOuXZD|d2jj=i z;~E$?R(v}4XFsB6t(C@)wpHXb@e68lWv@u`!)hvQ4#92+JX7NHayI7ZL)RXht9wJ1(`~e8i3$70RDWl|w*S7HjTSUufk%le#5)frlc8=# zzqM$igz<2+mRV~`>W*C<3_e=WeFm@tTB=e%2zl66y#@i8JNTP&jpb1sb68PyF7E!{ z$AQb3tUA%NXali^3wX)U`{^CxQ-629scB4zIeO2@d7#4}dAwLE-MP28 zS6HyizUBRi^7E&n1k50Ps)V(O7ROqLi%V@kA3_! zb3=uO&_#ydgH0{^=BOKQs4HN}|8*TM$hl6RW<>0$jOK1#{(R`Q;^~pC54Iocq@8yZ zZAVSFl5s?KR+rP*-#|K60{QP%hSm&W|1Mh4b4lLK#ZWJ~Z{QmQA7OR|#1`}p6}9_S zxmU>N)Vo;AE?qzGpw6li8-TOI^3noR=>mi?3=F4!RoH=G_u`&HKp)E8Wq1cltD?&{ zAjDYGdL!zr6RZTx@FRkZhC<)&M?>dZ5S9V!e8X-)B4B+;ls5VirjHM+&HqTCPY|b# ze?;ip<(i2+673Tc*OquB*(W8fE%QjWPflK2;SsTqq^Pabq}-`#mNR?h7woMXe}g(q zgQ`i>;%Mtob!mDWeFLf?&4@!cHldo*%sA#2)IBsy&R#3(KH7c`nL@Ru*>G&_sP;4m z&Vhr}Lo`RuVJE6H?Fh%kmFh-w=XiKhy=dMXA1c+C=Ew05pa#-{IKd&*P+Ax#oJNhH z9pywuQKM-woY**OJS~Bfc#N7vJI+Z?p{CN(I44e0Ptnpjr|B8gGc-Df!K7x=vN+i} z)U&j6oZLKWK8?jGD5MtA&U4ros26FMIG3+bIkc;s;%n3rS}EuH4eCwWElycEwSrd3 zxqXLvm-atS)jeu8?LOy04fP@IKTd5O^%1R}^Y{t1f!4@rYNoc(S~*XjQJ>RZaN63b z9kfnPS2vydlJ<(z(@T9#>*Kt6OMORs&*>kaexMCICg8 zXL5=oJOI@X{an?7eo3wwNt!?TK z4O&$>4!g7Si-F2I}b7#2?@-#iKV-NmhA-l^B{jv8z01PY!EOwRs-Z)de2J%?}zK<=O9+CUX6Unog@OcpLSza)&DUjo1< z&|DG(Gms~!*(JBUV1K=rl~}nnb(-e)wkWSH?wM*HPLlGJB`+-^s(2B@zL$9If=kPK z3Rwf&4I9NWo;7UOS1t%YH5BIw+x;#*XQlx;Zy`_$a=2RP0^xkkZ+7mve?2o-+= zYglUv*kO&$>KDY-y!BNN@mDwAC#vF={X`FZQuQC8TmJs9TZU)BkqE@80+1iM&V`iV#_(nY*s zFPAfceZN?s)#ftR{%u4ZIVx*7p$|8Y`0|Ou^gBq0pwf}}ozk(XmQtBg@w010SFl!1 z*`|Js3*-GNo!CG&w817PFe9bo+lsLK7kh3d(_yvn6s6*U_D+SXuS^$l9jRH{DI2sJq#4HHzR425vw5+fWpG zpit2P**}HMimbSp4?hgVGkr+K{ag=^XW;lg=(I3Cv>h0lF>^byXTA>@HT{~|nP|8E zBS(om;nfJec4LH%I(Vhom##JT3cS%$~q3TRlYoacT z->q}oXw1}7Gzr_lS?W;mGQN}yzc6?e8Tvw0ku~y{^?p{@ez2mj+5^kjkUXizHFum^~0sx!ndkkjNrG&q3sE=0OFcSJ}x`@mk2Tv3PoK`NE`Gv|V-U z+pEd>WP#st@(znE+_K2FZq2~vz%UnXb?BTnw;Rfrlu1(w1@6-&l>r*_%b3#rS+-l# zz`THQW*ncx^@h*y|4ZW?vRydWE6`M)B&jo|LTWmT1fTs52__EgKcL^lIbfKpToKs| zypf~eV8ACxFHb0Yj!@syWza@6wVGcBAV4>3U(1QudVO+Hw1($ZjGO#A>aj_gt@Kgd zufa_xbPF0$MEYZuWCD&qPP_4>7+S&6%*U&_-rNy%iPiH=go`Q)!hk!aWLPXy$QNBR zUI#P!rCTJg;;14X<6uq;829PZ!u@-88O_{=`x*-Fi#84@nuqrzO11aEKH<$*Z~?jx z(Z(?dKcA2YaK#0 z_V`xT)#iL8>?4$H-6StsFb1{Aix+%iZCPebm?T?n+P<*7^!5A+$wyi0r&BvIm{FMI zzmLi?OZuR;zdpju_)-GfhE5tiV!hCS%Ltercn%B>^gAr#R!^eW+6UI25l5gPP-dTB zTqYnb0c*rN!9ohgI^S+v?PomwC(AVeTKR@!0p0X@2}y0e$mhD~$j`geIM{T5WTu3S z{Y(liG=s)b=ID9E2&#{a#^wNXd9cvf&eM}xeild@zwhW-H~Jxddq2gsU-N6@6C>Kb zg0EM*)by5A$HfwSR7b?D!%w_Kjoyb*A6+f^yzcO&_rs!R;!G+w0XDh%2}sBc;n+L~UVl>b0G_fIOl zdXw3L6Lb|kc5!ztC$}{tBw0Q#bI$%bui}UL<$2)1*4LSP4o_j#2lTjW*~+vJpTHn0jB}8E=ki;9{X>vjqL0MX_|ffkTgfzzev6Kc2;SR?zO=crNm7w7k77=n}Wp z-Zr^4IEewYTP8TnGuVJ|*x8hBX)lL;>8&!S3!um0`KU^wp<-~MrjOmEqR=TJ-RGv; zGM;M@nLjkjbG%!lH?lCcM9EFlp!RxAu|weK|8mRw(%TrDcIn~D`$cMV?R)5Z=Nqw7 zC6|d0N$tr_j!71bO=5tLt!0z*@#7i{{3I*hZO7Tt#4f9z{mv~pKgS;Go0s?Y!Zr1` zrMoyH*|}^|l!IGi4u;b;OMPafGjG@=BYzsWjWavjC_`q5O3bvT{VA1ULS~~^*a%-? z6@j63ko-WH5qJE@ngf(VM`pz)t^UIKAI0WWwN4zYF9l(Flc|$e;lp==finIlWU)2} zSlf#bYrjY5>1U~z^@aR>u|t~bu9P70A%@Wq!s{i5C=PnJ5A+6|KVf>M-0b7=>T?Xa z^mT$@!VlZpku^8U6aK@^MEUeQdXa zYP~eMmh=4NfKG&%7k42rr{X-|0amp&%!1L{yt&m zYvhG%BXuCAM4QsN2TR8MVsQgZRH=?i0RK|HgK@X`jn>p8PVo0co^VJ=T;v z_C(VgSi{vIAe;VGH4WJ{j6DYEW0ePqZKMH@Hnk}dLH)hQ13Ntqk$9{w5!FJ(>%8R0 z_E=0Nei5N1%L?K3TK70$fk$b8xX^+j5nxTKYn~#?Ei*J^qp}Qw-Q5j{?xbN75kNp8 zek%Hg;QW|`(+7Azm* zprq?Q0$We(&J}0bCR|?3`Zq0~vK*v?Z|QQ9Z=qM>7AEb~np=|y;7sPe7;i$L7Mo;{i`%u| zS~}poMm{)=S1ZKc=GD?$hT#1^I}3JJN98YDxSEFKErS=t>mJUJmvfN3=wjeYAsH0) zxj5N`$CmUiJ$of|$fnv9$$fr+d(2wC(E8CGATCcrM9kV(#$R)I@FZK}G+oxKX!P~% zsjz29UA-0auJlhUX7T%ZjYh)3LH*Bbr6fhkFcJ2t71cErv{9In-XUafD_fb9f}dWPfy+!4^>krL%r#?+(*(gf%r$UAJy!r*!quv z43r-Hk$*t^T{P=T`=={gT+@Yt=E%2>y`qVzyq*W>kFei6L88IiI?XTjPP{PocFlT% z2zxJO$jT;o4q*gbi04^$kD*g;`x94gHs>t|!(RsRXU4W1IglZwbxg)&b)u#f?yvuoRUqRKL9xPLUGG*NM? zr2WA911))}Q*3~KFN)8@nGN%WPUQ<*n;yY3@wMMl%_zBDwRd6kxSQRNZ%;B$GRl7N zE4_KKd0ZN>Z(R<)b^OjrHU$-WsKRV_FSk87Ez>c$?yBH{3dWhtd4^P!Nspb(HxMow zkZD*&tQCz+Ey3+Q-2$h)7HDIjR;>O3yWXx@>Eifr)8+IM9&)Dqy1MCyWy^r_o4-+6 zKj0H#`PS39ndd?naA55Bgh(mjheMR#Y60BV&#$u*e${1Y7T*$7RumZVd;$9LeQuLy zoqrbm?@ZAglt28HZxiC>|LUwhs1q+xi~oiFQ@t#%p zrR-DeK12V0-2}}$Qt(d5O-6X59UU%4Nlfs0Vuq8 zbikn9%85@wpB{sZsRj6lnYxZ^>YDvi;9(n>pc5+YuC;)k;<>jBRbXi{`oxs>*p1W$ zgco;d0ssoLMnjJjUrTI=Gy9?{{NZ=1Cqw^64L!5rjM5)Ps~}_;l!i>M`w~5?<>m6Z z8NM&hnHT1Yg{xcnQ9ehnGW{UGtT-Y;a9VW;Zq~56EU@^6%zS(w6LVS7K5ve#8NciAzhOA#32=2c+dlZ6QfK#%QY171-MMShk-L32&VQ4xj zO%=Qm@+Ax}n5Kpz8BUALkpxz+qyBt(HN!!KH81>}xxq`GnN|v$0NaJaW+p9gTJKX* zC-+W-u2lPs{;&??ePQy4@%YITf?+&T&*br$y^64N=MwqCY_K62=4&bBFbnDW@gxRX zn(erKSvYeW zVIO|zWfH4QMdDN5S!T`Uh8cK+`dJxA>TM`%7M}GIKD`zxYgP|mk3}xDEJ45Src6Ob z{gcZ0+*CAlc0zZjXq`SPRu4P))w3!62;>;mD|igOc=5R2`{N|wvYL{8K7;wH zfUtj|KuTm|3O;%*xq$tbQQZB94{Pn??@BgD5IxGh%9b_dy07AAtRs$*c{atn$)zzXll0<@`ZuIJNx0fR& zHMi&$(bc%<3B?u2dB_jcxq6-DE0XvT?HaLI_3dHPcso66=Yb2g%LpIobuedzuy&I(0J6p%22 zG4G;rO|$7)E=caFCo1sL)qM;$5e4uS3W(7kL(w;51YDkdjcNIsSTsT?>5`^Z=bqno z#Y*MlioAXf6nG0CYh7geCxPw3Mc>unbRuP!z*fqOPuI@Om^}$gKEv&xvp4r{h6j3P zAh9qk$*S^&6Pa*I1al)*TeYw%1>_+Uu0AK7l{!wMOf zTpm;RUq9@;rq0H24m&ooRBxF{X$}BSstup%n16OJXe!bjX>{7D(#4ubMq%?s^FTPw z+ILW*F+?Lu#Ydw@^iYcACn%EMmm&|IkPx_)crr%x+(|QAZ&nsMbXajyV*1AJw!*f+ zy(t)1q;5Nklx~OR_}xQUFSWwtf~Jp48ZlNk%q>WEz5tJEi@Dda&TZB75=rbs`k%DsczGZcSG8e@BwE=;BX2w382u#LCLiDof z=z)qWZ=+>$#+tp4IB5GLqw<@Vg;mQM-8Thj8~466z~LLfSGl~okd2`QBfbrR7_|)` z=@uX{J{-lqD47j~(xdLnOKi4u#kFlL*F=FcSEGu|52U6VTQ&yLP2g;U~PB{arp z{rj^UJy6yzE*?WS_fEueH&WQ!o@la>pld_&r7${#o_(`J2VGZRha_W?kz)NCTI6W) z1}L)YmVofsFwa1(WXCZFg?iMI{o+eeQ3p9#-Mpno!FKjg`L=oOht~GXXRJ>(i#`!H zgN1yVyQ>s$|AGI$zWzN@ea9kaTH*mpI~waKe?Y+yVZI%qr{n_5PLLF(D&vQV+#ePrqOASR3fy7-b6pR{i_z8QbpLd&0a3 z)*-2&D{d9L@P3V^l2f=T+*)(w``;D??8LqIhJQ+U7r1@@9S+E2(e(NAI$pqCX;H1%L z&;9%67<?J6;QDBV}vo!5jd*q zrcju$s@xkOSLd**T^$r=>6|E}%BS>OER0Qh_;qRSZMa@GY2|OIe_xxy^0!clXjw>O z)OzT9cNxm2x@6g9!{W}RMZ1=!pH6Ar&axLTn z8ED&7;feLRc&pW_em4pYhbffa~v>S6A;67S8ipT>??gLXS ztt~{b!IQs^ioIj0M&Zc#Q&#&6)wYpYuMh^SwY`|OznQb%DtKn{yFCoKlT#g zAo=m9Wz*rLw}xihTL~4R5B z7D2w1Y*_Kirj87VhCcaX7MnoW%4h;a$VH85!R6YhANl9HTVb!lf@|5L+!;Q&om{0N z`Qo!lXNt`K{!2y?o>w)&f%kkjSvzN^|FJle|484^a={**`pp$MTgcplPz(&1oAR-x;5VX7CaEmXY=DWt$$-gypy@Us*DVA@;j3;9_t`rvbm zrDRi{ za=R4lsJwkGP{rR_)UkxSaFETH_@=U8FYhxFg{XYm6PGhJ7+8ek3Rfn*2s}J@GueU= zbLEk99OxA2j}U<>_&O%tZ4CG2CV-&wJfe>x>REFzO2(6e8E6h{L)l=<`wjfoNssj;XQOK zF*7iE-`6cHj7&B!iCq@17So2-yXV`__VK(llM8z~*95y3xaa$W!M!;Fh;7npQAeim zlMOw`U0b5{38yZ)n?+l@*Lg;!HRK`0+-B5SPFni9A@<6qYycPSN%58dplnOkt%N3h ziR|amxy(Vxvq@hl|G6j3w2L6t(mozgW%(!Xrcg_({mQ))bJr@-VKMytU9bG@IF4Av zGWikYk6+3|TjM1$4@g5t?p>;;mIT0b6$$op`FCa!bQ8YlIt)y#tvODW8~BJH{vwg< z{s@W+4@foWC7gJP9^HqssSYlffYCSWBtS9-hDOFF zrjVJrg}#mzhL3R!2zr=&G9_v(A(1Eu0U^i3BaTK!Lou0Aa303nqH#fRSrq>xL$~l4>P?M%sy!ryAVttr(ciWgXzzLr))BMRaSDRP_}l zM)3q3cwM}T6#yj6m3N!JK0OCu?-Yt(0=Z-fxdjja0KHfQ4IgMIIs&JYZUOJ>Md2mi zrlP*y9WNOhiTZqZsAO<9YO&#us9C_hLHISN_Wv1I4qk97Z#J$Oyzf-VGC7lF)|(8! zGuY|$;+b*lV58HMdgFmle`8=9@bh=CmUQ2WdUf}|lDgkfzaGpR$A9Mk4tKIRYuuN%(JSV;dg z9fvFJ9W`=tI&oLOV^NTek80F<1QWRl17JNu>2Lt9r11a&0y#`NV3$pA`BipBZUL^! zS1WL&e6UQ-o1P`mWz zlm5hFpy(JQkx1uL*VorbQy~DLl-@qN@c)i~eh;6iN*R{i$AIY2OJMOAnojR1nYS7( zOebn;AWP{rx@k!BUc?!p6E36-D z33BAq)hSn@r2(QB0))`GWF$Y))&bW7=n(n@7v%W0MeW$mf>&Ep6iA24`7J~6-TigH zj5VDwmj=Xu?eqNNmmGm|!FWCIl<8kKnl~; z=aB$TRcK5__K^e(of)U%R)CRF^2QD0fqs2FD`*1d1K4)hKH?8bMT^Qfzz666@=9PT zLEIfJrS0_^2oXV9q9l}#610PD190c~aJ9g$Z>a;ak`X$`hs>5XAkJowVS*5rZi$3P zlM1H4ixwa^O{rVry2+~BppRazsNKU1x3#7GNE(V#d8Tclzs&vXbTvo}|*n zBeAf+7h?7`a9K#4!tbS}VNO`bE2 z7ZUC=MM?1;3Q_|KFB&1dldizJFz(0SmPKph(}pWhHTd68ytJ|0m~pj7KmG7Pa8n9$ z>5~7+Utp!WC34J46Mpeu&Uw&NOJt`re*nBYjv_=yN+l?P*AbXn1fba}3GoG!-291csr_$aq#>8{-K;iL4mX%|GcQhU@!O<(x99wB9j0B7nxatLHyCGzwQ-6aV!wRiaY@UV>TZ-U~5 z=S5P7sL^rLsA^|2D;{JeLMB>TT;9ROoh(Q|J)!LwnVV8#UZ7I=hx1OyL*K5vSIR$W1YVDW^)7p~tk#RJG0 zkZTV*KwJ(kW|y(S(L?05vK=`1liIWjQrp+>gV5$%XI5zm1rLLBd;SYSP?3c{-^;vW zbg@W}9|%w6@3+s1ul;Bsw7=r{S=&ArTi&-N+S4d|Ra>4$w+Pwn&)V{$ZoENl=#9Kt zhlBK5Lq0j~W!@byqZMCXD%gPl5ch-1^*-MER(^gS~O9V&I`p$jn&+2p)wf~3|F^OiQF1#fR| z&Q{@x<>bzz;dz6bqX;ywUnN@Ur1@h`OB<3^O)8_fB@hHn zvq<4|%%woD@H73Jq)o#Rra{-4Xwi$CT`~kWpzTb(<5LdWyKm_MN4)tXN~bf`|1R;9 z_xzFJpkzS;ww3qL=m=BUm!;1&lKU7@9ntDZTv?+)1Y92L!tSLsV9P|sWs({c4lU(K&#`YF*y|d zA&@hpDXk-1lYS?BYoYr?BGW_NlqNJ<%3qPLYLZI7gDUGXl?YT<)yS43PpQxf8j?IU z`4g!F*{TfEbf&O3B*}d5beH!JL>KcL@53R2_tg0r_2JWO?=CNrig%zyRy>I=nEQC? z;pqa*i3_JE=seyhm|3UG%XOHdgvX+J{T}U}$ir3<-T5%S6lQOFa(-dHjYgN}g*@ub z+r&jm_!t$^jC9_kQht$J>r%?M?Z!p8yLy@pHseEuE59X3@K05jZ7x#9=zAnhHz{z0 z+Q0pKm<4@zKZ1)M`2GgFKs|K^GWtHGamV1ur@*GCDfbP11*XJc95pUqHbbeeYN0Si zj&EFLBNP$OADBD%C5gPCNWr1c}v>y|n)ss)Ksdih>-ZizNAyb#lDbN2+FGd^>NvF6!A z>8)t%QC@f)iPvOdr`j-s%^sdlZ*FiOzOX1e;=*yz-O1^sZcInLs=+%+^5|0S@4oX{ zqdyw}baLm(ipg$$rU*BtVA_d*$!qb!!!k=BH4yQKLV~ND1WPApjtM#|ZzR(jAxB*#En;5x0P{h%*auH&D6qeC$*lLu_tkBmd?f8*vm8PE@W1>Z$)dW zzD)U?bWhozLv^n_a_s9gnc26%x!F)CCTT`F+H6Vw@i0l;tx49AuV|M7LQ~(D8wLIg zc?Rsk50Y8wVE<&;I`>oK7m2_662(8pH+(-Yf4~c&>_X>|On}1SG6Wz2u%X)&g?584 zZv@~(32}9OgzC0pdD2?D3>{(k4G6;xV@k)l#)rFY4S3T|i+glndXds}emca2h4(Kf zYaI#{H4Z3v5VJapFBd)JbmjM^Hr>``kS=sz>6|MayIG3zkQyY5{C1~X08Z(PRHHM9 zfe-qc#~!W*I?wjcbsuo_*q>NNg+j0xmPs8Rckq?9#Gy!`RArQQdRZXnZCu1hmy(1y z378I%7j*;E(q6Nm+s|RPChm}Z?a4p2@_JL?Za=pin-h(Peo@w^mYHdPy5}caklrY_ zMO5NIysI9apg1l4gYSKH)Bc2fW(%h3_v3hfzd;i|h|Gz^pv#w`I6ot}i-U9B)YSgx{7`r6W;2^y2F62Nkp_3eYbZqHCx!CXI<&u%o0BLPm&To*k zNpstHz)3G>c5%j6{(%SfIroMP@*REb-j_2j<|&-8``h&%eKz;n_FhYDnilG|$@f0q zb$6-^Z9O8;k~WQm*L;pma!Ce&Bq1>X;HHaycKQJ>N+RpaR;7NF>YyfWTgV=A4S2TtBS1U_8H zvX4Vorr?@+yGqQnUwG*lC#3-4lWKP^Dka-a#?hZblXV8-AZ$q_rrOP`rjZ&Oa`AJ{ zr|*tshmK)9*1}r!HPq`j(S;3l(I51je0v0lBE;Jpap@C9So%%CUAGSR%lVIyGysjmylaY z4UYOhY`Vf6jT6orW{`aUKD9@wUsSPCz*d;BL_Zne{p=X!yJ-RYBnY7ehd0?ax&S+P{9$^Pd^+1UbW{jxHr{c zYc=;1GC_dUIsHDl!D(@awPj-kwMl!CR)#|+c@ov#!;uk2L7lYBiO)W@4~CwaZ7=kL z#*xQ~F2=44lx%ipcwhn`LylD8{gG?!a=H_hzVW4ufu@fKpTb#Rs=$Qvwp(#rs zG`HZu^IaQWlx1jg`jjZObI9ZF*$J;T<@ip6jZ&p4DbsVycG->&CTxlOUoaNg))%%| z?B)agiPBg_%V}l^Wp(vNi8i>p(z~LMX)&3GxTA}tPEe}fMrOCL7zZttS65ym@_(+h zpeS8s)0Rz52a8*UKM>`+AWIL7?H@o)hY^Y%_?s(3j{hUs{UzWv#&*z+*I0e1rMzkp<`)VEELP$H2^+64vb}h=xA%a0Ij-S!8 zibrVv=6)VeWHTtE6?+v-!Yh<`Y3S_s%EC%D=CNE&4{3vRnouSnc0(snx9UQ$HNFed z!rco)CnNTsfrt=i-*BO|m!VeQYtn{nbHZXKxQjl(YGN>K?$*1ZLUK(Tn|%*s<~N6b zVC9PUzLPqxit@L8thqN?m196eWyM$5geGjPK&57Xc%0DM&!S9kuN)+%oXUl zE5`h)7+T)@byF}ua^uJ5J9wx8#-I-J=^{bJ0~=B>(7;_J$M=I!e^Y*B<%(iDk8m7U zu%f3zZgUoOR(+bzX9YU62<5Y{WVG-;InY@m-`_{W=2#=?3&c&f$w2BfRuX3Zx+Ca% zjjNZ0H;Ah+XPyiZ{DV0W!t1vH8&ynn6m$f29Y^X6w_F9@@ft%yHb$jeM0FX+r?R}< zU{!FqsP)EC7{N&p)pfi_DSZ_TOjq``bIUgVW+xtxWKQG<=aVw=x*#E=8l^LjA%`VM z7Ps>`RC~ER3+~ym4K6={Hiy9ST37WLXk=m(m=r^Z#U)4M4$_i|sS!~f+^M+8s5CYq zE-9RAH#rHHY7o1Jh{}-6ii%9bRUGOR-wRJnOpee9l)_CDl9l6)IY;|qcS-DzCP7&1MTct4!{Tb@lmby~N)f9c)1o+=GwAL^Xf3$;wE;B_#(+M$skI{>4F2VnjeRucKB0PAN$amog+()DZTgb9e}i+syAzT0a#fnUE}sNEMZe zlhQ~6*xX)mXH)BrNdcXK=xAPaL@eD`^S@VpwVr7_N@JB~uOui_K%@5!Z3&;cp2({- z_nf9+(j?7qHmq6}FKEsGQ;(ObzJfS9Z zNi42gwW&U3W4BvKfil(P=s#p%EA)IFcj%B#8zCcc%`Fw!ey8nioAM|hi!Vs8;!=A- zNN7xZZ5A1&=@=4@<2lbeOZZ_DLIb@bh&Q;zPq!@{pZ`{OF4Crr*SX%pSG!(GSN8lg11+utR^9^iZZPGs;|%RS;qX)iMXgpSK8`H zv*`r=JtNa2MtIaWgGz(sMD&C2$8;uB6-xL;&I{EkEa+8*+w7n3*h-9xEZnlk!&R## z6ohL7pYz2;)Wu2#z6qbfM+s$&;L~V){z@fDqU2S_D8feO2_m5jOXFI{pZw3|Ruq3m zEMF6@vQ>Ln8J|{GFrAu}vRwG`^&B-V51OH4I z+Lo8Po;o=l=Jv6&#k@v!CQbU|1T`{6?wvNdej(!2jmkvlXHw^GAT=e{BX+O%iov4e zpl7gJ-uXu_E?nn|Y`n=AjK_E*eq>LlCwJc~;+DFgo6)QssHWT;0a3>lnai|B3N{fF z1$O(sxkm9crwt`(*IP6?iSR|q`!?!nWV&>Zgp(SrC(HqXS57J}$g>e1sn2j&O%$7~ zM^qNk)sPP|j!SYlnON89|B+HDP;pM+jgnG~Hn}kRoTP$*Zs#rUxR`S7a8Gtx+}Vd( z(iq_7)Y)_()Oje}Lj5D)c_A<-{8S}`7n)p?%Fxf%8dr8s@=0P-B1yWpE{Dr6*Kh}; zBU49v`?W5**APFgM1AEJH5C1BI8#P;LCcpv8grNIHl^x%$DPpnt2UCsxS8L0{5{{# z7Y9GCBb@l6bx(>v)1{gziwCRc$SEvjr9&l(2rWM37Q>FT|Log z&41RBdb{#SqfG>_DVtic0rG>(An!E6jMCh$YLz;}XNt+5;ith_927v=gJpB^4maIi zJ<@Oc9y#}z#Rn9vJs`8e#y9J4I+`ij>k#5WU`c;_l&VWs$-z)*yAxPu!`_9d6v63> z*y24q2&ET_#@izpebA)G-$S`6V95xzyR;x#gSjKOCR$p7eC(N@maO)z$h!rlAo%YI zT7d%xq*d5~bPP9Ey4wSLV^sLl_&p@V~_HilFQ`0ysE@f11Y8?cbl+5nr+>x zWVsx#UzowfmgKSaO!IC7UMg}@mr)zuY-Ekx&q`-bD7br^PV_o1)t~Ua{q28ly5t%8M?ll*KEJxe zeP*S5c_De{RNl`Muq?gCe7mKj;NtE{{0>&?7!Tj)T?6(70vkeTbNmk+hw84M`^bC# zn)r(C%(((jupVPGivbvlcQPy7!AWU#cc93%bp0(EiW@7Fc;|t8=j|9$x+@f`G$Ez? zMQmPX#5*L#(_vRpn>%~&kE`3Wx3{f}Hmp)InB+?*oVmVk(!~$|I-Pk!{L`9M+QEHd zp5zHxnGLc#t!zVaQz`r(euA?!SyX0YLw8eV!^!*K$=ijQZcqP($3G06(=p2-Mr*Ke#NRM0aY6u46Qbl~gG%{qNI{QdI6tffI__h!uk| zb+Pl|ai_U#6wQ)0yF)j#0<2%RA(9Jy*R`>1ODcyd`+SQ>b52T{D+tOl=}r@*ZkI@@ z1)+Cn-Wcj^(v_93To^yrlzP_*fsdE85)M~p5hH1Kbmbrnf1tBH9i2!GPT@`J=?OwD z#O-_2jjbTh-d-5exqE^PElbK%p^h1FO&WXWsbV7M!WHY@8Vw%hoq@ew-b@jjJ8#ryhnUC#W>NExUjI?=rs`y}b~)TLgTm@1 z&IydV<71T1!}Z6un|jE>q6t}3Y>?a1uH%(_*<7*X8sbq7dh-z%QS;T!I%&t(0i)DD zeW^wJSB`V7WT_9z8~U!{hNjeCsy65@zoSJ`jvr7G;WD@h%6%uwFvKcC*(Ew(fe^^B zDDV>?WiXF0#6+8O48R<*3j!HZ9<4XTFOd$MmCheI8f}z2xbegJNk*j&)rY47Hz8_1 z<+=G~PhcPW8Yr1^;4u9u1iqE)Sl&f#QoE(hc~H+^iUGG}!D#JIz2wg;)- zZTotwGb5kmXjOo=3A;0yE>kexO+)HgBSYCz4O|z+iH2T^7ml&}sJ`r{QjhD*onQZC zQ+vZ4T3^&>dyhHD@a7j3s1&Bk@E%T~igfcRKZ6?Gf;*Qv(}eZyhE=kZ1m=NRe#f12+1-^$wA5IS#4jy3L$S+zdtJ9e7ISF=dAHZQy#$NmFQ8#stkFJa|-|LOew1NCB za5%rXFSd~~nru$mf_GqxtNV@#0tJJ&T^n~6f&5qj=d*Zc{b$Ul+hz$Z-fMJ<$^cgdO81Rdag~F(EZzQ z9UUX=YKsvPw*t5S+xmtyTxCu>xNJgzRlRA!l)XRQr^mwAKWs38q0x;l9@~hKrw&Q* zhqT5EF0$N38x!X%l)(g{_{4Sck!jQhuNo{7>Ye74U+8R_PY3D*G=Dt<d`WI-kAcaHOC;= z7XE)W6Le8h=GV7wBPF+2gzod2d+tQ`r60bMFk{&iT7LLPUah^1?Y~AiknoBJ+7{3v zf0={-^!24ge2(lV)RlAO+9R>H2VuXIG8R0i1180<>mC@KLp4Z>kg`L;S?_Kry zN;AqQ(n3W#yGr^V6YZpNYCYiv@03=pc|kjmn|(bp59O%TKjnk|rtxrM?i(sC9gQA3 zdNq4S9L}W{`xuwvw%e*@GTTUk)aUQcxU27&K3Tzs7(gZrXrbhKwTTd>^(lwD%QC5I zA3PpbT{k;#kiU_uQo0cG3GW#C#Simig7<6W*ZQde%PKjQGHUS4)d3!??Z3vfp!;KG z3C(1f_|cB*qpYJ0$E@%D8yDrtw0nFkH9 zXXZxMq=)XByl*DRmvJ^sCWwD{Ufj52&b16=C1iH0lYfV;cFM(a%il~|LMOmyt>#2c zx`@2ASb@O9-$VQj)Ek52Qi{R)CtV@ne-j(U;s5E@$*p$&ZmxcdOr}zJatbUKSeye< zxO>@WWgBR><|}s_zT30+HD?!mzesV%d&1xMZ7J3+*4P!_KwmCVz9V&+zZ!;=e!eI0 zd05HA@&eb5-m+*NGx^wcUTs?Nx`Zpu9w}!GFx+-A&wFZAx++yB%NdZ*QzvAjQ;->Dn()5@7z@f>o1q zbGzmc%7|-k0l(w}p6`(E+7h8_{4l=Us1zCGMJjE!*=<9LFTJmfaro$>PaMx84PsuXD4ZUh{+(Ea%tfSLWLthv|s zdsnz?N~eYYkkM8mrR6RorSqPk#R&v1Q{MY9jGJreg2mgXpS)CQKswb4V3-CV&T9=J57&MUfjlK624@ezr0aq&!cq@He`eedZ0leV#7G*7Mf*G z9663Sh~)vYUgwYNJXm`3$ehPafiW=*mIYigr6qIcG$crD5b|1M%Wlm;Gmpqo(fO{##x& z|NE5}rDh;Am0Zjy4fzszTCTlGFQL- zrEV47XPT#~&|mhVkWJTO`s2jEn_61GR!s|(e|d!QYkSyvmz+ZV7Y0Tg?Vbv}e}Ncu z`>yI0Q)PcRH>jv0ueZM6EzjJkQO&4EM3p6TCkG zEwzYw)hd!e9`38ye)D-EILBxRH;^Bv3_giYHMvTCH!qY0T)-tRg~_?u!%gExCvwnEStET z5GF!UPAGCuP0}kFE)U-M&$`^@VYmv)QfAPaE0&Q>Hp|UAW)2CoZn4h?=|QYN1Nqae z&R2hw^u9jT?=IaCbf=E{2Od3PNSO3v&z0~8@0-(w)LQ;%X}%YIe}3p8k5%al;R37L z=g8Nzq~5>(Ro5cT{UxP%m^2Z7X{(Cl^^6f?UjnAMy^|GF8EI#N8}5utdW289bTxt z(U+3f<5{^6;=9m{Rx0mP&0AOMuX3e*`)vQd<9_642BhsGwy!bN@wDdQW}2PG%;f54 zk*d`M>*P;gN4pR7rg9fIz!o@l;$@Z*Wohtm8PT=&T#l3o8>(^4V$+Hea{kCL=F=fE z&pWq_Y)BvWZ*HJMeEhy|WQEaNTfWN)+Ly69qr163QZG+;?p)S(n#33#hCCHVmzl}k zc5B`hu9_EjSuO>xHh4B1eRo7iu~_*VcG!8e$zs#`?abXve3JF<@^6nBc9q}mx%F1l zVe-JJrAYxZu1W`cH7LcxOFlEdvyPNsBI86Zssq`oD8oS<>=|esAS*TmQ|JG&UT+|Q zol2})x_Dz$7UGAoB-u==%h<|F zi^kkqI?UzS(b~L+^Cs&3YPFwUgz|9^EF(laxnF@{T)UZ3nRb2T zYCXH%Mma-37v99913fqiYgbjtBZiiw*(AcKsz+&i#MA8#N<@_SVBo|yG(#Rr`YBPC zdXz+HEjK)@h3m3K2p9?-orFeamqFVt)w*6IqURFxQX@!B5r~4?ti^T{RSW2=*t9M= zR8i6;ig}pizmuJEC_byML!AN{w{bS> z=x`#k8?|oTn}1J$6w@F;`l=ypzc<(*8jD2L2XAzX98&TaU@WA{%#E&SD%^`@0?=0mxLHr;nDwk;Kw0<$$} zZqSv_5M>Nw5NCJyGi*1W?Nr@q2&${r@aD zb_n(^p4%BICA|i;>h%!jEg?$(`SXR5H?m)sF(iV_D1TrgI=vW3d-K5U?6wq3zIU7ry*>NtdJNV zsTQj3nY)%_8Pp)F{fvtY1kL13A6d%KsW%mEec65)s>~#9rW{Ifz2{9$vL>XUuETxR z?CYwXiZe^wnI*OF&k+4e>UBEn-ugjP`9r~`ghsA_XSuiCb!sqeaCV?)Y1yG6(Yk(* z!Q#Q8v!;jYN(&CGegI{IC8Iw+wtDDExMyUuD;_YlhMTHqXp7{5?9mvxgw5-8RvZ{% zcv_stJ?aA|YDu^?*D&G~O`Oc>$zIPfU)3g>o9h%Q$5>Ju_N=Ezj(*w5w03IgMQZcH z{2ejG7Sxh|ik=7dh{a>OwdnxHRgS}Wf~Y+&D2u8zX5~ILfstH)O4}a0{-z_FUS*>B zt0z$C+;m)<5V>^c_710H_|C{MG`;>*PJ1%HBH{Z`{n4=>O*~bORnsp4J1PAsz*Szg zlki#$*IwAGk+5e}7zl%0faE3&p1g#~&gELE)MM0X3Ru9&Cg&Meto?8-c1PV*I;XQP zX_vYR)cM_%lNP?(9q=5rQ5f;h4zD!AZbMJSYd0gG{4U$BnXm_>RW#p&_mn+4t-K!$ z%7`^Uo2eY#>2roK6OgP&S=TisZFOfku4QZ|Z9zl|AGtiFh>on2UwfyBKF;f`keW5H zKF2c)3n0U1j~cCXPjiQ3ew^L$TWQo|9JV*bHPj_Y$=_n|9Gk}l+*!vOJ6WnVjjoFZ z=gmM+m--H0gorhqJTlG=$!^dy?|_hp+qrc7Ig2=px+O&G2vGi=MB~eWe_^a#I(hqj zQ!(8H#+p(FU(PW6U_!_Ep7Qbl_;j&4Ec57HAE?t}eOr1Z%L!z#E_OU;0Naz>;520t z;OeFf3lg^{Wm~<-lf@VaTyFHgMXu2SyZVzSeCF_e;e?1}4jyN#W2;HlRBMj&Bz1dNLJJ{F$Rsb59{h4 zKBSQh;M{!HjvvQKH>GJNsaYmRMkP8$xErdtC6A#6{-)h+u_twkp1W@Yd^~&C!A|-; zk-t4M~e42QWBoba?qX1oh=Q0N0VRq+$4uG4?h4 zO@~wY(z9Fsoa6F`PEX4Tr_iP}dm(ynPSZKyC#&5zzo)n+5}usImmLNt8jlUim&}!c zeI2<#vFqQw@Y;SI?)URj;uo;36xB3jv7Se@kXolAWZ+`t7VF<&jRxb%lM{`)$p$L- zILJ`E3h2i9XvUT5Uncvca+v*Q41jp;@!@dh3mt;F=b*+$2SnAJb1-u#-~J$EMcUj$ z%T^Mit%4rJ2~h+p@i6W#v!vOb+;1SqunK-&jQNascegBTyX~2S12Uk7udU{nQLh{v zpCjM#hx~=sbB|{Oj#E*4K6Nd>RSWFML27lo?&{kWT_@%{uVkF=#;E8Il9L?DljdJ%YfsOsrCKq6n(b|#I0)hN~@ztMT zn(H3^#i`@9f~c3f=UBOmkLVO^Slm6qTO~B}Fk92V@wmXVW3t@?18s7i^|FHc zEMEbtHA0Mq5&ar)We(b62KP*NFY)D&j0|5V_fH0)MpyeggL%9aT&foQ#d2Ou*9kg$ zYrhe2Y_;Am4GwwS|Lm?{RJcb8^1J&Q=PA`Ue6K@?_B2oi13x;eHl+veQ}o$KjLR_T zJ{amNF|l{%hnG_ksmjM!Z=!DUUD_b4@~Hk-ya#j8cP|XVY%4+N=-2-H^8KTF`wH8D z#K(qS>XV1`lm;wpD&T#r@Oi~tlt-YtL+(zcEO3iI*M;g(^%kCy5D-G9OlztYq><3bQ++L}&cWQq6xrEYMg^P`7ZYGO%3!`o@UR_2gR zZnXOoJH1CnLV`ap*;96^0`uT5u}Zxg_4V^zqj0Y=0_L5@Tto{XU_u#6bDXcT^sS=w zB)Hraz1V`bPHvmX-Al%iW>#1e>O-dlgqw6lkL_FOvPb8wi2g%WWLG3P`cOG!NV@=q z?Q{i*F=hDlI$JJ1U1ZDKS+=q^#<=wOTvd>;$-o3b7{jEARYwInan@*|FdIO{hJSDF zV@SDIjYpa>>`o`a`K|f#%XT~T{+Cpn-qwl) z#@X5~I^`=i3A*Iz5WNzH*Gyzzf}s;}rMdRk(d@@7@BXc?p2MuPuUN#KJT4iq>Sgjr zqomfeqstX1DU`{5=GkE!$rPi#n$Dv+^QM4KV-lGYp{qoM>20-r4HR=vS zPwUb?pxbt{*#Fu{pV?bZ;nkI{HZS}7+^z{A^qir)ppmSFNw1AFNE3!^nslY-1n5;s z-ngPYB7x?ziaDJA5+)|4b_`|_N5plaQ9q`xDqk*9>fc#kv1VO4pJP06INnPfXY^dm z`N=ZrUM{(AW{s31anX|4VfrZ}t;NTV=iIM!VYPl=CG*5%`-7}3(2Vf|Z{sPV>fVOP z*#%{nU{4(VRFr{~g>gqiA5UNZMCp^LF6Nb?p?dp2sRL?5zmiC_KU#13mq)>$?8%%? zfzj^_u3iVPnGobGNEruA$B9tW(r%BEfjUVoGWXB(8$F+N&VQ!PaY{z1Zu@1^P2)U< zH6yP$8U~^#O6Q*rCtK-PWhg-{#nNR^{m@uUQLt7K zS;kp?D#8_*da|D-Nqld0%J3rJ>U${gMjTrF={lE^Jx7{vHkgNpD0TUq7}u#H49N8u zFd_+q3C6h0ot18%^D@7-XSZAOD6_^V1AG+b*1`YES89ej{%UT32hP0`;gRuHQ!}oO zvI`pA2M$tNKv2GPt-FoFrWNcTsFTsxYm+;M)Zr9x4El@8rrqn&l}xqII0_(hG(% z3Ol=2gcH=e)?P=}S4Cy9I#tU|)fP}8$Ykfcw%sj2VO8pBA0oCIsJOrU^O}{$%3_#k zD&oIURps7^eHJbAPbKpjUKZugy7jx9Bif7P1J&A2?aUhQ6XOr@ z+H~K`e|!A%A7(hIi~2U*L;+??QU{g#x1`O?_{zx3CYr zzbXViEqryL)GXrxMiKirF|03=mzV#bPThb|_H&lSFthYPN{-8S=sJs>3}E4%FURerOc5zUm2ZR0>chEv z&aYgxJ-5IOI33j+i2qasmgurVfd9-0MAROYpsemQ6r?0Jf8ewdZl)O^SAV0;%${qe zdl&LL1i513{C9Zt2a&orUIsGm zk8Dc;VLtpWg#WYIU9?mW<*nnjX4{nw5wg<_UF5BATkcT~8_dDK92QgjJQ_Q0hOs`` zEbvhcJL%`Syrlgt9iC%1nm|H>SSL3tAEq_DPo6JC|C4ZQr?#&S%x zpg>BcWPy7h9gYNSe9SFW%NJ2lnJ!eeyE!xeFq`K3C)*%U&B%6&r`Sj?JA&3OPK`2NxURX91lO{XYtvqtRmLe=O>ULhx^;(? zuT;cCfbagL)eZH&iym);tJYzGrl}O=7VGLC7AdJR;`^UAo)7aKgHA|6LhVF1Vc43@ z%cNX{_%;xfD{xK)zVg}2NR?Ru@~UWZ5Wa$BDN%pH6{4rm_w!BFf>eA!AU9%zVu5fm zgTba=Hu4H*NGb@*edT$K>&-p)XSrNu3ocT^eFfP#kZyx5Y!HD= zP0FA0qxp7Myc|%BQQwdlTA9L0;kYU~1q%L!`XbZ+?UMOuRCdi|{Ovgb+t0+^G;a5g z`<@HkbOZ<~V!eHfwMafU& z0~jjVuR!7F~<&w+33d>0H#5^U3WxjEqH^cS5iep@q^9!{8E33$@~}J{c~O@0TdTqX25e{!rS%8?;~3Ex*3^7mWsxcm;uR^ZTzL z$72_QtFvarvHUATta=Ke4*g~e!W90+<{9fUx9K4`K20JBTRx4{;vyMe@4@)TlV|0x zQGefi8;=ak+-06Q(7H?3{U1Z;;SYuX$MM^D?l@=M*?V(lX2>Ry?3o=&Ryh2GJ7k;{ zO|5T61EuU)IXhiMq2n@(?i@lw*8TbyK99#|JzmfE^ObRhYq-`r`>*7xh{HzBA;VF& zcpIT$>0HmQFfZ>udf(^!gJotHH+LOLA# zBr)EhB71tnX{>wa+O3>a!q8SxMNHb$+iPZkl;|UG01*t8RNE52G2Z9ebwx_z{`q!P z6qT2#cOG$7z~5Evgu@QtI#0MU4r2s-=0sZCSz0cZ(`S8e$N1&77&+sT3rfbi_fT3) zpj(iP!3m*3QZygz87wx6sQ?7lCV=DTNp4;fdQiIPr9duWPg}oq{x!S>q5y3qGYaDi~RG#K$ zHPcv%sDL{GlMCygBsMwgwzn^z=sza(F(udlg>Lthz~HV6Y^Fck1>_v`vl%317leNB z2<|BI$`K&yl>=cQGkc}36QdBQ7+W{RICWCI4^;}P=nB$8@Is55Y>y4w!UwtBwh5vGM{$_D;wc8`H0ox!{#D0NJ})vi+>B00n1q zYtVj!ToA@PWJHnZ@ue`_dj0Gr-m{}Z7PlpT)l>oFgEy*734yv+nj_DwvimP>`mHya zcq`3VDv1pQ{=m#-HDda1gNW{AiH}} zdIZ!pY$WXdq(&fK-p17Xiop$pbxQ}_AQbZ>Y z0s^9qSo1_@EenA=J!iVJMx(qfG z!2>E!05IPtnCoL?f;B9A{Wbd>>ASY6_IA7C8L;*h`eHS~EvD)81MP>IZLSnyFESOq zoiaQRxnCf;Bxc`KHU@Ybi-?1nH+=8?GVfTIw@bvzMoV{&AY#e9@w@3!NNIU?wABEs z$MvO{@4Y>tXsm5;CGdc0%63R-vc2`}xo1O>21UHZr_;-O2e2C&i z=lty$oR7RYO3|Hld-x*-+M?yhmkO0Vw!2`kwwG{G5i%?!I8o5^h&|`=I_EwF{G=J@ zPGnQ&c(Q-BloDDjEWsyhPTZYI!HuyP*)G_?V|1gzaYA{ZJh_mA4&1nqNGV`pX@RV- z$Oq5+{gS@jzKVVC0lt?rnAnw{fR>W>0UMbKo^Cge4}o%$D$Tt*nLE(XFxXjrF`L3` zE}Z7b;n}|x#}g7cL^&>K7fvYDanY|43{F%LP9#NI-8v zRY&(JmC^FXL&pM|giFaXux@XW3aTtNHflW)wCwHKbViNF zYT)pbAAs>iz8KBzZ?WGw$)CtoOJ2WcUa%McC!c?5>CA^L#|7Y*o$ypb6j(r{-0*U* ztml~-&?R!SFQ^yM>=(4uOw|1Z#ph>3lgyJ%9F6%N4+hT~OlV<&)^rWv%~F(5>U92{N~O zjGwiMr0(*(lkPE~#i?nzo9@uY7Lh9nj^FZtzZJu54l;Xq2@@DRFc*jy=->^Yy)fM6XAS(Bw?ePZlh13rfefEL zO=b$ShemVxy9*aGPwme|5}v{GC-<5KRUXSmTCK`_dR+4jf*9OQOjsqis(W@&I>e>t zV{ zGeu4ZfCQir{qA;3L%3w-+oLZ(^Up_y{sZ3pNE_`8v&(Ga=vd;)`Ez70CwQuiS~!JY z4)q-D@@yfK#cSHEW=kRKB(ApWZT+N!0Jomu_Hv=^5kyX+O?%d(GRQ({#rsnOZdmEF z<*w05U)h!@1IUch9#++ELS9VHQXDc0(XeuFI^TYI{{9swUiJdLSQvPFTXD)4-UW0j za$?prr=Uunl8&{!!jOHV@^J!2V}L&6c`+$b5epbnn_pAIV08QQiGjiOUp?=nnj8@y zzR(AOvDx7yjk&Mm zKEJ_KYhgGUIDg6Jw#PKE_~MGyG>4`N1xN&jxMoPPJ$3f;Y=Bj*S2(N4u!ZC{fF#!o znnbaj!@(4MlQcM#N|H;juUne3&2$)>LScc3Lk2`X{c#y|c7yaoRt{Dzd#0`sARfT! z@DE#>lA`Y>jlGudtT};z|C+bY~0Ip-a|Y2y_mX7_;iit{UB@ zNgK{nYF-FnG0Yi#HcS#Q-O41Y_W3!^uilctiW0KFnB`taY7MQrL_3aM;kM?=7Q?Jv zV262too-}iv@KZ>XetV%O0!!P7aPz64t@*{#tBY~Ezszko6et%uLW!KD}R7&&@0ko{?o8OZ+nNvD!+u=|qMUm~LO8AFz(~+W_Jel2Z8z-06K=FJz zi@TvC*Ly2O%&s7$iNbPDs9&tQrBmkQRN`c@EKO30eQA;g?%;Mn4WF>o@fXcXT{M|| zSsNgi5w1v!$ddgO^WiqbW#K(lrY4>l?OWTV_oCSW2c=n_-hwh@_&JWEY zw`6jHgcxf=occPiZbxuhlXN%h%LG*LB4H;6fUM7&>;-%xcCVlP49|$Y8>`VXPFh|Z zTdv1_UNrwQYD8Ll^i)iK9_i{oN?@EB7DUAqOI_qSkuofPWrDoG%?A$}1qS>Ak?1J= zw+OLiWj{KF{1??@HIW!8h>aH62LJ-aVih#tpNMv{4nkz4V0R4iPZ=WL3XUupcPWp{g~Z)VPR$;`3<8?G{c>O#Aun ziu?Z?U%~?yBBk{{1OVYElP?&tWkA zmF2T2Ar?SG^WoX?Yo9m`8pe4o`U0#cZH%l(c~XhRs~g4%8<~8LGWqj;MSj-T_46RP zO#Jxk2`k#~FDoS7iu^GwKqpf4Rh4?yYH+PaaTeR+z%Xr}(3{UO)cwV;_6y(tawnx~ zDyCCjS|3Y2NXVD^G=SVCT>$P2M3U@(M%y)V75`$29`m9`rZ4};p9Q%}9E5w7Ng?qn8z* z+H;ZezCX|XDHj%CqFye}7H}?D-FCrQt`LR6LW%hTQO{senXA6*Qu1txP3Zt?bqP_N zAvw_%^c~)bS4un1eV=|Xi;TmO5U+HtZ|=cZ8z*U)*p`A>`Q{zguk>S)jd+2=uzS_} zT?ht=Jpfq%u?AbNGK$!aK@vlTG#4i?V(u`bZ+s_rtSi~~QxF56Tr!jxo4i02KY1xJ z4(dT0zGw_(2)6pJU+__a{Ul3xpnLh`xQiTj+AMh;;ac{JD4%k%tORih@B&(@F-=zl zT7IVrn;CXPS#uWH_7@lL?xd3Se8-x%(g8Gc3v))*vKw|Oq4G2+D-Ouoz`Y!BE+uv&9BcHTt&3X>Fl%Xrn?z}^ayvarl?NsE{QbF~*$sjU zIFBv3qg%ni?(^8WG&6J3g6pWvd&d-}nKCtPUO-LT#%u=Z`}b)%am#I6lue*r zN~=PMu9SQE6xavY8R&Go!>4P1Vptu{1e--8R5-zYu8Xe z!pXd>pjy$A>(HtO6k(M<$}@A_xuE;{BpzT2G2hbM;Yn+^-j=?m*X1!v9)NIM3A=OF zS>}P9IXmOnggFO5c;5FZKUrraZ5HX1P<5&GYr=jwlxt>QnqZec?|6nU^Se55l-Ej{ zJFrb$Hv;_C^nyF_Ri|r9-zO=3R%7|~qju(VKo8#Yh*#!@BcborJbDlIQbtiVraM3i zc6(!6lOAZ=V(YCMw-6tguk6i(P!|ZpO4f_laIzx*7jio}gJUL$TPLm!dJc5mjxeHO zudXC*DqI3;p1Ga?H{!6IG+|$X>#02ZGsmpE(&yuy+X5#-(W6#c)TU)gbo3Kb$ykBm{4R-y0G6HV@XjhBe-+0PTTeD~7&kh~{t z@zU9)-2i;O?yP9#h>Rh#FXQ<1Rtz~!E`MZnwPLG!DRL^P)r9njSru3tkPWTxKo(t> z@F$Q1=)|i&Bi17EmfpjU9=Ekgv7>mH>&Fk(-AeUbZ&dq+InAGxpUUvgvA)cMHQN@O zs97l`crU{UIFnKP{WStkgwv99-p`8)L2E!xHfHm<$BBO*hJ4FHv4;WjzyjH~XrNot z#aykNnA+hBe9ah?KWS6pldOh>)1D(u^ZIZD@z-vyo6YZ!=a_s&QyNkZh+d<_gv-Tt2NZqxv2%j z_njaw+vNX!d~*1oNdXO5)DOSD`mtCLO?mzLysKPm z_TuC>0bH%y97Pd@a~2S*U#B0^Q*zGps~5+clRF901hXJ47oZR$$;uqS1z+9|`?Upm zxMMapVOh6f*=fbXLCt}=?&-vg&^iJ!b1sYwD{Aa?uT3-`Xm%-yN*0mi2dL@!^9s;_ zH1NHvhOm7|q&FvcHGQ$E=m~(?apg)6SmcI5W>2oS(5pYLU0!U1(O5hWFY2jQi-4%O zvJY|DO;hEj+_dITHIXvh?O_#??+K-i9@b|Ud4A5D`{nyEv=;Q87UY|MS5+jT*%36dChGd-fRgt|h!vs*O@Ew5hr~ zm)Kw`BWiu^I6x4k*oZQ1^*xQY@_&2=UYKI{fwpHbNH~`%zF`!m&7o{E4g&%(At_~|nb7}?$KLk`YIWUv5W$DQ#o0-PaaN0B zU$JE%>!*DxDKSm))9;&E!;)H^aKbQ0F}0gZ-O<_nMXqKbFa~cJ+OGo)9#bZdsRv!* z^m*g<{r^Bw6mg=5^vci5uLacwXwIAT%>(*>|lx zf!DH6JV`WWj04qQkcc9m2YH>AB9w$i%`4U7MKKA#?u^bxO7)8`XaI7%Za*E7xxDjr zq=;^#i&n@Qvc3UBW?MUZM2fYQ2Z{!$u+zu&7a?Cw|Nc!@h8E0bTMO${-!VH&&0p4*vQKl!*)k}nld?3BG52E4X&2u1(8FZG^1!}E+OZm^Rht$d zFt#NzL2fxESm^|67x$sg<7{9sSpf|T`o|s|5%!@HU{%e@o)32Bl&Wlv2a(QieXN;e zUq!>^Xh)n}*`z(~*ZR^YQ@0MNwi24ScfaBV1d4e>HPM_|cWaIx|9sNNp%;);h#;J6 zJOBAO-&GA7ah82*gWL@=Gpf;qO}cAsV94*`KK-v1`K=}j_1?J?MdxLg`UFjLx@$9t z{R18we3yX&DaaLeKl!YVN;VdFJ6%J!OiZSfPhlvIZDGpuVGQ-8+ny}AVHO6x^X!*Y zs4q$rd8n#SE;1qk?$3#{`*Yd=))zIg*hf#k3WMp+VZan8%{{juK8XKA{BU%e6~t1npHBfcIk`S_bxsiX&FD?yTQ_Ig=iM0CU#j^2rQ zk+YP9$gZ(6E$D;1T{kA|FQ=s0?p3Mh6j8_f|FZT}|LOeHR-A|yERmzArhur?A8fTd z)mvOt^IX>l{{vGWFJCq%8DIdZAXc{r14#ciX)oDA!Cx+=1#xQ(AT60vH+Q7jhC?0#~@DUUD{-DT+iE&gXml4)Jx z${Mna2;v9SeZ*K#A9IpE5=rEq9G>}EU@`FDDzpE>Kg0v#H~@23O#gbaKrJe6aY%Zk zwxK7g+et&i*VYeFw~F*oB;<8BS$D5U#0sRs@6#RH=Y7k)MsDJiNB^27o)Q|`!NsRv zHWf`E*NX48kvPk|PK!4geXyRAvG=*gU`;nLuD~26dk#CRUkO`#J$FO@9|P zMp`J2J^5Tz3`m8}P+Cst%Jix9*rk|^&6`cY_lJMG^uO))GmtL0Q214}vPQLNrt&j% zueH*E8G(5)LMNgy7E&%BCL&ze7!yX$`{E#Z*2(gA?~zFX^)6ElHt$_r<6!KZz=c?D zkDCc;=i4Fk!1pZ8SHi}Ir`kl~>{2D;&o~|Qeg~Fht4^4Tf5CH`nvsm_h?`7GsO^d@ zorLJHL2~r+^zwgcI73ZBkZz~*6?q2#S0Q`w|oij20@46h!XH$dXY+P>ZyPLqDf* zz|Y=GGICh|8l$*EO&{NVcTv!Eknl-r`W%ADzyjXYbSW&X{w|`ay<-f$<1>qvlVp`y zH=M}-CznY6Q|w*MrG~~Xxr1wZ6X4T%Sa$BE`zt0d6y3y?gd)r2X;%{}#Mql+J@6zh zoehJ%cv+VRujKh1&LiNZ-SMKpnVXgn!www+RATpY+Y=D$e(%fWV%N^zcg6PdkZ|Hx zkX7xL{hroNKgM!cEj-1(EpLU^fM2_1@zZQa+)XYHYXp|S4j;q%Y(Rp-lNJKFF zisyK8z-9JZ8jtp`b*RR}F)8R<$(wJB3;0)|3iaOr5<;n=O48YHQ~Bq&lrE7nH^(f1 z%D0w*=a;c*u4TsBJ(g!{tl(vV%fx(?6J-=RIW{piuYQ!akq?L|^38Ra-NU6V<_c-m z{DiyUkQAKA+pp8|hNz;X0}{Z{VUZ)npeKG?8Ucs(p^Cot4N9W?0)ng^0tab6nRFrs z>Ik$MqOV*W&%zm#3qorr{VeOw>|-?8O58QxPf$T_d8u%ML$Wu=lNh1}+gXsCnl(g7 zn6q(+T0Dw)z8$}@)R%CGRp-rIK5hXc3}-+I+-Lf0uwO9K_3_VCQ!*+_)m8JY%q10X zMvpnYxzW3g^|(mByJ{dX%bow&Rq={+G7*A`5@-I8{gEFSbG$~FE)XrbzR(8N@o=35 zT7kH3@R;8zRCW#fbB}mb;h!HL-udZ@^$*b#e^$@#La^^M8Je=VJn_y|+JmDRy$2FL z)ErI)eV*ib(y8scXdpETz6a5}hJ|NdY~4Ftb4zq5coWzEJ-awv=bndH(1e@Lfp zr$XS>lqM+5Lgy+B0dGOoAkn)|ru;j^{r^`m+RhE}4rH7xB)V=T+@FSVy*)#&YF*uv zJ>WLtNB~)e1D?90OxO(o?!}{wu;Im8`Xs$ru}8dap!rp4N1 z!RI@|VEROo1sBoT@goc7;l5#OX?2bwQYq!;wI$j7-dk@1obHt`>uK`R#jPjb^?~_( zuXU%@v5@pJW3{I^-V_VW!Gl-T`RA*TnrJJtl`h(;9cdfW`yD3(>_ zPHhJ&d?k>iHH-~IZd1yBs=aafO<55s$Tl>Px0sf)R=VB|9jk!zu~%A)`$9!td^JVZPr-H&OJjWDv_lDTW}D)ZbBrFmEEZa?)OND8F7RDg(bQ9)GDHK0 z*j>+V^O|#b(3aOLWfp|VptNtNw%zE&hn=jVKZCDbGHp-dW)J#1fS3q&Y!IV+L+{VU ze}U^q7`F38C&p*jq^$!a#S6XJr4;IdcX@HY9 z8q)hx3GQ~}mU09U<3sMmgPAEI0$OCJIWi!&)Ss zXby3FH@VkR+=+%t2I;VO#WGzAuVq3LuEGiEELiPrvB|qpSNVJ@TIy};_v6njm)*T5 z-xr%?;4;FM1k7}0v}s;69Z9;{D4&A6pI6=<+%nY9d1XA|Xz4H>pBZU#DqbH@OrsPQ zQ7GtZaAFMp8W<%v8vUsb<7`oAKOkN zFC5#^CpL?jc($L=I)f?2<_+EBtOvgcFCHEdnE>{3tB-Ylf1loq48J#xJW*k~vB#Ky zqmMd)%QEww+p{39EA#03Pq1?u^Xmt0fp)s%7X|O8GaF5F8?jd{{L1vcL8{6TmKNM? z62g3y{dHFdG3vssd#pSqsY}fcJLc)c_&2eP&Vy^v_B0+_!wXjlaqqbsSb5!Mf;P`5 z+rDlvRM}^&0j=H4l2sO&GA*1e5QZFx8`Vnu41k1=zbBHW<(*eX$nFY4W-5MAxA~5i z}yHpCd@3`o9?hKFiut~G;9`!8g$LC`jm&G_Kmb><2i zVCU#cjKF;1>J(1&&4fS>`i=U14|%S9u2MCYXo5{+q6{tBTpwuhi(=Ok&-5zFS@0H+ zoaA`_n?;OFNlRTdjYp%(?p?RuWAd<H7^z*p zC?ShI?ODauPI_I>(_-}4#p3?yI0vNpo95TIsYv;ZU8$Eu(pd1?7q`PgdBBKW$uSApAroHBeY@@I^StI+nJr^Tef|I(~ zye>gGV;P3n){ZxiECW3L&AOkMfm`1TBLr4BYVlgMmD&%q3|N;56o?zGM-c1{$tMdV z#KcNTb9}6GtP8H{`Uwc5d17+Bmr`z&a1)1Klnj)n)cVS1f1|+bW|hv(8JBRv`ZblA z^mD-Ptg(a|qDMT|$bry48j6IEnMHK606t+xtJZ`r?;I$E#;TOjgs74q?}&kuK(vQh z0LQXsF%QVb%~|ZIkR|dFXwFVGUd-sJ%=u_pdkFA!YXSIx=T$LLd6zXKSZG9Adb;W{3s@|G4&S(`WRhtFBD0Bw*1wp(_RlYB5sklcG?@sJ$jhJV2iIQIVz>}E~l-=Em4 z>s2}uHTX-srb--w4$sjeMbg5SJnKI`vE|Xmb(~E~b4nZ=;_rjqtY`>Jbs&Gr>LSTz z?WB5HX0%qzU?6q7wPvNa0q3~Skpm@?f4q!p>&p#ThoPfWElc!Qg{gBr}MISM4}8Zc5X7QA~aIB z)9sWdJ5EUcycwc>rURRLvcMrs^JVfWMpE7G#e{YDy?qP1o-jm%CsGR1oMV&9e(}if z-K5-rKQW!p-Hep|s_IA(!rxTx0Ma`Z-xRO7@z!PoRJ1^O{DMQXvs*2`-ezdcfDmnp z5+4YNYF~=A)NXM6$PT6*bDgSZzW_)Eye{BTB+PDpV>xxc!OO>5F+}0TH&;&>(}G(R zxo(_JWqoIz$hzFE0ao&SQL6Uw7t{8zKH>GR8X}(+&@S|N55m+sba{z$#vc)+Nkox4 zH&HAep`wBYg<$UR@_XUJ1yP*|U?4AvGf~6w0;}fF6BV{wmgoc*w!-h8n%FuKb#kKW zAltCdZ^uG<=bwCjsfhVSgr}UF)i|N-9J)z7Rao|AX6IbyC_lw@t;gNXc0EtH{XmhJ z#I6a2v(~P zHH0IAR-!K(U5H!38#yYWh*ojRMnsEv*A{nVGMVdP$4}|Hh+H_Y6EYN}s=CSYV4}m1 z=j@nzP-Z#yLt1ovrV)Vz8#BMiPKy6)$)&1U9p)LSI+ueE+55FcEKrTvRqR zUTs5N6R{FAUk^e&gA?mF5P-=1EuM&+DgZq#>7Q++lzEwra!fhC!75;(cIP^?Gv@%- zGCjvxg1R~F2P^q?mIi~V9-|w?hpuGy#t|qa_UxJdELNkC2 z=$1$-R0`uEsTkgKdYbBhYXkms$}uY5G76+UVJT~M5$UT_L@YF9HG@0A)okx4Q;{Cx z3c4~0?h1+XH42Sg2u{2p1HrF&$PTvMQ2*c$f@!-OmG)|UMEhp_^?9{#bTNa`H@C-p zZDcA?k-Wj69Awxx@+)K~K0|Xv!I-*wa@)Wa<}%O?#tZ-aMQAX_IpWu0{00<8H|K?L zPIt|y5HQ5we4tA(NKGdK0uXw5OQHVyUmG4R-SUIr!SggTWm*P7Ma8XEtpgwB52^+| zEDEu|C@0yt_Z;djlMH;uc}@?T#l##%L3P? z`t&MeZFe&j%|x*&8pFsaLSk&r%IpBV)=(5mthxp{V{y7*FVPoNvPe99cv^83H<5-= zGr4%lrH7z?RTm!^EO8ad$i1M?8$T9LD?`s5zT4)o>tFyV%gO?(lt$Su-by(7DL-{wK`aiC#NzVO;q7U%x3+IGPJ?f5zE?U!>@F$>^>rS(7rQ9JV%qnJkbh{5S`P#wY`7*mNnS6 zy;o?N$v{le*;$Oduv|x#{puOMLA6C*p;`54FO?x@*_9aQ9!V*luvJ(ZzmEc{pqTXCb47vC9rm5NG64FM6`azV67qsO zs1jQqkVgCG@wpE`fSUX5P#Uy&)~6l}b@G6u%kwdCuWEbnU*H*7f{v?rL=Z<`zl7Ue zW5y&!UQ&U)lD8kPJ(wtgwh*5#!(TzOIOcnH5ANET^?X#@;w;U6QGqDA+!uRL;Kb_- zjKnD(xrsYFYIQkN$G(H7j?#O(rVEC=HA&y%UBunOfzqub53e`bT(UxDqI_D&i9gqf zoR}5_2<=$0F;4d>k@(|Fg}HDovvU*6!#;m#QOx(szmgw)IY!zkn&=@x9o_--4g*04 zpx%$g&`1dA4ix4E4?*1FKzgAfcJ}zQ4Gz?Ej(DdAXR3=U-mSr% z>fwp^YVfA|oX7h%_)+}>@PQ3M)Zh#FkcLp|#W4J(hH&cT2z+Ei6g4^qf2ARo8W)cz zG$c?Hlkop*NTyy*!KXH)QHdmcdP4>^^BO*@A)89f$;Fc!@~HU*_`-&wf2TRVq~Qkj z<}Li~hC9@|rTBXd_o)va;vY5qM}1s|FK?)zRzAT$ZFoj~UWKo2sG+`iiLY&VMSWd| zuWx9eHa6j#8(OHXZTR+v4(h*~_-(^GY8M6H-Oxkr?Zfvs3{VH(wy3|i@qZe2sJnakzYY7;gG2mL!!eaDw-yI}B-rstT)vlse87Wyv+3=G;i!rrNa>FaRA4qhQ(`|gT z3HcX4P~1tOcv=T>%Q-n2H|wON1=g@dTVVuT9?GmqYc<;KSlRD@0?J zQ;Ln9<4V$xiWHI6d`_C$M_1({kg$n04MMoR*CJ+Jl6A&8rhD7`KQhRy?hV`YBofr7 z=ae%Z0S~)+XgW3zj0D3O3@P@@Q1eD~eAi0x9M`Ab%G|xIg>d39qDj_zaf6I5MZFNG z+~;-IP^@FmKUBFxAecHFNY*7eVSoK-oT-(d6l}YQHy3aTuYdUGJoWM zxmkXRg6%+HxJu#k2=Pjvw#q<zqI#vR=uHV3sQokm8pNAbLrfad2;xuRz+e8ITDZT*`j>{`IwTqjVf}6|Kg~~!qgc(}1FuTqI70R|F{rgmmKXF=AYxX5rKXh)=EIss?O=LfqiaLHkpILYy z@&J{+i%|)250Jes(8>UjUz)zqfW1JXn{c->bU6xi;xkEXOPnsL`m&4ypG)u*EqHOh_-e7DDax+7 ztQ5lR_pzgxa(w^aqf*IOVks=6@Bzg073l^!U{F@cZ8rLS<~|bszljvyKdIfmPxJtS z&loH!kQOv|Dh8;+4U?Ns)a7CkzX0mI9j$Wy3bXNnMnZ`Yh*@7Q+zXrZ=dRS0)%nQ` zYqs-?yypq6r|50obv`8v&RP>biUSMQuK)&DUKfbkO1Erss@F&ZpD3MAoXUUk>30C& zS2;HSOMYv&=82)C6Fw2|PwcZs^6zL2j{j4q^8Xmh-wS&SANF}Vg7qzX8NpGbs#$e) zm1OZD&uu#_+pRI7vS3<8RRMaJKM#&<9H3aSlV$D>?hu~+&dvEn?`5;YvU^vj?iAy@ z1y5&L0T4s4j{}_a8}EQDiP|zBy8yOMHT&f-kX>Ep+*9}CP%&C8+H(voaV(|xFnt2f)3mgo35Ap7_mtC7%FE^I^+1UbFc5gKXhfpFDh=AYkbRh2if6rYh8 zQn{nn?vi2o!EM2Bx`J^wr~Ei{WAYQk{Q^Fe4>2Jt6m zX((s)N2t8V$aoMtFjzr-{Oy51AS9UqlxYGSNTay$IJgC6O$8UxqM91I##i1 zazD#cFCUV>z=Y6Kvv)U?z2fnMMC~=BX(S_5yo7kD~Jb%LTMpNG>)W z&@66sQ1wq5f86?{&UL1d-=2o~G$o`&H|2LUR@_p8a+x>sYt#CaR)5{(4{`m^UGPwe ze)ExMBR}yp4WTsDPj}$IU<}z(s^^MnV?@Js!n#qsIt zDvqBAjh*#oZi3Y1#g_%I1Le$K!nrayi~t$ zoSzvy-)^0@qlWRcNQDb(ou|(ubgv4*6aE=>G>NAyjm3?2_!us&3Lc;cXhg7=fv`(O z;GgE0gx+1TU>$huUS^@#XG25ST7rZ@b3E>=d5l3b+_JS}vO1Od63A?>2h~jqCh2D( zX8jKJR2;7W=XP8^;HVcI@CY^{-wkHC;~qtjKxSt*_whD}ou+yU8uejRYN6i9Z!-PF zchOvi&^UrYM7`9>7AHx>tiIGF{B=gw%`gND{1LPCaXNymGbY}7&d*UH5`*Y6#bJOg zP;PCyyJGD{^F2lOIduP0AXL4c3uwI`r37a-Wfosy21Tr{s)zTeU?a|k36pdf{N8+u z^yipQV2c{Aj#-y+m3q!s|zi4M;{ybV&65 zWzO(MQ7R-Nz3|8Cw#*M3Z>b7Lb(Jm?T;+_fY>BOz0N%)UG zA+Z`HKi8wh{he-LJi3yg%_qz8#H~m8u8wzqXR+ckX>$n3dI!ualU{QpV9u#L2rn`` z7SPQ7jIOav`xFUL3hoz{&SGN3-uP8`U5kX;o7kWzhJ9FT{zV^uA9iGPw4n__)>J(GRr8Xz;9v!gr~7YGt#+-WmO zT`wFZ_h!zRxCOZ_-3j_7S#p#&l#kGaN=~g9USAqX8U~JOJKZ5_lSB-+0Eqy#%u{sN zwFV8WOrBD{TOZL`9M+^$R;?^ktLA^;yrxzFlT2)q!?>b5l?K+ZSKt!Szde1aq57(C zTO8Hhg!YAJi{AAE9@HGVDqbFjU(M_Toe)PDZ z4ungji+Mg^Ge5Pb1Jc(I_NSunM{Vps`r59(gLyG z#^EEH$s2A_hGre0^HBsKM~&4WAlMVO^Nt_>H9LpS0KS-d@#C--DU}p7r#Ax=haa*a zQ!sgW8xr8Z0-Body_lk6{bw3#F}DPFK7%@AH7C8x+MS7-#e0u%?YJvQ5jU>N&tN^1 zv;>6Xp zA9DR0fA}VlkBUQ=0NP)|?LfWK{>y({v@>7|Gt^fqKP)9GIs}!O12CnlASwWW`kNR8 zKwg1BeICY!qb1+|f}$;QiRv2_a^N6GtE#w+3pxCXJ<59OKE7tWI|q`IS7KlylH4y< zQ4vUkLMN1Qh$U}l%c)Cr_(_`wl8F}psytI#k^lk4b>(Cw!@3Jf;NnXWnl8u-n#ikC zQvZyFj{|+=G*-1P_-apyitstQz9|Zhj!<;4Q40>Mzsw2YEAmW36OqVMj5Lgcwx#6H zYtF`MNGGA&Zijfl{pRE_fU@S#Oi|6UPb++QncXCYDF7ER2O4AICdc!f#r9Wot!GJe zj0;E7?EOBhF%Z!a&=iNvLAY|8z5flm92+g93iQ%w3~+_rM_EU$J6*N)OZWvi!*ysW zl&&kP9-+4DzOG5sS8*KiRx9By%Tt+2{;ct%%KU8vactgqK)Z@V{hkKCeAhJWe}G#_0MTDEwpXlos)V1lad&xN^YSYFHwTswEa{#B z8*~J#ZWHfEr*K|YzK+8-U6Hpq0=`WsmW_{n|_L3^o-{HHFye+>g2Ny^XDVXjR zgWA55RCd*L9UC0=`Ap8XcCU)1(n)2PjQh@`mvigfL&<7IB7A3RIb z0}(+porsx?*+xpV|o`vJzUgUY)Z5L_4CU-4hJS zTSSTkU8lYo4F1{)e08!pmlXJ-&6$&PjVCYkn1ich8qC%03hN~Ke*c?aiKX079(X_W zoo~IbGnck5{-0=;{L$aK6CD&VCA1=8KGYL&H5nk>Ha5Qw;59QuA3)4JiGft{y-q|A zyEkTHi`PHGqZuF>hCj!jk=<&N+xMl82)d&CU;p%zdDF`Wj%~7WzzpGQHKALGxYIS7 zxlXXl?0V3bMUkE_n2NAFc`yn(Unt1QA94``4)431d_Q7j{ccvzL;wY&Y1x7w+hS>`SdW$ zxsj}$rUEce(`K*QG-P0!i+kFstWa(J-70%2dS%@_FE3jFShTXn&ZBYQN{`m_dTVTJ zRXJzsxRJl#)nNCm!BtPwy4gklZ|J-qse1fBe$G92a=F*#n)l7MS8-+5&EAQwagm$4 zX4&4xt=`FTGveO(Y6&f)B%^2`%8E)uMhThaBS|+&3gvwL5wBmKujk|Wa3cW5<`zn5$(flPA|&dHh8{dP3{9ig|7&I_b&kz)$s5qb95dcPjB~mKMR4!YL^>$3+URR zhZCWu>7&7ph3WAPG3H}hl;_`h>@?Gmq=s#&>fJw}%#8CFg{n@UOU@U!1W!R$4IZM9 z%B`2Fl6*nbj}?9`jBpy$>11IXRtqvO>7phzZnNQ!R^v_26|2pb6GiiAYGY#PA>{tX zo%^WYte8jWVM$HI%{BBm7Yww%@cAGjU&_!+3+tkDY`Y_yZeE?94FOoQ{)Wy8OMOEWC#tx(B{*b?CYg~q^<-jMuhuMMHpF0T zieDEZs&Ab|NYd^Z~<}x>%?i{YtU-?D6;e4IT zNA4jw^BaHZhPXrJ-ts%uD+e^oB&eTc!KqWDNmDC=;Zjt|!?#KeLJl2@Qqb$)6=UHP zUm@S5w@_KwaU3&s%$drP`Wb!Oma#J1lX)MkJT8TC8~HueLIyfX?`}SsMVm-s`ksxd zN^gSN8-5F^F6dLC=W`Q;Qf`(xwOM@5xfwVbYH%RhUf6pred9Bf{sj8)YmzpHK)<6g z3(bqj*NWt6#JF!tgOts~Az2d!8+pHnsduw`RM<_4dl0(_!FDXBg<@lQHZtYbMH{F3 z02@EL#lu}+30*ZFP7kMX&Wk+o^YM(e?en_%Z?r1xxOVMD=j;wLCNq-Kh$@^gST6oa z>l`sY`{>OJ_nkzV%r~Q(UNq&cj-o1b>W)RB?_Zak-&re_bK;X(k@IHh*v5ZN}hXb%g%xq583ZM)C(=JzBL)-3j0n53zW-ACyvj}BF=8tT@XD}#;oRABpq{`r0J|Msu_^4}qTGteyB9fCx%xHe_41&EY20mJyFP9LKGXE(roO*(Y!y)fB5D zU=m*bsdFqjZ5s*?HtcAop5ye0VhfskZdcp;mEDba>${w`=e&?pLTd3!1^~3v-^yg&Jrnhl z$oewb%$dwf8_{s@dlObA#Z>=vC{^cw_o6?Y0?fEABmgGVZ7dZdrvSpm5AT4EAT;Nf zDE8QHz)Vws7JFQy_O2Q`2H)O9 z$l|?EY!{8_CJiYUTj#40*$lrR^Gn6Kg7g9<_l)#fDRswpGN@ygEdWsSyzMmV^gnt& z(N+)iU{2ZcHrtpjzYnvD&tLBX0Q||i=&OFdl1+Cga}U2fQoTv9NJ?^N9k;XTzzUM* ztb9y_0h)tbbS3mPbroa?9`Y|f~gy#sHbT;HKg8Te(V>Kx`2)zTNc4&|O{n|5Ev6Pr$(OIM7 z>l>Nr*gJt4ZLxWIopeS9t@#+`cJlqT~of7u7XxQ zF{AFFRrOuIAW_Y1PW&NUnY`~v-k2xuSml80%tu_vFfKkZxMGqVA--H zW*z^4%+!g)+P3uGtm9{A;X6O}*@}IWb9er7{oS)FyxI45wV3i0MTd~rymd-?CrH(b zVIIzfe{}s|HrFPIn$$46&h(b}0oYxgHEVKW#S*yx#k^moA%(Y`&v$*{JDkwec-+Lw zUbv=92tRJHmmQ?BxRSG$nVGvrX{p`Qoic%`e}uQ{KCbpm{~-XC!FnzSqwzosHriMJj<*kOmOpNiijKPT6}`6ZK=!2Tt>ATYhY zFOyLjM0REAO!^?Dd)7~?#ts6sd`hSc7JKM#k5(g=7z1#fVl7c#r4|j)XN{7qqYXGT z1#hKT3X=9GZVUfU|0m7BWotz zdBoJ}F;8?i9S1G`N!f#F$Oj!zJp)VLw3$9uk(9HR1)rBBPvxcqjN6N3AVarjrFVrG z%dnZY(o*BS1h%SbLHSGm+x{698qF`fGR(iAx*Te>J2D=*HafL%;Y@${;zGZ#$o8dD|a0{TdIfrssTO zqN7Osg?@ST$6n&Y+=7{P%KPja>Y0|q9f!kNSBvH8PwnfW$mt@|{iU>nYfa4d01CZb zXYJ)IUF8c32$C_H!D3D{PpI)9X|{U=hJLv{fF-Y2HbDTv`id~?!`iyAU~0YQ9ENI4 zKg%tq%8(?R7bIIi!)D^$zE;VEr*4jW*k^N!Rbbs5%%YRCYcjcVawC`LE1U|JQM-!& zKNhmo3V=}7#5yQjkg_01*JG3lQx=oVgl4BaRxZ2uwIbknSHFn$wO8E1O?{-Ya=<7NgDvtA-Ye(&w1R6ylSt(o+gZr~12M7pFT;oR%BU{CItx zM0La9t7ckjo;M5eN*SVr{E|>@IJiB{Rv*JR7nEn_d*3oOu6zdF=PkElPrOm#OfkOA z=Ohxo+KkmM%#={OwG&@i_IYcOFZFUA18y2q@&3Zaq*LM2_PsD2@OAZ zC^9OViD+|yeg7Q^;UU4XFzqj zqEp_|5J&^OK}3@DkKXnj%$<#vZ*6Qh?Cc%<_BzoNa$~jjcw&g_jxH=WcSG?oKwLG5 z(Wf00JRK4m)>azPUKbPF+W&7tVp6X8@54uq{s-utF*wa)fczUo+o2`;mY)g9A&%z% zCIU`yq+I2dgsplw10UH-c>en_g-XqGZlO5@+$nq{M$80yEVdC7{I|IPcU9^Of&6UD z`adABnNp2Jqe+2wLzj$7BqzaM=tso&if_eVzeIel_*DGAj}faC$OwcoKl}i4zu9ln zIC$5+wBDp=@R57VWs{3TdFB!$gV25V!Pl_KtHBrUPrFSX4^I1IqQs%utHn3oM%=D= zSKQVS1?u1WiyK}CjX97Gb5qvx`xg$iM@}3?fQ}JRQ9$iE2zSIJ@|_hakJQu$9e=1f z2npS@Gfhqm!tN=_CJBRa?%aJQ{(~OQShr?-l`puTP=#x8!Fx;VcXHLZKqV*?HenBL z2^7iYC*ep8x2SFaYg?ayPP;w*Nb6IrIy7&r3slY|d@o?+Pezl{Qc6gY#9j3b`nXjb zsZd?{XT~dt4={*yZ`5;=G60~SOCLi4C@Iwi2!PZp&~;%bSpbwKpvKn4v1kmyMKxyP zG=5|8AR^PnKJJG)2k*3~#NI2_DkFw$r<<~p-^a5_i8>1mhOSyP*$9RynI8Zk70Q}% zPY2RwHvhh{-*DqFY(yWg`wwH$5uCDqrKn2km&s|&VGOTB{M(%;nAf`|T`x|fhuRi( zx7POZS_Mmv=rn#^!KR6(HwMN#R2!( z5p#}3HV1CBjOq8RV_CXpOeZ+mR!J#Z{tv0m!(f-Q%Li%K1`%Cm9J^Z~PvZ6K4%yZ* zv_(6tea!6{4bAhagf=w!z2PpaeK>;_j?@I^mLj3uMR^c7P~LRIsa+c3sy~&{E7Jb51@)>=jiwO6MeC+9)yCtaEN% z`LsPwMO8+Lh|nme#X73Sr=!dG`sJ-bD%J2VcLO3t^6~7?pPY~C`{Phlr|t75DcdIE zz?K9?xHI@f`~`YQuZaB-1iCl(bi=XNi|W+%3^BkR425X{)%=4w0OPuVEeu@vVC6ie1QV_Xg0*2lAruusjL?sYj)5XbiA25gU?O-% zs0{`{*EV4S0@o@;5|HXSl(B1O5O$lgajpBZ=q(k)?m^}97-;8MSa7JvP*+NG*$4Ja zCjcCReB)w>fJRalq-m`h#RE7HThqo>BUcEjS#SmkCl^hU^*N{H73;X03`E7Jsa=tP-L{_y;B^=XbbSKR~pebvdbwVHT_URvS3Q)?7rlbq!b1zT`e;c0Q@3o z#|2NMa89KIt`g0nb=t|98vd!ksa7ACP7Ve< zW$|QmXU; zJqXYRbO3EYiMJEj76F6+fuje!y!GV${3Y7t(Y;cuEbLZKlc!AF8dA_;@d zXam|oc4HJCNU>oyo{~2HnNJDWezcoMGyqk=>n#S0Qe4Ww*!Qt93xi)Q<#R_%O!O$j z@h#XIH;8otVkN@b8rs}1A~~_AXsB7vKt(Tqqa;bh_qOF*wNe^VumqEtL*s`f&sd&v z8a^$utX6_dU!fL7Wi&(A71PM@S97y#M3c4%p_S6G9sH&NRC=~9`q|oEUhW>)i9xU; zp&oi6pLGT!>lokOE0zn?sj_215?{VdgC{LcSJbs<{d_!~X9Np3{SgLStSKUI(1}(j z-g3X^0g#nl+Ig$s%<^y!9d7vcq+U_;$@o`l1lEbOWf?qD%N|)umQTD}4SpOk4tU?? z2S%j5u{xbu`P#(rjZ7}_NgkNY_Ld~uUoCDTzJ%0t<|01peAbTmnW>*a`9oR$k@-uW z3z;&My>?%E;oBAy%A_Jw-9hqe9BcmX)4dS3?BQmJ)E46FJ$oHr9=3{;G9C9Vqm8+5 zXNL&veLfO`H`KT++9~ph6cq47JuQ^i^yM=sD&#eSy)HV$7_Sb4Cq3q9L}_H*__`yj~wRf)*52N4o3r7L|w{3@jlVP+7}E_{fz z6k1xb!ht6A7+}RV>L)~LS%xT`tB^bVX7Z_J>SXalT7G{R8%@Fd#J{s_?q(Hb)Ov^( zHSBeENv`}L#&+Toes%`Wj#XA{iuYH=!Ne7*Gd5uxoo_aMDQjP`Ylh=rS5Xjc(uDsU zPtj8JDoq+@GXL4|Eo36H=9^JTy%9)s%NSOde>O4;&Srk3Wn(mc+E@`Mwl`q-XixcP9%2XAid9x*3C@Ga-*?m5{Tt9r5Kg9k{I}k z5mlaMNyVF8|F`yO=527wbQo=_4MyyT{VvEHJH}^Bz&AihnZF2+a;|X`u0I^ zuWv6|7fK!8(`CVpdaf$>YuwB1E^iY#pC%H@qNG)J>ocgGSvXxI-Gy^~U!@6>NAOoM9G)W+*R+SdgrLkjBM zEg9LO-F_j~yY(mi+R~J)w@J*G!nY$^K1u6yThJWqX)pgzr!w~mS?8{bWb)Y& zc~R3DyjkYk9yPDBIAe2lnT_AP#=gmKx^v5%w+TH;8Eet3<|J1%u8blS_f~8r`RNca zr=O(^%6JZ1?w~z|(+`VJUA-1Mc6Kpc3q7rOCA8YLMtCaops?E+)f8G2%wnSLmJEhE z;|CYP|8%v6dD`o)8gw5dnYz%7ENwh<(;ApryXc#lGz4?4de_Qe=WI=*P=bq1`H7T3Az^!$f87kp5Oe?nrO66SlyXsrvIs*IN30O_ZGXZV5{9|e)C)%(l^GOrYLe-X=38T4Pms*XV!sfqOC~h;zm5O7 zpO}w*ZhPGEKc%6Kb6UMPDE{tQW7zeoQ!b25y8jg`gTr)1c?#w{wwL6lkB0+-twgy5 z_PyUe4-ajsw{nz0DDQ-wN1w4vTi=vSZx|FF98-RvQsP(0QrYBqxhz#4`~_ufP(5qc zxHl`Azk9jt3zMipx6cE^WQ!AC;e*B!KGUK*znC7h*8Hnm&vxTvb$(#Y+Xrd9UKF{z ztCNujIMife*uR>R-{U3ovC{VhNOf_s<{Cv_ib$HSGaZDdOb-I}s?Nr#qqHIC@sDvI zQ?87~jE^N@7urMv-g_yu;Krk8J|0m2c7kRDp-SdMRIRl#GI?Blp$_;o zYF^t$g?YM_mxdQs5idG|yRD)U;xM%oPlFGobPvVnNMj!1KYFg*qezFTd36}fH6rVDEj~e84fa;-aStzdH&3hB5S@rCDCI)6n9gK^3uhso0 zqb}}!;Hn~>c{As1TxH>VUjK~1xcA{zP+a$Wa3C|!?Mt$ZVVvMq1f4hTeUhp4IW?#- z_NpFAST1!wHt(v*@_V_pi#OZ9pFt}3M+h;@~|@tsB0RV{gM zE0-Y>`#$iyB7Ng#)=G^1RsB~nipz~L@A-UAWS1fv3oWD5*UF|VsmowYra4JP=3mGi z{6;H;@!SZB$&)vZvCHt+jOjawE^j#qR}6XV>|)|f$KD1O*wIYZqIyLB;wGcfrQOJ$ z-s@r}U0^bk6)@ST+RyU_Ri`W{I3v19vyg;7Mqj*7OLxq(t6ONza94pbY<2Kt{+}xF z{WH#A4(ka+I{Rh5xcuB^5*1W*Ee*iW|9&}QlH%`iUTFhM+b8)Qa)OuN&1iJ6ZT$(O zFB4&|zr@$THZNqynL;7mo4ZlvwxiYNQW|SxQNxi(zxGh+pFSl#7(HRNz4gWuiV`6S zn{9iLb2&lTA+`w^Fv^X$J%8NpWN%NV)t8EH1kE_~&2hnt(fERhkW?@&h>IC z4y?5N{Z_qM$(4dwp^(Z7h^dQ|tb?)6Mz*TWi7PCu?2;mR7Q?&c8B!rim96ay_&Gth zP2?Oa@N4jt>Y>=y=g5P;i%c#2K2;_3G~+At^DuZ&|OsO@xxtjvvdzvfmXi% zEW~o@Qk&m2?_jksw0kMb|d~U28Y$A^K66|6eC`5-SZY53M2s; zY9QR+E+pA+9<(ld=KT4~<%qb7>O^BJz3TU+AN7vN7<6E}Hru*k$`=!IG^eGt?(rn> z$*tc$CM$Uli($INv`VNIc0VCH-39i;mpz8|jN9X3=lL!O=}Dg2KUR9lz)j2=3V(BY z;NU8+i+)$Qh?8KDBHsV%QF^SCs*m8-lTWsb$8$VckuYV?E?If|VLPAadeTc?6uh5WQSTfH5 z5|ILXvIoH~g!vanEUz51ZNGP8bk0FC)`X{IKT9Wh2bv30*S?ylh#c_|-5igvb{ z%Eu}j7790tuCAGHY@m*L;63VLr1L}`@4~Q%p~iv|7yjiR#2bo4_T`((xM@*DsGss3 zrDLs>ubPZxep_hOS)_=gnw8A^_%H7w3*6(gGi8lu&i^HCm=C2fiiPs6^}CL${bzSR zNkP~u0p^h~NAjP;1!3EPNY@F5i?Gmp$i=9s&qXpvlYSrR0dRRh;I!-s>?(xrW! z-j{0F?xFoQ4xyDId_C4M#db@>Zax^47@ZtTj3cB#F@*FKQd(qm3Qrm#>Th&9F+Mq> z6OockNGp%aMMr1NN=HYf6QcF%C37PZ6H_85wPZL1Vu~swUM9Le&FySl1S2`h21qwB zsw1kUiz{a*MWnjPqzm2#4IHOD>;!VsqlqmiBi&mClZjJ_r%r%?hsQC;HBwTlqc|_e zg5Z$!H!&(%o!DZNs?H!tJ97O!yz~rB6vbsoGpW%r&vLKFX_&UgAE%L0iKkLjfglga zHz_*FS~Zc>iF5a06gmk7yM0IYHYa^91`JFHsXdc3nQ8YU5E|b& zMZ9A~McqnIe2|V@rk-qdj36b;>gKP90>c9-{Km0K{HI1mlNgT4@%CGhk#Qk_Qf!h~ zL<230W^+7(FQg_dnb*LG&F?FjVlkYIA$m#dkBv?mvHzNrKuAszmyX$^DFTFln%}jC zpBgYhlQ;|FAe|K48{;ysLr}S{Kxj&pQEHU@VKC+}irr9r6S8`|I69#y1znUTQyj1L zHyPmZjX(V~?I0SM?BVN;;hT=6iD}pU9!&5_w^wPtQfDO52b^A~GW46C;jG8wgeJ`o^VXdf1D9YQ!Xf`Cr6&`l3t{BT4TB=Ql-kYxv@@ z1}o|fFH?66MCO!Mrd^tXoU}!rWfS!D^qXo;R_K+ap;QNPsrM?xXRnQ@2&4PwGd z`b&YRXq*c@j1Z1%17z)^rz^A$JzwXok-K-TaIX6OShL~q;M2A&3zPWhC=qJ*5i64f zu92zbe$%8sSmS8pzLdlV<_BB!)083wP~jp^mCOu-s_nz~UUenLM=5SHoKWgkf#9wViBt?r5vy#%u)F8Jq8F3ou5=~r_KTION?mdoRtRDI8bF(ixt1qr4 znP5>RBY7(2Q#uYfg4O-ON0A=a8<^NP^=@C#t*q3zv9q<+1LS|2DT%(v)A>I{L>?k9 z{@10UdU90Iko%3Pzcc9r8TgIK3!^eR6Vl}xg{j|>377y|viHe$(!pWj+Bofy(!@OQ zmZVtVmj|S@=%^ASt)hQBdJT^l+8->EaXqZ#eW@~C^RODwBM!fZ%9mdzG+icp9+Hi! z_dt!88Vwo6q&l+y91l;5KagHt?;!EqtR=N3wmBiGH?v+kv8U$c+1Y7DfkmL4?od06n=u?wR?HV17-M61rx^N!nIv4^u#(z^KxIwVzwn}0IrPSpDh^-B@1OYuAsd`F`u z8s6N~@royXw21Cqa4IrsH>sKVkEJQ9JTfl!s<&J)2=+T1pu;<05n5vUD=8^1p!t0I z$f_OLHRjL}^iw{HfGRpv_&e-Gex2h{?P(m)u>ZC&$=ms?d`D{edl~EDUBxs_Djgf+ zxJJld$V+)7-G<5K6T#hoxGKty`YNTBoVyCaNFil!I-}Kna-g!&@=jN%!mE>mR4YLtRzaas zM;Lja1#OG)_Oe93f4x z;SKCDuHTftoRe)`Y%D>+7`*7N>upW8nqx*BuI~>=ioVj*poxFzLcI4CZE)cndT#um z(`ssRiq!}{lR!kr$SbedhxUGz<;YWsT`d`w)LZic0 za%)^KYQ>uKhHLKyd7_&cs4KTNZy>k0R#|;UR*@dCo%DvkQ08nss*k;?5H+K`=mji# z{pyx=^E&0_4SW~upE{)IQ6~LO`mA-fXTS&C$A?|B`c`>FG!M6}4>Rq|H;KkNnt~CT zrfMOFa<-2MD*w5b^wXIluffA9Lu>IkGQRIDPSN-hRs}psrIc&;HYx2FZm$L~#dzCk zcVt&p{zLfPVkf_OU~P7r1Un!g)RQ-5S0Hb9rJf`#6pJU;VJ`*ew3IDR%V(5NC!sTl z(CPv9G|y@|8>l{{5#o)L3l-Bh8o4V1#yUR)U=?kEH|Bewa1+XvcyT~L&rDh>G+y2`m8VY zz)X}`##?ufRA=4S5rOVpB=}xn?b+{HQO-0w11V9cgC2L$~H7be$ z_aFyIv|d+xy~0l!;ZJxc)PjGl-hA(c4CU|Z18Rd#x^xieMTM_UdIk3Hr@B)szVxc? zvDNXj%KXZ&i%l((d6BQ#OB)i!1zgiXM|2+0{nh0W>d(ApA0<1BwZrwC5lz%|i%CEo zDxCZzH+HamtG^x$ZAr-Cj6w$ws5V226|6h=0!akeYmz!GnX8|7hovnOf^2x1ZlQlmTbd z@blaXKj$VnUY%mK{b%JWL-tXM#c*<}@F>$|l$+a0s%VX);WLgRDF0ek4X?C@egY~X zgD@339*1QkTlomXcq^kW?c2GFeQlT+nf_KO9nTVzj%?5EF20y>q5jX+GQvh2$aVjN z)1g;;C0ZQ5yPnBg5YU{^&(@rk;&So_0WNtG6*#|3**FAFuqWObPB?e0Kmw?4lt6bt zIk{%SIyYkzbw)@*EOnRn#;Pp|ynKL>l?e~2Z}3P>rbchybFRF|8~$rf$aQ3wva@eK zz_hf_;73QtnQvj!U4oMj@`uJd1JahICUdF}`Uz+~2zayobBF4@mQTSvcZrNRkV*-V zs2a<-D--%pS+Bup=mn|~{V&2)j<-HesMqN5xf~Zs@g$)ZQ!UE8ym8O=I4Biq*mbwx zMf!}8WK+rc=dW{|-MAvm0d<$d2JL|gMHMTwD5MBekWg85!&k}eH{(o)Xy4smZL8t2 zcjFxJ*CZj#@3zf<5123+&av*WD}W&*Qh2xc&q<@BE{nc* z1a>;z@qM%CyCDNwqSSdJ`9Q=s#TdbwZw_mJoFe3!Q`QAA#)tW+a=eK%GNvv`M?D1k zE^HVa!OARO+r(^1Z;2ea&&&4N9#p37yFBA?WblJzlUe3L$~$t!ICxn4kVW{Y^XNZ6 z97ydcEii|sO(|oZ(>+y_5|eOy)@!R< zSA=YxXjerk67^I4*}FVy3*IIMb6#S}qdYjhdOpPmKgtW8PRL1pctwDSxH@?7$2P?p z?#HABsY@q-&5zi+GvNLcaWa3`RS@$7KEYq6BtIJ%ubjb3Ul+?!Z}htI#mMFEpf}Z2 zREKmdOblNpUTa2joF_O(=)5&lTE+%Lj%9;${mTy(D2M(O2PIgdeDmulOll@y#OUNd zxsogtBF@R3;90bzGi?4f<36mYV#jMmbK8>b847~IKZsK85TJtJ_t|Xaey0+6hC*sQDc{Fm zOIt0cJ2OM?gO;rUfj#ESYou2JlC~o-O9xjUY!9qk-Qn6ryMrGofoGTA^<#mvwW4d= ztj52Qarf7`tZr`j^}AQF%q22nt>A>f8tcM3SFP01a#kZ_7m%!5U9+NOY$r0|O2PX1 z4)>Igz1lHq2&yGWsU`4@ldYZ(kBi|m(YYQy6G#fobc zeo9rf7uS~adSst;>#Mf@*MKiFygWW$dha%s57garlr8!NHnuScrkCw}`I#2cZ>e;p?lbl)6ZN6{fo4*In$JO0g#N#44Kq{4{%snCW)oOR-PW5|2iu$-8{SF;E~wyB%1AjlxF7 zZ`EuhCkr>r--f-Yxewh_$OE0%1@hapkYjpz-hlbE;#Zfx*gMUBQ`$2!ZPZBjQU`Rh2s9?tueR%wl(;{whXe!?hEmIcJ64? zEp=hb+Wst7;NR}-L7^9e`1pN^Q)(EoN{wDgFN)XLG$D}h!rM66u`>NC%0xxU(TJAh zFXX;afybA>i^}etL6hbsoYQ8~>k5IJYMOa!p4KY1{BeBLMrTgRrOdcvLC*c{<{m-B z8oqBC_;#_nu{3b-)1JLIgxp#;@5|KV_hDbq_~x*#l>9;3|42=zGMdUs~ z7bf*ryz^Josecr4Rxa?U*=34t9ByMpL$0IdMUY0g506KV3)W!#?3f}U(j2gXD(Dvy z%HYI%2@SY3e#7yyu~3EIc9lF26V((ka&yCWS#i0Te2|i3ZL1Mt>Qn?t96iPQQ^d$( zHUCjDyz#^+s61ELi}IN_1asKLbEY7rYyN7yJ-r>wWimrR}FX z&*omr20V_iJ)~NY0-yRT^j1Yjoj5Oaa)m*(Q!M@- z=7923yaRf~Ez98DIpRfc&)|9lIoiB$wl~VcHf`iM>smov9oyu-vgR%mJl{+h(W)NW z07r;%^^IOQ^uw))rb9Teq!-GB8*t`I=6sZsy#x+bwX0zyeAFn_F7uBCW8RJb^nhH) zNLxAgmmNkz+_W^2JK2+_$WZ<+aTJ05qn-omva6a9{Wp1%PJ8b!JZnU-WBxJfe=cEE zqZnbx_N#+>+xXgrjCr-wZnpe`(EB&;Q+t3GDsX}}feHu_z{CeJ@?vn2DOR5vAJNZ8@h4gGkU#gvgb(`)}e!?fzXLXC? zxg+|mdd^+09Pn+#xir4rZ?Ak(^{7yX+k}bD2HkGv(nVN{w3njUL6d(fef#M*wM%BF zOxs$NI`HbWZAfd3Y`<7a`<1i*MI|8(6w@RKs83-{2zM?+R6BY5(Rrli56-Hwl#*p) z^`g&p3wc;X;D-bDj48G4fUccF=D>(?B^|(Co)U9vR;h?5EBC7*ROz?We^Q+jMt|YcTvt{lnfQ2s{0OA(XcbgEQGpz6W!zaE#< zUulTbboq?M(ZkNcJWo$Dw>uOnrqEuzoMX6O#<8=C2&BkSxcAd)Ob~L$SNFp;#dm=O ztxAti-1m@)7S9YqgQs0FPU+sG)2x1O4MN}40O+CbfhF|3-Sg?Ke#o=wS8NN?t8DTw z(`u1VNfw1KADVaGmPOVbg@gOO@?4JhvA9(*mKU7WH3cuq`2l3uy>h;u?)nmWIo;yr zC8Al^MUqLU*Q!F(xlqm9lhuWFf@@qLNN_I;%vG5W~FmtrWN-JVQ>ZVl~Yo zqrJFtA6O@&DFzg0_xHw6>Pa| zV|F;J)HJaP0DC(hg$SB;679K|&WH8NI{9y&tJp63YY@^ND+-#+t74^iu$B^yShEuQ zSp1q`54q6n5@C@+Soc#be2VYT=+(iDt1BYPDwXr!q^Q`=_bBjVCH3rk1a1+qt9E51 z!hGK<)~u-aEx@gA%()1`9MS&r$}&*;?Solgjz3d0O|p4Fx$D003L9t?s5l zfNtCfQiTM(XgAToTB|jjge@JA!Lejo0U>^%GgY#75-k=Ux{d<&Fz}nDUiljJ$98#m z2tYCbPx*%j*o&@VGSt`C4|dc^u8i`$aTk=7(JIf_v#Esj2u+|e8*I!cpiDGr(H!i_ zm`jwfdXzB3)Ziz(iyECPp^S|!)k^=gp=!t(G92q~z&j8dUJ5o_2arcY&S`a1Jwrdi zB2=fk36Cy)0?KzdZZ(o>&__-6+x)g(K1lcMicRM>%2)0D6g!osux=lTSLI^dDA)c?o+Gkp@HsPJ>1}*Qw9X#si3zPgq7>WXS7vE~nuEGkUD0hRO!N4Nd%tRS4a<#+r(UBI{3f z`$QLWW1~e?-{v<)iiSvXq5j;}JZoK&b%b?xiRuAs%I+<@aSO(mHM<$tfo_a$FtXbv zmDJ`Eq-DKwc=1zK+W#86i?&{E9=5La zRpd;3$1EsPerucipQ6|uAA>j0j^}j>l^dhR#dGxdx7?ZCat?<-V(fcFbK?_%Sv%PnFF z=ICseD)b_xJMbhJnIIat*I>rc?v)* z^pVHHNK^9dKYgc4@h~n*Vd5KNV(3xTk;7WFq`(M>7@3kpaL{)E`?a;j;~^hXvaVlz zQfYMA*KIw5*MA>G(zROerJj16_CSkE&~VTs_@2_Z{!xdp;+7n#3>li6nd$B`Fj(2A zrv>~ac={hYL}-ys*X~coq^v|myOu_x)uugD_+|uCNOv8y^$o?odI9{nUFcd5?XK7k zxlbVP+ZP*(d`bwYRwmEcLn6Ki5i61!zs-svQPhdC#wD1Y%r&40B{zS7ia*%Zt^LMw zEUkh+cdqTvned9BkJgnla5q$?V~px99D$NW-A7} z@_|x{$11q8S5Nr$Y^$6Eb(F((M`<>N6dRfh1ua(uqS_$4U-z`SA3r|KXfiNX>$%Hi z98-fl!4WG&T2RGf`TJwPIj;a%r!PN&VEuDmIR6YBGBYKq=bb@X+jj8}!`QOcqV5jT zfV&!BIzc2{kZ}dclWLXR`8EGvh|6Dn2UWymJ|*oahrjB0>g+s;xyS$PUita}Ljjei zm;(O!LhawXi$f9AG_F zfMTfqEB@4FMw@`FL?&Je)%Fmo>Gsu5Y169o^4k87Nrt!s8{zH8^4egqU+d3&e58AKaxycqT%M0Ydp11Qh z1bOq`pv!a+A%3qrQ^+RO{#Eg5q$JhLFIWxA-lrYZ)O<)V{NV4tp`lL-J|*&3i~dK% zH!1#>w8p#&WbnJuxaxv@X>92iP3LXwl{H9DysduQC%@D2&@~yQAa1&@&ZQKGi(VIm zWDdetw-(N${dpS@GjD>BW9vh{O~#KgCYrr66O`#9`2lGirP=Y|AzpC&Z3yRwBMqqe!okTcK*Ic&*anYOZX}nEQbrR9l~@mE}nfi~E#7 zw_^HhB)5m?X1%1^S?L(F_bttS;ESV1dS%t|y`TdNRaFP3Qs>0nqipS|tqMdl5#23! z{Yv|=1km71m|=H}FFR_`B*c|n*SelyQOjY!ZOEv>@3K4DHb=}3eGc}GF#l8LpXAfK z?Lm^NcgRHchSCJv&CI!*VlUmJZ z;X>)a)xjz&uF5|)><(Wsr;2RDZnwOjoLs zPsVnibtbY>g068;F`h#r@L`>Q{PR(@y6lbmD*Lq^>uG@*dSCB}tCFyeXA+MOXWaDz z@%76q8B$2S=>{S}AV-F6`vvQ#BZ$Iqgd}_VSI!yB*`A>^T zpFlG;Rm6O;ONWWiteuU^9guHBJV;c9&64_(9INc2l*BxH}f$< zUk(uBa`et8IcdI9k{TdYr_0K-YpF9B_C%>h>1cyU_D{6-xvt7~kjkPvKy$^~iRD9D zQUzWe4efnkrPO#oO1e*slcIwvVlY5B%kX~hy-}=X%MG!Sj-_7yTgl=%D>J9KS{W|v zMT=7%s)BM1@wHrG_6)fQU+iT|Jn5%_`Y3p=CmVQl7cP4f$%~a<;k+hzl+>fzn%1u9 z={DKV6352J-hr@?#Tf5*kAB8;InKK$)E}>F>tD?K4kBrPv@3CWt@T`4!}(S@r5)SX z#KQ;g;BN0<7EhI@ROc)))uCRcALieCb#D@2%Yuw2gXkJtWb6H~G?TE9eQ< zw4gB_?4uM%479#+mvVnczSZ@9l*lQ7DV-}YtK<%`#>`T&pyKzcoFyW z?di;Pzp^jKscL}VsQ<6S{s&}=QUs5h+-Vf}dGlAL`1$~bA99*JzHPR?M>>(lE7m8f z^*Z(wb10&>k@C9DTuIqMvjtMww4Jx&pSRA*B#GqW{B_emKkLc^SV0hbONw<4Ff$X= z-{d2LFOj{wda?EyI(J_&g`QKdb~|&B#Tpt$kdY5ZqFA*JMJp`9+_!}0=k_G-i$GCN z%$xtJ(&W4$lHP`GD(lPVOH0`I$G@PWxZ&Cpd_PNX+3ZPpcEQ9vOXYny2;k0J&E$EZ zT5h+RrOn4G2Vo`4&I6?jNUO|ga^A7;a2A*XqzdW1z@285AN^d)AOltyU_~T7$5N(=s+!M^=Baeexw4lcth7HVlwY@+bVBs4?7+en zFl_U)C8AOEYUso|BE-<$+~$7K_iYQS$n@$Ox5RE=r|JvE$>Rsuw(P;T*c6UuRR`2DO@i>V3-FdF4-&{_K{25w+F{LCa7bpZJ%79&Aa=)<&`g5uGz~$=q`|- zbZ1BL)20<4qCbxOnse1*fxQOSUPog@{(Zd3=iJF0UIXsM3iajKy4R3H*vD3QPBFzd6#7a9=^}K~GBw?hudcUEqO! z$Dg7;e6L{4C;kOoKdpJk3%y)iIA-v}qj1}&lFn6bl8~vR@34CU3^}bC%=6_?n^3X1 z7TxkQywPtUL%0kijF7O~o5W)@Bs|k||4%tfjYp+@9sHQZzgv|=biZu>VZl<1E8(Yw8<1SvhOvy%-o3GNMxsv(3mMAA z^sUMQ1!lwQh03agweT%sov}@8*&e$+)!})tyAYRe9wgkl9LV77)=3hdUQw&gLUdBT ziSo_{^AcJ+SP^%i^Oy6-hYf_1qWu&V9>|X2s6iV^f!Oo;*Q)m}3EJu`?n+e@UaXWg z&=p)@KH#QKk}sG7@XV~O6$vTN9RZPmR;owf01a~@FSZY6+i=vY5k3=Ls$e2y08I|Z z72svT3^(>8o~wGIh>3bnVYjO-T71$>JkM3x8wxkP!yQZ~8w|2Z*(=^xK*3^IvfLM! z37Ftu1_nlJK3)q=sh2h_3h7?j5`h!18onivd$tj#D~2ltJ|{HrM+-4Izqv|x630H$ zvT1y-{BUS&g}^_6sqW_STjrCwv9cU1g#|gpKpHyx@aN^qnE1-~MirM|E$7+R5r=;v zhp%RZE7>rwFIQH!RV86SwaJy_G5%F=3Go{>)8Rff)N_VCi{N(dyMFNXyhT)wnjJAIUapa)>?Ywaa5~`QaflFkVOT%?+f`M#ZIk^jAsX zX#P(@H5B_(v9J0(vkFoJwb22>pp5uTkTA*Tj7Y&`jqorfxH<%FL$*QlScN06zi8sM z&mt??D;&l7n-NCx|11?&Zgw|_36!1UQBR2*P_HxzGKT(9eSC%|Qr$re%`5U!chvb0 zVOg8#7X7SMxn>C&CW{YhIY8DI{B1>9k^~w~JW`QN$$YYR6;|B0`9z2ao;OIQ1yTco zsxpR@4{36xM%mLy86=QZr6l;F`ygg2v$t>LLB;k}^Ur4lOOikBr1LzM+S~K#qoE0q zAxrXgAy=rP@dt~qW^$1KP%B(kGpQ5pUIhN2wYz6FP10~%p6x6L;j+P>e}ViIbT#7$ z5^t~4!q-1zsEP5|%?G`E7s%32aZi@PYzeoQR!GTadi;5WKb=)6dazna(ocx$lmxL< zBF`CO<*Y<017Nrx2RV8CP*F%Smbf}@a82R=q|wkql9IIb{kdk{L-V{fIW;pv zFb;c^MJAf?y^@D93vO|67QNPVd*Y*&Cq&Ut2cp7tlFfRq^$!PuA&OT&Qho)U$omm^Qd7tO&dF(m#Yih0j&Pxc}Z$7S~JIXxDM_sen zc4n0+c$WUt@56jyJ~O3|AEx17$OjBkx@&sY&NL(a*A@jo1-BVnfDy$m(tS>|UvRyk|Zu*dnz(U?bX7bC|oA-G9f zACn$b=io)GKSjhcy5zyCHBjQ{656YcSHFsHIY(X{@_iEK-6kTDQ)XiHN%)obp_XtC z-o#`&xFExZ{Biy(I~dmN#8Qlo13;RuN!&+x1(~N>x@NOc_&$7~U-bOkAshKD4LVJZ zG;AR|Wt!s6rxEKrA0>UVXsQ=t=8(?dUVWP#OKD}Vs@I$ipyzy{!I&9Vsz|lTRQ&VT~RmY*st~JrIyB4?4Nee8p72 zu=51YO>XFmFaNB4w3T}d=qL3ty2M$q)$VtRx@XR^7)t>xms8HZuf9MCcAPE`&mbLN z1Uf>!^8dlmX}vHN;09_0V}n+Bp%z8QxZbD;cw*jmHcf8Z{+6{(LVlirRg5nHd{$wXX&PONmf6h!-ICcMy zqo=Nh`>Y>P=vW@&o>;+W8STmWC1%uSof*dy!3eWsq9kca3S{604AW!@5vGI$iM zSq7L(&gS_Yg*~?EqnNNuUEaN|Z=b_Lv}zwMoM>qL3XSdo>GJ6kT=tj5wG+%C{CQX5GKT?Y^>^dXhbqVkMhWEfJZG&gzJ959UqbXr6~N!b zS_I|%(0)}!sx`L|J$}r!V?L3eNzZXne;LI2r$PTPo-Ig=62%7ITsWJM!vwtu>S9j0 z(*EoBpX;l<`ohCR94C?dZLA{z$(lqS;9uW%GKbwk~1y-xPTZMsR{3B)gOutpi>sxo>+6hcK;&cpsfKi`rQe(d)aQNY`?pF zWAR;16x;vc;q6G=X^KK~E6cKyef6&wW#uFF8R`hR;T(@G6`y=4Y$ zA?p_?elH*>p;tS>z$?WMub_OLtq1y(BqKA=v?~+Mr#;l)0+Rg2@(v%_4SWvcPzKhh z_<25S)O#NE1GJswP;mPDj|k_+a6`2PzY7ZZ#|naL#}p$bDT(w zs>(#)f{H`%EibgysAQaahv68=Xi0)DDKc%2aMUW*(9hV)I952YapFe@pJa)n)mz#F zV1OX`9vdoWbANst1i&TV9**j}66Y4}_9>a#@ujd2FvNX+)IJ+K>^CPk0L#XcB!K$~ z6L7DmArV5V@NcqDS(|aZI6A*)FLhfeoH1@_7hC3@skn?&$btHapzQBlCl5%zV&a?r( z3tsKm!G~q&vFHd1L^CkSQ1O?#z6L81ulz#N{KS64#Ody^OK^0?#Vjr@4t3G%XTw=X zHO}dF8eh*>F_LnhJY2-I;yhm=r>6Z5o$Z(z`!50hQMUu_KU27KZ!pkcj9N+V^Ti%G z*qC>0VJcrnJ}XM>F{t5{RW?B8kmzLPusr0;r*K)Wg+l(Xz5~ME2B;>KJIf}LpMxv$ zy6qpbNVNvGC)JaGn$2MSj5JArvOZzFCPWgXa9V#cj(Z*3NM`R?-DQ9dg*6y^ss9Gf z)TXV(GpW%?yhN-F{LAmjM+|M&h|Reuh5`#hRjHyhZKJ0}*mJr?-%LLK3L)}FU*9=5 zf=lWZ=DCOhOr*vXocw+;zowqxr7(cW{Ao$B9c%LBv?$l4J?2=LWWe(yQHk*+-Y4{xtk(e*c9j4N|~=X_TaKTC6;gKs&kurqV~>8!HJ0=DKAfiD^j!)~KT zxTq*|;tw?`Ydb?=Tm#mU{%Jy?_k8s>i0qb^-U|YnK5U>PJ|bgr9kJ>?DZdi{n!l3Uevp*F3Z*kpXzmyGe ztOFu}jes$&_-SR`>nZ*5hoiz!((!(?GcUt|X`76%grZ(vl34O{~LIl|BX9I|~r@r;x4Jp+0as*HOo zc##RZrt#(UxEyxn$(+Z-~GvUv*y!-%af)YRkOU$ zm#q$qF-_T$0|1(Q7TmsvC!Y%cZU;1QcGPY|fiJrMGi=B$^JsO00XdxxabnPs<1Y+;w61 z9$iZOfG{@(mtGNL;qT^bxdihA@4`#e%2K5m|7`#Lq_*lP=aQ4&{`@H0b;hhL;wa zRHxlEb;?}e_}jxzbZS&q`_GD}yvZJNn}M~BvOr)ilsBG)D8!*`zFTO58>KB28Wn|{ zASVv(H*?+T{QS?I0i7ZgI$hUMt@#%nivQ}n%7ti!o1J_?CCl+A{KbDy>mG%`+nmRi zUvaiU!QJ2ZlJ`u_$jeWqQ-{(ROKwYWYyV)PE`Lt4=hpS$EWN!x&CDyZTVf@gRS%2I z0i~z+V4hv-Z`Ey8TgUA`NdIA`w%wtqorbmKoUHDy$0%h$!tSC)-DzjR zVkI04Z@kG^1ex9M_u)8hm@0+wiHyHs**+D&2X~B~mm=B0&`YVVyn>%Efkz80u}MK< zy*kj~DJbAB8{1ydSNB1(pV`25qjiJvABe#7ubW5cW?J9N7o12$_j5so@a{B8knWu= zjWn7GN1cs#bR01#8?7|TZF&{YX9~|1WA}*ky&O`VI%+r1#a$Bz?{k1$wHv8Y4^p$0 z0*w~%J)e)if;424Gp=P_)YlDox>&=wnA$h*oe3bD;GC8z)H@~Ll-r#Z0@INZ`lJ>+ zmUK@MYTeLx2gSwtb3{${T915agy%ye9}CM4Ew+UH!YQJ7&y~F_5!vTItqv%=JkN#h zxD4rw-XNb|E*~)vW27ERng2?o$Zltktfl|rv->&|8EpK9{E!i384(EAu0tQ)?0x`= z0vt8jJ|kAMM2qqF>yKM{C0X1=#>U}_+H>8Wn-zYxp*N0$wPw6=23FkOd|aXx6RWO0 zq|tBcq$cB2*Vji${EO@s?EYRv~PvdtTW}Y(M~6{)0@W`kvEnhDQGl;QgH+U#=brXOIRak2@OE{=-aG#w7Wv{xwI9pwFs@Gz?ZVwSgEa!N32u^}ar#K8je^559!g z`DE(P<#+jkXN?Y7EVA%$e_l`*8}j6HDTPg>N|EzPYRPCfIANwxDPQy|)j87~$XOja zGO5^1Tz)5WuxXq#&6%fQeBjy&7%**O&?K=VNVQPE_d~kc)QKMW}OwY zd(8oF-(t(l4PF$2{ZO)ckT`waw=_SAXhtE?q)4WG*a#3dS|V+L-{;I;KIGLlGY_q%AlL?)>sox`%IUyMPr^0KOr9T==$h?D5(Ztt@h&cl@ z-`ps-{AH&!RE>l|b$8x-lYbmj>|uUPalxsdzg0TAhltTs(cFR^k^nTq29@!I+g41@ zmMF63W+Z&rt_&5Ei86qe#kHdGmxC`5^7K=Pd6h=lo|OF`*tT zB4TwY5!j8fypMtVwVmV)AlU99a}xvJPU{bnx*XDmyoqik4yfwFAY5`&cp6*F4u1W9 z&>HO+Du)^jpz5WTEe#d_YB zn~2QZPYvwSW6EZ%?qQ4KIODRSuN(>__%nQC%5Y??Rw=v$An)AuV1xZ>Oy&mLsrOW-`$)vxV2wxTrVsdh2VN6l@B zN1A=lG{d(#JqjjkXS{lK zM8;^bnf1@-U?`Kmkdr6RlDwi?6qN}g7NS22n@1xh`&-1+O{6+yE8?WX-%O3<(Q>Uh zOXY{1Qf{V}THP-85REDgLI$FjSR}m_u(rwHg}+MhoP|=W4DBk%LKl*^dWhb_ zG8;761U2Dand>+8$GPlTRBtOV)6#uu7qDpL{fgS-CgAyeD0!+ z_9zYLbA0r2e*k9jQ)3ucWKr6_`E@{Iv1)uh3E497z<6wVpSKEi`x(4iGuacb^~-u< zopM6pcDc#*R-YCsKX*4mCVf<$wh6rBuSb-Vxu2P{^v>Wi*(1w|kSX%7cdAK&SJ#oU z|H$mq8N1Mz=2B@%FMm-RY{a>vI*9nccpijCAf4U&?%}iVn@{=*b&L`M+Nv-I_pfCZ}i5>V4m@Ce!r zSLcpNe8H;hzjfLpTR+7OxLe7zFYki|A)Aj zp0JH){dlmtgZ_A^Sf=AZ7afbhxPu1H1id1igW zBK(2>VcK@=N0os3zPbnLeF!yOk2*8tV-ByLA~-DJmjvl_*bUTVM8v;OY`sKb*U7H< z&e<_dkZ18u1;a7$Z=ZztRmsHvMBr?UCoJ87>Di>Z<}<z8IaJ5$=fbN``)Mp%xAW>cFHc{&+u#JAE zSRw;^>Lf1dBKB5G%jEh7-13%JDGz9~Je6lx^mbR~(m$Sf)b7RJk(Zn_sg9_av1OiE z-RYooNW^+|60|aSN;*+v|Fyf z!ieA<0fK13s4&U5krOVg>sb2Q^`U$@zvHFhts~y}DK(Q7b(Y!P$-$^av$LzQTpPEN zeOr%U)FDxg7w3fxnokJF*>On_t89&XKZ9cmW>rkeKlNvFq127|0Wn4w!De0t=6Z7; zk7AZT?WC@(Q+B&-9yW9pX%%J-OYMH91n7tWxgOxmyuv*kw7^6wit3v3pr_;Sl6!|Y z#Qhl`)#k;3(K|aPf(`K)w@~Q&$_14Z<4l_8R}BbUMsfPkTMU;qndbvo zqY>Yt146vh6rXpR$B1V^`m=AnnRVXov$Nzk%QQ|o0YW}O)MhyXpedv*0?HR7o6jjI zs*)13sh=fMvl^Ly{U1@G9n9~>ae6-&Lje40o7D%F-p6B?I-@ zf!OH%Kl$EnvK&2}U#ljdp7DV{XU$l37kF3QjKdVl6?-GKrw@cAC7LB}y{XH`k=LHoEzLm%*OCZ--D6%>WYrjH}{FR=9r3AUZDN^=8a%tw(o%va z`ij$Q&0c@Lte@wN?&=rgxyHgCr(c9Q05H=tQH+_(EF4+&P?R)Vo>Ne;vkcWn@4JF6 zyG+Y&DmWEZDdhrxc>ieyI7JfMy)J&X`f}{i+OwT9SZkW7h72TYN^A|7E}qvd7Wb*8 zvVWI{Trnh{*y7<_2UC#)yRh>tR59&y@r>$62y$s|n^WFumUW~YQo8?#qe~~|Emds` zhJ4o41xI8?Ya>v|CK3j1I=(Y=H$cqpa?a9dD_3@qA8qva0^*E=UpJYTNANaB^S+sDHtyW#PI@T<>T zFZ}uy{_R6MT}tw2WLa}lnMT>H3g>h(3$;FlhBxU7ji?hjSOc{Z?qc1{23g6gs-hk; zpakDgz#2hDMRPbizGEIDJlt(8EFA=dabc3xzhwA_s&&1gwO$(GGRAyaE-l%%#hIQ< zR-x^O@XLO9ww*?>WV9sr+nu#roB6O5 zm8GOsnW;^Z6v7A@a_J84JN)hIOTSm4Ip;IZ>eI|6tt|C!NVJt9rIJ|9`JU&}szaw_ zxPFSantMp)H#SDFS_5agw?)Rvu7(|mL;D#mxQ7ls@Kl+SCdC%{BKIJC=y={tqYnz; z$9n3pT9g+R$BJ7#B|`~VN6%KVq?ViQme)foeV!sC*G<$~xmbf3d&mX@#R~T8-bDOa zIuF)bn|8UD+m#HQ=YUj+*q9O{vpcB+Hr(2*CSUocQh8<*(GQv`?wBYr3pKx?ZffTx5 zTlfU63+w;3`T|`52{u*V;vzMh=0|=x)12pe@tXXSQ8VGDwal_HI2$@F-R#fRjAC~} zaFUCj2P&?*{d@T@4aVDnCPEpBJt13DO#)Zd5%^J)0MrQim8F?NH&WykfHKdAsj!-$ zPnI=?Kg-{1TFP(dd=iW}DradwU23nvqvMez&cO7KHKJ{Iqk66qeVW{@b@qsg-&}d_ zZOHXqvUbtu=(?otnn2e$j}p8zc~vekcOAoz-2Cj}Jt z{%cv7qN}jjtW5a3|1k=fl&&Mh-7ItiJun)j`4O}R1IC9&XJPZsBNTTFx}dCo82oh` z|CO#;X)#Cx{^w8Dv{8HJWQRSQTS_;hRoHqK0N~RT^(l8y;J6LbS!D^*@d)O{r zWU&E`k*?U3Pwg*+{8(u)SifPv-$4}j$4XhFCUL}UDu+S$kz?sCaYdAykHY@ zLCZ?{JVK4oq$Jf$h@3>sJ#Lm2gPX+^f+l&baBtLc@n(KPFd`rb?w6Va7Q|<3u70HK zMi@p(w$P7%`F+@N^ts8c{R}z|C37ta*j?M9ZoEY+ieH6VEkHt+3Rk{(emj0bF?XVI zyISRoI>tI9^b{U82kK5XEuGh?%@SSK(iE@V8Fp1UZ=@VfHeM zv$ij}&#dEGsISU`_#|_6hHv3Awp|^3dqGFXFs{NaCW_`EAr-QerFu2^Ao2?!?)`F* zu*BS4V#Q;JpUnzyV}dy|XICoQT32YtwA|UqE9qQoF2s+g&n_uPh<~XU!hZPj?QCuQ zH?Qjg9G}zc{(Zfs@V{e;vJ6KC$Gi%Z0(eVlMGc! z7jBB9p9EO=v0j3c1Ptq+dW)EUGPXgxABh)VK7D}>VCu{5z|3Cnk%7}NRI2-QBfL!3 zs4LN5fF+!b10ByU>H99wzGL|^UnUdUs3Ct|Bb?VJGE1Cg7#b&Icq-0i)6jIr3+IFyc}~npV|44Dy~smt<}{Q#hL^guhmkgv+1gVa zz|4WM_!gE2k0Ehw@#NnUJkLH0o$)dNHh)oo(wkUv;G~pX(MraNM}7v=x|xI_XGDCj zqPU1Y@V6FFxd+!@tf2|lB>%?Ly~Cw?$jMOJGOChQ7ng={edDF>@ecNs56$UhnRNPg zl2?Aeo0LZ8w8?XccjX{?QHNTJcZdX)?&<+9j#iN_VjE!@-Pi;YCdHLlb?B>FWyxm!UbjwSRX_Cam;_`8 z0#YR1Pm(iUSN;>=!8N|LhghCC*GN>@d}DnG$@|e&P|arC-mOZkvmRd8CqYXFVS+rDFvk_|Gy<$b*PcFG&nD`$?u0lw& zD`XNX91?fvXLv65Ou|{LwApHp$DrNK)VIF_AP95!qTb$jpdaCX>G(Zg!w;$SMau5W zkA`H`+CQ80u6`@fVd1tm^Jgj z*nwA_?aCx9?>ERN1gt6n1p^7{-FB$^D`qVfVqwKLIOtnz>QQ@a{L2pSOIM$gnWOK?v5 zvf^egcwRFWRCz+X7OVj+;3;5#j3ByjBW$yiF5WZsb<{~ZrG91b3Cff8qydn)p?+Rq z1U2-2@8y&H{MD%em0pfztg7q3x)*z=|1t3U69Vn>hUbeb*-OjJ+Z&9tI#mWoXWYxI zp_c%b7(0?d6HY1u5}V&L;;hSs*nquT6shLj<<|Ct92y1i#Yis~}!v7u)5 zqa&b^krYG7llgmOh}x%vcwq0Qp*1m2->u0^ErXH2S1fwvFx`MWk;AoiE%k8I$H#`z zbNMjASJ@7gepN&DffmQJx^G&@7uq^}gjuwOLAYT8_dmcpOC(b!ZbE9Tx!3>OggQ%h zY+(8dJJ_t|ctW{UT~x~VZ2{K0$=idR`IK-*O8YL`uGg!&!xYiyB7m$jtEarweMoMP z;dj_AZ)vMhtHiCvDll=srz;02JUwpyIWQ<2F$hs6fvZXPTJzUbfI~N z5fgstLa4H=R{W_NVE<`B4ZPyjHzX0xrn1%Gud_wMttdLOM(&Se=r_uz(g=!Gm?0scZHCE+^_ zV8@~&Ae^O}mX);T$KrmgRD_H07At|ghFS=mFdL_TQauqK;Fw^XbKQTf>OOQm7qdUtyiO}YY;T+k5RR>2|D$wT!cegyye0BT?mA-Mhw^=t^?T>W`!=mkPpeK<8Dk`PrNO^vxoh^>#K5=n&k z`UL8w%Y-ZSSE-3fgyedFN=_l9)~8W{Yw3iH`s>t83L&dLo0^kL$g96W%`YI_tiMIQ zeTPt3f0tTxk8r=fnEK!$;Zgl#YDp=ftiGK3q=N9Y{y%EvGeT8;HT8K7;YIyRYHb~% zzP^Fl_=?a}-%M?3CA8JIQ(wO!bkuiJySfQI^}W=-e!@WgAa!V%@V1^xeFwZJjMRUi zj*byN)_Sr>N62B41`8w2-;N`30}Vqn==S#p`p(m%^{#yuOFf3mL0k%#gLh z^$o8dA)AFie|h~5*(&_A?X?rKTlja+Yd_?m@ZX^qD@isE`mkBxp_r!}Ta2?g$0!ty zN!1~Po=r^1(+&3cVenWZZJ@!eW2l$w6BC)m&9hha-V99ofQ5Kes`zHjJl(Q&C%>)) z#Y2U=+M;0`5((`U*My1PP3|}mz3wBnGe4EDi02<>~S09Fp6BWPMouX{5 zb&+)b(pz+2p4<9Y4sc@uhu^($(C*Z!2hyE~lu=6ZLBB=QOu`}E`)BL$^r|F z^7UBetms7;gdUjC#+Ja)Xe3>dWf@GwHY5-`^BotXfcf%FkzM8G_l}ljnz}2LqrVgX zYO(t~kh&9&X&iX+gPJE3B$G>QsofbC9=|#p%C9A8@@Md%!uqby-DKGxfCYW7(2A9z z;!8|}KG^ByUmg!PD7rb8>i?mSC&9Bqxqej?S*l|RlFJn&N0Vh--x6*4HF`?16sRf7 zXWTjj_&8K7uVFGWgqCKD?_+&BO2Y@ZUP$1_M7+h`j>*TLDa$XHg*R!Ib9XcC;(S7Q z-xiJQbvY_m8)iNBi6m!#j&igem5Qikm?-2=vpnv*4Hl=Q3~oK(QS6Swm|9DW{YJd# z3aEUP=@B6i-wFmRS5CeX>o0%ZQVv3z{+N;gghhG<%qge#PZ}Mx&#{$W*&)b1PWpD)8r1VBtB|`4&W*SKBn5*iG z#xtqyVQ~=hF;555-R2!}ctr7@V}S4WE-`?9p5;o1lPWM|n?1^8-oi8BH8#rwYyyNc%ja7Z53;8T}lpcP@I;H02!Y5MUM0L>(4y z(3XpZ(~C1QclhFJ-DRw0X>`cOdhxsU5dQuP)HtpEqt6Aukq5ger_av&?+Wc2{l?VyWvFJ+zdzhfcbj$Pe!r?aT0TZhho)e8__|78w$kBu@bn7y^tJj90+w;4I~JW{K& z?fz3raj<_?Z}whgkZQIw(0h!gV}L7<)pXwM?Q!4Gx&^&o0Nf314#r9CWzS@PX!;G} zEZyhJ{*>LVk#KDISb9X~&@tx7vuyRqK^|MZL)>uouGJediB?xJ;x_r>Ia^Pa##H56 zBU$fl#g=cvz&LGV7Y+mmvjVMhw9>bh5KQ#XlxMYSRiN8wM5ZS4^Z3v zbS3Rl?_sjen6uEdqY@C6amZ|lB`uYP8G?eaR^~f`BP}qLR;T%Ysh-U$_l&WZn2E!p zg>9`aY}4Ex5g8-#9HF~Z&N8Q0TRifWO{_a8hl?`6VTB65&P!3Wk68=hHnoc8Oj%n9 zOIuGwE=^v^l|D%OeyG35-=2Lds8goKpT|uEwWMt_xpofHJqrCXbH^w1{=n#=z5ZbQ zqJ{!kaX0e0Cf~7T_N%vG*{UhXYn6C@e;jubUcKWK4xD@r<^I?>$|YSF)ue8LlTVLT zuXG1KDR&P+Li9B5C^-}-YlcbqNPMu2F;3wzULswf6k(N*`D|vNa4I|YOflk4K%_-a zrd3;lOw`ZY`2#p-&%o>^*lE^nu=T!#if(uE^z3%Oe+r#Nlru=a68}nuqunHVjjt@( z`+E}p?Cg<6k)xM|z{Kwyc8(t~j%=fN{#0N#@V|NIWSKvPGLYdCS!l%Zer}~YB~$u~ zWQ{S>ZP%i7CkVlN(-E_kR@rlmd*2zwxCCXi=RL|&eXTeM?lty|%6>%41er^Ouk0IX z-_B{%YdF66?t`QR%@%jc2%Gx>^H9;G0q3}=pty7ER+AY{>uR5(=<=-`oNI&oq5z=y zUV`R{J86W z_?pNp&Nvv+3~?t6$3gyX-HJ`YIB$hvk3QL3S|$Dj-6#U!t)e^uv&pp{U7c`;6yc#w*B~T7jBNdKi@d z>jPbP$IswJm-V;&g=g)(P%Koo8^XYSJ&Gib=E&#^=MfD#S?DQnsZRoMZ->7^w@CMQ zEN~dW0x92!hA$D;5a|$+TO#>> zf??-H?!qE+p~=M*#o#pwnZx5VI15&HOJANOe2y zMDTijzxv6d6-BqjK4&pR?(dK%s$LXi)-TO@o)~rQ!M2){HI4!mhx`A~E?eu+Ys`IvA ze2F4|N0K)`OiPcsSCpuLdAkF*d!UbwTzSs4lWbsB`eUyXQ4rpyR5mSt6cMGX4{mL` z#xC!J<*!L+D-?xYNDJYGM_t_MNxhTSeB@8QYuZ{F!cogIYk9<*Lu-3<$d@yjePFOq z@pH^z&z<&fH%=*szmu0DSHhPUiC=kB1A&)ULIUox#PIMl&u%m!IP(~i*~J|z&Wue^ zENfZ_DQ=zOSqv~fw5IHXQug;|qAN0+qtUxFG$IWCCmIW32AO@`OIg7}-C~=$tue?#yh=7*#L+@$GFTtVRdlCU3FXn0haa7u27FQ z$~X!Zy-izz^L>PKwr}>jWO(GIqp!RB_tM&p?*#a%eUK$(iK0G6r;jK8=l9|KQxdjNBO=+j)(jVFkGP==emWfydPD^~B7V$pf_H>)>eJd4$*@ zk_nqZ$RT~vAhlJ<%u+*dV!864NVs|QSERFT1;#R3YKOVgJil;MCC_tgE6ZcwY|72^ zz4nxw;X@2(Nm|_YF(5KADSu<0q4ZxR-}-a!tuuY{1iY`t-52uau5cB3>fGU1kAoGJ ztNvf+WHh%y39I>(_))f#BqT1z9A&nkk4F6d~uz%@1C zMv{^eWROFUkL*bz< z42nRPIKY4xvEhj;VgW;XaDr*!k~e*#vfL8x7N}CIPIs?XsU3$p>b%X?LCexcIS15! z)`1QXf-BcsQnosOr0t85ejTG{vOKMxrG-LZ%|kXly$ zMlH}b(FXNA6t&DT5=%u3(;*cQa?RnVVbY}fmuWasF;E`HM`g2E$pjwb3IhV9mc*>b(nBJIx!_T-Dw4| zYPuzt$y6D0kDP^K1sOA&k|jlQyp313+m#I?uEDjfkmPay!vF~xKiJvMF%L*O2khpDC#zlNX|C*!X+L&@1v9N6 zTfD9BD8D2O<~As`y93;+{O2~x{_9Q$<4huP?lc3+FL7v;b{%vI+U*Ml8duvh!Cy1Z zer9JEZq7Q#w#(5r3)NnC6#4_@ivOFcH(kd_IIQx|f~`SPnfj*ZW%M@eF_q7L$DWktB>6YjQi(=>vU zW7P=-iO_nDA0r8GzlQCsWUGclt@zwj{oU6@Lz=+K>fI-O%?cP#huAh&O5ehnUrOKo zcQO9gqF?C*jrHy8>h6YFRz|5xa^5N{YkG_=x^E+^cect#WuCp3i-!JP#rI`544UQJ!pg(d z>3xKsmAZ!iLO@FOk0&GGo0%KsB0Iq&5c8|St0j9*D=9$>eEFX7zp{EOxc>XUp{sst zY5~LN?5sDoF}g>0Oi~f)P--A0h*BaA7b!1s=-2>J5M>siaup1~0GUeZ6)ZwQq(!ch z6G4Z9u*;wD{qTM7^Ss|1g%R9$(@kao@p`Ra={wuI@Q-1_@cpYGoC*!jHt8{|5F(Zy zPFDfcGjw#F6;YQ))~;7kx9Dt0(JHtUowS7Xo107tiO3m!?y6Tc{czNHTt%5TljNBh zc`0F6bcP~x4bzT0s~(d-Uw!sHCukbl_t1qKd_((#2#Ld7)aGT~=KHne&?u}}w8~Ca z$TRrJDsgJuBC8<`J6xdm9_$Sq4^}KgSIywc7V>#6=#%50XDhRV4==6FPI5!q zW@iM0>nH0h$-&d|JtX_-y^}#d>PO&tB+G#BjeW@Ybp)l_MzCSoS3X0WZ!D2fE>iUHH<>;;e9@$gpWF=ljEwn42q9l}otV73Q#QqhVdrK5NDPq)Z$Gv9R29IVYU6#$WeZWP! zTC7a5yc~9J25(5lR+{`2tFj@7+|@3_@^WQ>0u^YId9G8}zQ+VX|86AKLoLi}6)QQ0 zc}1?74c*f(6);}I8AMIi)VvSP+Ze1F77DGI@eCS2?jW21C6N?DWQMSwdMiC)(TCnb zGK_C|ttI`e{_k**tVi5_|MV|>tmE9Z{ZW2v*A#27b;+^d{38!pUtfI0%=*cd=25CY zy>093@s***43zyGbH`iQ#0IY?{i%2B_Xecg+hR$)5$hl4=gNV=CM{h;B$j?jD^$MO zALW?A4+>b+G4=zDH4F(QOzatdM6T9HoqEOVB4M+w#V|PFTZq)%HdNf5kkDFvL? zKNmm-n+l6M4?cT!J${qT%LM=$0opF_W zw()B*Fv8}L0o8?Ht89KTpnARV19Sl?3~ST5k5ZigGPbpRJALoQt|PR^$1pUtI(uY3 zUgO$u1`k6?)X+%uCcI&Hx?F?wck7F=#xzAxT_yPAYU&{g!zpa@vO>L6org;%FS1K= zG<@xysX6y;is26o>;oPL0A4n?+hCt0YPdC~JgWC&MGc@Hg#Mahu+Lrx01B*duPZ9U z+l$mneL1(X_$2pcc2)I&Gh^M#0*zu0&Fy3KKliP8*QjoAOIbZcSlLwkm5yxT-DEK9 zO2PeVW7cA4BWA=iJ$c3@DAUk4teB+|NOF!CE_x|bi_oT_U9C8oh{dBb;+N=I3+9<% zbkSF!QF_iQu%Yq7>L?}iI-HYx16>nugJfOP*Au*rFc>=V%B|zq!;6E)|E;f0lg9z7QurY}n%Yg(CXsp%V$uV2wstY7ZfJi7D2X)Pd-+GbGM5gLUs zsv1>6-PDT9m{#iLGEw0o0#XPsOm&OU2JzVMo8u25=(k|cLO^CHJowvTSoJqBdj-Iq z8NJk{!rFLtEBbfltO>5HEAN95%E0KdoZ!YtQtY!N(~;#J5&bmxgnz3i5N9#~YrB4B zkT!-L_nAG*Snx1?G;b3YqU|;&rhcqH&b-nCyMR73+!D(Bg87(l z^RKrPdJ+TWQ3FD7k*(&f+gHl;sUuNb`X%)W^smw`F!SkjTTh0~{DG}} zlkP!I1u6so_ZMMmzp>xcLR?)*jQF5dLr2$5-+S(R#2KSE>HC|S@ljFQm*bM+vxGh$ zGo6V$5l|+yYt=$;1zc)KzQXzQ{K!A6r|-#A!|7n4%D0E&xg81`Uaza;yaA)!TN@NH zj}HQvXr12^(){!GNoNth#Sx$^=+9VT| zSA>1eOIOge{SSZy-D|9|fhP+^juf02OQy3)H{oaz>lTB}0L}ZicL%UHDIF3ME^D4% zO}>pUIFy$R_x@+=?zc=l*L{|lL)YW-I&b7r_FL3U@!ehXH)Z7CwE@yp%Q9gJ^wljD z74!r#8pyJ1HN(5-n~Xy9O4*Y4Fe6ARZKU%14f-9=l%7RivTRu21BP$I67mPaC1}YX zrVnE6O-&KIMRx`L(!m@y1?`SlWmN^NSo@|Bt6%gNt#lM^Z3RC*clw)Ef=`iMwjB(KwLM1%6|q|68^!Y^5vG9y zpR!ng{E&21{m&x`aBq_SSP1Ix1T*tkRaDN42S|~|UTnwD?By~ZW2(I9Hv?QNV8nP% ztcZU#b##i)d1I{m=hOsk68x{0=JFNU9r+_`NgSE-_8YOJa&{A0JErdc$!sJpIn+b- zy?a0HMMu-Gh^lDvYUZJhl~!LWwgbQK^_N1j@(2PDcAB+xtEHpy)e8Hc#JPxtEZ$8( zQ=Z;vg@8WXW!857%qFXHdNX%hKt$iyGFv;_qe=>kA4xR4GRC5VL;2&rrlhtd5(m_6 zBi`$ON{WBsXzO~a{4!e(4lY8i*xBz-6ud#$E=lrYP4960AF-#H{MDxb2<1<1f};It zOUxV%y_%M^l>{S}QLzi}l5_u4J~**5z-27ICD=Fy;;XkrcMaZ{cxG?f>J{IF7nGsY zCK&kG`km6BP~d>wxe8TxgdN#)OZ&@kaZB>Wd$}J_XyjF37M_F37rGL5hYQ)#UU8>s zUeg5t=V>CpQg%L?fAvas?3;x-r5-NC)s1X|9l2Ro;CG1N>SIQL+#1yS`c4sRTh!H+-9w2L+Gsn()rjNW#U}24O zx$)Js9@SyE10$N5YjeI(>~Sl?qxZ;vL&x4_UXkaOHg-{ESxLOy6!x?0yGJ{jfq>>w zoGsNKjp#N7XnUsyye-dC&+wiOQ@uvs81Fq{57Hu z7G1XE%-H=PSbwhCu@!ihHWZEM5h@5~7%Q?&H|!~HNV~UQ>uw-ISevUqQHMhs;v$-` z2#XHaXqCAm%><}DZth?+KU{|1dztbt#&{nd2oNP>ITYGq(aKR0rC#q`H-#@n-=%M0 zXv8-**{!E-hQ-zYrAUGkP9}!O#>LVEfI$9CrvCl_uAFXuh|ynIWQcZbwytSqVhXkeXfB)!QCOp+O5Mo0;6LF(*KG- zkwBP8UP;;c7nj+< zWibMR3h{7=ND%ge)OMsU5qI~IWyt+;(B@-hsE)-h%nQ%1gV||`;qi5`?101JK6Ngq z(2j}Lavv~1A?N*-24kt_Vs{!aZBkH3xM!VK8&|As4nq!b9DWX zuUFLzat%(SzM+yj2~*AgqI|AQd4DoS&aPyrYu(urT<#f12~V_5$jwgohU?nhS^}^U z(P$xlxrp3OL5h$BU(kpmVdb+$=Kg^eux{URQIKDuDJv;)&_kFf zPo{|hd_$VFwzrbXmV}rKtm&%oMA}CEO*`5;xj<0t>!WQ7xT23E z7>{v9aH(LNTcJO#Dj8J)-uoo1LqTMyW3kfk1a$#yKTX`>gf005FHLn53Rz)Mg(mVB zOwq?0!@6mr@q8skjZ5N+XJS7p0jh+PLi{~+X-`!ZDYflXOFw6&D>Bicu+gG3F z$u;l~IO`Cpq%S`OetwQtJkpL3vD0h*e_NUd1g5gMd*SM;GDZj%lWLCPd43yDQ`0QJk_ ih<{U%x)TO?*+w)V3toYC799X^<$X12U|_jZt?mCn;t~V^ diff --git a/palettec.map b/palettec.map index 8cda150d..460be8ae 100755 --- a/palettec.map +++ b/palettec.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:51 +Created on: 15/10/15 12:30:06 Executable Image: palettec.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 117c:0000 0000f070 +DGROUP 117e:0000 0000f070 @@ -33,28 +33,28 @@ wcpu_TEXT CODE AUTO 0000:5260 00000058 kitten_TEXT CODE AUTO 0000:5ad0 0000080a 16_hc_TEXT CODE AUTO 0000:62e0 0000158b timer_TEXT CODE AUTO 0000:7870 00000235 -_TEXT CODE AUTO 0000:7ab0 0000763f -modex16_TEXT CODE AUTO 0f0f:0000 00002406 -16text_TEXT CODE AUTO 0f0f:2410 0000010d -16_in13_DATA FAR_DATA AUTO 1161:0000 000001a4 -FAR_DATA FAR_DATA AUTO 117b:0004 00000000 -_NULL BEGDATA DGROUP 117c:0000 00000020 -_AFTERNULL BEGDATA DGROUP 117e:0000 00000002 -CONST DATA DGROUP 117e:0002 00000076 -CONST2 DATA DGROUP 1185:0008 00000102 -_DATA DATA DGROUP 1196:0000 0000058b -XIB DATA DGROUP 11ee:000c 00000000 -XI DATA DGROUP 11ee:000c 00000036 -XIE DATA DGROUP 11f2:0002 00000000 -YIB DATA DGROUP 11f2:0002 00000000 -YI DATA DGROUP 11f2:0002 00000018 -YIE DATA DGROUP 11f3:000a 00000000 -STRINGS DATA DGROUP 11f3:000a 00000000 -DATA DATA DGROUP 11f3:000a 00000000 -_emu_init_start EMU DGROUP 11f3:000a 00000000 -_emu_init_end EMU DGROUP 11f3:000a 00000000 -_BSS BSS DGROUP 11f4:0000 00000e22 -STACK STACK DGROUP 12d7:0000 0000dac0 +_TEXT CODE AUTO 0000:7ab0 00007631 +modex16_TEXT CODE AUTO 0f0f:0000 0000242c +16text_TEXT CODE AUTO 0f0f:2430 0000010d +16_in13_DATA FAR_DATA AUTO 1163:0000 000001a4 +FAR_DATA FAR_DATA AUTO 117d:0004 00000000 +_NULL BEGDATA DGROUP 117e:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1180:0000 00000002 +CONST DATA DGROUP 1180:0002 00000076 +CONST2 DATA DGROUP 1187:0008 00000102 +_DATA DATA DGROUP 1198:0000 0000058b +XIB DATA DGROUP 11f0:000c 00000000 +XI DATA DGROUP 11f0:000c 00000036 +XIE DATA DGROUP 11f4:0002 00000000 +YIB DATA DGROUP 11f4:0002 00000000 +YI DATA DGROUP 11f4:0002 00000018 +YIE DATA DGROUP 11f5:000a 00000000 +STRINGS DATA DGROUP 11f5:000a 00000000 +DATA DATA DGROUP 11f5:000a 00000000 +_emu_init_start EMU DGROUP 11f5:000a 00000000 +_emu_init_end EMU DGROUP 11f5:000a 00000000 +_BSS BSS DGROUP 11f6:0000 00000e22 +STACK STACK DGROUP 12d9:0000 0000dac0 +----------------+ @@ -69,9 +69,9 @@ Address Symbol Module: palettec.o(/dos/z/16/src/palettec.c) 0000:004e main_ -117c:0780+ _gvar +117e:0780+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -117c:07be+ _inpu +117e:07be+ _inpu 0000:0210+ INL_KeyService_ 0000:04aa+ Mouse_ 0000:0502+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:47dc+ MM_TotalFree_ 0000:4872* MM_Report_ 0000:522a* MM_BombOnError_ -117c:0920+ _beforesort -117c:0924+ _aftersort -117c:0928+ _XMSaddr +117e:0920+ _beforesort +117e:0924+ _aftersort +117e:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5260 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:59a0* CAL_OptimizeNodes_ 0000:5a50* CA_Startup_ 0000:5aa0* CA_Shutdown_ -117c:0930* _finishcachebox -117c:0934* _updatecachebox -117c:0938* _drawcachebox +117e:0930* _finishcachebox +117e:0934* _drawcachebox +117e:0938* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b0c KITTENGETS 0000:5b6c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:60c4+ get_line_ 0000:6136+ db_fetch_ 0000:61cc+ db_insert_ -117c:0494+ __kitten_catalog +117e:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:648c+ LargestFreeBlock_ 0000:651a+ _coreleft_ @@ -179,61 +179,62 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7af2 _fmalloc_ 0000:7af2 malloc_ -117c:04b6 ___fheap -117c:04b8 ___fheapRover -117c:04ba ___LargestSizeB4Rover +117e:04b6 ___fheap +117e:04b8 ___fheapRover +117e:04ba ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7c6f __PIA 0000:7c68* __PIS Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f0f:01f4 VGAmodeX_ -0f0f:02ca+ modex__320x240_256__Enter_ -0f0f:032a+ modex__192x144_256__Enter_ -0f0f:038a* modexLeave_ -0f0f:03a2+ modexsetBaseXMode_ -0f0f:03e0* modexDefaultPage_ -0f0f:0446* modexNextPage_ -0f0f:0516* modexNextPageFlexibleSize_ -0f0f:05ea* modexShowPage_ -0f0f:06e6* modexPanPage_ -0f0f:0736* modexSelectPlane_ -0f0f:075a+ modexClearRegion_ -0f0f:0872* oldDrawBmp_ -0f0f:09d8* CDrawBmp_ -0f0f:0b3c* modexDrawBmp_ -0f0f:0ba2+ modexDrawBmpRegion_ -0f0f:0d0c* modex_sparky4_DrawBmpRegion_ -0f0f:0e76* modexDrawPlanarBuf_ -0f0f:0e94* modexDrawSprite_ -0f0f:0efa+ modexDrawSpriteRegion_ -0f0f:1072* modexCopyPageRegion_ -0f0f:11dc* modexFadeOn_ -0f0f:120c* modexFadeOff_ -0f0f:123a* modexFlashOn_ -0f0f:1268* modexFlashOff_ -0f0f:1338 modexPalSave_ -0f0f:138e modexNewPal_ -0f0f:13de* modexLoadPalFile_ -0f0f:14c0 modexSavePalFile_ -0f0f:1538* modexPalBlack_ -0f0f:1562* modexPalWhite_ -0f0f:158c+ modexPalUpdate_ -0f0f:1afc+ modexPalUpdate1_ -0f0f:1b76* modexPalUpdate0_ -0f0f:1bc2+ chkcolor_ -0f0f:1eda+ modexputPixel_ -0f0f:1f68* modexgetPixel_ -0f0f:1fee* modexhlin_ -0f0f:205a* modexprint_ -0f0f:21f4* modexprintbig_ -0f0f:2370* cls_ -0f0f:23de+ modexWaitBorder_ -117c:04c4+ _VGA +0f0f:0286+ vgaGetMode_ +0f0f:02bc+ modexEnter_ +0f0f:035e* modexLeave_ +0f0f:0376+ modexsetBaseXMode_ +0f0f:03b4* modexDefaultPage_ +0f0f:0416* modexNextPage_ +0f0f:04e2* modexNextPageFlexibleSize_ +0f0f:05b0* modexShowPage_ +0f0f:06ac* modexPanPage_ +0f0f:06fc* modexSelectPlane_ +0f0f:0720+ modexClearRegion_ +0f0f:0838* oldDrawBmp_ +0f0f:099e* CDrawBmp_ +0f0f:0b02* modexDrawBmp_ +0f0f:0b68+ modexDrawBmpRegion_ +0f0f:0cd2* modex_sparky4_DrawBmpRegion_ +0f0f:0e3c* modexDrawPlanarBuf_ +0f0f:0e5a* modexDrawSprite_ +0f0f:0ec0+ modexDrawSpriteRegion_ +0f0f:1038* modexCopyPageRegion_ +0f0f:11a2* modexFadeOn_ +0f0f:11d2* modexFadeOff_ +0f0f:1200* modexFlashOn_ +0f0f:122e* modexFlashOff_ +0f0f:12fe modexPalSave_ +0f0f:1354 modexNewPal_ +0f0f:13a4* modexLoadPalFile_ +0f0f:1486 modexSavePalFile_ +0f0f:14fe* modexPalBlack_ +0f0f:1528* modexPalWhite_ +0f0f:1552+ modexPalUpdate_ +0f0f:1ac2+ modexPalUpdate1_ +0f0f:1b3c* modexPalUpdate0_ +0f0f:1b88+ chkcolor_ +0f0f:1ea0+ modexputPixel_ +0f0f:1f2e* modexgetPixel_ +0f0f:1fb4* modexhlin_ +0f0f:2020* modexprint_ +0f0f:21ba* modexprintbig_ +0f0f:2336* pdump_ +0f0f:2396* cls_ +0f0f:2404+ modexWaitBorder_ +117e:04c4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -117c:0000* __nullarea -117c:04d8* __ovlflag -117c:04d9* __intno -117c:04da* __ovlvec +117e:0000* __nullarea +117e:04d8* __ovlflag +117e:04d9* __intno +117e:04da* __ovlvec 0000:7c86 _cstart_ 0000:7d59* _Not_Enough_Memory_ 0000:7e8b __exit_ @@ -243,10 +244,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7f12 _big_code_ 0000:7f12* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -117c:1244 __argv -117c:1248 ___argv -117c:124c __argc -117c:124e ___argc +117e:1244 __argv +117e:1248 ___argv +117e:124c __argc +117e:124e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7f12 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) @@ -270,22 +271,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:826e _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:82b3 _nmalloc_ -117c:04de ___nheapbeg -117c:04e0 ___MiniHeapRover -117c:04e2 ___LargestSizeB4MiniHeapRover +117e:04de ___nheapbeg +117e:04e0 ___MiniHeapRover +117e:04e2 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:838d _ffree_ 0000:838d free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:83fa _nfree_ -117c:1250+ ___MiniHeapFreeRover +117e:1250+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:84f5+ _null_exit_rtn_ 0000:84f5+ __null_int23_exit_ 0000:84f6 exit_ 0000:8517+ _exit_ -117c:04e4+ ___int23_exit -117c:04e8 ___FPE_handler_exit +117e:04e4+ ___int23_exit +117e:04e8 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:8534 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -304,7 +305,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8edb __doclose_ 0000:9025 __shutdown_stream_ 0000:903f fclose_ -117c:1252+ ___RmTmpFileFn +117e:1252+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:90aa+ __ibm_bios_get_ticks_ 0000:9121 clock_ @@ -315,7 +316,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:9345 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -117c:0098 __IsTable +117e:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:953d tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -350,31 +351,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9d37 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -117c:04f4 __8087 -117c:04f5 __real87 -117c:04f6 __dos87emucall -117c:04f8 __dos87real +117e:04f4 __8087 +117e:04f5 __real87 +117e:04f6 __dos87emucall +117e:04f8 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9d46* __exit_with_msg_ 0000:9d4b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -117c:04fa __curbrk -117c:0502 __STACKLOW -117c:0504 __STACKTOP -117c:0506 __cbyte -117c:0508 __child -117c:050a __no87 -117c:0517 ___FPE_handler -117c:04fc __psp -117c:050b __get_ovl_stack -117c:050f __restore_ovl_stack -117c:0513 __close_ovl_file -117c:051b __LpCmdLine -117c:051f __LpPgmName -117c:04fe __osmajor -117c:04ff __osminor -117c:0500 __osmode -117c:0501 __HShift +117e:04fa __curbrk +117e:0502 __STACKLOW +117e:0504 __STACKTOP +117e:0506 __cbyte +117e:0508 __child +117e:050a __no87 +117e:0517 ___FPE_handler +117e:04fc __psp +117e:050b __get_ovl_stack +117e:050f __restore_ovl_stack +117e:0513 __close_ovl_file +117e:051b __LpCmdLine +117e:051f __LpPgmName +117e:04fe __osmajor +117e:04ff __osminor +117e:0500 __osmode +117e:0501 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:9d70 __MemAllocator 0000:9e3a __MemFree @@ -393,8 +394,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a90e rand_ 0000:a950* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f0f:2486* textInit_ -117c:125a _romFonts +0f0f:24a6* textInit_ +117e:125a _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) 0000:a973 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) @@ -403,7 +404,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:aa34 __FiniRtns 0000:aa34* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -117c:0528 ___uselfn +117e:0528 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:aa98 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -411,9 +412,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 0000:aafc+ _getargv_ 0000:ae2c __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -117c:052a ___iob -117c:1276 ___OpenStreams -117c:127a ___ClosedStreams +117e:052a ___iob +117e:1276 ___ClosedStreams +117e:127a ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:ae70 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) @@ -426,7 +427,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:b24d __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -117c:0022 ___Alphabet +117e:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b250 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -436,18 +437,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b2fe* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b309* __get_errno_ptr_ -117c:127e _errno +117e:127e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b310 __GetIOMode_ 0000:b345 __SetIOMode_nogrow_ -117c:06a6 ___NFiles -117c:06a8 ___init_mode -117c:06d0 ___io_mode +117e:06a6 ___NFiles +117e:06a8 ___init_mode +117e:06d0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b37a* __set_commode_ -117c:06d4 __commode +117e:06d4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -117c:06d6 __fmode +117e:06d6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b386 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -474,9 +475,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b98e isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b9aa* __get_doserrno_ptr_ -117c:1280 __doserrno +117e:1280 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -117c:06d8 ___umaskval +117e:06d8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b9b1 _dos_creat_ 0000:b9d5* _dos_creatnew_ @@ -487,9 +488,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:bbde vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -117c:1284 ___env_mask -117c:1288 _environ -117c:128c* __wenviron +117e:1284 ___env_mask +117e:1288 _environ +117e:128c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bc20 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -510,12 +511,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c176 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c1e6 __EnterWVIDEO_ -117c:06f8+ ___WD_Present +117e:06f8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:c20a* _heapenable_ -117c:06fa ___heap_enabled +117e:06fa ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -117c:06fc __amblksiz +117e:06fc __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c21b __flushall_ 0000:c2af flushall_ @@ -530,15 +531,15 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:c4dd fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -117c:1290 ____Argv -117c:1294 ____Argc +117e:1290 ____Argv +117e:1294 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -117c:072c __Start_XI -117c:0762 __End_XI -117c:0762 __Start_YI -117c:077a __End_YI +117e:072c __Start_XI +117e:0762 __End_XI +117e:0762 __Start_YI +117e:077a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -117c:1296 ___historical_splitparms +117e:1296 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:c6b2 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -547,77 +548,77 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c8f7 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:da68 _DoINTR_ +0000:da5a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:dde1* sbrk_ -0000:ddf1 __brk_ +0000:ddd3* sbrk_ +0000:dde3 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:de59 fsync_ +0000:de4b fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:de5e* _frealloc_ -0000:de5e realloc_ +0000:de50* _frealloc_ +0000:de50 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:df58 __setenvp_ -0000:e0e4 __freeenvp_ +0000:df4a __setenvp_ +0000:e0d6 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -117c:06fe ___IsDBCS +117e:06fe ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e142* _ismbblead_ -117c:129c ___MBCSIsTable +0000:e134* _ismbblead_ +117e:129c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e19c __mbinit_ -117c:0700 ___MBCodePage +0000:e18e __mbinit_ +117e:0700 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e277 _mbdtoupper_ +0000:e269 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e285 toupper_ +0000:e277 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -117c:0702 __8087cw +117e:0702 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -117c:0704 ___Save8087 -117c:0708 ___Rest8087 +117e:0704 ___Save8087 +117e:0708 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e294 __GrabFP87_ +0000:e286 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e2c6* __init_8087_emu -0000:e2cc __x87id +0000:e2b8* __init_8087_emu +0000:e2be __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e329 wctomb_ +0000:e31b wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e374+ utoa_ -0000:e415 itoa_ +0000:e366+ utoa_ +0000:e407 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e45f strupr_ +0000:e451 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -117c:070c ___EFG_printf -117c:0710* ___EFG_scanf +117e:070c ___EFG_printf +117e:0710* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e4a7 ulltoa_ -0000:e5e4* lltoa_ +0000:e499 ulltoa_ +0000:e5d6* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e655* _dos_close_ -0000:e663 _dos_commit_ +0000:e647* _dos_close_ +0000:e655 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e672* _msize_ -0000:e68d _fmsize_ +0000:e664* _msize_ +0000:e67f _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e69e __HeapManager_expand_ -0000:eba7 _nexpand_ +0000:e690 __HeapManager_expand_ +0000:eb99 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ebfa _fexpand_ -0000:ebfa* _expand_ +0000:ebec _fexpand_ +0000:ebec* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ec2f clearenv_ +0000:ec21 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ed64 __Init_FPE_handler_ -0000:ed9d __Fini_FPE_handler_ -0000:edd4* __FPEHandler +0000:ed56 __Init_FPE_handler_ +0000:ed8f __Fini_FPE_handler_ +0000:edc6* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f066 _bfree_ +0000:f058 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f08b _bexpand_ +0000:f07d _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -117c:072a ___FPE_int +117e:072a ___FPE_int +--------------------+ @@ -633,6 +634,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020830 (133168.) +Memory size: 00020850 (133200.) Entry point address: 0000:7c86 -Link time: 00:00.00 +Link time: 00:00.34 diff --git a/palettel.exe b/palettel.exe index 535c9f4c4254b00da79708100af8fe5120a8afa5..ea51962f0e5c939648fcee863f1d1fe510960f00 100755 GIT binary patch delta 38974 zcmW)mbzIYr^T*%oQG?OVknZk~PU%!~bPW&|D8fK;4ETWgu|;e}LFq{i3FV^_ii1fg z1~5QG97w%?-^cI%xX0bUclXEL>v_dv(2Ijms@E|Ih(7=Upa5PR1iuOYFZh3x{eQ2= z+u`Teee)J=Oa4JiLFF(40aUXPOmubc{_i_`x&>*%LfJpgJZ->WFh~WIcozy_WE2FA z1o?(43cz4I+3{n6o6z38M@o?Ib%3un1aJW3@I<^`TzmqEC#4Z}YpDiQRRFWd0Z-P1 z`Ui&;t$>mNw2>ha$7}Nq3*`pmYlduWt^16H=N>9ZT7(uI*Q+0>fbaAE2tlJ`DhIKRa)XOZU zw2-d(3|(FnqtnT*y@i#ya`W=EsS@fZeJ%HLWFyQHRh4kC6BT(Z!fRwmY6zK>5OKH? z)19=G2?FncBLQGi0ufLrBRUfAVA`u*m$DViR(`BbC$kY#DKO~XME;r_K{Q}b_T^TeK&)ULCRK5 zrvO_(AU;MYKPBTtHsCfXA=;w#Bwc+!C=+loFr0uHAgy zp3Mk$F@?sPTrf5Y(Om^jUHy@qm_%`i0K#^GW57|M9Sj9R0QYo4d~ib;F*QMz4EQOp z0zSvsfY*Cq)f4cLOD_amCmlmu?lwG4n*r?K$L#`#0V^Qu*zw8C)RvTnp|73EEI$4=s56egSb!UzvJ^t^ZYu1`dvW9~+}>(>i}E{S#77Ht3}# z6VPq-?n^2A;8Gf-omJ@xzma%?(T12CtZrcnT#*!c9ALHoO0@#c7Ai;OF&F}nnJlXl zx+gP4RLkMi#B6$M30dVasQ4*M4!SnK4iZh<3D}CroeYdVSaGN9PsWqIg8Y&_lxIk6 zBgn$@+sLtVilX-PmR!LMYG8QWv!vm8a)v67x|D*iWRj(Fy>bp=96_fIQmmcoXf9EUllE2tC?g2JHvsH|hXv zHB<8ax$BE*C$>T0ixo1SMf)##mpqXJhT%iFVkM$adT| zck`;l{!S+Zx}vLacVlk%$tGw7axq4rAsEzE4vMUJ5rr$xY9TBk`)3mnTGX`RO&R(1 z4D`Cec*Yt6WTcu3xbhspb88sVkTuhf2CqcG43PkG4f<+Zh&%a++Nf+_=ks+da;&t= z`nnY=iy^EJiIc$W!?O`|cpH9QyR~I!FGP@h=;KFT1yih+S-%jFjgup66_VY5k?hyE z)XL|daHV=}s0|?jB@@(ywL);9kD!Mv^_bG>M{W#JtRYkXYjoDVu;K=kd*get(xzWn8b?arBr3xI?^{Ap06rPol zDW0`vgjrcUO8<&Pu6ed@$E*$0({~cOk#q(7Ydb6Ibi|S}Wp_8DMj3wisKlb`-F*qi zb=c&wbIKhrWYS|6M8o-t29ZzaH^te#0Z0PZro}ht!3lM0u+qLwz6gc?tv%lr1ewpr zVwC>xsCCjrs=$bt~NaWGy>=eD#5&| zLsrOi;cOp=sfkMwzGG`&HFJ@={t=sXNy6z_{2%wy4ZPFjU1iFP{(#V|*EqAbw-6w7moH;?i2_d5 z^sfz>^QYB5P0JZ-m3(LNJo~g_J|CoL2l^AW2vCa-uD}3@4m|0){h`aVpJs&+B&kXb zX{5;3uu?j?TW$tv`mGVbC1D0X!Z>67j+gm5j^CG@!wjNi88d1ee8gX46@doqK zfJE}d{}Kr|kKccF7>eIL86s!y*m^OrC#vA;GT*6Ue|;IYz-`KLnpx3_kpyEg5AHo` zn0v->M*seS!7_Kr%u(bye2Ha2z~z5g`g+hOa~6F@?$qECco36p@NUpEHJKlNkyOlg z1=T+5|5-BbfY_0CiW+?A=Y`@ybA;N@O{KQ0X-U8A*Zxc|X8*;{udq;oaJ2=r=}3yI z0erq^{s-apr2JmL;#@nX?!o1hkiIw6VFAdpPukaWb zOnb9(h!Yx0w-`vZg+ovx&Am)$Z1_+TwpC`JlD~WLN-8W*kn;@MAb?}FP+ui?8Lbo1 z>QDKdg2CrnNxWTWnL{65k37FJeuC{vVPyq>Y85~^HlI1;~?Pgagsjmc)n{|3o_Ht62#Mh7+B zi_8PgnNGyewEN)t8-gX(_s9@Zg5fW^^E<+eAzt|B($;Q&lJ-^fmc@`6pD&Ffx3+jX z<1qa|I33a<8TD5ZE2Zu%tt8m+D9OTF-B(>vMThOef==fQAyf)oG8;xm*e>Jm-#cE+ z9ZMJ=LleG;GK2q9DB*1#kAMI3uv!YkRua_y?4ZK7(WEr<@baOkx_`iX`NGe)_`gD^ z8M4qRDJ(gT&!7mAN?dtC8u>{)cWaG@i6!~j%1)`?p2gu!p$uXO9hD3 zxGQ{x*X)mL_bE|6^;Y*9F(Sfa3eO^SL-E?(+x<%grkUP45gDhM0Y!bw&>qUPIBnDq zd9o-Vdi7$8$vRTmcsj%ClvDl#R|~2nH}MW8%QoNMc5{ilKV!_Qx~X))UW{D9tYlQ` ztZ?=QImVdwR{=lbZzZ_I?YA%W?zijy%XuMCGRJ90Gf~xThg`0W($fP5o8!cEs9#PmP4uN?dm`lM9FzIDj&PFOZM z1hkbF3czESEu`p^7AB_GslCBF4&#L9JN9BvaWPA{6oAv;e%kS{PTP?b{&Jv1< z+vzTV^bjPD_QLZ14t2dzEwF9C(prr9glpNB3ZyzgdUFU=#l9uo+eW(5W%c8E{BY9u zIuoXK-Rgf8y3S{1I)Q(B+It}mXU$SI#-<-?y72J#$lZv-zLvD3qRES{ZFtp_#l6H% zXFv&R>ou}BVp5YV#V>_D^h5HRk>t60cSr#EDf(okNA%h=*Izx+hR)`Bngp?fbyf_| zSxb_G3H7M9{-%^HZWMeNw5wgDOD3A&+63EIAZdO7KtrreAR6J z7UweuQ~4n8_5hW<&N@!4c92NZ3uFFM5`k!CLU`r};rbcUdySw}q)I5>do^mr?$YhI zqmGcGM4s4kxM5AAIajr#Aj(@|eR^Z)M&ia;!4DUOT`lmkoXl>KO`1LL|5mU8&f=;| z(ZLY?9rEUZkYZQ6cqqti}Z)_lxNDDhu|zx;3&ky&U>ENX^2<#b*;J3dD`ekCp& zr`swih)+wK&WP2VmBA4+*eb~~VQ|IBBX= zke9En0n=1bhE2+hZ%N31b44B5wv`-1$|Mj^sDMDIR{$ZNV6&x?M&k8kdlfsUV%@{x zlT1QqDezq%$I6_vqGa7^z)Mhka0Xtfwg07)Ye^G$_UpK~>xAsP1=-i=7mlwuk$4Oif81Q*~Z{g`RfT;d^7sS0s#a` z%hfk1CehbuH8K7j!yzvf$4t+Vo=adT76bo^ziy2_@qG|EOZvhVCmoYa2zS-S87V7} zahWp3&mDgt$Izqr4Y=0uT4qfAXlh0yGOJN0c}_DO;0Z{kww%l=@R$brSo{fL{ILuN zt(HFxL;gwG!O9m~tRG8F%K?FPSEL9*1I2N4WigBttoS-R7CcW+;ppJsB?)(#uJ>b zE=iC0F^8Jwosk8+>eLC$l)bNoEhSK30_w!P)a<$!ai8N|!y<9~yyASsUqAkf1j7PI zl2;@Lj(_}m#&#aEG*T_DK}VcaEURmPW>YSw&fV|p4GsQY?6 zknusB@jH`^Q1)Q~;yAn8jW+VQGtG_OvD71R7rcPZ3ge^3=?PA9e`5&I$xjYfB{h;H zxaOJllZmMf%vOqYnqUC5+`jhv&j`pS7Gv?Xf-_iQx6iRh` znqv85rZ1+sJ^plxh0+>Xlie8|Y=~Q;(oXlid?$Ia_*7$Imtvw~ z8a2WE)YzdX`q%gZ6Wwc4{ROj=o2V!6Maz#}#`I6M$$A^A#Ycy>$HJ-`zj8iPV z-{?DOC9Cfl=;NWy;l7O5Q4>PpS(+2(|1cwI9ky6m{vHi!i)%qP)AeL8|YeB zc!6LeBjH7oUw_$HXJ-9>Hq3Vbtuvr(i|9u-*m=E`$4gY@&5h zcek2dmVnh7CSQ>L6S0H_iq?1G`LKww>#ZwBjxMO+2jG$bP=1#S5}MamyV}5_{51$E zta5m{`;S^wUgc<2_p%#UEy0DWeke!GEnq90AVus!D8Dl`9XSMrJ;?kXbC-Q(7lJwyrt4hT|oMUbtjO4al_8{`&CZNir&4|jlwaW zzFT?VS0nv(Sy`#BD%ym#DD5RWZjvpxQY>At%NJsT++<1zadT}(?cANh3R-~3HuHFZZw+w}pr7eEF=v!m8T`b{q3Z7@s?4t zdGIEG*m=w)=5ZlJ*UA4KY(4s?-VymO2{Ab`)R|KMFJKc!X!bbJD|6!l%NLxQ*YJgV zIi;^rp^B4mveajscc6!L&-rHpp_DSl+qdvdo*8lp_tJkGAl+b5#i0<>$Ho0~EGPyI< zb%#^MvSq>Bu>^rb!ZHet2+pQ}0^zK3jW6u1@0(s(UzM*Zey}S-Q%6Kgy^N$QrOW>0 zdWXK%y5~Q)r)OP2M5-Rl_sdQ5OHN8EnqiA6Gpb>EMn~s`s{f`ETzY8oZakGpwg->X z_l%vd4F1Ga!A@@yO*7^8rfP@hjo^0bqL^+6L$*kn@jpRR4DxcpOUbr*Rj3mLDBgU% zq^>43B5>1W}GjhuVM2J z(++6xh7S~8tvMN4SU44WV9}o~OxvoIQ4i4r&RPUw_v^u?5l{RStV;>1O zBb}~%l3$c_NrRC`6(=FJk8FfxV?^UWahE;;GyLY&uRKrpLaV-=b(YkWuTXdZ!p2Xrx9=28Ow51$>B9As8}`1t)zRo)BIjyX zRO%pk!;~V2|9F~NYH%7w?cvJ65^D8Hga9Q4kD(P>F!zz!1H6s<&m1mou+Js?X?|>= zOM@IAU#Tj8*;hGn!_PD^-ZGV=P%|rpySpr1G|7o$z*W2<|FU0J_0S8X=AlVAN=f^y zPpE9$FuxJT%esg6#^3yYU6hne!+_Km7jIMD+-~n4eyQjt?5`xMjF+$t==Q+q(0#TY1!NaG1?$BMrdYO_CM{F`a^LonRIFsr*npCBc_p^l z$?fTvJQw8y+QOomtB+)dmIAYy%ZP)EYzpCOJg){|m8pMLPj!mU^#2w)ESac&*%6~E zSqT1F+x#YIx;Vvl@%#n>SO#q2&%1w*mrnMt{r7nhn5bLlEiQ|FblWAJ&xig`lBW$1 z_A~1O+yk5xNts$RYDKS$tHW8)z#ncU730hL49G*@vpO_93kVBprV%#e~cWPZ$?Id?Mn6%%sjI(sgIV) zy}XICk=~jVxx;tTe|van&G7u>jN?$YWP`b!2W{bX(YR0Pk?QE$(YvERP<{6rg;jF! z&iV<<5`vpfrWGswV5_{ZMsiqQlbIsF!9p*x-PcR`4UBx zCJ{X~z}@9J^5s^V{=BbNI?qksh{mgmUO%o&JHMARdW*{iiM-ITW9?&2hZ@c*rb!jw zM7_U*K9y>ePRtB>tdOe zP1FZNBqtoN0UrN~wj$&JzIRF-8bIBPQJ}^BH43#lhPYOkL8DXn9Y-<$w&hDAU>-@D z;W+OdU2Th50vV!RvP1FJ*tSaHT!}P+kWJ`O+Un%UMSIEGn`O&c9{-8nOS&9wU1zc$ zt(sF68TQ^aiV}kPVaoSK>WlXDh)rrJC+#I zXma(eV~o4X2ioGibr6Q{t``G+WC-DlIYVBY(6NR`h=-wY_AcXhqC|I@|W8gME8(XodBniUXod z%QwYA=K8Fb=WHfoc}Bwla*9T7nqg)tDG)O@%F3QTdO&}n7kh@Yu?Tut}8pw zkJ)+iNpzfPu=aKJ)^MOUR=P^MlJ~YEIkvzz2^0hIv>pxWH@;kXXE9f{1L-XC8uaZK zylWp0`Otr>5`XD4yzoi99Pq1_ZaV9|{#mA-Qr-K*aKS4``GUKDjoScy^U$(r@x-U>j>GuV3%4lB#k54*kaaC_z@I(_IJ`~ARF{6^aQ0|DaV%C>#YdkA#1^fq+amUFQQ zwL3|De|f6vGM<&a#@@ zjc(?oS+@70`gp0rNFa6 zb~22Z>nB90U zH(>Sgcfi{U1unk?3kI76HTx(Zl8&ehrfO?CbT?}YwWf_~pYdUnG7O+yGu-T?U2oAwZxeleWCzb&iuUf*-zMxgeoN|YdhvU!(;@pl$-QKA!hivMmW=8+WMN6uo8$m76ucy_x7n`YyWCxL2v2#uV4VSGQ5`FG zd#fTp9mnlCxztIXsmWVlL~e82Ryx8SJ3+7W7bx71(g=S4p`0dNY&>ho=JVF zo@HiGIp!8|O3Li?ooeEGsW6&v7Y*eB-_Fd}^E+usuQ{8G!`GX}>W z8RM(Ag?(uswZr6mOf9FhxYVDOf(H~EM!kAFjWzIEB^Gh>o|v8VQw=+TV^1(IAg_b( z+KCRUsJVaQGyNZq9^y?>Dk{#l#U%YID{v+~RtUeK8W&t!cU+!Lv}Y-PkBc-xD0+Ay zR|;f)dWx64I+eyNq@cx@F}zV3S+ptlldCCq`e4wmpHtam>buGx$)*ym!41^AU1CzB zFRy;aJ$&;K3U4_RJfJ}Jx#jczP?L0E_lT+*sy53%h2|>#S?KQT^l>k6-;J~-ai@31 zWXZinvm^G+`b{&t2)6in5Xbq^sKdF?oCdq*dy(LG-^j7d!5;J1xbmsrNn=w*12K*V z$Y%0)Tt3hYy7c-QmGv*IFCr=eri4}4+RTMVt?E4~(k}%71p2$B%P_zU>R=Zcm}i45>inBYszF!Ru`A9nreV zc~4RmaOAnhbGcVWJW2y9S=dGQ3AXKqeGI|(EK-`~tN10(6kp>ra4kklX)52W-9-t* zQDcA$PDReVUp3c$=NZ+!!VTZiC^)Qk$TR_WIvBLqk>?vdSEljfIa&%komTg?_R54j zBm{Gq?2xQBL){MEIVfJ7H>t^C0WRmo-Z(#2P9}>etE$VZv=6D#UGh_Z8Wy;`>xU6T zwN5lDS@7SkMD{0dSZoS@z^U#oL~B9LU%Zm1)R29vkR}n;ZJSUhgNWXTv(Ljc#MwHk zjV9u8Z=#nm*=@pF)l+tTzFd6NZ3QS^Vyag=ZNOuS(0h@ zyaKhdbHtBrr}hsVkP%vHTR=RAGsu^3X16)RuVu}ckv(si8mlv}q5Kf+rCjJ8-FFDk z^H!EMxy=T7o7idvuU}nyqgd=I(9!C=00jk*OJ>OK&_*S5ty4J{uU_8#x2vgpT%|aN zAECpm*8@EY?`~7YlO!tH*$!|TkL3ZKN;=*>smvrH--xreW}%8uvXxYI){G^&qej5+ zMI+9!uu4dz)e;&PRSoTahiSSv9|)Oun>mnB-G?P5?N++KE#rvYmc<`1-A|aMm`hA;4D}xKIYv?_ zv8cG954SRW6t|K(kNfpivG_5@LxZV}icwdD$<`@w(D3s=i#tDO}aN? z@`H?uT<>L^u=j#vm%&p#ZX{XI<6=v8gH%IygNOV<2mcMu% zQ5&{B&aEQ9z|8j=q}oKD{^MLQ7oGp2x)*Xbyr}Ol+JofbjC!|s@w(n(h2s}$Z z3T&NhhP6C~UcVW28`F6{Y}LQ2TDMMo81Gkc<;}U9RuPR=)Y4tMAHW;A%89q{I&K*l z`u@u2YTsQvI!37Pq%VxXr_vQB7#o+DlAnXI_~Qk4;`tBW#_M{XElh}2Z<9P2ILKcs zu+bseFm1TyDu;7vyY@CKmTjNb?HO)^r~;i9c!BFYsl7VX)c}DNu{D0+&Hi-k-vfBU z$7XTgMo_+pdU2_uu+hTREAFSP@uSN<9~oa57B7AUb%~rx?(7k%y1so-NgEsA8iO{G zV+y(j_mzm>n=A!>w5IS%yRwCz!R;H3r{SLz)rc>!xwx_~gLijZ%*xu~5D2&MO~+9) zOd*WJxoFU<1%V+JFIi~YVfJeASOpEMa#{XH@tt`pZG|s{r%yrFy}#tiQ*;^fjQ)1B zEYin76=Akh@+zP1M5OEj7cAQl+SSL7pAOm^1LZQGYtix!^iP@gz%8f-MQeNdR^-h* zSF_cv^vyy@*)h+G<3;J?)E7Sj#d)t5OUV#SR_#ym!dH(`5tgfFoPM5eJmw@dgwt$L z)Jt_%V&I;q%0REl^1^S;BW~2KnTwu2OrOB7MG%V7?DTSi^`CI!;R=5A6P~)Y=!Z<@w(X^^gV(#(9q5tN49KL0K&QhG~y=dbW@?o}I0QCowdV zIG2`}-U6fZJ!)KDPPO$8F`L&q>tmw;HBW$3K;a)l@nC!SI6g~cV9+|Jz2S4`dXTvM zrvLoOpiWS>BXC{eXk5p^5p4a2M23A+1+>!Gc@mU;e0a$Kv?kAx41D=^msCLt3e7+* z$%#-BNW2^TOTu+$(}j80(Mu?hj`nU}+B+!t#O#1!^cIQ!57^w@{UpN;>N^%pOzIl* zRoL?+=J0{B{_=gjum6SqfPJf!vUqu4QD;c_zXjSy1BY(~5})%`>79Aa-aGT_dks&` zb8Og^{CNL=-R-t!xlLwgaME^`o zn4^1Ns`Q!r=tVO{kqg5A6y?*e3nql!}v64LYSmDsEF1^X zHgz;NGJNiIIH|}-$2)!spQY`c9^bEnwvkWI7|jLxj4Z9P_Z)B!yJV_N0hOU;Iq}A) zV;EC`zWD;$=!1xQdH;ZbfwA?CRcPIN)Gt?WldcKC>Vka!7-WhzyrS`2ZdE~y_v4b> z>rK)Z%SNhJXK8ewSi$|a(8Ymaxf=Et{;eIB;-~>L^vhml$JKwtPNR+6k1XU4sCG`i+bk|EACKge%Bu`WcGp65hHcSWRUZF z#(>r~B9R()nmO^3^E@v1vxpcE26<|O(R;wu%CaU@~mXT^7@**>l%C}Tir#@M9O|wfE1Wpj6n&x z2vMD1n8|VG)f|UzI<-s*y+A{}*PXX6@#xthFj#&QJm0Z8l&T!(LSJ8)Uzjha>}QxG z$JdPaSM`dBU)FD0{%Ey}kM~m%Wd^caEc)hCmp=w&O_M6dw<)`U=53l|GG_{aSOP&< z*fddner(ZonP?kcp!V+PIAq-zH5&87i0aidWpBWi{B$gl*sSlT|FPr@CF-H^7&D#Q zYRV)(m}@X-ySXfNTL=R*a@P`8dN2nXRR`F_S4r&xgJnr<7&$#)vi-f#{aYnbUof=wM+$JUXc5!% zM}>au8rBS<_GEBr?j4@!jGlUd*C(HWN3Jh6%82)|{vMeS!zqr%goW)t5c-92(lIuH0p=*(synL z4TKXGp-kxC^2JrwaQUYa|Fn_bzuydOCLrTgwa{UjDR*rUFj}KRI{r2d>ygc9Z*gw! zjAd=L|7}~1hK1UM1SSgcpq)r=lmVq1YcA%ps(Y=~bGvQh5(JP*5VMyt72;Uwk(jtB zZ|Qi)I917fB~o06zO+5HK0aCDj1)0ARx(s|5-w@Ec+#wv=#fhcK9e!MSl*iSX?~G8 z&aZ)-R}tBy8&{6~Q`IB0v}%3O5jwY&kKlA%w(c@tRtZeHjNdR=4uMq@{XZ?ZOcSZmqc;Nb^bz^`T}y zzn3>MdneYHt7H4+$m-X&u!(7@1V^u$xLwA}I1SwUV^X8f!g{NkOSK{Dar-{u-<-Sk z)*07g-->KTf@JFQ&_{=FVqN2m|KhGne*d$s)WrX$y8!jH@dc?- zYiHq57w6;eV;?LGU~39p94D2dC+%Zbh{2N1B`&vEir8+K_uk7VX0^^t2X*F&)LeM9 zt?i=vo@Gv5f94X-U-hc^$vCw7H8!Jmcr06}L<;twl*wm7p>b&G+e)dYIf8=YZ(XDU zqH6_<{~Q0Yapp_sSA8jkJJ=a@j$$$dx*Q&0+4s8E@R1R`Y zoGMTtbS;abAo+0bvppOrxn_|H9g{L+NoW+vT)U`%GY5dmsjC*yvx828OAYrW1vLX- zm%(6yZ(u?^>E);rU%?sI)6jcwAvzr}tV&nG?Ix?LXu7=4n3562WYY{tALP|c04^Cc*v!=9M;i<9T>Y-JrDfa2W zkO@)Ha%_$@&qCf*4MW*ETSG~TN3uY5u0RF#>9pwMs;jF|(O4e(%|QNF&CctLse*=O z0f^Qw8MI~5rTI1}YF}vXw?y@n77Fl8V9YJTq&j$i(j`vAD*`*`^j&tY%%xMAn^#Ut zY89$60CXN%D1bkgfCPFbvTywg@13fxByE6f zBJ|p&-0jC)mro7w;x9xoV+BQTcQ#o-)wG%dKvbo8GYB8CdYLV&^>S3+_po{B7Hi)M ziAGS+sqau>vcy5C!tVAlYI{~LM881y#-V_s_$HhWwg;Y&mO)YM0{*Uweui5z+8nAL4BZAL*{1C~|^ zlQ2h1^hK@!6kw0;`jD5iw-d~6A2=ZI(1~!)ox0QlUIY1rkx9NZE(=~Sf555cm@Ji| zt%et0wQ9#Ri{8w;po(7J*Ref!3@qCJ7rXKiSsEtV?IfUg5WP^a-S}0e1E_KTK1~+@ zBtCJ@I)_plaXQzXk6FwjmsG&XXT6Id@P9p$9)>_DOp3xC7RRLm92!)9S*o0H8L0t(yxeW;e0Lo>^Nb^4W zjiUb75kKv0pCC$E2%ZSWW)N_WdX8EZS~}Ds(4Um96PQeh7n9olrHk_oti)#rWprnr z$O`gu!tJR$YTyD+_?fL~aSfBFRr7TQB;@^d8BN_i+%#{5$v zw%X;*%z_y2E`mNWwhS@Gwl*Wjwn>NSn2fkytzoN$u`Gdq+uQ5&B(uc-uoXn#sO$|5txQGuwD|5R(Bb)nmw>RVsq6)J%u1p;RPD5?lm6Zem|)qft~YJ&TY%K z#T1&YA}tfCgymR z0HyWLaH042Lwh`yr(3eUlUhHAuEdBSX6nU|653L8G6zTX|fU4Y+~r;RTdkL`-DR(@$amyHo=)&Jh5GSbot1e{1Ou&>v>%}=5^ISG*Qu;n9tA5y!$p}6+Nx?Jw6RmO%40G2bD8x7p$B%KPMUdaZ!r( zQ6d~Uu{_)pjvl_^yLb;TLE`l-kAKt=DpBzCPouDFp!P>0tTDJJNtuouzC0+5%ng!M z9QZCT$$AugBezelh5o2f4im6_tIG2+!Y0#d5C4n37=&q}wVaYrI=iffRO3^AwekwR z{Cl@vnksNrg7+%B{3bElw=4&7ad9uJ}O77(x&C>2eqBTR*r!qRKD>XNxoMn9{*2F;mG`mo}Q~Nng&Lq zQJwOljt(CRkB0JTT7D3OimT1}Vy0qMhZrq=lXfK)A%KD#ug^-AFP z^6M<=JHR%J!mrgoIV;pGC)##w1Vv?^Eqgr@6zn-g2iIV1kylw*XNu+@3S5u;a~q_J zaJ_PHV^d>oY-6d{TYs|FVS-uwV@rkQRFSdlU!1vIly?d}YGcr7JhCd{YYC?1M&0_&+xF*=f0enM`axwrFu!Al61FgAfr0yG^`gOQ5alJdEaOxr!RDB{HxDl zomfm&)sedWa8Zj9qG&aSE>U4Z?RGn$N5MxOpy4rjV(%h%Y6AQmvuSYl9?{)q*^}jj zd$X4{=rH)H+^-7P_;Ro5=%P;J;b)oOH814bmE1{JAeyVWu6gOzICP~__1hy2dfx<0 z#toUaxpCT#{rYJ6V1@IlX|*21<#cmwj~+kr|AKh0(_!OGfRc#7i}#Dqhjfzkd1W)Mxr&EgGF3rx6OMy!`sz-a2 zC9_C4e#IX$|El;`77yQ8(*=&>T@ zWa|bw@hbeHi1H4LDPOViIQ(WQ4;1YEA>-ih?4Hus*TmeBigSE2WIFxtEDT(p_{p6r=o4C>_zoMywh^;xb&CN}r$V}q)22K~|H;{ZB3H;(L!?g_W1Mtd%Bga<9ar7G0K&^XTWQkkn+Cpa z0^HY7po<^soYD-jy>(EnmJz|rOAK~ zk+BPicF2S+>Psz>0ie8*Z`%caHPP5vooG)nv2I9j<_F0Rk zW&;vM#%S=sU%37IL9~fpRIH-c)E>K)+hq0_)41}zg|#jAhsvK5m{qj1sTK$`fp;$ zueb#>ebX}Iy~>hU+lv9Wt!Z0a<`~y7Ak2A7qvT`@_k;Ls~PN1SR;fjtlJz2Re7mt5_R-hgYJ0>y6?mhviqVO4_4{uCjVP`tKM099)nh8sd`l~BH z+Hs!?Nz2L2$|4tWDH8%4FZdri|Ldh7XucO_sLQ5RQS-ESIm{^+Q3=Nh3v1CF#1-1^ zm7ilI49|QLOp`18?v%VTUE2oOo9Ee%RHcIqnZK!+B(w z+lA>;teb2972de89=J`e);->wx6f&fv3NJ#n2?z5*LS4*xbi zRX>?13uUp>Rtj6V0wZHXBUdA%cqE+`g~IRYQKw_MTtW_uwbe%f<&+BhG^YhGKiTC& z%S$;G-VdH98f`rL-)6O3+i`y{J6%EJu0v^k$+1nrjn^@sD_B=-xebz@>2qw1=4lP>-p(n-ae-h8OH@nm}7VB>l$*n z*O|pqXhDZ#N75GOmkT$wh=RN!|KsAKM*j*|D!ie`1DsV*eJB^IgzFOI&SbnAbISf} zq(-(Cc@e101eRsj^(dYuH5r;|e-@&b`#|~O@}@oXs#p8B!9BX0e7aim35IZ&a||cG_+5mQ9pO%xGD_^`_4Voc7!yb z%@*#>Jmf2=A7*39CkJ>=l|W=ypS?lU*ioB*wAx)tXlO?dZ4W+V2YcrITsXi<(`T-D z!NRca@|c-EZIx%py~;F$eMibs^!=*P^rUTD5-np?>~s+*$%tKu=W>-Jxk7Sf318U% zh1h6kN=V}A{o>_3VxuTFiTa0C=(5(gA$xyJEWUk_RbD=Z_1A+S+A=@YP?svIV?Uz7 zrMrV;?&IAmzt=>jbWz5&9TSgP($1Ps`-)iv;}gQhFyFAfif4x?AxiafgcTjD$Q49tB0hCZHMVdK@<~9_$xWa>kZa-e!=@Bn$I0_NsIgeEo_L9T4=7pK5&b@ImGsR8K2;p+>Cpl z!Oor37{dcw8@Wlf%2yWkRUkppUe`sfSs9K#oi_5gP zP^+O2DDY`8B=-5)W2iFSW1@Bo8_itdaFv`0$cdQ*QoB5oW0A$l|Jl=Ud|fz9hr34u zttDWc2Dz!m5j-QDvC_th6(ih*YVCKal~YgfavGT&W$wTmfs>1Cqf);xH3yq4G|)%s zWlI4dftjjgt@)5M06PFkb8*&=YlIZm+F|YQBl8_!&>XRG7O+ipMh~v}8HyV{2Ch{8 zu1RD0FwEhO6_7J11-SI|-2)snm3>o^-igU93Wp#FfTUl>{RU4iiJ#Dp)!x<0d< zjHucfeVr2`O!Ff~337a)Bh=(iZ(aU+pW{}9oiN!`>88{xAV$YpBY*ebH|ZHuA8+5_ zywelMBoDDT4$Thp>UBO}n9Diu%h^YQ*zV({z`7&>N5!9KN z5|tH)U~))>DJ2MDHK9E+X4N-$-hZvZM7!EbIbbF`OtHp5yXIYdDOKG3ywS&)Sg$)m zD9bzGFf0LBTCg80*_o73Iee_u^GiB9-KY2kBh6%x!_Uc(|E% z=F~LPH2T}@+ohYbgl`;adKZs&<;(A4FUpQUI)0Eu-5*s76)4)6dgmJc$CT|<6Wesy z=o9JUuVe9baXnjapbE`_x7bmD1UvgAWUFaM(UyC zx(nPn+hAw9x!OnzDwh8tIV~y%4G{reTlI6goh9o0&g`6Vb5jht3SMQK0uIv+w%2Yy z4X!iNl4OFZksp1+hG5PO;YiWcxe~VUC-W1sX06f?k%gQ zAEZ~<30632Uf(6Z)>bO|Kd92SZZ z>rz=cOudSmpD`7W5vLYfK+?k;n!?)zN#B7?R*M4s0xM{)@a>wDPwSjB4Z^MQvEo2R zz8vn8Nc$?!yeMzfm=7{%$h0Cw=3p7t@yzyrnI;Q*TenouaDGqzq^0JK%RIh|eWdJ= zpieQKcON|_jn9H*hh{@kRnvstwbF`?Qr)kM9*HZ(bfmI34%x|hV>~VAa%VB0`dT(l zb(|fKY^{O?EAu?zUe3EZ9YQe{$ZapDkfAZCAZo`)L$zC3q|F0$&KaCk=Ega81#; z6B#QutF_ck`%WPpp}G^!FPWboBdxx7$sh_jF9hf`rmt9pQIBSge}WTX8r?7a21zlJ(>f=wg-kQs-H z_^4aP1zg5uV+>FP1|!|t+QnDxO^GEc$86k{ecK2|y7Z>{Z_rMIab0+1g!!7r3Yk}U zD(~|1?mvfLB+OuqMn8_6n7Ls2oNTH-imR< zEBp&U0cJtPU0f*e2j}4N!lp-SC&oUyQd*g-ua}*gcya}sd709%7huh-m5^$!!YshT zT5fBX(vvG>q@be`shB+p)@+^zO`ouqxr;vQ$N6SUi@tF!*%|q zk?995cuV3Mz?Yq_9Oc=f3I`d^@PvBd_ifrEsScV0t^H=rr9!!cO5(K2skvylq1I)? zrgA>|nSXrye^d@_yr`UVjO&3J#9JjxP%MWKi7T&F^0sys)sbB45-lA=QB@rCm{P7g zNOIV<3cfeT4F_Wb6aj1H@)W8KI^!=Qt{d8l*)i-&I4NX}%02W$7>>s~(Pcd)a2`~C ze5gHrM`aMO!pKFJcyYx}cgv-or%EUC(vxR&ZNeihAs}DKGz^k|9aQ-?=hYHEKM7eqPxpHP zL0PN44(@KeX$2MZb2QwUq!>fti1nXvBM+_XRG~b5rPG*hqXhge%E$&4X=;NS=11R0 zs|ye2X1&*f3^rUKY0mM_uU`B|Q$P|Pey3n>4eR_b4SOxhG@h>uZS2ZBq|v>)v%g@- zjJsYDEy^s8_c;Rw70>t_0Yi#;ef6maAAaQe+I=PoRR;U7YxqAt4pdH9_bmq^GAgh< zC5Ja;_C7Z#LS4jzGC2HhjgM?~0QhQBtG=NJ^oc`F-%bIn*(HRC znV5m(Bp@Wbvgtx_U9N@dnhBWqa*f}Ii_6T(C<0RJvgsbp5>!dnq2$MoFl4)qkm+Ie z>R{R)dLfQL%lYd3Mhd}MKgRPkD^|E_?$m3}&$Po=Vq~Fw1=^W;CN7PUsuFTR=&b7=E zarn`S3emF(9Yd`ZIp=i^OcFuqrgB)}t?Z7E)|-6hE&)bk^z?pMe#6Prg^zl}@8^Tz z`)2HU5U%!~j|C}^B%3~y5P#k&>Qnsg1YB%hwu@p1*)O>+i1_CJZImcuDHS3aqsN3! z(S~9zoYC$u>3_k(?%aPar%D8)0bv>N>zkYZ2)3!< z#~XzFb#hQ{wJIM>=tzSg&hywu3?ZUHCX6dbgWTX+1)bX53_H^(IW*_rkIrAnbD`zk%fVbV}0*x z_Ov4(0W`6pX9Yo>T*wnIWR=(Dh_{@Df=kAFzzKh{RSPAO81O8qoxH(z2ysF9_%!hD z(cv8ve4C9zN2}GlnnJ3uLFZ*_U)O4f`Z1|ug-*K3l}9d#{}ZMp3Mh|*Pf63?gz-eu zxC$Eb=ShV>U2`6YoJB`Sd-t0QN4gs@%>*+*uC?~!>SKh+klmE9jo+}X>|;}wdh3=# zRvbbY+!Y+hL$z8ZQ4sTEbe_WYv+h~VUpS2}Mf#U`q^);mdZ=W6ZYDU5dAr(Zsu3Pp zhKWf`Y$$({dCAG|VmH)Y(lDbt+egGJ+)3g0Ga%z)ERj!D$wx;>JXrE^TK#u7{^HjP zFSIo-iGgnh4>zXIA5rP%ymx`%14oNXK`^o^m){#W>yU!R*x?hka-5DGIVoPY@=SmA zP;CY(DQ`r9QsV>%G3`k{L_FDu%u`@&=}msjv(*#-My0x0HQ&b7ZZDyvj!&}N`Y;f~ zjrUS2@d-GAEejYuiOheT{I;Cwn@BmGA?yuZ0Zl)G`ppf%MUrQkI7}9Jm{8n@Mwq8f z1_R?8o!lP#qo_u2?@MX6u%iukrOkE?*W(`EaL%AIEyPPpBh;*lxKFNwh_WF3#7sZe zLi>Hr?f2T9^TOF8<3wu}1+oXPmYx5dW^1Gw8%@;Vkl4;&gzqc2Uxl zin$}hvpoDw8FD7qpVSGyB3wE-iTKWDBF_I38saKn;(UJaZHl3{0uS&%$_cQuj53$5-b@$I*OOaG1aYcIXQZ+TJj78Mz*%Z2cr z&Euv|`a({eI79J@X;D!lid#zjy4E}vDbv>?sbwlVqwqLJPE|~Qq@Z@jrU9#@I;8cV zma9&1u}7ptX=l(vASM@UP_m@x+y3{j7JMRaPV2-+-IKS_7ZNl=ZDygKi7oP=wn=EE zP;qA;zaLtjsue|R=O6tcLmdF==})$fVa2Qq()+8fOh~61&^J!s{M+vq6kXxwg$G{Ug8(r=Y00-~%}Bl@5D?{#kSgVKKnSD=%TrEngU~j)bMy4Nrce4_MsVPWurC1*b?L z*xuMC&}YyB(ay*geBQ~LnuJErObX?>NdhG;0H`wr-d(%lyR=F7AHlzT4oi5}Cj`3X zB!0C+XbFQ!fP`hMytD`F#K3JONVf(3A)uY@$AJM<-N~J%P8IJpPF+II{K%g}vzD#* z1kR`4T8Hz!P)#w9+EV00gxBIN2%>#Mnu3O=8)kPzeBkOKi@E83j&JH1*P#*aZ|Bln zh4-|jkty>sPsPF3@bduAR={)$vDs5>2(hr*qZ(tDUkW=cV9oRt+PfWdo+f_f;m%i_ z2UDSLAceb5%2_3Q#*GSan^VZ6eBwW)dmgW}V?n1}<$xZMk}B1}S>?`qjd2jH`qz^KQJZy(LpI4AHLB%KcM8;MxAjM@ z1fpN4F6VSL@9Z|WF8Fy_j3^S^O7_y%yhVn#)X9(wG=oHfA!({zk@rdKnc0hmz5@b-e3bq>oo|I#ULHivsgRTV z;_|ltXf|$r0bchlxYQq1UdAsak=V(1N(5q-D0Q(%I=xy4iX5s6tNm(3@{`AwBW@B@b|c!afZ@R>Tv<5R`s!;R6-q{)T$-mAjj)Pq@} zSRK~xT>7_BP`eFcjIR9bC^VCx%)$Rnt!Hw7ARy9@tnMCqL#OdM1%o~d=;7}x0p7LF zUzi;hEUBEMPJYGH%T(vBUT-S`U-nMgaRl;_ZViFZu9T(_i}*aIPzV*dq8=ENY?vdi zwj6opiV0b{9W3YxL3Sd z1?%+9`)V2lBRMS#2ZGNlF6WqhY_L(g92uslmlO~E_0mNmyW8MWw65U}IbHt#{TRiv zm_*a#4PyL3X4y{bf^9WbAM0mh-?Mp%Fdn) ze{-tEip;E|g8s|Q4r6c0dGwaWJ%wjWiGW%VHjoT)QLa9Uo7Q*Gg_Vg*7#`qtFjMg- zZS6^msLV5=q=eUnc;OYa!|-R&aj~FxDpr_Jd-w&FZ}wmTp0djlZpti_Fhn)=nTcsw zclMorD{M*k_gwDsF`8iY>c`53xofHGxpdLHi4GmqIYR0ZAJjqjhv|~rG@77byE>(4 z#BGz9LIW8&EWP0}>?UvWp`eH*?t%-w1JYSF{=sq%K_N_^)r^0zBh9h=+v@VU?g@f% zZ{FnDN&l&dLr{iTnmwPln;efD-bIc>aqu3qdt#9e6pAJ>vWDVI=CR*qmkuH}Pm{kb z^it#ZRRc6Kz8^v(QATZ$c;4%MuBty}>Kn;VxaG^>TH2nuR^Vow_5Dk_uXK9+1#^C# zH@+!xSBSS0tp^%IS#I8i5n_zl{{wE}Q9+<-%5|rF;6i}Z*#WCxg;y>ZwGy0+{^7?c&t)%e>a8l8~nv`G%!u=hJZWJkE~q zIEZ}@cUw!V(^_K6W5zI=XD(I1Q{%b0TlXc`9P{xW>q@IbVS$UgHN&3H)llxdHkD&0 zs$-1Up3tVmbJBXHtvO~kVjGl~yyAiqwsgn~t!hMa$?-kbQ!W9`U5QQ3XWW;M*&vzy zn6rQx&G0DLJkVV3`+c*9^!37e@wisO3_nV`tX7Yh&vl&gWSaT4^(E@EGyllt9>X?6 z8S9)Fni-`US@5T#`MH}}&7CRtJXxAI(0V4-`LWGGUd!O}*6mZ4TR!_z0<$?SD&C^q z+=4tMtWwU$EXO}#1)tPUqTp%Zh4Pj>w<|?0mR=dkM6jc0B}x=%DXi?f;!)vq9T~Z5 zs#(Dc3~)O@*4QW@nCe%vj`&)&VS1q*VHJJ{y(ggS40vb~l7h|R zeZ5Y(8AVM?ns!pk={MNzBddN-_j{z+JDB*xoW~#$VPUUOat`sElB9SmxsA@pJ~wbp z4Ic0EZEK%Qj1)iZHdbo@yF3I-iR#4S&Umvq-{PR%eT_)z1yN_~&u!T%Wr4jixo}9p zDxCl&tRoSu7FRgBhxmzg#rRi;7JPZ&UP0mzHLu(h{2(->QMRkGj6l4@hn1;|=}H*5 z+g>Ql%UTD?iAmJd<1bCr4n{~EdaD~@dO)T@k$PNS2D#Rm?G0l_rK*PbZi`oDhxj|a zwO>kht*s=-#k=kC-GavB4YC&=jW5!vwRFox*FVUr2H1aGA}N;npKw$S8~ zF|s{ojG*+jrYq@Bf<$pp^6!PG)k zzeXGx5u<>6EVS3gzYKP#^@Km+m>;Pq+#s>$yd`+VF@*6fi+IPKw`-XOHhha;>T_56 zubI^#$;m1kWT4(DeYDhnOl%G|RzXFTTJnCD;*p0=E&OjlIzW$eo1=oa$O?DZ%FF;_ zU&XT8bGG2E*?hL~!AZ+;gHm;zE_}N1)u+`htOb@jaLpPV-NP>8FzKDsM=xoFq5Hp& z>8!m6!G%`{IQ%@h|^p7{v%^qd?1Z;>n@`F`EvX;f!;8dCd&^aeJp3)=r0`x zCpZOb^e$yvvxr^dRdqVHJ~)>5_0*FW0niO+S0?U*=sVG$ei<-W-xjIQZ=Y}JeT9#M z6e4U|`m={6AT~p;U7|`zCS03vJQ<*HA5^ALt@|1^QYS03ZA!*+Ti%wMWv}xA98ukZ zr3ZYqyexqawC`|8FG6%^v)`u5YQ<`%C>O?UuJFt+iZFb#JpJ~@o6XBC1Zq)UYG);d zvDW=I$KMEQJ@*N+5V~~Z>v!zwa+QyfTUFd&wajg%FQ0-7u2VmQQq98FBJ^@33=9Hw zG3kPyPO*v2Ky6_k;2`S3<9)#SNK0i)qhBf;y-V%oLr?RC#i>grO>&k6L+8HY+O-gK zj?;Rixa)2`Q67=fvNskqRDyr*M;1w4cuAC6;4A<=@wjL5(~(=;Ias;F@H?E=r6UnX z-4&N0LVPY{YVCXb!iDNOsjq{g7GI6O7u8IE_YxP@`;v9g|84t3r}SzC$;&L!Ncx)% zzsH}wysi$Am6$Zk_Z0+-wMAcD3{#lvR3%$22p~oQt=I^XVM5sBw#zU9iQw&JqIL*A zuPxhsliXW_{R8_2)VS%$s*O`OGM2T#AHppZ1{Y9N&KvCNLIx7Tlo3)>HEZ5JbcHlY zph|Wu(ov=zNq=7!-k*~~KMoXZ!ILy}6aSM!KO#Gd0>cW`;tva5zMm+LD@4D%{Zb(o zy`Z?A9WY3bVApW+4C+5AVE!YzG?ltzvMw@yGs?s zn0vfyRh5+07HU(SL3#4JH2_touo)yWbhId@=%duEXqtT1)_97SbaSPpoLR*DbKKdRAOSw+X}XjzqjTX! zB&0iyP<8`&4qDJOYf|SWtUF}$winaa^||VcJ(?XnwK%jZrfBO1+KV1|%hhC4*}32h zDtdavBgjR^P{X9;H*YD-hEN$Q4eo9@vOdi+b830%t8y~@ru>A9^Q*9L|~6l2U}zt0OUrySFEDATS<-ebkroSTn# zZ{69&tb7)QX}LAEz^?S#2moGH{uEM$0yC;$+Ou66R3_PGXv>fiZJH%n(;wygt<_SrC+9m55^;z)&IGDxxMYvK>}!VA>)V;A7vif6URAUI7hlKENfwbn#x;#@7Qy;`?V`CKU6AO zL2iZ}!hW%J_FX&)kBNH}SsGQ$El^z5Q(ny-3t`~+iFj%qVM3TNwF(9EgM|MVSZ0fK z+k3=HaVtC(!6TUCf4fa$jmmxOW5wQOk6!;R3;C^Fsf=$0>=mQ7ir^<1au-*no2K&iOqn8NzZr zD(~39fEo2u0gt8dl|Y!YTLa3EO&~+@ANb91_|6|W%wEwzKFj9i|7m1b-xDef2<%6u zp$3lGJQi=+Kv-{oUPm13T3bX+TCHeZ6s-+j?zliY6GtRfI6hW7u!>V9S&*IGr_q-b zdE(k+@7HA4BKh&k8>nVmjz=FGTXkrc%GQUose)N=ccaM}Bnn7mmHS-c0r@Y+H3#)R>DXc$my=>}?C!m@})T*%u2vBSB|4 zDYIm~bD!3~bQJ}*)f|?|q^z%k5?(7=EtzFv1^%^bC>NyXI*O*3yOG^gUIB_sO$6rH zx7Zf|xFeAKA19B)y*=-DIfF)>moo`E%)*H29>MLka~E6;?wLM~21J3{09NM~agLlVx&!HCNq@&nYC|1{qQKoCdW zNw<2m-eLW!|0cZHC7nxW_0I3GUy@9P9$i{zb?&8~8pSJRCp^J&MIqRF`U;!iW%hTl zD}ulH{RfeO80Uze`{Z>vV#b^whMDe|Riog33qZ~zV3qAC7)a#aHKp3Ce{J}5^hysx z27H-js?2nXnwnd)2DObC6##h#xmOT+>YRdf{mxUkyUC?*k1_w=mBqt3)($_tKKvLN zPJ1yt&$=;A+esF6q;Q*^^*He4`PDJaTCLswwT)$S16okDZW|>bR%*E)nOMCh4zGam zoy4Bd-kJA<6j5{bW4ubnJU^yNxB5Ih??TZ;C4C|WuufYns3KXn1{?zAm&3>*jvZaH6!lb3>AP(f zq5`ze9zDUbSXambPB2M(5F)XWx(F;$G;v3|OKq0-8yD z2b6!gn0UH((TS25cwpei&j?g@Hmg8AnU-Fh;LH?Z0GD=Pkjj7rV7StbW(6bRgx&K)6_Z4+avH$(hw}m2c$c`sPxjy<;Y1eZr&;7{j2RVelIWq~~4X z3>*dth2KCRy-=ZCH_+T(m{6V@SY9t&DBlf!0WW;0;0=P1mvE@a4N);K@lc5yl2TsM zp)xmQ<$UFPaAGd(t?Q6sCGHtzhze1)PK`lSCu-DbGPJabI(0`FM|FvMb@~hgL*lVI zsu6=^Ogvs^!Z0->n%7w{EUk#vbvBF>w#1Wlc8pW@M2EW53`ZxTbDayr)s5(0=fUvw zB6`>PFns-p{&fM2z#w99T?pe$DDiCFIY!udVtCyJMnohrsxF!lbCDQZ7snt|i1Bp^ zjKu#DFV!V6l2eGObyNl|jhJ4SL1koS5ii$WVPw;ZId!>=ynJFo-Bm_m5%IseV#c-W z#2a-t8Mkf|@6_F8+`CVFQ1_5gQc5hVD`z}QTLKjQ%kI? zt7kMc5}WFp87-~Ewz_u4tJlOgbsdb(E@F3G52Lq_*k3om7#t$Ltz$5#?}mvZb)$^2 zapL>B4~&VA#L2oT2J;hfx^9N?d6qa=H_upDBrer`VSN2Y{9gBi!D17a>o|;+RpMIR zPsaK$;zr$X#^xX5R^2vZ=Pz-$ZjZ5lKs>Ai_7viv_l2YGOV%iIEjVieInag!$A%h^ zgBIPw#E5`~kH;EUQtRQ?>pi?5I0iY{jVSk{Vcb0xI6+&pi11HtIxJUr`0_n$+LTyl zv?;$c02x=~e<)E}aqi|VIA>yw-GZZcqK4$a%EKyu3}UJtg@uc#wMccPUAJjDN;&uH zEj&0~b!&=;#w_BI4!eH=In{Oio>VP;YmA<{Z>i?*)(DBGBFkqxiIQP+YWih-kTcIr z$@-7r(xXQi;p!DsCq_roByP97*oRaPGL^DldWU0?XkNl#j5AHlDopF}}jPS@ct7zihQK2|}#On|l@f zuD9OF2`Nxrl_h1$dDn;pKz;R1kIwY?XY_etijz7B>7@2VF|*U}u6otI-ojqToYAa& zJjHGq`87vLqH`s;o4N1~T%mxv;A0I7rnv7&Oj{QTp0tbEgriFoAPX!DfJRn?$GDVF zU?Z?$Tl=Qt3*Z?jk~J;^c%IFymmqgc6wZrLN6W8N?4TDXhWWxw^ZzYeU|WQ$B-?2G z&vl%FfX;jFx0WfUuP|nTm&(#W^Y!KJk8}u6GWo-UuSER^IP8P+&No#2e)K1Kfn(Im zr~7du;6A-oKM&t|TX{Jbt^#h^$hNLnul17YzDX%blkMs4n(2OppK>leY%MEf7ayup z#bm6wxi0gFc1_>W!!^Aro$BZHlNJ&$^EQ4}q9p5AT~=r<#H|xq&X>-)5qgdxaJ}W3 zfg{cRVPek1=1AHXLR87MZcAnv+tjJB7Vyw@8_-Wn8+gUySLyo9ZDwOu_Kl|`E#QF@ z?!_hH)mAWA&8hmSWNvv&VmShtF*GM#Jf9{(6?Jl}nQg<>JV%TqooR@ifJ*c{^RIDSua4GkkT69I%iD zuuMJbJ-Kap>5~PtISte=T#g4AQkFyiNM*?b78V>j`*qiai*7T4p+e8iTh}UY_~G+! zk_bpMyJG9sgHX+~Z+=oB_us`=(`Bf<$))Qsjmv8=T^p-6$!WVrYarnd8q_+pef2HT z3j%O&c~q(>OJ|P9E+J2?(~&jv<m>o`MKSGvvs7Q|#u|86077tP{G}?kS$aGSzoB zKE`2yJg4Wc#^ivzcw4vby5K0N=0$IA!;qQoGQNCNB^%q$y85cL0a6CRNBxpfkj z4>)_|IK->{Now)-DZ)C7>wu#>`mJANfed?J=Ed0NFn?oSv^q|DP_g)#^}**pM=K)k zJOQZ*@FiB}?nYE-v*nrr??+2{s1jHb(0W`2V`?U<&L`l?gGu1 z3g&*u?TXSqGPHiAIb!h0AI?awy4JumeuDt~&|vP)*lX-?)8i4HC2|mT(dq?Yd#QK<0iU2Fr&CWk|uRoNCh{Y1evAmhd)e;^cp^AS7 zoaA=fthn#wfZz&JS6a!P9(-j$MS%e{|wb?OG?V8mEOd_<0vbR{g z)#;DZ&1B!IuKSOS@O%o}!IGWazn8fw@ztzex2{f+KU7y2^2%0O?d3qy`OsP+@o6jJ z>lv%b#}_W;H_K)GSU5DCZ~nLYcez8p(VyQnj-X3JhWng_jDe&gublOfz0fk|uxB_B zubrX{R@rHwKG$&_{)t&DhUIF293UmWD1W@w7MIqk9XvSoZ1yBz66KPmk80Ag#4BaR zYE`%|s&x)Rz8J_|S3P|-RVQ59M|#vM#w3kjdx3JEn}Ab0;T`}~p9hh#;g)JyU5lRTztsVjo? z)E|@-Sc@%t(U%86=yPu$c0^CGe;szQg(A}ftN-0yNtGwbJF2#WCby4u_7OD89&L%H zu4yJZTL-s6GP*OMCeA2!Vmp*w@Sr_MLuH@`+~au=mHQwY)MO#ORKHiR zL>+B1XgiklZuF~w*h&1kQ?R38wP(x>yYU9JzL1rI^|ji%(42QTcj7GQOZ&+2T))hd`vB za)mE!^{$z*5ea|p_?rn8b+e{mytnd@EwoptxLDc2+VK;%Nji^U61i-eyOzX^-ThkpIEDL1IrC}UZo>E2AHG8L2f-A9PPsE6 zs%dk9UqrxJIgHX5&$6f;n6GBTWmoi}^plaQLP*HnVrovo;?0lM4Hu(E{T4)wABUvNXCj#N^Z%YeDp%J`B2Hbuf0(DJMGsHnDYjl zQzpCWLBX9?yGsM2E(&SCmo|LPw=)_^g*^?yhs)yGrtxq5y>f8Tg7T+jm2F}HkmDt2 zu7h!Ia?39m9Wj%zI&q6!5e3Cm&P0)hN*^ujKe-d~MqQT8;yWCzy}=nBc}ac3I{658 zSprk~A(jAv>yO=Np{Bggr}{JH5QyXY4R9D-TRKFeqhND;yxB4%Kx12 z;4p!R>(A3CosL4-Kv>^GshI|RR4^Iar;m9ZgE8j7o~Ppbl!&n+X${x|{fHEG!LmpG z0~<`I_(nqJLg90RK-jBt%jUNIRN!Pwn{d`>=I%$6>6Tw6+p04kHZQ7XHHipjDE?>{ z&Jk^zdxSwgy9ehf2td(XNeQ$JhW7LHtwrvK=QMz} zvppUYNpFnA?QD+6AdumIhzsy#KcBC(IU;x*F$u=@hC55wQ;0<{o-)$N6zj1#;cYuG z0wR=~o6I*l8!;I8nApb|1xf8kutK^v-?K#o<6S|5(ZC`sY4~l*9CAV!>CU$r)#>a$ zkOSeWuCcr)&$Z@reY`R^Xy3Wp5bfuld7)9t8!nlLo5VA?FjzT>e8!nLwfu{(FLADE z5Eveu8nENzY+pui3~q>uj&m74)z;yj$*%!KX&LymC@ZeB|HEH%-xp?53pxO^HSRp1 zDZMP(C&m-pHX(r?lf1S7i>Mx-uibqH;bgy@HH4;6`%8X^wQ-a>`ZEq#N`#NkcK+|G zn&cm3nAO)rw9|1OH5<9ajpdE0)@k)KyvCf-Re1oyuo4Vt8m< z|3t~PUSs6>6u)&s)ohd>5r2`eV%;`|qv?^p(mx zEMZTrQyBa9@z1P2O=DL83%-vPO!vU?J)5kcDH5xu+MV2}L5YE<~ZGNCXXk9$bKNDE-V-ASu7E(JTc0 z>9E}-DISoG;MXPf0I!r)uRtoXCIM6xsgM*MUuS0>lCvyJmINTUex3)lbpyPD^n0Wx z1d|`!`RpHg{-mX*sef=79ZxE`lhn>faa`R@qMa<4-9X4X?Hloo;|7n_fiERC34n!T z)`rx9gCEdnM|H{Ng?2Jf=Q1NQMQ?n|C@&OTp?|cUMxiF1vD2eaNrY*c>|4CZIa-1R z4x}3|0uITMf#PLqY6%7x>HUR#G_3z4|~!rdb+n;${#$z4OiYoo*i=YK{D zO2@A4HNy!lT_E%`JI&TA<-YIk<~y=ZUoa`ne~uc>##p?8HDTAy%}kpuYlN^r>&plp z-;(!e%Sz3dbD~b{Wlht_XrnU?|I3~>8eLqjdd-#R_*eFVL0?a)xq#x7CC!l;`jTBr@c06;M)D<}?Rvi)=EtlXr+z>Q7G&EqP|&abcF^?W5}x*Y zer2pb*x0_j8|8#F5JKk<9NzO$Za^}NA!#>vI?>`hqBmc$0WM)mEKlv0+!PlQ>DOMr z^EhM8PiRVmov}%6PnnJlVhbNBgsJku-bc8ZgsI4Y5eD_(7})v`taj-c9afs|^aYRS zZ(;;tH+fqoK!ax#i9Xm^+*YP=PP@Hok7Tc44!es@XR)QPNQp{p)otjtv_oJJJY#h{ z$X7Ok4M@xm&a#1{jw^gS{7AolQ~MG&TQOW7Le!eeG1mt7j{x;j-6^MZ+>ANBs}6qR z!sMOq`ysPo;0mQ#;UY=`$A712P4=Q(Wp|OaWJ3Kee0WZhIc)h4!!rrR2cs@w-8dQB z`e@OdLE$D2Vq-2XGmHs=wyqCcI^9+R8)0fJ?W1bA8xuM`Q{1_`Z$BaD@4kyT7`Ils z_#UyC@(uj{l3_VV2MC3ri<8o)X~8MtH+thTCu;z-{XWpy`X2F`H;mvIT4pHMw(^|& zwQY%Q$w-%*`IEE6WjtkJJ+s&xMrYMAjV62@ArAMuYD;)i0s_kmbGSK3bzsQi*d~c- z)hW6y*PVo-wt2HfrM_j*-(wwl8A~ZrOLJ)TE5a5|6Kjml0xZ2WV0gB<-W1UF$?%96 zwt2BWsyX@;mTk8J4$apyiw{_rHm_6-49c z^lHFJ$^^u)@k{AwG<^C=(Y`IN%auCnAEP^&B>!7jnkbMTo+&G_nCzBwmOTraO`K%= zmp#q1zOr9$*K%l$T+fs#5;3z4r3e~!QQd-dXUMebV9e-Exv+b;@JlTS;fvD&!Q8#k z2KdOPMN>b5&+j1jm_}|Q72&vBxOk51dzYyS1%f30aD(ou=Ku0izxl(+>baj3{G(BV zbF#Wi#9qz^)Tbl!Q3dw?cUI;T*a4h{Ot)13(CK@BQ~Y~oqxtwh%ub7~S2e1BBl@>~ zq9yw?+2qb?|D){aS*iICa!)g{Mp4K8#c_bRgaU9R^n-;|KQ%Ru9}(KwbH+!En{Egt z1qlDh*djFPjJRzkJF6_!Z#%o&S>uFqBbkc5@Untb;*&OOf#)6TR5~q4T4q1Kruo|K zNXM7tV3D6|i2pPM9LjSlYdAgyymXgI`#)Lxw%#y<#kz}2UhNBS%nT=ikHuAOl>I*2 zwpaKzSFM!$4gNvS{;(4!+g%-bjD$K-y(MX*utY}pme%-YD=`Hi@c2CZCWaW`6Kx|; zqzXQjIIy?km4uMf4RDLr&$Q8W$Vh)i3%@yE4>l5Y9eq6Rr!0ayDX+n~4XMNhF1Xo% zTv=Y_Ry4(a*84j!t3aSnqPgjs341x4!e^hz3m$Yk_xThz1gAWY5)t?Tkxlceu0Ah= ziFbs!1Jutiekd!|oaC3RL#NrTPQVruiQDr_6(7mP71$KZVz?v5lQ|P30O%-$2Z}OZ zZ!O@Nm*pxlKiaYjO(rOOX9lDjZP7>9KkM8K=VnQnKE z!z6B^4wz$4q?ujfk!ukwMi5;n18!?XgL-r!Vcs$*W*`rJ(-^FrmC3WZX#lBh#O*q| zmdOBzlv`%_I4rB8g@rtTS$|qfWDKKoSUt!pzzbK9#O?MS)im@3B2>e87?Kz-1tb>! zMAOAKL3MB@Dhre=r|&m}lQ98691+*MzoqN)=T&4f~?K2iGb@^zr z;=!^(L94%U?{;m<1eDdk6dY!@+^p^mXBUjL^e$A#xVw2>aa*rDCCLyyYdcnZdG6{c z&hlSN1+mJ}cTyWA$q8D|Oa<)2#K~u5lv8ufn{UA=vn4N}MpLLOzfUW=q%4gE03?a> z>zzYk-gwH(nXs0bsGM;`iIYTNRkD&^`1d5FRM(^L)GU-sdn*BE-o94yup=8da%+q% zc7c?+9L`SYIRRY7H1FLyvbgP?i<~tvCfR6%3J4un`5MkIG%;4yS*FrEzr?=`{`^Oe zUd_GJYL%MDAfnx#iAv0s+&9HEF_%ZaRx#UrhFzbp@c#HOTtesqbWy0sGTtf4Y*EC_iEs`OCbU z97R>a0|%Jqo-QcMN(wym<3AnelU&i{V%|x|m^^=TE_(6ZtG$?5KhiZM+2Mnl$fJf* za=!qpfvpo$vR9Xe3TsGx^eU$-hl#obw$OU4w-=B9l{6Y^#orW4QoAB_OTf?~{6A=k zS)m`{JM^R)e29G#?6fRKc^INouZZhCU$1e885b>x4$L;0V_x(XB=@cX?W^d(hWMn` z-EQx-JGrv`0{3u~U=iOB`bP=c1bbfQja_qHb!5EnnN%D#NqLvA8SE9MA`~-1)n2RP z?n^&SkwM5N&&yjYw)_$+xF~n%4Wz^h8N-84myZ;uMPgRbVG=fUAD< zrxt&BUqGYqa%^^CCP~xrN){w$dSc$UhTs2K;J%1D*eyR8>#4#V`r0lRUU*f)+P^z) zM<9g>6IKj2iO+_9cl|bLd9>e`${~-_-X=Hsr#;iM0u1DC-rywKO zjnn%Vq8>!0byTJikCE@@1s97!X~K1Yt)VH*i85&fE-Fy0!adOl0feOAFJV-w>f`GN zIPu}qAAqXJv3*@&9Ugzy%U5T}!j5GEH-4fks>wu~%)xBeEqRJp;b$cA-6)1x#%jhk z;*91hV(I*xyiN91E1GUjE!tD8p1h?C~WcV5t(o`OZN_h>@ana}`bA0UAV_ zV$YhoF5g7A4ri%f>!`hK!@7=Qs1es`B5K9{#^7eq%Agxt@lKOB-$}N0>dCr6Nf2L- z3-(37BdJMC)=(fRGo2w&P>)wz$@bStOiIcfpB1MjvB=v8}LN#G*z`M@n+K zrFmY$+&>1R=ylo&`*vYAFko53lPw$J+wy*g(JUMR{q%FOa`h#x3V#~N{h1n5myzxE zP8)1(=|*J;c{K5gQPT$DpZo?tXX18Q2px?-PI8>yIK6;L?50ngPp?7g5B-%4QHK#oozG|2wynSunffb`xG!T-{-zVWC>gi&??N z8kB}->MX(1KRp7`EpdFUFfA{<$G{9J0LQ!?u$=cL0z8y8?V53(to_dMkXCA)EdJ-3HkBC_mEKDkBLBTkRg9b+Jg({hgj zIa{(cIoRh6xni{&Lf;l{tOQ`C8f4{AgdIjW#U80lp#xOe>M}k4V7;AF)dNO(&5nsiUi> zZ*YifXk@IdVT!?4U641+t1p*^xN&DA!qqjk0M5R74FITRGYy+swG%7a(0*0Jm6RpR zD;(VOR#a71@ll(B9Nlf4xGo;9$4*fa616Oxt?jjs{ylDg;;>wj{lzof(^hVlCmoKM z)tN&i4*=x#6O+~g+5r_VjE`_Y)%dW<{i{XZ-^L2VRA++QTD!1}{WkjIlM`jlXpH3Ltz;;b^58KRNwB(()Qf z{YrGKfB7xiMc|pOsLQEj4Pnr%il+uaW>1Q{2t27Pk_3VAB5xd?3CtT64IyQ2&p2|ov>=+lNFhr|T^%fs;wu@@`gwK3qolX-AM z&0~w8afM%rot1L>?HJHv*u%lWUSDHa?X78~JC9H%tpG z(J~APtS~P*cPhlOBDXACyzaVQ1DI0xKeW$AAx2dD7DNSE#bHEsu*?|Kunp^fAF1y< zeB0rfWUQpvFevOGK+wkzBOTz}SozgqaK&L^TEAd8#o=*Uto-;eTG1}dyoJYP5nWxo z4wig<5t39(5`A6@>NR`%0<366`{O7FrP1#S;FnGny{8WQ#;^AEneznd)iMGf{&;<( z8XDR{6K))HFMW~*`T{5L7!ROpaGOGL9~ZqP6LP&Se`kaA1DM8 zNQTd3q7_h>FEFl4JVRMqyIw`Eo~ZK|643bq z09&B$*Fyk-%7t>dtv}Ha6t6>q$O-XCqqVE(`#)`}`KP9Hk;oZ2CXe^4H%QKm*`Lo5 zh~!^?Ramt<{KdV<=Rz5+O)|*`F605?tqlNb*z>Vr7D4(am>Y~TOwJeP%E%Ip1;zOf zaft_vM5N>;MY*sdBaL}YSma&v7>EoVgq;)N!fvWbONcwW>PP_h+gE59>&|a+MS%l@lyH9oP!z^e#kt<3;ex;+BH{B&v#Q zG^pFMhLK~$QRYlY^@3Q6Ln1Pped5@lJv+`E3Xd!8?4c&d+c z9W?O*eBb`Ggok`-l=p`33ELX$U)6n-`~}t;eG!FO0D0P3C8}@l5e-0M9OoDN zyoMGMXg~^>7=bkhkUoG~uNvS?@&(6neB(IrNZg7JfQMP}CeRT3$uxL%lNdY+A*rb! ihm%I(+ks24>V0T`|0(1#|GNrz-OVFcT7bEH68{HWwss!? delta 40435 zcmW)nWmuDs_r{;~=*|(NyIVqXfJmow3zEV%P?QHGWDF1sI}lq@P^6}$l!y|Fqe1xU z<^Tb4AhGBFyZ-0Jxz79Z;{IIsea@9$c;N^fbPp~B`~esM2j;~gs8!^D!~d7e|2iK~ z#NC4RYvX@R*^+zmCaV>E>c-jd8SD@3M*N8AYo2JEiNhA_ZdAI@Foi>wD5?1Xs+d za-*XBGp*&*EkY9S?qBy)eu2r^UCX&%iZ}p()Ghl^a z3a;WQx5Fns?&-7mrUp`0)*nXTx)SpoXZ|FBINl|*Cksl)?lx@l%qSCn+CCdgKl*B1) zO`0q77_`ewhBY(Xq>u-Xo}i)9=6Wl-lK3ReM2`4c?hdGyA_bdDFsI2hKG@8X<%!Uf zvm&Y`$WNKn@glMt2pv&q&ai~f&#VzSrp?S9yjJnKnxT~ z@8Y$#oXU5 z%ILQ`*`M_uXAp}!NPL!;O7fPhF1Y5Rv7%jvi#J;jolZ} zCS=4mf(#*!|GN^AWp|>)Rl4HtG~!R`Af|l=u?xTGfYOFR7t>K%U|RpCFQsV>yJpZd zx{89{&`fLixFBRsB~2QZW%|uvfD398@g)~l-V6zJeMnYIkcn*Fer-uMklH4!Sz}UP*10+9=iKbvQBrwMogB~GK>btwLH=pFT}XgH!S2Rr0&A=8`p(5 zayF_nQb@YeQH4VrjiyZ>X=-0 zS9mYl4NVX$6-~*Lrfat0jF%r}O|I?KOVjE$G*&|cE3b4Nad(ifcKKd;kf5<6o!tUv11WA+l z{6G&QzEJVRHKI_8NEc^tUHpM=?haI8QvQF)J>7@@~Rm%i=P=Z?5B#ZaVd=tYIo!(RS7z83|f4& z1nMb+7k#j=zyy<{UqX_fr9Vgs5PTzn+*d~gu=ADARd=0Dm&d8TSc~92CLfiS9wpwt z`k9~c`UtfWEw!q!=dSkFu6`(<+q(IY%TIs{7X@c-Q#G z6E0n7S;wW8EP91%7xdy@ZWf4lr1+v6+16g;{wE%&gCR=RTe%`xymkk8P$^^{D~oN_ zn%Qjj$ozQL>vgY@#T_{}4uP;O*ePVW7Ds%OQsBZ(pkTe8Xs*mW9|St|)|AXV`Yh3# zxx$&AIuqAS!bbR)erlrM=<0@5XJ4@wKPj-FvX(|`fX$DIisLZU89%rP|8Ey-n1{X2 zs(uG}h-BtWGq$wx$jzu{WbdMHk!XmJm0f$QsI!|jt0&{d)S6#?P4!mM=1|nHNF7@F z4lXhlI5#KGQl)Pf$TrFUd{ma|5hCY|F4-@D;29rW%yd4D!+>2lCyki}EludJe(K}J zoqw4u*QX;{{`qz2nK=a>Siuerj$r^l3P28G5P%_rZwo5MuQp8!qDVDT8fbLk)`n85 zY+I8o+BEq;058pN@U0aw(*47M=f&}3l4kt9m@OHa0L&tZnNo?O>WU|s7yHc|9ZRV! z5+8N>)22gnIpIbVx&PptJMFemxg9so0&ElWqS*k6Suzx}$P*KT#zlutKMJ`4y7o_X z@9%I7*i5rZk!2*4ZIObP|IS>hDOq}5aP{;gBlBO-d=3NS2jf~C!VXf78N8q=6-@oi zZ}{*%XHP+(nLoGs$+4usAq>a|Q}TS4eCYzbFc6JmxLFMASq6wJr0OUntC*hB=}KD- zES(S0`m_H`WZ=)!2;=Ms*PN7!c5W@pWAFp|@RP58&#}8F44eQmOf`ZyWA}C?hM#Q3C!b%;- zA*~c{iHpsB!5>;)pS%%r!Z|CI?jLH^?HQ?Xl7ex@l3cNR=5WBSX-qche(hh^NBWyL zo7ff5F_=5X#95$uLI4-e1?9&llHgeqAGQL)w}XK``ogC|kC(4((wuMvDpFfdeDSckkD zYil}eQ7Bc@JrWyZ7TQV8p?~$SeK`EgYHOh%GLJn>ax-^dD9B~!M1>>)vS6$#&(C~2 zx8t4IthMQi4qn=~uxPy9#VVU9o;I6qW*%QU)gvtAOxK!k!7q4P)}%P4bJ+XtNxJWf z%CSz9wQ}W2oaL!sj=9bR(1I=Xpr3#0mmRCNYD_O5RsyFO;meq1vof7!4y%`C z%-=kq@$=Qa_?p<V}{&9jx;QV=r?s$7w&jft$q zoV_2@bag&N{`+nNDMn?fKn{^C6;f*7wDjG4-obU$B&I3Y_U|$%YYiuEMPEFffo-ft z6+Agrj~@FuhTGa==l|${P?YFS>-K>Kohob4%Jb?`Dn}}cZ5|(=dORUc+#Ee2uo-(V zD=1FWLin8ylx0;XTJbN;F|Hat#23eI(n*PqdU?0E_q+BB2NnEOpZ5bDT4o?*!-w_N z=b!8%MZU9;HU&R-1nel}Q0VQJT+U8c<_?(B#iY|3lhT^^xsrHg*Y8BgNP_pV7>09vlZ0wv$1|eSJ-`UQ z>^`_>(vk=}&wD{gSMr3>Na-bgS21fSvj1f7p;aDdz4k~EC#BP8QmQ!-^l0GXMN*AD z=zmdr6Lvv{590QJsohkdOEgKs3#2zM*mVWQoT@4Y@l7wbTw->M#aLkR z_dU4}_RNsijuQ(jBvkc2hNz$O3Jd9irE>Km5oxezdl2kGxPM{P@=Anl%l(_f^A3_n zO}R>pkQzr3XV?iX5lH!!wT&lzN4Gadej??gcUz!p+1cfpf|EcoYnCVV>(=`uFu3oyDB4S76Z;Z|dqW|V$@$Fn}3GYL?bQ`B3#14yE@g%!!>l%+tj*+%(ry*2en6Y0|8wwfA^aksFZQx0L3YP{BGVD>F!gAQIq zG8C73l$d}|i|61o(?}UHadRM720k`!HN>d^(E+fiSAR#KXG1dn7sc#%iRQ(~Y#7>DycQwltJgD#J{>n~Z zH#3fSJSE00O)!<1{OZIp2yl0ga3syAq&w=|SUG@qNZCz}O;sb>fGO!}O?YWX|2=mv zT?11E9UO_49v7b*dSh5Uttl~@L{2B3m{S3Q+xxD;!ZWD@sjH}}RuC!sg4L&&bA zl;RhFehNOlU_7fe<3ZFn2~RTK!2NvVNAl0}V_{JrVjE*`XVM>La#1Iar#g0#Qe{Hc z;WVK4dYZJ!(J4OgglKh&V^eCP{c221LL;DfbV@9W7L#p5j*jAOtjU<+(KjCF^Oc-E zFf@%Jdg&S+jhp&xfBJ0_J~d58I)0m`0N7nk`Vg6VqBjLiTKO(sPYN!Ickb54E1SvV z(-Uxxul0XMjua0IZrJxXtwzW3C8hD8Gk9=`e444d02k<+crqjC5Fb$6$y*f9+Ym$3 z()t_RH|~>Jq?~lcDp;y>auV>nAui=l?}g;o($We*OQPIZwO4YvX zgtRPod!4WGnDP8+9j@Y7Q+f>PgTiK)NC+<>K`=^fsy;n?CrYGKadOHzD8VT}^Q>^(3<6>Ua!iDGN_Jfl$n%7<~ z^2j>hH!19_9=ZA^rtik{?DPZ4iE**2RQ3_86fkMu*v!(%EM)_0GH8-kocu8JkX~|z zVy^&qr$~@uxhXXhs{POl-h_?sbWCLi{hj7m;{{8yVaZ02pvqM8#O&8hZr~L*_@^YrWK_3& z3^aW8!LaW3dU`_5*=pZj%++HQx^MIkzE9mThtW%;aj^y-sj+o~S8l%EZ_Vr_%Wgcs zFl?s%NE)Y}j{Sih#{}eiV~&$D4+&p?GOX29nw)1PsTKIJnUoRNUy`W#9}lt1;FUqq zA#Ply=Mis>OuUb$J8(uEd7lR%H-T@^@&M6?En*|xc}gD`R~g4IIFA2|j+{(9_@lh; ztVD!)dP>dFV@Xq8S<+F-H3e@4=H4g>3;=YTLnXnz{zyus$KdDY+xIWdRAhcmro@`d z$Lg%r#|%YZxu5*JeCQOWOl!4%Xs4G48K0kc0$b&0f9rhu_59e$o9M8!DSn8b2dA>Y zw5WUUx%kfMPi3ows86ZHz%<_Dt@&GC35Sr9u{$yUJ&c>;&Kypq>)15h7emKY222`= z<*0NsqsR^TWorN%>~hf!akAcfJOeKzR>+nlT@bsfDHwV)F;(eEa!{xCXSCatr82<72An@f40HO}eOaoBUJRcClB<9+#pje~ohK^0vf|#q?{tc#V?2km3rzQ%!j?O=q0l z& zh*nbtZECiw8GM%ftGNPH6vV^=1-r;x1ZryJ_Oel|LmVdU36l?Cmpo)c4YRB7=9&s} zS797dNLhc|U$qCPIc3A;Z7xtnHTx7&wFHG;g@j5SVFkVL6p+`6hVFw4W|MwIs1@v& zjZ(VHi`z9B+w$V&ja3+*2SuMD^?Ox**m*_QPY0>R1ctI7hRuzD)AB(ra)^IO-L=okT=`lq0PCPv~Z4 zRuYuFynBj$jc`dyH`@Ms2zG-Cny7pLwofqd*qlO!2x8RL_r{H3vG%?<`k&K@;g3 zUT}9svsDl-cxK#EY5}k2ry>`=V={g=~7{W=Ly-hJuhm-TG__=!V6YJ*K{yMc1^z< zlpR=8IAt&65KzCJa-NS1Cs2oC6>rK9q$u}$!3Mm3bjV!r+BoJ78xou-J?!8vCEY81 z_GFI7^G{+=9?j+IS>+MYBAoPY{>d`labCd^v#zXE)sSna*NzA}|1(LMBT(dmxXM=0 z!Ca0_KX#UAuX&SZ1UyI-F_Zh7A}uO+!07;nm_!Rr#%@OWe`aQ$*!M+uAscpsU@HW) zdhpEc3gqq9O_GF#KJyc?!I$2gPAOY{BS)5=oJ5lu(5hD4E>MpYd|Yv*h`iG=w%D!> zlcD?(dhZNBfuGq(=&91=7eY7nC449^z_?y`Hx z8wPJJkr{n>k{rfO{qr4OucS+ADQNdCEX~;V{QRTC_va2`sRyZP+cYa%0RMIdopx4h zRa|K2AcaHW`VyQarqzy<0TH2ai@oklVaisSnR+NMbcmS1F0LFe7CyH{gb5U|` zysXdl8z;!}6crb%p3RWuF-)h3b#tpchnw9(xmLJRMU5Rv2JFuYatrTeR265@Vv0#f zjL?9#=X05+SY9dqhgL=HcTVMYU%~L=#(SjJ2_B{rIT0T1yu6Wgp>?nUrz(6Ka`|F3 zc=Fo4E=J>zG?%>Z0vbhQIgrMj6g$5U;B*v>zYW}_Z0H>xV~sIB7>v!dLITYwlz?P_ zP(#63nl*V7)g3A<5!@`4CrtBy+b9e;q55&m(Ees2Pt9_ z4OQd>+?A_kU5AGyE>Mlh`v@}(PjZ5gzVXF#y{DbUaY89(n#~kB_s(siR7_cWLeF{W z-caENMdg>rPZNYWj_V9H=Q7V0u?6{x99k?=cE`BbauYWE+JvV%uO z-5vNvByFLsznlMI{%4C$5!^Olz_P)VaQg1Q?&IT8s~^`G!0^~w7q>&q=rckp>Jhc! zoSPh1$r}Akl?s$3ax8h(XbQW=qmE35`(?TmoprS=q5*Y+TIj&OzV{5K zm+5%q&6#V1Ac4jwRdK%HVA-OwV!Y^LuERekURl$$HUR}y&w5_j6rP!4+=?^Vy;d$+Lr4Dm(>(<>=c=)sYw$m|LsZa0l5RtnU9?WR2R~)~n z&?r^th4?axJ@v-uaYFKbzKXy?GA?)`Q&K;|6 zJwPOg>EbwjqNvN&^!*#wm(;@=-f8OBv&ilP;a!{=rT$fj^2(x}Qc!pd3=qD=AgXfww#_Hf@rlnP6L3L4(9$({Z|#j6aa)_D9-8x>5l z%B&|a$PX+7<^nq{)<|{l0%B}o)|L)jNf@eqa*=<`ed0~Qc}A}_kl?0gOG zpG$baVfJ)z&fF*s$1;8)_}8$<4z4jTa8%!yI) zMFe}t;sa5EQ^B#Ilm5Y8`rbKhjQ~z3d3I_f|5=ul*Wp6y+*}&sMJ3GQ#4Wm3NIXX6=;U*}phQ#`GDB!7iI27`C4J_qV{VOiNEcwT{>3s@@vQUucHEqM>?Bm7rOXV0U z_V8}4H(vI9w`U({%`OB?}O-0%*S6V{uk4 zuji|aMuBhsx8NuxZke|yFUqz_V;A$@J$m$W4>Z4U#$mG!g0*c9jo=F ztRrHM?QqAKq*W&w66(nFc$^%<`Y38)m}st&yjZ5|!+J;O-*z34UQz2f%m4EWVRgmn zwvUs?h%J(bC2`@p)fcY}5AcS4nKSIH^IqK6Jj;e(!YiE?{1R&f@w{K=wb-89$$=HP zKj`HZFn%aD1nUkiQ@tjKl+KTvmlZfq6`4)-Si|A6Dk?e*iHrUd%C3n%F1$gTZHl6g zJ;&526~o(Z`kTF^j^MQo60LdZrNZg;kM@%JH9Pl@g#2T>FtzPLkaa;dFAh!JTi##h zaz^K=*G)(XX=Xe*Xt(q~6&*a};;!JXSo`@)W6#7!z?TlrERnHoSK0AJP<=Dbn*L1Z!N6RA^iMarG3TKK2$Cbq8FFQgZUYNk^8TrsW;$9SCo5t zr%lkX*=BM<^WBbgu=Ad1BF3WCgz@$I$z7gQ`whivM69jS21o{!Mcs4jECoc&LQpD-iW1N>4*5hI^M zRGzLtazB|&JhbSjIv5OH5VkFdKgkk>1qDUJ1lJvHQ9+e^-LwBb}o0V}3vs)s%7p@6TP%I1EvhF4pG;^8cl#d0eM zWMqJ)9p&NEvB%}x2+C3VPYztuKy!yql#Lt`mB5yhY11s01NMbJ{YG63e#2KyGC(WZ zt9bf!9Oh%=(WL_3Ov{7#Sv8B0F$JUJTO+PJ>6A}MDCR)-WXOYaq|n<>)gJi!^sF-j z_w#ek3B~rw{ZkUYc0?8Ppi;d{(u?8+j!fbMc`pnk$c&WfRZ+$&NP2MhXtNq z{vj%}a|%sbkRW8t(A&xjQOk`Qs_={X~q3d9{uKJ3p(+G>zmUMYWAbT%8Rlvpyo@0P~!UxM{ zxi{1mMYEmZ19S8m+XS(t(;AkouLwb29X`VDyUtks(GdYlTfCA5U;|aqFC>-0iT9K0 zxik0-M$1OR$+(L(H6tAc@cu%zs5w*~~Zplnrh^ zGY%@x751Wh;|aldntDu>?t<(x0VvCwR-@kBNa^{&6=Rq12xUunS?XXL@S@;tUPizZ z+ag01F4rv{Q#D2NK6paOLV=9UB>%cX-bmPU`M@f!*vpU4J0G7SHrgrtkP7ERDfqbO zFW<#kx``J}4V>Z@#Ar?N^l!|Ug>UZ4vNd}mIrX-!-DT}xOf7lC$so}R>fGRbCMMK* zedNYHikfLS%v}O?L0NEpcFBC6PkE}X&0$rwB}}N#b&7NG!V|#{H%8stAs5n?)7pb& zKBjAmW=qfzW6ex3%v2n@jA#9<(_u|jH6U(@s-*n#HWEBLTwwRF#`vXZxN*5ckpasG zYV6|u(ZVUcd@bV^*mxD8`(MJ>mUh3^*bZ3Jd7-|5h?4(~lMShkyP6Zr_r5kPt0(Dv zfxeG*8%*Xet~LEH9-xb#ChQdq8J-^?y*X8rCl<+e)pXt%woMNYHsU{^%YDs5FB{e; zL=RwBA-;(|52z}Bzu-`NNhkV}%Gl~o{?AJxo!fDjo=m_x%s@k>XS_n)R=3$6JEDu# z^KP=`fbVN==hp*9_DaW9LWNpg$4qTD=yoZWF2Wk6y%fx2O|Mq^_Rw!>mCQ|;H4~It z`PFFKkmE>!{Zc9I&uODqmH3PY8h8P<2}M)<-OCWwPR@RK;gZJBWvtYDa&q<3{ToC% zSa;yTTlR@fYT$Oj4p_*TH@RI&2ZS!a7IR8cPLvf*>-)HkcmQ3*-E?-~ky&g&j0 zYRT0pdGIEd!LE02cx*Zhe8smdMs{e$U5P)GHZpkhASrYy@J>g-hW;kRoFowMMB}_V0AR67wNmK6RtS5WPIeSi~BL? zBV-!Pm5K=Bno!tY>N?5n;nX1X%=Cdy`W{$9&)Yqp+2n^jn|a0dOlFl${$<(|>%th4dYb5#l%H2#Sg#uiKNvqhZLgR5G^TAi|ueeG}8>d+!mx{&OMiXMeVxvRlWMQQI)7{ z1d4}SFAaVK*+y?tB{{LnGVPIFlmj3YBpq)XuTv)F7Y)&yw%`iz3bNEzMaBVgi$kSh z@>{$EK1NU^FyNkRX4KK+n}w(U~1IzB^2SUikp%^(#>z>9VZ4XibR)^HNGv=_(C#5Dmzd_Y^e*Yhq$*mwXQ)Y|u6Vj9$s9j#Z@7Bx1-%5q~ zXl>_?mXqJ|)8`HNtkc6?{?_3lbLZdwkIO={3;rQBBYOE^Z6RB})ZEsC!1zGcnL}6V z>-MfUx41m~3<*z{f7@_xJnD z?BzuMv#?e{IX}<{a}@sZWtDDRDqoUT&pvw%NU<_~CC2#jt27rQ*ikffT27=uf}8}` z@vFvOM}@x^_I_D+8zL{D&!3rT^aXDhjBAIVmi_t6(Fiu zH{$ZHw7iWmUbb|iHL#4X*GYdL2+P1kKyMlwY7x(EAK#X^&)>RxImD;DLN`G?sNXxj zbck`uQlbtlFV4073G@w>jb(mrd2CST^){F7_K+by5>k^&UCbYxz{!uj*tovTOoU?S z$0If395_aC7j&2MCt^x3^9Gx}3Tgo8n zP|e^DWf|TTm@Y5l(;f99346A)?83H=3|hpXQ`LQ^==(sf;|y^Z_*B(IMOBC&zk7L) z2+4-N=ANT)^iP?lAuT`ys9;s!lDv7^nQvulK6MioaMtbK&4Q3ou;r~^m-wSXG%my> z$LS!PzA8R!) zix*SERnEgRklfU%EH>PzxdXs&q;VKG%C}wc6a|sET{i)`3A1S?o>V8FWOia zs+W1rVH!YIWRk&eFCL~UG?RtFVy2j~BJu4cZeHePVV;Y^S=?AArVzr0c`)DaanN1! zEr={VqLYv3)NcKLLKV0{&qh4{bZbB?6E?6k+Doi$AD!b|f@F}b;pwYRC63esdNzPtNH|FblDnt6MXHGc%G zxVwm}iSC|_VRmOv?XbSMUOtg21w$$Iq~~#kv8ywtQS71)(VJDE1$;~Kd6UeRw4_YF z5b6EFVZn#3mpwfOu0UG<{yZe2qy`uT6OaxgPq1G)_be8Oh>^yKz!=bPv0(uR-iWX%-dRnea3I?QD#hz$p`V5$Xo z6C_<}tB{^|ZRH#2Cb&r8y%l9*Q11tbZTnYAy6Y5@T3uJw4VrQO=1(gbs%wht=C>dz z$Lk9S-6xn&PbG}b7v2rBqKc~ra0$IY3JR(}F44SOJ2oF}IyPRNvhl z!&Ifojld>mDE%6LZpq%0ul^P$`@x3v{VZ7Ll-^2@6w`k!9`ujVBU_34&I@kQ6^0GE z+`jJ#U2Hj5DQ1Um{-5GJiOIIxT=%@^r7FJ)&y=xf6^bw$IU!Y@f~Pd99A_~U=kUKi zBLWMROh0#*#`k?)X0=H9HJh;h^v+OB2PIfZXS%Y-Sg*@+ZiXnh+69-Z>iiGQ~9$>nsi}{ zRfKOG%%;d{+D3+`bxep>9x$8C4jvbkG;r(d?qu~7zJ`a1+I1Ur7?fBIA?sdkygsnn zFSomj%v2{llzl99kdNe-o|BL1B7ksglbI~5aNc3F&ry8ho&*-=QL@%{jfLU#7FBZ`zuWXvon}d zn&vr54A3uC{U-S0Ld5n{2HC^Lth#|! ziCepY5R>=})_=lCE<-l_6=hB8R-0@u>;B&JnYZo2_*+A~Y|$M1>aN>Hzg8DHZ;rL& z9CLs1)R-A-o7_}iZR|svfaapIqOikdYPdD36*ctRk$IMhS-mi8T;&T7gEgXdG}W-r zN$NGKRxeoe(9l8>2OO6nY7Pyb^I-JK@QJ;*O+7(a&2v|o;VKK?=)bIWJ|v*b__NEO zGtWbG#rHn{7V?kL#F9ReBaW6Qnf9*u7ZDp3l)}V+HUEv7o1nSGf@R>l02$KC6oTwK zIo>RI`JUk02!6_%f291G=OXCKT}Nbg4LACLr9B+q6<0R}zV?Nh4~-|G!@i8JI$Y-* z{qUILeFf=gtq{__pu&2O%Me+hN0mSI4H%1eZm-)FGX3;?SF!)Qgvxgsukt7U7pA74 z74Ja8l>s^pvYs?LC}jAx=7HZ;|7R(;;9WpO3B#IR-#;x_>oa(#<39tnu~u05dD|lt z^bETfm@xujE#v?C^>oeNQ$BIIO8kn|{vU?bq4S-}z^>k1&UbmbKRdPPT^ zl|Y+KY*hT+js(^B>(TZ?6VlPi5tM%ExMbA=0VPszY>PCAHI9o(ys#`q43LZsnndt3oVG5i`rscw=y$d zWwqVoedxT*YI|WTelWn7a;{t3scw~f(wVk7yb{_(9gCTaSI=;dm8ZRrjn$B* zN(H~-=^&REYv-xN%6o=B{;x@IjW%&BMr3dk0_o&m-@e=b*ECA|_&Qed_K%G=jOI6k zud(j2sLGos&&Svz_za_BpAUo|ePIy$EMjZ3Q@rTtp+oCdXJYc*${u^woFp;O0cmVA z@yb$^$D>&LhfD?1n`dJAr$yRkhhvqW{C-|nijRgX7^N$S<%P!je+lBOOjFo4}_Rq7F(ktACt}5%A>8k zKO{!?U{F>?){m^1tk%3NZHZeUNH6G(7lrO(y@>>}48`tne<8PRYsOM@(Fz7nu}H9p z^5(rtl*38FwpN-|3u?VHG@sI88|d#Z$Q6CMEj=bLDuQ*ht!_k90pP*6)ir1-U2)uq z#XCrHpaa=<*@@XRDF19x<@58h2+=tub1pnXIGa*uti3;Sw`vCSxTmN z$RTufj2;aRDBtS6{o!fopChsW6}-h~i@jx$CC}@hyI_X|>~Gynf-}m@mL)W7`EFgQ zl>gt)7h%G~0`7XR7sLEgD~Zv38g~|U7BN(UK&HM&6g|ewbUMR*Kjl@mAWAO0>+q?U z?Pya}t*%3I+eB~7sj60>Utt1+i?r@aJ9N&s!`^jaD1*D6G`zD^B40;5NWJx9N9w#_ zrRSHSld&|bH#fNMR_?Y_N-rwR>mUl^_28jzg{_cnixZVJ<$Em}WlCISc_MSRDv0S$ z(SUM~6*#v6*D%!&JrJz8O`EW-CGo+;%Ga@-2d=F(!4dlm$P1lzPJ2M}?cBAM4VXHwKurv{jBRDGmOncYw355TH38t|z)Mmx&iKH?5H2mNCFZ)`;kF)`Q|C zK;0lGevl_5nLdTAkz;LFuuPc6_|<;Kfc9ium%vaSLB8Ozj^NIvV2FP|M(A5Tx;RC& zP0&P-b7m1yH@}q90#vH~peFDEq0_93DDdWO`r~I7A!z2L3iN8GBM>Qai0>H>H;|Gg zQ76PWaMvI1vRL1mb%p5ybugc`zvuwACbpodf(Zc8T`(d{+M1AS@dYPJ`T0=tV~j<_ zSeZe3wQwUDjaUVM>kw?P0Xw9izw~raC9~A?#aSpYiWrlYf_GTgbI{UqY6lB^NU1u0 z-xE{fYPM~3@m`weqe?St+kT~=$avz|j#qck!26b-P+i^C#=EA*D1inR=H@zvyZZWu zx|#rp_wYY_7@s1OS+A99w@ZnQbBT`0w{7%D<82a5BPBSLesp!pItK7@x9>J|(m11H z1>FL9i%mfkIUGrKu}lsNnkP>SF)F5p7RA~HQPi=KU-7UrrVYGvBcy{=e!kd_J*|Gr zkqo}9tIaj15gG8Qzw)B#)Jd&*n87UG*uYV8}1!46Pv5p>ub*k zO5H=SE6`pY{?ChY64cyUE|l`iW~Pv0qc%-JYoPQ-?f%^7Xo4M2pL#av&zh*-E4_cWM}Et*15wWA(^b3x{<` z3)@cf;^`uSruE2aE~`|%?fn0s0uC(!r363uoV0`eeX{jAfk49Cf5z8Vvp!GOLgh{A zBu+!ro_pdT3a@I+y~()N5~1B*iP55dZae691+Osu|jOH>ACA<;`a=0nNRRavS<=SBu2;X4oF=&&wd9rI+@x zVl$VwKH@vRUOr`?XG>b&oSwp2{|k|n-wvj3>^%o>#OzYnKWTk%#oV@(YdH-hjqxl8 zdE4LYUHSqM`MmD_hiuqY=@I{dl)UFPliDf(W4NuX>Ja|sb3&DfRtCc$$Z{p&>g|4x-eypkD@By_o-3dM;fwMYnxvHuD? za~FL(Bd7`$>}BD?`+a+Dg+JufC`kUOk(_!KAdls9@37nEXuEuoiqt}R<&t^e%$MM=Mw055W%pAzMdEEUY=@GHY;ysWo-3Q{fT zJ#8Ud1k<#aLh@Vv`Wh~08T=u^{!C&1F|3wDI$>L>{zR9)c-{AH9~50kzad32i1SHD z+xuNfKPU0#GmM4KhjD(zvXoA;Qts^`r=dZQ`#UkB?$Fw3&xDmx2hAk)C z|5E#@U0UqXtv~1CQ&i?ir`Eu`KOZur{n`O~7Oz&f^z68x?3t?I!QYsvi)TMD2mJ#k zK>qk97+*Cv~8=;9-Gf&WemIfrGXlHm9T|j z9js8DI}ny%5t8-4Fho}0ZhiEZ^+BBq{_?|S)uEzni$S6&{{gCm`Z(ya%b`Xf?QOY2 zDPmin!z-D--kJPJwW)QYtMxB!x?{nfS%!VD^t3{`V?o`X@R9ecjdcgp`_XXV(W)5f(9MFX0gG2rZXjJ2kM(@_*rceESn>}hl6Rp z7XP@*o+YPsxxcSc=(?PA(GV|d$xqYC;?^>Ims(5_*kY}*#5B6BWT{oMC!x8MFs3g= zNn@Px^{xx}7Bx<#N#^eyNGD1?&RI!Rti0+?iz4yMeVD&D?t9=K_?RBOS2-2-8j!yO zQnn`zv}^YO{VzA~2fZHcvwF`t`-;`o>g!aW-$e%^PMPKjo5|T&^xDTjR8iQ5MORi{ zumMf_#$}yRDPDx_QNyfPNC}+!A*4+r3E# z?1hBu(_iF!`5)ec?kRYf;t^K6W%iRy|8z(0?e#2Cum0!C))R-ehnT0K?2ez4_CA^( z$6ERF{z7tVNWY5XcU6If-51WLPko+e&}Cj@i#9J;4@X4*Tl&xMF3&WX`Y&i!{@2)V zh&_?lDLD26k=I+ELJP;t7C_$s%WovOWjD@yQ1X9}Ccy=w)=Ixb-26(JE88EVcc{7a{8LW&Q?noOrXQPSBy_((?=LQL1(bpK40F~t+ ziAD%YPHbhfOU6072KupX?Y5>yoz)z1_~Y<9V8FpCw|5;sGY&TGcB7Q5e%WdjQNELb z)y^?VNY<%?1c?Q4Nx76A3*vE`(w3*rTg!MU#O>B47I|8kE2n9c@?Asj{-cr3XR0Iq z6#&Jy)}^~G>f@X*cnR2>PwZ2ky8r!q;wv<*fnG$%o?NHBtpQPH$=aZV>9?f-qNN3@ zQ+;thx2syTrRT+Guwoay4V1Y)oZu<4`71YgqX2sMH&5Ib^UUx#lg9~zv;%w( zoR6#0LQdJ9HQXabX`-w;%QI)4M*p(H5<93}Nk(!I>qJ$qLf?jji3y*n=4F!5Lh3R5 z7r&BTPyp-$UoJ6>3m zOPd-WWW!HC=B6y{f!daZxX-TE?<+J)x^YjENqy`5>}jzZOMemJCD0Rj2kK(H=YD$l zcI>$U3}4B#QMzO|k{epSCL*e>9u7ezr|%1DWSQOp@>!A1U8=LF_nyU|Rfp zx6gK7(=Z{$uH`_JFrIVioKx1)3p@bK5niVMNV)kFZT5aJz=TLbq*95BqpHL}1t^)j zbCeTiqV6sIyd>7dwh`R91B?rXbBwTtg~A6LySIK55%hvG|9C6y=wQ>CqFp2x~h)2amUEV=0>v&E=_01e2I-oE&Mvt+s& zGz0eV@mL!F32klB&_hre^CRlNw8{Aau6y%5@Eo~?%%DOZBwnOmEO_Lg_0S#&X>-;R zQD*V1WR6n)zTvY6OtRk9TCiL!?P}?c?9UAoUhrIGM%UV1U^I8lOQya9{*AO@c{`NV z{%Q@Io~G7BvG)$*x4eH6Cxciyb9HUrN8aR$4DoHuxgPZn!5)cAonl@g|0)&9msqC} zs@HtQc@djNx3%=JtLMfFD1>Pc;5IC)*0r@A3P)dyYf_;lBYHs{i1@#a!(c8+2ME;= zm3w#u&x;liC1zppQ>_%~Zwm)avRmhH@lXNFH7eP<5zHP<7Jc>p-9S$+w8?Zmr*Og)L`Y8P@*c{9xOi zHhv&qCoEn)zQbX7>ufeAvI_ILO@dk4YU5s?L9b$ao?!B1K9CUG8^n-S2&m9S^rxh; zN@Y&x2p|0G{uYf0>z1f_$+4-&?RYIN6mqYwI|f*63ARv zS>8Gyxk6Ym`O4l63-2z*?wtaMB4CI1ESmM?KzR&tUE2VlTsV`qA{y_qvn%AC>wL+l z2OjmBavEr%SL@pTN`w3!F0Df2_$A(T^j{q8*0_>&6;QgT-|_(FVP6VCyMzJ>@?4j`FhuOU#SmEdz68*gQ2WV(e@*fCXRyYABy6aJOuSFMf39YE*Zm@ zNm~J_@vR1VV{@)aesXKAO^Z~$|Hfwdiq=$nyUB&(*s{?bNR#n-McpRxAKUYg^O8Dy zPxpoc`EG)0#DQ%#_ydUG`q3LJX)uvhKwuhrTM5b;^@vqw-Qo%>sl)cOFyi=Z%x99j z&_H@cG=@6qA0Pzl&M=D{*DV&Jhu5KAk1I%bBR!7frESkG(VR9=I02Ch9XxU87xVPV zHD|esI-c9|Gb&j)UX;>n|Dn9UmgDL~2j&Z)9hb2rte@dqZJu#O>yJR(5)43yic)}4 zC7H@D}FSLH;q*?3l!< zYejA;KV-{N^CEukQ!Fy5S7ZDjW&8>Oyxp-ns_-Yfm1zrmmSeieXNe~-p&VvSK)fHK zj~4=$joCD;qHCUsCn7i7{|nn8LP`fMnT)0O#8>Ewe~@AS`op3fUtP!kyABe!oNQfS zU8}G#44wqRmaa^6l5;8>&m)1}J6HQG1BO*mzeSrq>&cn+wmoLKQrz4AD`H{&Ol3k% z1rs9AE!$L1=_JTebPioPunxH$bV`p=ghFues`~c0FrNS%62WaXCn`zD=n3Ebs9h}m z4g?5gF8+`gGkrW?ui>;nMpay{m=OeSa)#I$M19^jQa^sAzeOXDbeN7?5i3`^iP%x| z6QP7yLq>7;fG;^f_U;Jvx9=6o&ww4)g?%DH8||WOR9$2DcSc6sW$j@V>z(2Q8sdu0 zraQM>#kXwVs0;O#^VE_`xt~$^LOGBUo(xUmRB&mjpAXK$m_;7 zXSV0{qWOeiD`YzCJiA>Ps96mr4$UL|T7--yln;q$Lw{65{7DirBIT4zpTzy64kE?# zG%YCO-GzJ(AAv33c!4};WB*a}34lYSWI-XN?i!4bssCD?K{2#DU-ESG0S}<>4}2Xk zY0^Zg2taFDe}!#LeUuHD!Ukr{PxHHjjjlSZwkfES=~b^KUjck^L*c@|xqFf{1rusV z1S&R1q3tAPa7Tu6YMXqg9k%d?@(wK5&qe_M12%)6A3lO`6FZXc7{4CC69v7|kOMjz zlQdJO2&({jB1KVQn#ems@@YUvbq*aTVmY(ST9QDOfJOE~Z&qAA%2}@G zsE|?Gj-g}mt3RZFWz{5a=*gQ)le*aL(p<>W)cX{Jh!b4)dno6@)3nhSwhTc#Ei6VHQj!BXGmf&r+fDE<$&=reg z>9TRkgW|R$FFyZ1jG5zG=zxKwTR;G^Wf*k+k@z{U016{E#_V%tI)%&p9*PiNs6?I?st0RzjNi1 zCm`3%RXa#EuN33$6-mE)?wcDAX$-fnb8^Jt)e1ePrq{-)e1?*Wz*+@O1#-fF*{Nz%uwfjSfYfL)kkCU=)!qFVO?}~F=&WigS*IZE-l-ZtX4g6)c$oB1$5?A*LT^G)G>}QC-YVMaD`^{WLitll5=Ys?u_W+=!V4hGS7So_5x;5 zM*YVh6S1gAWd%efduT=O_4{(|Y3duVUDibzZklvK2Iaw1!l-o;giVg+^0T{+0ppvW z30B{C6uPJDXl43GDH|U71M-^I_q0sjE83ik%W$)_dug~j_Z~&^#b6VHiXk#Dw+p7I zy?}OVGH2fXgtgYKj$@hWL$gus{+*id&O%P|5gyD6ysD#o`x!UHGfsRAw;e+#m9?WB z>h+m|3?QD=!}oqNk!;78HS>~t{0kt`B66eI^%zX|%hV#t-D{!lsII|1SW{)YUIKV43uu&QJ4YIiXu>N2#_+gNXAOA>wLUED~VNHL&gB zRCRbu203l#dF4Ec7~Ti6Ex4^Nbq+T?{v*)swL_)GHAbsHk^2F+-1Fhqsh+dQTMh4G zVf@yAXL{#j9ERrgm(&IiQb1Eq!9r$Gg@eZ@HjTCuPphP#5*1m>>lozDJ$u<%oDO_D ziGRiookLpl1l%D7Sla+cj~sTKNnznRtWFPP7?=N*(LthXQ5e;ZX9c)+Vm>L4gIvDE z`3!YtbiWQJPanIK^Yt9C^HpzaTW%shty&ITYLXWoXxefT3Zu9^w`$$kgw~ycDH#^n zcjSBU$|6rK4gWoelFst2?~)F{@^?Y~?F@RtXzlGviqoHvN>VKgWu+I)m2DP?C;%c; ze1&Gm(%=rw(r6#4s+F@94XQ&xU|QS6eZAqFT77b*)--P=%+aZd0gx%-C<1RJnFjXq zu;LX_XTyePX*X8O8=7YyQ6R#a&-Pud73`n^9Lm>k@c@Oy`h36@rd2b)=q!&NJh8O~ zg5P9;@1Dh38?`9Dh6?3&+*;rZZ0a`2_Klc`k5_v1;qmYc;AnqiM5$ns{FM-4_WA+4B4r31dpdUpJfRc2?$jna{37O=rHD$#6RV{!d6N+{rV&wIQz!Sz zMRpWkNwzDcCxH#`%l|8VQMb(5-dxdf{z84_r>VK7oYIaq%51$?T!)fVY?Ugm=Udv)v7pv?AB@w{ntnq2nK z>(-x~Z)Ok~>ipkH%rmJUlQbH6+*rWnIqL8*MtoV0c3G))L0$OJyrOoL)Od8J!iU6n zn~;ov;*oiE)(yArBvTi8^McDuBFK}#P2!@+=2xA5uPBWi&uXrR6jS+6 z&8EntPFZb@^Q1+_@}|&PKrT|E6M#Ch3e-1+p9jp%Xm?P)3zzc>jgnZ}hGD38wAzo9-1+OcXzoN{+>n6%`OqQY%@RCk3PqTXmi(?3Jk?J9 z%hSP_NJ5F&94pnhUIUQ4TVa^%h9R8ck*wR<*-9K_N0}Mq^1{}FH@1p+bE|IZi<_(rWa;btZCKm_A1m&MSTd7sH9C22g7RI%9dn3O?>degTH+E7jQ3@Xe#ArsTf?gNA6|( zg(ZNSwRnvfNomLI$cWq^n9=ZJq8n9FG82tS#FCweE8oG&m_vD>~{x8yE_CRO74>n1)(rAd1`1555#OU`YQmN8U zFQl@2*a+7px$lNq2NCISxt%79JIwJp21??Kr z$+s=2zdL}RzH))2Sg@eBO;8x{+R5=fk>ljZ~7j_tWUqwxd3CBCLcN6<$_k; zG~YAuN&Dnd6TV%L<`BS3wgbrC4~6jai}mI4g?Y)@Y6yG2PX5fb(K3RlZWD1D;wP0P z6>X#-qmcMk@4CR|vrEOldIPwhuF%BDunn)WHn^up3LtBN!LBM751e>>U{Uk|DY#&l zp#9cl7+^Y2iL8|vw}!dumgyK}ZDbw3)w62$^DR!c)K$u2>Rt~)cECcV!J*iTuZmSe zB7ZxGG)gy)p8JH+8qqTrhMa~B!0?F6JiLD){J@uJp0^kg><&ak-6{qSyG<5@e$V8h z3-G^UBXXrbpXBJC2laI99KQtr6s-uDJ2Kk~;c~;HWIDZkjs^S%Gedvrxh}U!->W0I zy&Yw=qWOV>@Ep6x`*IMlUhL7&{q;GxR@Cr(zdyKQnB4JcUUlehD-g#O>{{0zUIIp~ z+$IaYBT~g;Uw+P+HrL*SlOO648i7Elk=j_5pcrF9B{u(I;|>+<4HfQgz;;xOmaa95 z-&KXnlea~Oj5~WekJ@Jyp_`|B%E%sC%vzo$<6CfoxPefe&niJkRy`Z3eN<1 z2iCCO>YPrm|9XAws`vJZhUQcF%d2+VZ;7uz<_Q6KjxYL;$$ZZWBj*>?j=!lLKN6)2 zeIBR#$!K>b1zBR!!!@qkN!U(fWIh+KhkjubxMG)1uoIx(D!ZvD>YAZc4?6~QGj6!# zRPC8CfEllcn>@+99h1wy*MTYP!t}-m!A;w5c_JB#Y|E595Bm|l){t44;JfDF8Y!+Y zls3NMfv22Qg_M%3b6Z$EkvQm+yK%BgTU{;(coPQG!m?I)s6mHKi2#C|G)y*p4M!QO% zkY4t1^E1yLU>)22ls(**6?8iG7Ax!M*QDmGb5ch%lgSCtU7vx|a44%mBxsd$LKQ~( zA$@hOlSh$yf7i8%2!04pkxLi*smdolC8ZALpVEM$l$W4oJyc=0(z2?a^W{%@!ydW$ zt1C8aCSod5K{H+GiyA}*>`Y=sGAJ_v=x|9+?N?9DIhfB4Ilhm3E^GPTEPTv$_t<|a zyj!1L$xVHc0(=`yO9D|MJu*pWrqiKK?Q6R_ccQG|SM;>`=K`^TvZm`~Wz?t^F?`RKqR9^PPtuV&!nl zfP0)FrzH*S+8Ti9GIhHxOHJQC4j23YTsk68cCDUlYwGW|d!1Wi>HRvpB+(Y+HQu%| zsLs>sp2rxIA^jI%a8z%n8mW!c*d>OEpZ3x7Uv^Ja)cZsrjO+N1zn686uc{FIwjSq4-Ws#v!p2Tu2hfQ z{6LoTN{!6Z%>EA$PiSz!AV+wE%wDAYTgZ`Y-kUJoP_?iCX+vi!?0C+|)7QU=VY%vR zf|lr&XE=xzU7HvDBkJ1#$`XgI^l?)J9NddwnaA=DnFdcMN zb3=yIxgdHOm_b@zgj=2n@&@v%Av| z5YcA}ZNOar_sh6-fX0Q1hH!Jr*!{FB=tdT_wgp*mO*(``4V}8w``(T$PPFkKxc{)J zNtPQc$X-3TuLZbY`$#XST>1|JqU+(0y)-H4kCQie`f154{wG#fGE)MeW-AwY7_TV4 zZNnSv#{_5d0$uDYa&KJa9KZhF#Pq$@rBM1|n^Fe7JILYaonOx^^jxCA#; z{E$vWSPc){N(qs3uLO0+9nG4oGX_e=-0D@MU9w@y-cHTyYXya*)iJ!nYZ-Oj zfl{jdAI~jyfR}F>b%^nhR)4~oiQ$f$B2V}0ns5D9s-Q;mGC5?4+?eN4i3+2`fdfjYQB zO2PP_UzK*{hW_s}1hvRlM6m+X9Ncn1X6I1iTmQKkwS0R|&4eU6rT3QI0U}fz<*D>A z<8$m${@jpbX7K!!TkpmnkIa*fXN3AYoj^&P0nd_pp~@TMiD+IRZa^G`#2#ybj#Y4@ z>`}3)L*x%w&5uUEGZ+xvx)K@79iz2`hYjyC#;qluibkv37K(SQ0iufm79$=#4!*7T zId?zmw9koT-*q4Wvm*_`owm;V`Y>uE$P0u!4dJ!DwI!q1Dn3kO-{q|5)gzebKGXNb zN8huuJN;9$^>I=Fw>`JE3yu^sBy<~m-qggE95P1d=fDCw&vRAjLQM}~*`LH-iOQF% zS8L?-4cs3#zeD7EarWMd?R;H8|9M?9lrv1(5&D(*p3{d*)aESkytZ*ls%yp6)vJzJ zG3~@fz+x-#+}FV-fTOg_Wz(?O@3XLnXCC%qABc0N(}I>i>%WDD1h?xpnYpqVhnUr5 z6J6lxNArs?AV6WW4!)m0*l#)zrrnlV6(EGLyq-QO%d6I16T|HV`9vPEgdRCDd8Zyf zJtK1nK1~|n$zu5NrMkMeJ(07SLbpFzKGm%!Sm0RmK~XFF-1X64j2;>JuAQS}p-^sf zjv~Q)%Vub_Ew6J&Fym8UMb9-UiU63LVGtQu*qRM}uoM(iR#n0CCj7b5QWTHkNNXO7 z8u%P^l=hA!#EMt-CP-wroRL_Kk?odR(1v7t+iq&9cCrE}Cr{4e#(!4N`Zw_tL6jHk?**;6U0oTc6{Gigy>GT}`>RUG zNnT#R%&fm7U169+6c+BoXy0E@=B3r#J^*ZALU%eEfb%;M$EyRoKOXQ5CP2fSxoc}w z2EKt$$L&y5;~_Ma()VEWWtqIyD8V4~E#$A^yu-I_5vrGfXcshMioC{imQsPHc#U$q z@V!yqSvc^@f1UbRc;hP}i`8*%WFx5gq)oD68aQJOk$D&MWca5#300=EXIpDnplt#u zO0eb*b^P~>7wdQ}qCgh-i712R+_PY3PlJHeA zBVTC_2p@2_7pkn=cQdUwO;>!{m7$yc;ApY8?B~K4QMq?q4s_@NY9M-2D*WQVU;Zzv^@-R?#jU z-RQ|nSs}ltP|sNl#?Jz2kd@#d2JG+bJ3s=D>ra%$Uti7?NZl&|t?@(mxiKo#?T)sl zLoi}|8JSkzlEbKbW_(Wiyki=CrTBd7{7{fz{~(?smVn6mxG37cIYd-B%d>VxzIL0@ zRFhkJRDPn)#4bQyl@@T1GikNs0&`X9kZ!Gmk@GSpo%O1&4E6Npg z_-#!}Jd)oH>tZ4$pmsq{WsZP~PC7<%5zwYyNby~hKli2cr7^!;%-h)Z;RWAi$^ZBz zl#D3%b{F)a@ zocEbQu%P$c#eug)a|>%d@IjsYLT_B|{KrToog;P&D@Zu+qEL?T?ayOM`#DzzFbY7V z<1^bvo%Y6@T-C}gl)YxsfESPyHj8d);!IV9z(6w3-;^BqgLAOmhpz-MkmQo@Art%y{9C#A?b4TuCL0voO|eMcO~1N24a*%qT|! zw?vP*Dos>rr2x^N&o#d}^?8+xX$A2pDwn;nI4#b3wCrT+YN`QE0ogj=O*U~^{T#2d z#7uh~;RT&mwjh1DEPMO~f{e=rR4ulpF8nSSXKF66^xjzND{jbeN+amG+K9$$e`uxt zj@_C3-~NF&+7}rMlV2)dKPqRL>k&K`Dq@P2_%2ZrIlrbP7dw>J#r2F9w}}dHT%eN5 z>oxRo0x?|zrQB)*G1eoJpypd2n?Md6-A>UZwcFg+v=dGPkk6K6gymbOZM#ihbY*kL z%P6P9rl4^;;KW{eao8sE+y|9}XO|bH`IBQ|_8>XC8$7in05}++bfRYDV*42P^|)N* z&sCW)?JC*EYuwga8a90yVmm+0Q?$lzwaD=Qei=Kzt~yG|%^bGB34n$I;TNH)UXhJ_ z9fHRN8#b>VE6*;IV$q8xuu)Q^mT?m8qsfbn@! z$rx3Tyh{NXyDajg7(}lV^Fdz9l+!@Qf|L?kzxkO zgc?r)nQ(|@+#aH2YX3{ggeE^+V;p_EA_j?oDQ=I9H*k@`dF3OkSuYu{01qTa!s99AEIzKg z>w6B&$J=4S#`d^)ntMHkFa+@z>edXmdT|kxQ+~_APOR!c%{up2I)ms7QUn*}HK`sk zrwm!2?>yO%d^+9xsc7UvLd!Zy;FpBw5Q8fJq8g~Sfl63no#QdrlHA~wO@HC@1*G35 zp5j7|)`EdHC&uLrzgrGpS_6^Q!MoXw=+StRoVPLfOGTrf4!lKmaek>f=YG~zBL+#< z4mZD@%~6E^k<@PR^~xv?|I7;s5G;ApnChw^nVi`;*thwt>qf|@y)bFRla1xIAIm$? z`9D3bVXi)NMJ+`ZO!yx|$X)<5)EgZJ_(dCYFuQJu3+38!XgzL&Y!x}zhrhDnCVXVoxKu;Vm_5d|$oSDPyg$oRMOS{oFSVwDy*4#?6y$^N$-!9TuSQE!S;> zBDNRTVtk{I`g+RxkE^*T?F%Tlcbl*poF`uEeOxUypkS2-Fwnx*M8EtE2vfbN)(vE_ zeiNiudoYW_M%#z?$lgE0pDUuV2Ky_V-*%tCvj9Ko=lxQ@>uDK*C|gHvy(KMh&{JF4 zxYgi_6wO@09Eiwud02mRJHMVLLmLs}M}%ozRrM_0XE{KOKt8&@DJPx1N(^KcVf=&X zA%*YJQP!El+3==UHdzJvTuS}gPxuVv%R^j!D?e5yRF6v@lL30jUkQcIo`jS5J2PkA ztlf?cy>xk^j%JZxvy(&g`i^duQ0hu99JJz#Pv*70S6#>7w0lV@MJJJZw=TD{TViq~ zasS&Mk#IHUxCK$w5F%@tc3Hfg|BA!MBb;v*32V-E*>FQKT;to8Y@}~_7t#`J(HcU< zgKg8+c3|Wte<%X|Xp<#in$o5Zz1aI-fmFYI1sbR{0~NxCY7FD;Fo>(Ne(|BLz49%2 zGy&oo09#OGNY8oWMe(=x3N~ccry%$hb_+2-{)(+Va4n*rfJL z>5iSP)UZT{Gp^(KCAUYf&U)S(rs|WaHYT1jf5`KPUtQ<1zN{wbR)d!OiklXB($w9` zi)g;MPgfWe-wC(LeKWK1gD$wIyu)dksb9)?raQdt_q!N*7!!Ix|9jPGw8Q24az1Zg5<*1e$@4IYzp5ER0 z*mAyNp9XCX#N2aO(rixXE2ID7Fpi3-?EKd>%lh{a$75$laxsXT{FezynKcHA)!y7fXk;TFy;uWrKzg=do zF1)jidj+*!W(@T^LV zzDdF{uZ7qK9~n93ye4)ld@rU?y8h)g!aQewft!eadVNxV6V*-T$JHlRlA0GA4(oag zEds@<9^=H1&VBRV6VhK#h{-ZWQuImjNkAYlwY7l}$X*Ax*fMwyGxi4o zN_A8>bV_9|B(3Dz@4D`DJl#W9H|ef|m7&gMu(UnrOU z;z=_yg=3_&CFtl%S#pLTWTSoS@Y#*SDZzkHF0tB0flN`7_Vm3}Rwh+jP5Y$)Jax3} zu=sr;%OIZvu1REqf{9at$C`-)$t^@(pFvVX4^$#pxdd7_(`4 zl)-Z{uGYe3y?j7?#v_WfdPRLE?KtoYXE?Em>_fzvxR9EMP9c?sEu$wnKyjqWvK^_- z|0)zR&Z&^sfoN1d+>`_-gU0V=f_zo75uzSLmu4-7%50F&Ky!W?L`jp!@=JWS;V z*RA~s^;NY1e!(SMpeT{LW+23O+Q%i%SDSMcKoQBWgGe}_?#HKCxV~X!kdZBeJ}voB zjD7i%^Ka0avh9(3Pc&VFjsVGaT&XHr(;H8|T+Il3PS4_2P5Kk}#!2`=MkG@0j2k4T zNcpsMDIhY4lUjaCUw_8SOsAPE`a;PPDsgfKcln52pq|b@&WE-3;?n8FE${6e*_{$G zNU65I8D#vby&1P^F!(J;u_wghne0&u4}H&li9BTBOP4+0pxtFUQHA`5A#s3gJ+uE5 zpk%(v&?MUT_8XDv;w&_zdeUQ8zU56eqIFE8KRk`_J>WB|vTRniV z5AEf6bSr2PNOF`ZGFjY90_a`Uq0Zj`Li=G(VsGI^$_;|Rz(@?pnhV9}AA3pv!rH2I z7V*}X52l>3AnuVhkBsh?8_xu93QAYyPD*EdGiHkmI+dAmMhDa!Gs!(>`Jbqeq~jS_ zn|AQAI&T0Et+aS-ckl1*O6~OY+9bEC;hB_R#644POldC}dOY<^_U`k0rilp8JMFCA z2_5W^jmUg#&e#+pck2ZnT#Us;qE$pu0598P_Ya5D< zGQ#YNn#n83;o{u6Nll3CDr0b7rEwc}`GCQ8c_U}YvB+`c5u`v`6Kp}pKblhqrxjol zg8EP;?gkIx`zBbHBK871b?3~(%IL`{q|^{utRvi%qjfWA{BEG;JCOfsrHtKJ4xmP@ z`EF@k%0FkSx495ayi}s9qUV;IG_Hu_^uDg08OW)5M$|#6tGb!q-VTP=3Yf40e#uxXwdXEt$&2}g7q-gD7*9~o7#Vz$n-FAj>U>1fl@s#lnkAp>z4O;!zY77uhP>DA zbhf`YKKqyTS$N0faQY%^ZkzbB)I?tG%^ItH*G9<;S;YS-iJ!*+#?}{C-1q}ze+Q~z zWkt_?5{-y=Z}_=KOoqZ@tT2!j-nLkE68f(Ys1631`KL$&21RcO)%O0iCuiTa@vP10 zT@JLwMbk*QDx)cr7D8hP=qd1?{YjHaNikK@2C3C7=<6JG@FY+X7Wh>$r|0)~B)rKL@l88y?&6axLzpAGtpp_80 zV-iOrwu9P0h2%Z#!s#KhMa^ic&EbVJW*#Ih!Aq|QVdB!r30C%rPRww{Txn^runjU5 z{5c#63N&LYY8>mX0Xft;C*zD$RR2jYz(d6IfXZ#X8ek6?W5;U;OCfsL9Glg++)5+6$F_t+NXO4L5Bv+#4Bizmh_lCoz@GEXixI<{THdu`)uLedXZRV zlhaEjylSrc%dO^%Z55Wp32znq^pJI~lnA_s&79BXBg7c^dTKOzj2XX~Se@FzRBQSz zM39trn=nAY!3_&iY>kyR-65x=JDM^TLp8Bzd~}!M2>Nuemw4U8o>)-e6=S8zzcnQXB(vbw?-4e zebPT_SR&gQ?mn#Ec{%vIHrIVPa6I&47f`B zGY#r-)D@>{@~(3sG~n^~C^!qs6F9%Mt8n|ZrQG}Z^}##FRS3cCuJgNjZkQlo3|?j5 zOUm8#P@6k*_zQSqKdtlEbY8!|4rM0YLkgfqRWXRacdgF;jO{T+)R_iq(vKA~FS-E% z;&&}y8<`4tDE%jh3G?9F;ASU1MSgtOppxsCdoDMQd^TRrEiO@-Ij{-n8~}oLL7neu z&=?5l78K?OKZ&@-gY-k4F z%q>|tKlyltPD`x`_D#^#fHF!Y8l*~BW2zGf8gxyjmNr3$eu#PaUw4GA&onS37}1X~ zjmahiQ@R<`+=5_9w_;k`5NzpoO#7n*2l_FlqZ7fI?!r9oN^qmQGd(;BUUYA!k1xTG z?#~PeBm~ienIWNsFnTyM;soI&{S@ErP(a$pzhy)Tnk(rcC zxIn+iyp%$?OiyK!DTFk7Iy2)6nUG1(VqVQAQ0Y0$+&n@)y?}X*Mku6TXBOQc+@#-P z-o8V)OD|@Y+#}qlKVUvAC6v+2nH7%+kLgdC|5Xy6(yN%yo)fC+FPJZD2(@%N^Hm+8 zp5DN0Y$7z%TbQk{32*3cnQiR^2EBvX*+uB4_b_|=2>tYTOy+w6d4N91{4hiqrhjC9 z8X=6*$C#hT2@~{5=F~J{hCa)jnTib-c^d08z9$}w;z~m~fB!KUWwA`0c>f}jxm*N9cyikxjC4h?=)y>=( zkA@DPH?4X_=6k=^De#G7n3IDm@V1JScc?_;d~7tIXyJO!#use=vUpV1?u3Tk7e8EK04vAU$wTJInth@3ay zO8N8)`4GkOXMvyBnu_h)_NhbE)V&;=(SLkdqAht#wVmKEHH&1~3Z{!{jcb@!$XIwq zGDkJs4myd&oYf(uW%&nJ9h*P+h5WzUYp{c6e1p9lVQhOD+$o3F9!Cen`>F zj{GPcUHfa55Wy`0`X)|JPRpLLCLuMls^FP~c%?f*LK?}6CA6-bLNC|yk^;ZdrC;l| z+ZD^XBIAMY0XLrkB^T_XA*5s5Q<8RtR>z*khi*JovMC3R2E#wOezI7c$XhgwHjdo0 za3#F}m%)`HxzCAKulR{=OSCz@na+wP@^}Ol8vcqMxMRw6a#eX$qTNEv8 zT5M9N_$>NuOj!>}KxR@{!e9Q~q;lnqK`G5n5p^i0LNJ|kD`9~tbhGcNp=%mUF*)a< z!BNT=d~E5BA)E0UwuKw5mg}SE)oYNJ*884?QDsb{EbT4JfAhUa3whv%eE|?zX$At- z-Ky)Qax0pWD|q1MFM1aog*v*6#~O_EiP`Igx* zQ6T7AR(mO|OvSVYuwn+?GV4dc&TrJ0p zP!3?~{8+)PY{6@Ejt|hHyrwcFfTh5-UbN=0fpt) zCquE6*G`eysuW!N`Rb8po8!N-O+>_ZNw=vz@&X`$ph!=$ifi0_e&Pfb*M9|+qTQGG zDdy8SP@6hLhL+EkduM}Khd=UM9A2D4OuDp#l1}?ZN#r$0!D;m>u=^~b+dSuVy#0pS zF=vB!ZylAGxs_H*er9TEr!S*Wd8e1>C@v|kFJmVSdErkA=JI8R58cARyYmQ#3Gxk{ zyB41VWC%26B>gMtrGMg0!eYZpxMGAb6VW8dFNkF}94{Z_(WJ$he)g5-%Qxyyypy=t z_@~joCZKS^{ZPA|?RJmd3qYXJ%RGArB;SP`-fzu(C;4N@*tPn;=MB1*#qCdEcN+z( za#jZ7i@9mIhwabZ&VK{oOg)Yq1glg(=gF+nd0Dv}NjdlK*hY00 z^3{uq?!3tpWR1m$n}u*2SY)y-S3usqcVm{S$ z#?{uM2M75kBDeX8Zr&T^Udnhb?eNK>vR@M3lv{#-CtSa?d?2|Eu=J%ztiq*gN(W`Ky%wxMD(r?snYo~}{v zgA|;(UnC=hn@l|q{*Cg24Qm#dH5h8*K7&Ydih*YM2Jmvhn?-GB!$GF zA{zFPdsnD$gWM^uR~4=rqFI|d&c8X*9ZVKr5%-mhQ!geeRP$NPq%Px9F+Vt$ArA5E zg(f~OEEctO&^9;1{&m1k7oJKF{V%{h^{s-5LaApzwEFT9N_S?xDrfM&+Q@}TNGxtF@z(RA~Y+@z@8%yvD*ZlPpu)l;l`I4NZo7%l3hSR==mQ#uM)&v`>3P_8f{RF5 zGQhr~TUn-ZYToal^~fgq?zgji#?S)jgbKgufkBGvFIgh?xc{ZB#K(U*LY zb*_YxmvWE4=6!v&xpGY|F#6TkXnTy6j;wA$~QIOh5KzW#*kx7YJ|U5^LK`2dz-$Q$4T3c{5i0+^|>e~@|LXtwp3 zQfWf0mFtC3&t1vA74>FbEOxkg?6U+b&ANdG1xF^qGnv2tUJ-kZJ#+@r2o2TEW$_C$ z+V?f8g(02qD1&)m8>UbJV3_vL-Tn4I#Sc33m*ze^;;{C?w0i5DF?>awgi(2**`-EI z+S>m7yiU^Ck-hiB14`~M*x6(o_Ijz;C?iwkuM`BlfAkJeXEQE5@56M)E&{+hC38>C z!s9T5+m}yjc^~icP(c5yHz_wYQK7U3XDv|j(Xr%uwOJCORExI|D~?gr`#bL!_{RJt z>jhQ6(|Zk88FwFQ0j@sX*r!?W?l>m13lYA9GFqshMu~Zq_0c?#xyfM<>_)Qjd;L!Oh1=_kJ$p@HR`N;A+HbZG$2I%GX#wFu?bk&o#5!R zEWCyuX;30t;Eg=eIPYe@x5sNXcPavc>W-B!*bl? z&#dJdC(bIAoE(2ZK;0TZ=$G;pRO(RGqvz0s!UQGeyO!$7nNvU< z%s(cBQBUNCyeUB=)jA z6{y`LFhswcJT~Mb-AZf&bRaLW%01(IS30qyScFvYfNHv|0mv#fAU9hg8F${6{5J2K z0oVIqXHKHTqfvxFZfB>bZ=nqiK6@`HbhP>ArC@#_bA|qk57TECw)O^_XTGu$wY?sHrqET6`#^s!f7NDlLZ0m0Y)u^9l;PTJ8-8FsKJF8=Z%|&D8(kS2*L`wG=+bhoMi5thOnlr= zeOMgowHJOpq-XgrL6E*WZ~{BB6M13n%IoTBd%L>pPpoKzk4hbC{?QI^efqKSW-`Th zDz_RH_OBu^+wIYlA21;E&BO(%RP*R(^*`hG?FIB_^*WVP`r7$T+6mq07g~rn03Fy! z4g+u}w|~>Sp2iV`70zXx`)=o0#8yMyMQ9F)+8Jr!E{2J_eDE(1tSCt%lTV%~K$8cF zdV;37oep>J#8gHq`TOsQj=@;Gb0j}b1|)>%jmQ9VMN|6>tYzsJp#}Ctn;Lqej0{n` zm6XUd;6SLq+OmOBM4<68xq`c*v>;0O@nd3cDwOb{sH6A{CCdGD0tywJn~rspQ7$@b z{CnuKSY%pO>X4-Ys9ob;MzgM92b&pj#>8~n37|YKC`Y1j z_^#T=dltb03($ z44g719Tt0co9dTNNsQlP_sFv_Y)|FwA0&YMuGV1I2YgaH0J)nUVVdHyQ`!jKKF7Fq zz(%av*+z0Rav_tyu8_Fch#)r2c0mh5F`2_KD`bN=Ze=(YMb1u~pv7?jr<8-iw(cr} zs*a6M&_O~8(=MxdkLM*Lu$vx@qQH>b^kf4MiSAx#MDZ7Dzc+P2oEQOUJ+fA~sFhO- zH+_N<{I)FZcS|cE#Y}(YE$Sji>JVe6D!KxI<%156uqg+;y-Sz5|%%NoHi<$vPzaOj~UvO3nv+rPO;fvy7h#UBOlVd>M07 zU3hRG+h=41(iBBL;VM}h*s8ZJEvc`l5$u1<=8xpa<1Wm45%qX|V4o&X?(L6xfD?Rw zt@`IdQJV29FaKOrOWjxI7!K~A9{k3{y}$?tBT0w2Sd?|kc>j3Pd%x;iR;acCz@;Jd zL(@C*Nyo_A{?fXg9RQv)IQZ_#r;t8Nhb6W!D(SY*^3Z@r#tvM>fD2pVeN>CcY))(V z^!!nF)HN4&FI0pm^T4zX=x+s+BsLL7tx>*tf@oy#2an|trE@iSSljws9Z%X$MR7^s z+0VR1;lLHnP#&)vTe@vz_ku#(K+N11AIm{4Mf8|S9rO@te+JeMH0MHpgd$$y@v_Gm zhXuGoO(W2e)$;mFXxZPZOmVsQB^EtqpG7(HA9KG9aTJ#1^n+GwcW|28pu;HJrpJfd zY}>{F8Ft$Q2e}P47$U4IlRFP$*~`3UEMGz)X|YCBG1B+0fDIUO;Pyq5zIAR_Z50BJ zx$(08_5}SjOGULUK18O1E4`QzFQR=v%*(#jz7EkpDcjZ2uUUSlqZUn&nXNRa95y~B z$)1y4MxI)kWCk3C95lT%hAifjBEeA|11D6Ro5M^Gd{tnwqWLvys^Y=h|6V062BufM zM`r$nEW;T#eUrJ!f1!|l%%AahqNLHExpT`g`4B3mu2WV7$?6s&vVzNR9ZqSP=l{4m z%bB!cW_~cq5?)cvt@$BM6|CgGSCSQC%x1>UPGZu}h+F%OEHXMvh`Be9^iMR_?FNkM^6tg< z+dp3#qzu-B1`m`JG)bBo;K%aW3D}O!d={z!K zdi+~N=Dm>vVx3o5+q<$~)Ef{<-rJ}C4-$-ER=Ubx?Q0{dm#SiAW-@#x!Z;Jqo)I@r zc%^Zmy~P`yeGixEEWUr{7@5RuMXN*Gr*#dB_%Bvz^n16k7Y`~wd=QJDMb3%GN2EmY zw;uZ=c-|7FV@QHp7T=kb<^YJ^;+D?*kFR=ODRPpmclzneMlbG3)<$}HC;Hcy!l@F0@c8);Q=Bsq(^HcU;o~*iMSA$eS%Q+>#Gi4-(!JEspW%Xy zU+B8w99^q%<8aQ|38lAF>&i?4+Y?#g6-7Akf{YdPyz7H_PbtpYisVyp|4=qhif?u} zUED2ib(CoM>68!8Q&YBn%~L#qiG%F~cFL)fd$pC^w!;QvJKfABsY0yq;aNrY9QH@i zG1_;jSfz!KMVtTFn&%lumX>>ig#!u^4e5k@`p85-V`!)Xm&?5r^PCw&h8{_4+iO1g z=FnCZ(pWQpH}vX1)WmN`aVuYkPmf5QeZ6JbgJ4>@{cinXUNb%uAPNRm!}ytLf=X`r zh>fVf%;f&^rgWrTM8y5LC}w$}WM0k@`sl?eD2$~XA=`TJcIFI}x!es+uE?!V^C2E8 za{e=yUv)h3+m7|V2~}b)hQ-gJEN>O^#Jiq2MDBZVuj2qeTFku%ttT>SwYxagJ8lv2 znEBBc1UsJprn=pCQ!a%O7)L=aSU;1dwAMSje}HaOC2E7df~*w2ne{b66$ncjjg;)p z{yUA&I&JUz!J(RCO&KY_u3DjBAHzXuspP8dwIGTFsLihM?J;9H`FaUJRFF)lU~OUNu-$3{AIPv1iKm7-aydd z?J>$HafW^UR6ss3eK7IqJPQ`AYCBFkgj5qF%C%EvW?9vqQLg(k`)tk`ezsQjM)(|k z{kYW*+ncEERlD`9#=l~bE&LSC`${dVsQ>_ya%;!2BwwHDUXh@=7o_SU&-)gx)IBy< z6X+(!^q!is$U`FiC0gi9YWZ4XS^r5zzF{j^Pe>ZvG+3%PgT+oUO)*1cqGa`CSf5Yg zgM8s*Mg%?AK`#|*Hi%hm@Fw zMrmeb2-_XrfiO{QGLC;!(|B3pTKC5v>nne=Kl;@^Ky0Wiw;HlEXrXV$%`TPbi9c`UJA~Is?@aU7=Bf z7{F{h`quEPPffo-P{-=dSv+ZfT<@xkM%${~O@MAR;@MHBzLwY(Q?x2)PB-cxHJPG* z8sF$pT(e9%-bJt+8;T2ij^xA#N_qnXI~iE*09^A+plrnD)t;{On%V#MM$HsNpqkw! zwIXBt_KJ9op>3#Ewl{nNjtP1%VXWQ@xeq54hi~#RGjF$66B0v_7AS1g6`iLKF5Ec^+0w@Gy678%|A9vUDQnXJtJl+VwN#FH(Qkz z6$`h2JbVvbE%JozF(^f?{%Ba|iYq>*7k(otD^8RcX(>AypA{lXzpx0>xWtBt~yPvftDQ+T!c@wkRAjiKP=y+)k&ZRT? zNaxNIRJ)0eDbJaQklvf@ljjj^|+9x`klie>-S00LVa$30crOm9j|_qUIPvfyH-3J&AnIr z<{6*voXBp!n@w`Is`$$HIFPem%(yH97ksS+9L#ou9@J5DXhxN4+v<-&iXdg5{1KOM z(|$A{znmPS@Iiul?_MBsDGw1g7HCNS=!mgIgzbE56imSgT7pamn?BtuT9Wd7(s+qjCOL%#bSz8tm`sf=8&=M$KzP2nC8$!?OP`8_Z z3+UOXV;0G^MwTOnlC#6_^p%t-OFf2{k9^}hkF?M0Utk}epJ4lN%5DUW5!^T;ZX#{$ zSO}ko3%P|M8$48yt);?pJj0LB{v9R;8q$9(u$e-)ytmbVkk{1;0e}1w1A;$X-kdpf zduvSB-ifVQ^w*sXpJKR8oZfd-*0&xMzx=*Y+J~*DQOW5DZ8KS0(R}lek+J4Hmpeo> zK+AHMUn<;v!4#}V=lU7!-exS(3Zj&@P(=j|Ax-bo7>y0$3vj41y@r^fi;(77=V4ZqM7Fxf(Br z5P;bJDeKDJXo+%V=NBj3BqQb?C7?+ycN-z#gTM{uR?g%)vt(jDYfH#(N1}CxUWV5d zSuAcS(d6bR(-8cW^W~5up=qAb4C*)GpY*j5;$FGH?!=l!`JDDHW{bW9Yl^>7nDdjb zJtf+d$}F7buN*l{vxM?cTn9(zMB%%VTLnoz%!x2@HGZ#iM2O|HXpwCfFmlnB;?e)J z5~-gE4hCMyJUg8dE1j^1lp0!+&tu2J+-vPd8JZ_l>c6j7602Pju~WWNpI8&uS%HU0_p(?sLE3Ta zF-DJNKfncXnuyBW?6=vBU+vOK@_D=EA_9~BL9ixoGVz|MS3nz&EuhpT59I{)+CT9>94sb$7)X~il35oIu}m32f90+ z8bTkDvFuJmMpXtFG-HuPSlrE)3IkyMH+?f_ycc8XsLR{j=ASQ!|pnT zdRU`#pTC677U;W*`oM(7!#>M3JEVY|>zbnbNwj2IUxV!bY+r6$+L(eA3RbyDXP813 z|H9KtP{>3izzRU*=ik%Y#?vD7Y(bOU)*MTnkDr?yLL!v{u^qNfHV^G2WPh8*Llxxf zRz8W}wpYhh5F-up`0c59eNih~xUEJ^iKiC94yl?G>L?ropG;*3aQ6@2A`3 zkbh@1`Piv_h#>ylosCQ_e{KF(ZH}&-p1vMGN3UNGL-C?4AE8KTgJ-fSnxxOx0(0RF zyA9jK5BlXDI_0#Rc;Ubwb*+*O^5sddba^R0`TTFE+kU`*`nS=i^X>PvHY0i@*uJpz zM{E_io+#0b)YB;fQ1+jGsLjxuaxbor=U&*OG89VSYPxFAc*Oa`}e)#?>dy*bqms8^8c{ z9T83hKEJIf3;WvgEpQQWaT{Et1Cm4)U(ROVn+uxFrg7D%`oz3Wek~@jR^`8`p~4YE z7vk{_gRcGQ_N*jz!z^z54fgmdX+*+xmN*Bc+H~W^$gDQJ*9shpkt|p3JeiR1zJ2U4 zs#h<~n6F*O{JFe#_5!bHbv`u|nc^wOI=>>~XY>s{=`=A(FZ|*SlvPZy;Uooy;x}}Z zQlpgr*QMM4!Z8HEX-%reI)Oef(UK(8jUm6$+NL;?puR^d?wjv;TbPTiE{Z;nq)K%O zdRBRA^tgUF99xba0|5)_38mbI7mG!qw7@l+2ghjDmnl|B@D`>=`D-TW@k+_f(&feo zK{ds}8bHg2vDq%MM$68ZiUcyuE*fU^j zyRwK9bKC?SxOqI9^bUgeBKfre#zofc@}f#WP!`M$vA2JE^>g+(@pE3cY8=;Sb)P0{ z_d*e5*fWUrAAyGxwE0^>Gb((b18~oZrRBO6=2o_9c{lzu5N5QvQ_!S*vzudq-jbam zc{hZZFCCWhH?JT@g>!Go%H<6qM$u9_&M(NCqJL`e?XPGas6VmY)A0yOuz2F|kwz@S zcAL7;`7GOC5YjoZKJCemRvI?!B^AKSgk~Hd0W4yhNvK|l7y^x?+=(HQWch|hJB&?C z&CIuU8tCoD3Y-K4(u)sVSAaK@!eV1|Df+v#0W#LdWzR!B`(~Z}25>C^Wb$Q|tW;Fh zg4EZwHMN3uVA$Q)-oxwQhPU$pYD!wGwWpnf9pUDN75n5J`?#d)zwtOY|xNclx zIDi^!j|UveB@rzhdbD%t0FW`Co6MBfzW3MfvJf;6Tz-VZhXQft`|;QO@||e9irevQ zL@t;v4+3p4fLK0;B>H|#yw;vse*6gnD$Art!&PZzDW{{8s}lR;5f3e(b1}t;QZwfS zXlH+@tD~(I=8FYn9euFMwai5?TIarknQSyvWnUH^935EYU*_u_?OAoQ_R>*F=$*cq zab*8q*Z&>oFoNwVX)62f9No z7#Kr5tS>x&GaDKLMDd7VK$lp7KloZS1py$0mF6J;QmI1Lo_VG6YY zHU7K`U}*dvm_N3Fftz7NvK8E%f-+ysOs!dYabK7-ejc@eD(e*dI@p6sz4taeovLsL(UA|LbRn++@hy0=v zEWTHs2F4X@RVc%;RChcdj0Ux^SUETrI}3g-#zS%vd}~1;9W8Sb@t?9fS-h1&+JQCG zXQnb?RTb^)QYud`j2@|3s1>y^l;eAAsLN`mSo^QIs{U_Byjcid;vZhxMzkeNTUx(x zka+g@bMq>`qQC4#AvyfETx(WvUiZ8$238W2#mkAnn&Wg_S$LC7{h qy5_eOqB{$jJ!Xtks6_(`AOZ>e{}U+ou1rO2trz!KT0<8IGXDqu;Vo4F diff --git a/palettel.map b/palettel.map index f79195a6..93c2f467 100755 --- a/palettel.map +++ b/palettel.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:51 +Created on: 15/10/15 12:30:06 Executable Image: palettel.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 125c:0000 0000f0a0 +DGROUP 1188:0000 0000f090 @@ -24,7 +24,7 @@ DGROUP 125c:0000 0000f0a0 Segment Class Group Address Size ======= ===== ===== ======= ==== -palettel_TEXT CODE AUTO 0000:0000 00000154 +palettel_TEXT CODE AUTO 0000:0000 00000159 16_in_TEXT CODE AUTO 0000:0160 00001e80 16_mm_TEXT CODE AUTO 0000:1fe0 00003308 wcpu_TEXT CODE AUTO 0000:52f0 00000058 @@ -33,29 +33,28 @@ wcpu_TEXT CODE AUTO 0000:52f0 00000058 kitten_TEXT CODE AUTO 0000:5b60 0000080a 16_hc_TEXT CODE AUTO 0000:6370 0000158b timer_TEXT CODE AUTO 0000:7900 00000235 -_TEXT CODE AUTO 0000:7b40 000077d3 -modex16_TEXT CODE AUTO 0f32:0000 00002406 -bakapee_TEXT CODE AUTO 0f32:2410 00000bc2 -16text_TEXT CODE AUTO 0f32:2fe0 0000010d -16_in13_DATA FAR_DATA AUTO 1241:0000 000001a4 -FAR_DATA FAR_DATA AUTO 125b:0004 00000000 -_NULL BEGDATA DGROUP 125c:0000 00000020 -_AFTERNULL BEGDATA DGROUP 125e:0000 00000002 -CONST DATA DGROUP 125e:0002 00000076 -CONST2 DATA DGROUP 1265:0008 00000102 -_DATA DATA DGROUP 1276:0000 00000597 -XIB DATA DGROUP 12cf:0008 00000000 -XI DATA DGROUP 12cf:0008 00000036 -XIE DATA DGROUP 12d2:000e 00000000 -YIB DATA DGROUP 12d2:000e 00000000 -YI DATA DGROUP 12d2:000e 00000018 -YIE DATA DGROUP 12d4:0006 00000000 -STRINGS DATA DGROUP 12d4:0006 00000000 -DATA DATA DGROUP 12d4:0006 00000000 -_emu_init_start EMU DGROUP 12d4:0006 00000000 -_emu_init_end EMU DGROUP 12d4:0006 00000000 -_BSS BSS DGROUP 12d5:0000 00000e42 -STACK STACK DGROUP 13ba:0000 0000dac0 +_TEXT CODE AUTO 0000:7b40 0000764d +modex16_TEXT CODE AUTO 0f19:0000 0000242c +16text_TEXT CODE AUTO 0f19:2430 0000010d +16_in13_DATA FAR_DATA AUTO 116d:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1187:0004 00000000 +_NULL BEGDATA DGROUP 1188:0000 00000020 +_AFTERNULL BEGDATA DGROUP 118a:0000 00000002 +CONST DATA DGROUP 118a:0002 00000076 +CONST2 DATA DGROUP 1191:0008 00000102 +_DATA DATA DGROUP 11a2:0000 00000591 +XIB DATA DGROUP 11fb:0002 00000000 +XI DATA DGROUP 11fb:0002 00000036 +XIE DATA DGROUP 11fe:0008 00000000 +YIB DATA DGROUP 11fe:0008 00000000 +YI DATA DGROUP 11fe:0008 00000018 +YIE DATA DGROUP 1200:0000 00000000 +STRINGS DATA DGROUP 1200:0000 00000000 +DATA DATA DGROUP 1200:0000 00000000 +_emu_init_start EMU DGROUP 1200:0000 00000000 +_emu_init_end EMU DGROUP 1200:0000 00000000 +_BSS BSS DGROUP 1200:0000 00000e42 +STACK STACK DGROUP 12e5:0000 0000dac0 +----------------+ @@ -70,13 +69,13 @@ Address Symbol Module: palettel.o(/dos/z/16/src/palettel.c) 0000:004e main_ -125c:0790+ _gvar -125c:07b8+ _bakapee -125c:07bc+ _page -125c:07d0+ _pal -125c:07d4* _i +1188:0780+ _gvar +1188:07a8+ _page +1188:07c0+ _bakapee +1188:07c4+ _pal +1188:07c8* _i Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -125c:07ee+ _inpu +1188:07de+ _inpu 0000:02a0+ INL_KeyService_ 0000:053a+ Mouse_ 0000:0592+ IN_GetJoyAbs_ @@ -124,9 +123,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:486c+ MM_TotalFree_ 0000:4902* MM_Report_ 0000:52ba* MM_BombOnError_ -125c:0950+ _beforesort -125c:0954+ _aftersort -125c:0958+ _XMSaddr +1188:0940+ _beforesort +1188:0944+ _aftersort +1188:0948+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:52f0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -144,9 +143,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a30* CAL_OptimizeNodes_ 0000:5ae0* CA_Startup_ 0000:5b30* CA_Shutdown_ -125c:0960* _finishcachebox -125c:0964* _updatecachebox -125c:0968* _drawcachebox +1188:0950* _finishcachebox +1188:0954* _drawcachebox +1188:0958* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b9c KITTENGETS 0000:5bfc* kittenopen_ @@ -157,7 +156,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6154+ get_line_ 0000:61c6+ db_fetch_ 0000:625c+ db_insert_ -125c:0494+ __kitten_catalog +1188:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:651c+ LargestFreeBlock_ 0000:65aa+ _coreleft_ @@ -182,76 +181,66 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7b45 __STK 0000:7b65 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0f32:01f4 VGAmodeX_ -0f32:02ca+ modex__320x240_256__Enter_ -0f32:032a+ modex__192x144_256__Enter_ -0f32:038a modexLeave_ -0f32:03a2+ modexsetBaseXMode_ -0f32:03e0 modexDefaultPage_ -0f32:0446* modexNextPage_ -0f32:0516* modexNextPageFlexibleSize_ -0f32:05ea* modexShowPage_ -0f32:06e6* modexPanPage_ -0f32:0736* modexSelectPlane_ -0f32:075a modexClearRegion_ -0f32:0872* oldDrawBmp_ -0f32:09d8* CDrawBmp_ -0f32:0b3c* modexDrawBmp_ -0f32:0ba2+ modexDrawBmpRegion_ -0f32:0d0c* modex_sparky4_DrawBmpRegion_ -0f32:0e76* modexDrawPlanarBuf_ -0f32:0e94* modexDrawSprite_ -0f32:0efa+ modexDrawSpriteRegion_ -0f32:1072* modexCopyPageRegion_ -0f32:11dc modexFadeOn_ -0f32:120c* modexFadeOff_ -0f32:123a* modexFlashOn_ -0f32:1268* modexFlashOff_ -0f32:1338+ modexPalSave_ -0f32:138e modexNewPal_ -0f32:13de modexLoadPalFile_ -0f32:14c0* modexSavePalFile_ -0f32:1538 modexPalBlack_ -0f32:1562* modexPalWhite_ -0f32:158c+ modexPalUpdate_ -0f32:1afc modexPalUpdate1_ -0f32:1b76* modexPalUpdate0_ -0f32:1bc2+ chkcolor_ -0f32:1eda modexputPixel_ -0f32:1f68* modexgetPixel_ -0f32:1fee* modexhlin_ -0f32:205a modexprint_ -0f32:21f4* modexprintbig_ -0f32:2370 cls_ -0f32:23de+ modexWaitBorder_ -125c:04c4 _VGA +0f19:01f4 VGAmodeX_ +0f19:0286+ vgaGetMode_ +0f19:02bc+ modexEnter_ +0f19:035e modexLeave_ +0f19:0376+ modexsetBaseXMode_ +0f19:03b4 modexDefaultPage_ +0f19:0416* modexNextPage_ +0f19:04e2* modexNextPageFlexibleSize_ +0f19:05b0* modexShowPage_ +0f19:06ac* modexPanPage_ +0f19:06fc* modexSelectPlane_ +0f19:0720+ modexClearRegion_ +0f19:0838* oldDrawBmp_ +0f19:099e* CDrawBmp_ +0f19:0b02* modexDrawBmp_ +0f19:0b68+ modexDrawBmpRegion_ +0f19:0cd2* modex_sparky4_DrawBmpRegion_ +0f19:0e3c* modexDrawPlanarBuf_ +0f19:0e5a* modexDrawSprite_ +0f19:0ec0+ modexDrawSpriteRegion_ +0f19:1038* modexCopyPageRegion_ +0f19:11a2 modexFadeOn_ +0f19:11d2* modexFadeOff_ +0f19:1200* modexFlashOn_ +0f19:122e* modexFlashOff_ +0f19:12fe+ modexPalSave_ +0f19:1354 modexNewPal_ +0f19:13a4 modexLoadPalFile_ +0f19:1486* modexSavePalFile_ +0f19:14fe modexPalBlack_ +0f19:1528* modexPalWhite_ +0f19:1552+ modexPalUpdate_ +0f19:1ac2 modexPalUpdate1_ +0f19:1b3c* modexPalUpdate0_ +0f19:1b88+ chkcolor_ +0f19:1ea0+ modexputPixel_ +0f19:1f2e* modexgetPixel_ +0f19:1fb4* modexhlin_ +0f19:2020* modexprint_ +0f19:21ba* modexprintbig_ +0f19:2336 pdump_ +0f19:2396* cls_ +0f19:2404+ modexWaitBorder_ +1188:04c4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7b82 _fmalloc_ 0000:7b82 malloc_ -125c:04d8 ___fheap -125c:04da ___fheapRover -125c:04dc ___LargestSizeB4Rover +1188:04d8 ___fheap +1188:04da ___fheapRover +1188:04dc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7cff __PIA 0000:7cf8* __PIS -Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -0f32:2454 pdump_ -0f32:24b6+ colortest_ -0f32:250a+ colorz_ -0f32:2570+ ssd_ -0f32:268e+ dingpp_ -0f32:2700+ dingo_ -0f32:2796+ dingas_ -0f32:2844+ dingu_ -0f32:28a6+ dingq_ -0f32:2946* ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7d16 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -125c:0000* __nullarea -125c:04e4* __ovlflag -125c:04e5* __intno -125c:04e6* __ovlvec +1188:0000* __nullarea +1188:04de* __ovlflag +1188:04df* __intno +1188:04e0* __ovlvec 0000:7d30 _cstart_ 0000:7e03* _Not_Enough_Memory_ 0000:7f35 __exit_ @@ -261,10 +250,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7fbc _big_code_ 0000:7fbc* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -125c:1274 __argv -125c:1278 ___argv -125c:127c __argc -125c:127e ___argc +1188:1264 __argv +1188:1268 ___argv +1188:126c __argc +1188:126e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7fbc printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) @@ -288,22 +277,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8318 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:835d _nmalloc_ -125c:04ea ___nheapbeg -125c:04ec ___MiniHeapRover -125c:04ee ___LargestSizeB4MiniHeapRover +1188:04e4 ___nheapbeg +1188:04e6 ___MiniHeapRover +1188:04e8 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:8437 _ffree_ 0000:8437 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:84a4 _nfree_ -125c:1280+ ___MiniHeapFreeRover +1188:1270+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:859f+ _null_exit_rtn_ 0000:859f+ __null_int23_exit_ 0000:85a0 exit_ 0000:85c1+ _exit_ -125c:04f0+ ___int23_exit -125c:04f4 ___FPE_handler_exit +1188:04ea+ ___int23_exit +1188:04ee ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:85de __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -322,7 +311,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8f85 __doclose_ 0000:90cf __shutdown_stream_ 0000:90e9 fclose_ -125c:1282+ ___RmTmpFileFn +1188:1272+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9154+ __ibm_bios_get_ticks_ 0000:91cb clock_ @@ -333,7 +322,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:93ef fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -125c:0098 __IsTable +1188:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:95e7 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -368,31 +357,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9de1 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -125c:0500 __8087 -125c:0501 __real87 -125c:0502 __dos87emucall -125c:0504 __dos87real +1188:04fa __8087 +1188:04fb __real87 +1188:04fc __dos87emucall +1188:04fe __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9df0* __exit_with_msg_ 0000:9df5 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -125c:0506 __curbrk -125c:050e __STACKLOW -125c:0510 __STACKTOP -125c:0512 __cbyte -125c:0514 __child -125c:0516 __no87 -125c:0523 ___FPE_handler -125c:0508 __psp -125c:0517 __get_ovl_stack -125c:051b __restore_ovl_stack -125c:051f __close_ovl_file -125c:0527 __LpCmdLine -125c:052b __LpPgmName -125c:050a __osmajor -125c:050b __osminor -125c:050c __osmode -125c:050d __HShift +1188:0500 __curbrk +1188:0508 __STACKLOW +1188:050a __STACKTOP +1188:050c __cbyte +1188:050e __child +1188:0510 __no87 +1188:051d ___FPE_handler +1188:0502 __psp +1188:0511 __get_ovl_stack +1188:0515 __restore_ovl_stack +1188:0519 __close_ovl_file +1188:0521 __LpCmdLine +1188:0525 __LpPgmName +1188:0504 __osmajor +1188:0505 __osminor +1188:0506 __osmode +1188:0507 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e14+ __fill_buffer_ 0000:a063 fgetc_ @@ -402,8 +391,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a523 rand_ 0000:a565* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f32:3056* textInit_ -125c:128a _romFonts +0f19:24a6* textInit_ +1188:127a _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:a590 __MemAllocator 0000:a65a __MemFree @@ -413,231 +402,229 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) 0000:a92d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:aa1c __fmemneed_ -Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) -0000:aa1f delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:ab97 __CMain +0000:aa1f __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:abfd __InitRtns -0000:abfd* __FInitRtns -0000:ac58 __FiniRtns -0000:ac58* __FFiniRtns +0000:aa85 __InitRtns +0000:aa85* __FInitRtns +0000:aae0 __FiniRtns +0000:aae0* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -125c:0534 ___uselfn +1188:052e ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:acbc __DOSseg__ +0000:ab44 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0000:acbd __Init_Argv_ -0000:ad20+ _getargv_ -0000:b050 __Fini_Argv_ +0000:ab45 __Init_Argv_ +0000:aba8+ _getargv_ +0000:aed8 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -125c:0536 ___iob -125c:12a6 ___OpenStreams -125c:12aa ___ClosedStreams +1188:0530 ___iob +1188:1296 ___ClosedStreams +1188:129a ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:b094 __fprtf_ +0000:af1c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:b1e7 intr_ +0000:b06f intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:b1fb segread_ +0000:b083 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:b2e6 __LastFree_ -0000:b360 __ExpandDGROUP_ +0000:b16e __LastFree_ +0000:b1e8 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:b471 __nmemneed_ +0000:b2f9 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -125c:0022 ___Alphabet +1188:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:b474 __lseek_ +0000:b2fc __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:b4ae* __dosretax -0000:b4b3* __dosret0 -0000:b4ba __set_errno_dos_ -0000:b522* __set_errno_dos_reterr_ +0000:b336* __dosretax +0000:b33b* __dosret0 +0000:b342 __set_errno_dos_ +0000:b3aa* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:b52d* __get_errno_ptr_ -125c:12ae _errno +0000:b3b5* __get_errno_ptr_ +1188:129e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:b534 __GetIOMode_ -0000:b569 __SetIOMode_nogrow_ -125c:06b2 ___NFiles -125c:06b4 ___init_mode -125c:06dc ___io_mode +0000:b3bc __GetIOMode_ +0000:b3f1 __SetIOMode_nogrow_ +1188:06ac ___NFiles +1188:06ae ___init_mode +1188:06d6 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:b59e* __set_commode_ -125c:06e0 __commode +0000:b426* __set_commode_ +1188:06da __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -125c:06e2 __fmode +1188:06dc __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:b5aa __chktty_ +0000:b432 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:b609 __freefp_ -0000:b6aa __purgefp_ +0000:b491 __freefp_ +0000:b532 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:b6eb __allocfp_ +0000:b573 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:b89b __close_ +0000:b723 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:b8d1 __flush_ +0000:b759 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0000:bb1a fflush_ +0000:b9a2 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:bb2f tell_ +0000:b9b7 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:bb40 lseek_ +0000:b9c8 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:bb72 __doserror_ -0000:bb80 __doserror1_ +0000:b9fa __doserror_ +0000:ba08 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:bb8c _dos_open_ +0000:ba14 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:bbb2 isatty_ +0000:ba3a isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:bbce* __get_doserrno_ptr_ -125c:12b0 __doserrno +0000:ba56* __get_doserrno_ptr_ +1188:12a0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -125c:06e4 ___umaskval +1188:06de ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:bbd5 _dos_creat_ -0000:bbf9* _dos_creatnew_ +0000:ba5d _dos_creat_ +0000:ba81* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:bc1a+ __grow_iomode_ -0000:bd01+ __shrink_iomode_ -0000:bd42 __SetIOMode_ +0000:baa2+ __grow_iomode_ +0000:bb89+ __shrink_iomode_ +0000:bbca __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0000:be02 vsprintf_ +0000:bc8a vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -125c:12b4 ___env_mask -125c:12b8 _environ -125c:12bc* __wenviron +1188:12a4 ___env_mask +1188:12a8 _environ +1188:12ac* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0000:be44 _mbterm_ +0000:bccc _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0000:be97 _mbsnextc_ +0000:bd1f _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0000:bef2 _mbctoupper_ +0000:bd7a _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0000:bf15 _mbsinc_ +0000:bd9d _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:bf67 __ChkTTYIOMode_ -0000:bfd5* __IOMode_ +0000:bdef __ChkTTYIOMode_ +0000:be5d* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0000:c0a7 __HeapWalk_ +0000:bf2f __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -0000:c2e7* _fpreset_ -0000:c312 __init_8087_ -0000:c345+ __default_sigfpe_handler_ -0000:c39a __chk8087_ +0000:c16f* _fpreset_ +0000:c19a __init_8087_ +0000:c1cd+ __default_sigfpe_handler_ +0000:c222 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:c40a __EnterWVIDEO_ -125c:0704+ ___WD_Present +0000:c292 __EnterWVIDEO_ +1188:06fe+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:c42e __flushall_ -0000:c4c2 flushall_ +0000:c2b6 __flushall_ +0000:c34a flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:c4c8 getche_ +0000:c350 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:c4e2 __ioalloc_ +0000:c36a __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:c670 __qread_ +0000:c4f8 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:c690 __qwrite_ +0000:c518 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:c6f0 fputc_ +0000:c578 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:c8c5* _heapenable_ -125c:0706 ___heap_enabled +0000:c74d* _heapenable_ +1188:0700 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -125c:0708 __amblksiz +1188:0702 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -125c:12c0 ____Argv -125c:12c4 ____Argc +1188:12b0 ____Argv +1188:12b4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -125c:0738 __Start_XI -125c:076e __End_XI -125c:076e __Start_YI -125c:0786 __End_YI +1188:0732 __Start_XI +1188:0768 __End_XI +1188:0768 __Start_YI +1188:0780 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -125c:12c6 ___historical_splitparms +1188:12b6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:c8d6 __InitFiles_ +0000:c75e __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:cb0a* fcloseall_ -0000:cb11 __full_io_exit_ +0000:c992* fcloseall_ +0000:c999 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:cb1b __prtf_ +0000:c9a3 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:dc8c _DoINTR_ +0000:db06 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:e005* sbrk_ -0000:e015 __brk_ +0000:de7f* sbrk_ +0000:de8f __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:e07d fsync_ +0000:def7 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:e082* _frealloc_ -0000:e082 realloc_ +0000:defc* _frealloc_ +0000:defc realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:e17c __setenvp_ -0000:e308 __freeenvp_ +0000:dff6 __setenvp_ +0000:e182 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -125c:070a ___IsDBCS +1188:0704 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e366* _ismbblead_ -125c:12cc ___MBCSIsTable +0000:e1e0* _ismbblead_ +1188:12bc ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e3c0 __mbinit_ -125c:070c ___MBCodePage +0000:e23a __mbinit_ +1188:0706 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e49b _mbdtoupper_ +0000:e315 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e4a9 toupper_ +0000:e323 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -125c:070e __8087cw +1188:0708 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -125c:0710 ___Save8087 -125c:0714 ___Rest8087 +1188:070a ___Save8087 +1188:070e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e4b8 __GrabFP87_ +0000:e332 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e4ea* __init_8087_emu -0000:e4f0 __x87id +0000:e364* __init_8087_emu +0000:e36a __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e54d wctomb_ +0000:e3c7 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e598+ utoa_ -0000:e639 itoa_ +0000:e412+ utoa_ +0000:e4b3 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e683 strupr_ +0000:e4fd strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -125c:0718 ___EFG_printf -125c:071c* ___EFG_scanf +1188:0712 ___EFG_printf +1188:0716* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e6cb ulltoa_ -0000:e808* lltoa_ +0000:e545 ulltoa_ +0000:e682* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e879* _dos_close_ -0000:e887 _dos_commit_ +0000:e6f3* _dos_close_ +0000:e701 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e896* _msize_ -0000:e8b1 _fmsize_ +0000:e710* _msize_ +0000:e72b _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e8c2 __HeapManager_expand_ -0000:edcb _nexpand_ +0000:e73c __HeapManager_expand_ +0000:ec45 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ee1e _fexpand_ -0000:ee1e* _expand_ +0000:ec98 _fexpand_ +0000:ec98* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ee53 clearenv_ +0000:eccd clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ef88 __Init_FPE_handler_ -0000:efc1 __Fini_FPE_handler_ -0000:eff8* __FPEHandler +0000:ee02 __Init_FPE_handler_ +0000:ee3b __Fini_FPE_handler_ +0000:ee72* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f28a _bfree_ +0000:f104 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f2af _bexpand_ +0000:f129 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -125c:0736 ___FPE_int +1188:0730 ___FPE_int +--------------------+ @@ -653,6 +640,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00021660 (136800.) +Memory size: 00020910 (133392.) Entry point address: 0000:7d30 -Link time: 00:00.00 +Link time: 00:00.84 diff --git a/pcxtest.exe b/pcxtest.exe index 50f488101358f317022a33480af7aa3aa5a6b47c..9086bdd2946a6e6264e72902372564078e7cb156 100755 GIT binary patch delta 25611 zcmW)m`#%%jAIEp!Y{T5Ef~iQLjfu9Xetvbko4l6NIDq20I&xD0U*Gnq)>PP{qOMq zrSxwX1?-2n+1Pa@5GWfekDJIvLr^H7gbB5Ume6*7S8p4W<0LUjKd!u}6%!MKslu_H zKtP8$*`ML>9i%P_28-yusvXW~F;91V1)wDXmbw6dK;a&5e>Fm)T7b~Q#ZFE8GU*h_ z3m_ER&8`Rf`S=P0a+WNLll#PkYFmY7fuyW@e9;|?}@4vm8B_?X2agB)>SCW*cr$&^fn9iT-YftHKTcB-#W^~JG6C^ zOPCZ!<<0_-iv14kRGuj*oslUnI{}mh7L;WGjUD^a$|qLBR?Dj=E?jqYwa;w zDlNAH`oVQ+nQc>j;(q%P-_NH4x3t9oCc{IX?oTj;acBstIOE%CIOnNb1{!rlN*r1C(UZ8J^^)z9!S~X4>oMylg}k3&Aap-lw>iO^OLV2tCXV;QRh^9)TdI zHP}IdcSg9x{*8$ZAuDGB0Pf8hT?bGnR0Q)Ag<|?GQ)6F0gU6mh-l-^224$8_?r~}X zLdWmI6h0ITXwq_R?sZ(@B|!(_O|ZI{rMG7BvF)L0^}5^Al6l+Q{A0N2_O<1x($x!JYNM$-j=2ZYHy+1Em1w@?VR!^ClebA7FdB&pR zP9KO5QQ`7SxR#uJj}(8ExD#|k-6PNOVhN-w3st8vPVkm6?*manLRnjtnlBySm87KE z^EF;-p>BL(L@1zMD{{O0kXr^>$DXR@EW;^S*fK|3*bqkxG_47$W(4W8Z_CRvCD`!` z6ps#NxKK83r9JcphqqVAxtu_!5hr~Q5A1Dkfe2N4d_nI9C?B55IyIg(N)4_hy;i+U zKc@5^5dhkvjBv)c99`?s=u}R)_&UXFJC5Id@H{>?FR2C3dnX(wLh@Jy36dR6$9``2 z3fNmjk16Z!RLF%mr2I}JdkLs1i2#ZCLHP3>?xMpvB8(;#rgYRHa!@3jTklKU9E5F) zi3DlWJR%t>^CCH!;e3KAi4(R3a3By7=o9=#ZGBM(Es`8@FP9tXU?>bhZ5la@xPzNT zH*ail;apq934TXM)oRhDNv98IcODrPhjbCxyYqzMcO9aVDtQjah%`SZh?$W4A;rm= zJD6Xx5k23QSYgkK7O#lw!074`4E6vEf-?9^HBZ4r23jBQ}KOk|P@){BoQ3P~@?M3T@Yy03DE2 zv-oS<5r(fYq}`MbF|LchjQ(wy(@A{(>hs><5OiQYD863bFqb~yie>T?67X?pqHA`=g+pdx zstTbeF^ zOD&vm#R6pIH4ah+`ZOG_TD#+Z@HuktXVce~)wDX9wvU0myYMm1keFvmq5cBju3ekn zg-VLKra#a*H{P(t>jj#zvYR9(w^z)rzE4|JBqIWB|w$T~{ z&+raNQ4qk30vidTK9gOpaG8`8Gd#mY`2-AGMFFT}o9N_Ur|DA*MqCsO!VJ;3i{W3=&M z&f!wkF(qA%F#GGOl##&V%j>2X_p9wiMOzVeS!EPO+N-zhhXM=wxZ0IoYe}cJYkwuf z>0VM+0lT;OfdlZESL9PbXUlr;o|lg=tQV>#N|*}S5uMw+`JUVw&-=A7xx`#S2MHFn z-&3#jAig8a^6zCoQAs%AJY24^(&*^p%OBD$rS27a0Jm$@2dh!kAXdJms^Qqr{e45SitA&m+(olITOa=d1ctXB-s zWgxqL*qa@?G&!E*RL)E8fMDgCNW#L<;J(qb7t%M>kU!ewkQItb{SJKv(Aryavp_&K zL~Y8$jC(&PDml|Y7H)FVbL4X5c0K*5#0_1}TF7R49bgfAiU};H^DwIr!hs}%`2U_- zII4Wt#w#f1LuT;Xjz$Ke9oxt5GiBlLlTN5dq=(JG!M(lHzxVG2xb5Q+kDdX0p<{MDAvyLWcb!_qYd=mQQS+R5&T z5i!TaEoW^GZ>$F<8*Oyp@-9|UGNv)?m&&@dLU={zs7{#|NX^K6^)IgjeQ^ysP4&0@ z@siiXf;t`{P*IA?&q#o}|A2W;KYMsuO*|}9Gj`FF+Nr6}=Pbs%{Ix#>v zM@A;yu;l>q1!k~I^qn0$c3u1>E+aQ_2#(guwf*`>oMTCPDfBEq$% zJ3`UQJV(QO(xAHM@-$`Z^j?Z0-KG34>AH-<8IsBCkyu1VS;AtBBrJl|NRZ3gOo%1O zi)Sny#gwMR*vH-?o=;f{weRqARr|sa97fcl+gCB&UmF>jibG~Ko zE+zG!G5>vBTI1;L-=%WW%Hrc*DD!y5JXy!c44Xe|Xw3@{ZPVN4OjysL)_eVE9^}l# za}z_AREwU{UTpeX-FMf!jXw)WbJ*^B){lg)U64sMf zzKkUGGS3)VD>o+d1-1jpVD@r<`+=}g(EU5(zBf6JCC(ulBf`K@&hKie;oqzW;b=6X z&A2CycuXZ*rf~d{AKF)~8tCW7OF>zgr>M^Z*BkbN|pSelwjijC@ zu4K;Pd=cP!mBJ4d73b#K) zB(0E0V)Ob?9`3^`r=pH3y=5|U?n?4mfcXX}<=%HYv76s_ogWYbPB|y*svsRH5En}& ze%H1wGf6A|B2S+=I*+6!zw%WA{x*)T0WOV42&LLw;iTI;z}|gCE_Kh*m0jSAUw6g9 zrvCfH;&M=Cb*@`=Ig)im%>KOvzc|Xmc14e;QTEca+<`ytdPX<$kNRH(Jxr|TC=-up;~?u7CRt*o#3y@8 zaYUZQ%VVWCs5M_$olP10K|RWmq&R3@tH{BrCnl0^(LL?}u6JfWFK-gW)Y>=p>wx*D zIovQwCczUhK4(6|Z+mBL?L6mo6&Y-KU1e;NgeK`;N8N$=_kJJpaj5dw(`Yw5%uM}! zwco@RqR%JD7#S+a;k5_dAWe|E(DmBJqe-#1f36>M6@Hm3RRCUH-`61nm$cvxx{(?= zPfX}SPIZ<5XpBUhIdwBB++h^pI0v+FREpkXGpuJ8XZVScAF2sht1?CQ$(csHfVmxjaclQy(f#>ah z>$ZQ-Nf0yWe-3`!Z%9|}*diEu>hH9$pZxTmW+X zWaUS=o!OI@j4L%jc~BF&)+({ZYi!ZEPF*aJRoNHW+7z(-Gex~}t^}q9{hPhNyMbw# zjMndMRNVK|erKBdm8n01mL8YE?%Q!T<&Dk7zZb8SKA3oZRj+grIASD_XcAZ{rm&Gc z+`63Ul>)GpeQULPiam+p=<*Se-l-l8ASJ$2127I>xeK=&4cNYUAxLZ8yDH9kJH$$j zMwIb9tk%3dGpNn|dbF%~VRRk)D#%wW2bM=Zy3I$O@o+lzTc4Ik^E95MddOBGAfdl> zSwNl{c#7a6(ba6bq#I)Jwr3Q-)#56mshGFBBFER$t$s!0MJ(YF^M}Zwp;pI4iq}d{syu6dmDGJ0{%mx;+`6tBc&i9tx$M_oQxM`=qJ_7kXu%)tO|4~t5r?}qRNz2 zWP$uLOBEM{MWx}q+Zh#JS*A)nsiPO{EOEhWvh>n8dE0br#}U{e`QHyk{F$txXUHF+T9z!C)9eYAom@_6Y@91l3gYyit_q~M5BAwC?PD9 zULBRgnIjkCm0Cyta{`sowvNVtKAmP!DYCM#HON*nWw+exs>hOOQEMiK^aZ4K!)g!f z4IK-6UA1tbm=#WsGDD!NuATo(UV8(u6y~JCo3(e))X>v>M2^NH5^(v_OI=lnaVA0b zudF0YJVJ=(JQuV|Cih||B(1+dh?R&#eXZ|42-KyGq0t8$hmD{6P?=kQDF#65;#wwe zg4~1Ari_9IjEuvk;G~(H&-)iX`|9!Sd&9#@m6c%L$8b48y^8)+t6{tV_1`DWw-v=g zTXGPl${~uWRzfj~3@5sXk(ChcZ-nwLhHK9VMDbr!4zIiYN38U?wT4i)^y_RJx*hAF zwU9eCPsML~9snfEpAfFCTn9bMUGpNC@G%V|H1qEup7? z2ab-yQ0g7sn2_Rew;9qPC4fikUP|%%LPb1w+LasKRiZG@@fX^q4SnpV2Ut%vI>9h# zP;9VyrlfXh7z2`fcc3^^#4AXf;c68;JPYy%kw!mEkG?iWLp5G%xIdrGVQSstuBnz& za(YgPoAIJ`LxYHzs<`z~_1%pc9Vd-;>vo~LzCnuSlyAyO-$4Af>-YpQie0t0|9;x- zpl)^Hr?Metz>*C5QUkg$z*tHl_w;hg9``>N*+@CZu008R0QTBpm*D$*&4Ai2C3*)# zhe;3}n5B*Tr!&iW)4b1pVTpMiS%XV>ox<;72U|A+C`(~$M^CS-<)*)W;l4$E+%H?E zb>=S>erWI%a@OKbV7|Zpg;2ZhO=^MjDEijC2l@~3{YUzx{9e{){a|i;L&_|`3o3AF z<$VBEPW06uD*H&e+Zz}I?@4SsauYVvwnjq99*n=V2;2R%nIO_@a4l^3o!<5 zOpLnu)sow~o9UbPXVo7miMZyx7c<`qpUl9v;1rL;e#w3eEN~sDw1$-YWkWxf+Q>fC zg>Baazx*B&C|h@t1n#V$pWTf6I_7@GQ`tgcy>1^Z)LpC|a3CtO>B*Q&Z{75TC^Sh~ z>CPCYtZJ-Fqq$Qth}&B1mjQcZ#{@`yskJ&Ch`F%ab|B^O-9+CtTRJl(SdKiBW4Iyz z01b0~zbgfDT-Hi&AF?}ys1||##9ocQx!)dr67z7Iy~1?~(F523>vqLFlygpaE?f4b zKl%RALMKa`pK^d{O1FJVYLW=`lN$-S`87q_+v%BxY?I zUQ@B2BuMAgU8w#|Mq1zLReSZ-pug%5<+qyO^nj%7x-Fl8+WRv4R*l-^DqEn2TmCJd zbnK$L_t!sgxcCQ`(i;mqqa}yWsIzQ7j~a5M`9)4ZjFUs>=(VNCqrP~LiZdCcs?XdA zq|5Yt<=;}fI>^K0^GE_1eJTHJzx6LQ7+BXid@m=v>AzOx0XPMnEAk`Nee{t&&1|^r zhIzujCP%}$En2u0sqEL&Wdqf*hkv952`#rW%o1|b=KhQf-o$SnBWT~QE?k(_=}Xo5 zT>vk^KNvDK5{_j2)ZwM+q@N|x{|HCem#(|&j3ztX+9u#4;^!EfcAsn>d^eS}u%?M2 zb{U@KepA=AIX#jLkEcOHSnX#Da@vpe(K22b_72K#q7h{-i3c5(@-~M_Fru{e!DI}e zdpDZ=hD@Q*)s>Z1AVpDade`co9gWd@|M$_~{v#n-o%b78&b{tEJv&T#f3F*VBWL>a z84G!p*$2U+_x3+}Uy6x9(y&J~N2&^#4f$Us^?!B$EIGRh$Ssa9uuLUUiFt2Rl?#iO zki7u;&L@4X!SCN6q2lr9Ksr7jEBQk?{3HSRo3(;%sY)_SLPg2rh35IvLlY><`>eTv z`WewLluK^Sa)B3?-wm&l(6egzADCg~%kPPD10AXzXe`9Y=^bcR@tWJawp~%vl>k!w zCTBs_sr7{hM^*pLA_phzADLov)P>q7dFXnu$Nbn=nP07nz+Q^e#^~3f$gJL&z+=b4 z)}P%oiTi`!m5+_i1N&XAeK{zhfma;Wedj8!=C$h3I_`2OMSPyr{Sf56zE#s51*t(0 zu)s74)fnN0BXKt*`jh+l+&VRVg~wH*ZhJ5DL4Qim-C(zZ0?98u*H_n*hE&tIk9*#u zt;Ty>jRf;-BcY{oP4@K3$u;Q3>lc7V=z+H*yA*1cARmW5P0AWsl?GTXhfVo;ZHBIs zl}yAUYbN_7lN?xR?i(85Q+c5#wv#Fo3>{UK-8fr-XJglQ zvwyxRRq!k{x!ALryLtDl5H%Qo7*jbm|6Czdm;-_IJCOQAw-Y2j)KnjDR)tNrsB+w@ zgujvr^U9hj<5qdk_P+}EE$W{PiAJQo(>S5N;!F@jk#F5w0EE@HgdL3ceG`oe(Z-`f-}(X!g7URi^Vu-OoTV9QB~ZqN6bdVtti zbScu4PAMt~Soi9?F80U%Y{u*7r4-EU>{`yEDX?*D50=D7aJ%~KJadY-VL2nR(Sz5I z2o?@2wj-qZKG}c=vvB*@X?IjvxyU_R5e~-VldAirMja<)s3dKrkb8dWZ}%qYBGtX7 zhn?k-$vPX=PscM4LJ*vDRhX;P(dib_#YX)hU`7 zS?d}S!#i`cjbxiRfOjCco7BY-4-o9_LIBFgl?TXDeC{FcaTGTr8R2kY-^D_fP@yr~ zIz%(qIB<4I^S!JT(xocJFNU}hX=t5wC>6*ZO`d%cNRV+@@gk0#biL5zf->d`dbySk zcw+ZZa5R*aQ>6)mXm5AjQwGygL;lN?(W?tm4tzy=Bi-EE^KI14@WGT^?NmWy}z=G>BqMzSD~;~yY9jEWgaF&*CI9!TH_w)MKPfCw|1 z+;CImw(ZHj$~|H{K=Gi>c6Hc}5SEM2WZ{du2;ZE!zw|jevna{Y1fwmXbiQn=Vec2Y z8dBhuU)wnYyVcjRIfk8Ifj!(N+|Vig-bno|CELFwBh=-A+q0}`#mslDRPLJx8xj`S z_JgUJ5?#q{g zh*GNhT2$;g48){kRoNQ@@K8U&V0@uC3H!yXK)ftigKoX?X&{j06=d4Sy{M+9*?3sl zhVOc8F5P*ChR7jV7ZU;x`03aJU+b)@pDAHaCO`Zf%I&BGenT4o=UzxIED?-7Qn~XR zpX-z@k9WWYIY_@^!T`kRVA8-T)e3CUATsmF{gcgB{>!_~gm2|_l3Zk}?fWxGb{fzZ zdzuDGntx;3#`U(61NfVl-+C$ZLrfK3$i7?k@Ds-Mmxir$X~%eK-w$@lj_ulcnk)Li z%Z&sCEtk#^^g2uWBo9qb`1e=zyR)Zzj0ql>hHZ(7(as?%0|`*ZH@B-5+M>dUs5*f% zJFiZvgoY*B&lQQDRs1#end?J(m4MT64^@IomWhUBJN8F4>WP-^5`>t7u4mjjvpFACL z{rb|eSXfatc#_XKy#?5l+@Fj%Hf(#TV&9;sn;cNA1bQhN(ayngz|s#TZ($NKg>DLH ziJFk`^TssTFKX$F2+{xzj`(lT!~2~%EXGg9ycgEu*>~UVlxVxH2CJ?BE-4doiBsEsVLp%}_DRsapJNT>$hf}VP9)?w z5Q&NDs8gvsguf0!gg+f#M88YM`2OZkyq)R)aC9mZ1CQ(Ny;>x7)?1*B4&C)kdFW!r@dW*bBuTwa7 ziEDBqH2Kz1)N67_aE%(isSr?5SK@p|QRXx!K4G3L8@dLNHp)WQ!B_FA&Cr#stCeRE z>(rwm2XAGQ_9*;hV_S|=6dfrHgWIUICP(_Q7tJ?}HVnO%2@Zi(hBD=#R#dK{hrA`I z2(fBziI7`Mbmuq-YC15fslpV_O-^i<(3_NggcIkjNUt)L7&Vpj-J(t!&9JfaN>!iPzV0W zb5SYBT{KaPfg*#(S5U9R--2KP;x~KIZ@{6Qf7!B|NenUqGybb=+SYUL zqjGO+dUnQA#6+xN94kfb^*=z)cc1~RHmj`N7`JcCwPb2=@MxWje{(j`top+=yd4+b z26_yr+BxBODw#dZe{}4@^gnRn`Whue7yV<`0i8M|K`RaPsZlIsUnh-{(-juIi6~5b znW>2>@N!wCi7JwrRUw<|hRhTlE-N(ACEbB3&QpsIq_Kx?xwM{+%Qz5u;kB2xFuVKg zQ`5m;r^xS$-ah);1Mg|1wo!Yc{($X#gxqz zm>h(@vge!^Xs7fUwjlD%+Kg!ytjFIiFiFp?Tx9dyMfVo3NbHcB3Y99dQ8SQu1R=3E z?7L}*<;7K$xE9brgZ+Tnb0LuAlo$S~wiA&!hyQ`+3GJO6h2TTJaTIqIg?pDZdq!Cl3TI?w2~7 zEqY@SRP-lrFg+pt#8cH{GWg1#%=W2_jpx!bF{w9Dri6O#`#cQe*%pZ$C-J72@3UTV zhTBfN+*$rggLp~I<8+3Icq!*hZR+V}!$ivRf!eJDm#q&n=Mm*^$xsjZ$TR!^rAjLM82TU%h4Y?n4nuq?djOsBlH1En?rrH zgKcC;k@>pX2kDB!(dg^%-|KHV9x{lHtV&ZVE&nsmc%bvAG6>Ye3jjHC1VlvErvCYg zQ}+#{UmL!}#VSbsOT1csW?=DG3Li^*B@)vOY2IAc*9n`;-LH4i8o@SsC)%F&Qm&X)9vi=*(o7E2GFUa~R+%8Jl+XN)htirsbBM_a${&r|db_Q=1W+AV zv->9XTWBv})nCQeyW5e<82t(sPGt3hy^4lQc6!)2fS*cF@}au_F5O?bE`CUp*GNg} z$R?pN@d(g0u^8&r)WiUk2Kk1(Dr4&{3DEl% z2ucI>zIm7Y76M{GVK{gLf+2#$p&HN(Q8Ao&1BM|XiIZxOX2@W1vJG+!c?FzegAzko z1*h7e#!%P5X?AJ#a!QK3dDlR1bx|xmkUq|!!H|J7!WlQ1Fig#GyBf?H7M3`x25W|m zEzYjNp5fq#+uh*AaCRZ#TpRW<+}v^a1`ozwPu#wS{fq+#ab69F7~VcO-v&R%VSije zLm(sQ2rjrGgmE+!7uFEYh={};YlvbTKY=^haEcLq8W+5&YZ=aYe-@c zh`8j26h`WK+=YfTMtTM=vw_4QXW_CNau~UJxcr8Ti~ zlrgSe!(DHgsU~4KEpuO}OTU7Dj6uuDzjy@v0No)zHnL_2B3Yy^Owo+(5%1V`v!nx`DxX^A`86 z;XPwy6!(GDFvj@!2{+#GnZcaEO*XI?Q`5MahFQkk7uA~uYRw{;4 zny_HKmCC2N)?fco*$Z+X-RiEXfE0eQ2%-VUNTVWgp|k$4&%Jyj6TkPUc$ce8^r3dV z%YaO=Xf2+wwLg6|3va1J-)0t2D(jwg z1PD?<+$O)b*Xb96f~3o3!X@{A239f0JMCc_pxStJTgVfSG!a*t$M7aShYkhOXgxmZ1zWRBp94{~ZzX{p2&(s_UhR%y|)2SYiv5A-o!a+iK>i$SqRBB`30(g27$fX@{~U_g&;a!5}_684Ib!|P3X@ZxmA z#*XbE@lZ~kK1lYkLGv6K>OL|EMTAW=G3a?91tPOvgDDpMDD()e9O{^R&+$nV{!T`o z)K+LL$GDJeSCj5>In6nHl+;+thVEJrJ$hK#Z#Dp-aBbk7G<4+-_ZG>gfct1--nnM) z^beIQudszZjn46|s=@8Zu<+Y4>9U_fk4SmxeZM=ZaWNsIFT(eG2B%3VIK z;}(!h99sQLNmm>06f%wW*~$bOUKeIz!p8@jaauqyR#%ynj{^>RjPWD{c)wr z0@}pSQals~5LvBb)dAXB6QzoDKVc-M#ZTCIOy|4Zt4Z;9)3Qhi-`P}HIWO`+U_B{s z{qmf6p5v|2%%jr|z#->m-{%Tx_PZ6&B<<&`5%%Sr@95Jl{l`h(IFnb0sav}DK9uA# zgPUB1w0cBQv#u5-d-%3=<8&1^n$KOP@M)F~9`%5ef2{_F6+;mWA_NR9D50DxTemTT zW9o!;F6FvqI9oNl;xDi;sPZ04)<_VE6Rk_3`P>gE-TD%?B8JV{=UwM1Es7F+DuC=g z{59;z+c{qO?E(jx&FPG~$K7T5wi+afj=^FKpu5-wE_l=Xgfj$f$cY~)hV-Cji3DNv5O@vZ+w=^^Gn z*XlJOc);S0wB|R4oHRl+tjEM`C}%Sp8TT_?Qp#yh&(0@n#PA%K5n8Y@3nuLv0Y;9_ zQC=iWjc~^hrQcms&*XORQouzz$Zw}WAT9-Pz?ID>!NM1#N?;Q5a_R$$2Wtr>5t0T3 z7;Q008XRLCKxAC6uRU4x`sx7wIiTJ3MG;IIfHFb5z0Pgd5MxQNj-GzDFTSSg{zJ>O zR}xRCn^yJ4RN0VT^G%jj*&{nyQhez9x5~mp!XMZy7 zhTbHL#I3^spfhspbMKHF-pbAA#ITcM-K*)!{X`fO4ay^b`cxKgFGu=M(1kiFKlQE^ z!i*h!aZaKs+h`JIHmo%!xL8}tZA0ure(`y7@?+zt3(?}#hy@<7qIwiHG3h$_cPf$&q`fO z+f8Plxk6kg<^tXT_d`h9#=YaF2#J#bq|ZG#Fa3$^EhqeLwfx-=q|wp*!`~16*!VKD z5jJ*uM(i6jSU8=<9dW-@qT>ab5HOGoF`zE*7VN%1b>EFI2LGu_u@0kA%&f#WY8eLe zUEUC-g+!@L&5f2p9ipl?E&AC6VO01>_zW?>JkxC)GQ{mVsX{{N^$4?{ns&_dvaXs! zd3V0a#)tvPtKtu`dGdIZb^KB>Enq2_0EEbqj2B%mF3DEKxCZ4Nhek^Ue@g(1_ zt|{+!!$XrNJ+}(FWH-4#yukqH#6VDKIW073ztx+UV9aC!NUW+QP3Sb3=dzznb0=(* zz0GIRoE7(zb~P1?RMzc{XJp4q4CS6TdUayo3b)LRw=u~cHpejja+$B)?>%qIAM;wl zkR+k=tLbvpo_~heZogI?{16m02}s`&Hu z5CHyj>b)l(?m|2!aRfq8R}_P^*sEnAV$j-YB)}nmSff5P^Hv7*@$kfJVX^5TSNmh=6bEcIjTKcoA6bH(f4=>-G#^_lP+S8&d(7Qe^IqC7?Y zWVE#93ER7uDb_=)A?B(Y`v18dQ=vR@*JDIvSRec%;4rrYOt}K4u!gr3mUph@pD&dj ztr@uV$o^hmW;cS<(@`f@g)L9G)R#`Ly> z{&?R4`Jtu0P^ch$L~Sx&!nn|PlKmC!43n*I$_hki^MOL>9p{g&Tj>7^e{6nLyL>~) z2@;MT`*nPia~yo)!M{qF5GpIdBnPZlnKua^W`EP(Ik#!S*Ar6blPpPm55+3nP=j04+)53FFz7Hg~<63$ZIA5sCp`&1?F%S~J`Xmp?(o zMyKO4tXfhHRVjS>Eh*sbhrD~~b*ATVbw1W9ri|n0)lb!tI^A*^VO zN3aHsUU3z8@%!lwE*qrsd!fpdleq~$E;-t#bHBkEg1ISm{ z4&)7BL+_#IKCU5fScI;kVxFR~3RZ=}*~dopDvBJPGqm;y|8qY{=D=;a z+5Og>w!a})*XKFBt-*YbUePx2(%}7~(vf?noR8Z_F7Lp1IO;pv6Q&zJo3q#M?~ReQ z-DPf?=@)r_mm|rD(@omx0w)wM@7SG2ir%j7+D$+le=99#mOPrd#n{<*5=aU-(3nnz=bDeFPU6^*ZdtZa>=CXHLe``<`)k?M)pOoge~klKo<&2qQwl?$tTB1 zzQP87_A12XC+6a{@KWr>rwyJ)^`;_eCrnKA-EhjuGHK!Rip3>oi$1vBJHeE5Oz2F} zcFeR&!<<+zgLRAlDD!reBlT9e!r_QGY$Q*tU$TsfO5=mxY zcb;MgIwI@T7HD=hOBWoZsS;8_Tkes1fqXC=x93#wo$=7q^try^2Z-zS;M1BCTIUkd z{@%l)=1y|>sFb0=8FftD z@52TqE||4pe(7%f@-=Y-l-=9o4D2nN+aphkfbJLGg_Z5*e&LK8jHaHd&_S6MJ*De> zo;YT9_r277NfF&h!o5}~wVyDbt8?s|=Yg?`k<8{jGX(C8^FnUnnI(q@0AtKb<|7WB zg0GTg<=j~s!P4`>b9Ex**&>VVwmWET*GM!QXr8ATet>Q78$A#*Vk(igPBN4y8-+V8$qu0?8Kz_-h$8PYz2m6X^vqZJ1<8>)dGx0;m8yo$C#*FS)N zYgYOA-9$;;*V`L3z6&rH}NPe+cqK5J5puuBf3%>vuIX$=44?eXImhcfQ= zy-uT@3I)-lehu`z>LNu>i~ZWNk;Y7-y0_hYygUD9Y6^92Kyr>YRk!PXT4vOobts0| za>A7d+ZXUtV@x<&(8)f}))$}7w{#wx+%|Tx-N)9fKI*GkgGD?NUcNog;crJyszS76 zeO4)9i$;r(#uqDoN8(qNY74C+sa{x}+ymJ#e8rTcqqn#N$qeH4iv?LT4i#0Fyi+{z zfvC{F^?fvejXE)X{oG{uRL+2~hx^sFn8m@Bw1s`rv#wyX*B|w}M5%T*-zw{=eI$ea zg-nDW;$6IjIzY&_+MZfBI&8e3am$FmPjTkIudq?0-*X@4H^cl_AtJ03BIUtHH5Pv$ z5}ENrPC^2Rlm&Qe37D$xFbYH+>y1d-#Y1m+328~kdFAGgio7JTwIfT$Yp17h1u3j+8!?!nR=on zdNImmKF)CdzsF_skcNz>J%u()T#ZMoYhB)}Z8>RSF65@ts$6 zUbUWd*S`l50w52caXXivh5OeAgAYa*gw+=0Z_C0pE^6kks=4hGe#=tw5$$wu#q#pP zR6qn2C90V+qrI`sWmu>+Yba-b40djhb4WlPPW2+l=e)}daPTDfie3;Bd^nu|2P5MB zuO#@+{RzpQ_4hZNZ&#|ZBs67#S6V_2$XZHG-yw5SyWLy}6Wrf!iFKSzpj*UCyNfq8 zi&%I1F zN}lpYO{%5vN>BR4OH~8WT@7dD`h11V>v9>Vi6_Wr!&&JBqHoslDVy^|@`&ts4U=++ zBPVo=jY^N&OFMg0APZw15h>C0CpO-h^ws5!b55(}4Wi`V3LOp;o^S^pj_?SiPHxXv zxXAI)^H6V$ZHT2B>yGUL^vP%^Xf} z3*51dSfFQla^#^Je*ap{S!2@S;^Uhhqw^luz9`oBL>0PQt!^!a7An<7o(d=4kJc*p z`DYBDu!42c)}(x_n)LPOx} zb3^NllIOgIi?*OVMoOyV2z)+6v~9M1g9BuSH{)|xt8auo70zAtSXC7wWW@GgMMGIj z`h9~yklM~5$6Q$4d5D7N<>d@%_UmXWNyGP9{*4iv;|&c1O;R}^q4PX!ZEuMG+uX8w zkc)*_vHJW_$Xn7G?_}&Y;Asw!o>4Zhkz<@a4`(l&C@VdFLbKFsY3;;nfAZXH^v}5? zU)O9SAB3l`apVPR14^hOdBp`C#E=wwVfIR?*eIs&c*1g&^@=;!H}t6^(sf97O+B4` z#&JjCTlz&Fm> zy^UQL$OXAbTVrP zJiUtmtG7sQX$3=ELdvT_GVx;K-8N#O#zLzk3rL6sqrhAF=>5yJR^a;Vhzw(y56zQY zuW~H}x$=j7`g^A4DA1t5U^1jF+Lvy0s4UD2t6zs;#{=7%bJ4mX7;J5x$aQ7N>6{Z% zfc=LzLSjHDqqYv}jkZGP#7|{+ybh5jg39@v_ieL(vbHuS2#E#FiAXMgVkiXqClLeU zM($9@vgR>h!wzsfak$w}#d`n)jO?yfn`Ta|WQx-O0pP5&nQT4aM(>Ro0w`AQ<4-Nc zRa0QjW^|cRwh&VIhWy25gp&vydz~{m50$Ysom%bn3$!Rfnp~WqvCti)&1>OdJ{ws- zZrEl046qSy%;pR_qlDv`C}-g)Yjk3C@(_#rODw~9esmTvHag;wEXF&3!*6thKbbQj z3~yPK35m-F?6-p?h#Um<7B%<2-AQwL1>`BQ%>W%zadiM=9#>W_C@i{b3NrGUe(?sV zccNm!N*eiD{aCT}eou+-zaU)5#Qz#N4}Yi|01p3db7!1!_Bea5hzi-Op|j4o?7f9U zC5N-lp(~{{NlJ<+WMq#>GKz#U@^ZE$o8Q}i@%?OfVV!3K#z(B;inxbgvOGjgq>O|vOh%V&fla~GcnN-cB84^tW;V_u!VDG zCYOO9ZGbkKa9}zNVzOg+#d}-G%3`H|PEB(;i6=5)d5UMhCOLBjV5FoD%`=x)%g~N5 zm7E=5P>-c))R~4I!7)}Y-ERZ{*uTfxWbOAXmeFEsu!6hA4N&sdl4$r~xR z5%hUx;#rmFHF$2BezW}C_l=gZTj9C+l4eiE7_DfH z1=_CA=Bm4Mdv%zjz!aqp0x7@su34<;+yK1jiAK84ReW1W`>5HuE|rt-j^HNx9fx33 zCG!KgP<5Ngc|=xVz6a|Ia9uFd2kqMcT48c zxR_?}=x~*{MRiaVl$4ulMs%Cr78fqx`-jq+xHJ9Fh~IgtM*&8oF;<+kk(?(#b0CY@ zOh-34l=@b2dRUckDgUDRVTA9f;D5Mh zlGwSQO9gCHHnY9!Ib*N%MR+o>Q-1XNXgVU|&h2s@H>g@+`)+zAI~t8-Cl|?iS0z#2 zD<+Z!EWZ8&8lJF^Sz?IAuRGw#Wg+o%e`J4bBUJbet$g<}C^AZ3BzjC6y)-Pz>>hYe z0EDBE_O7&$0nnw7o^kd|+@1iY=d#6DB!>6e+X;>W;>;DYet9 zN3l;tktVUI@!WLk$I(kfrEu6PYjyJT7K!N5}qrToPWtV7$;G;p5-P zb=V>&8}TwH2y5pVjQYLweiCKYEc%h&r8#8oxzY<*7CLGb-oWxjnaJm(a(?+HesB74zl^@)3>_gr|>MfzXYYj8hArH<0U?&Pzm1r?q(*E)@ zCp++_7vsah%bPaW&(VeCQ~Ut+$LC?|1_d!-sy*fLq4bdodSBkO7+#=96y;(gu}B?H zBIa&VSK`_0u7KGT7XrN;U zI@Y1oYs9;iI=iJRx06%e8jdF%!*`EU`^^kml8(L#1_C*fIX`zu^nyW6t`RWBUE8#6 z*xX{)LV9xRT?&Kum~FqusDt=!VW>kZ^ifN1!@#I)(||iXSIR+=^10gMd4p|&v|eYu z1PYQ}6>_T6sPs!w4l?0>qizaZc7+eBbE^+m??Y|Fs2B*t7vdUV3ptN!GgXlu?d?v# z!|0EK8%#p+GyePgmDKvY6Q{0z>fe~B#+>2pKZo`1AM{r+4B;hg%PVv@Y8&(RYjt=l zJeRW%;U#VhD0Jr%w4HeSEm^!3uE|-4@cL}S6}khpBY69x54;uZ%oQa{LKwM*L|&mn zJ)RY&U8tO+R#k|%^GPAQjA`-Oh!|S=%iJ)c00sMQcI1V_Lc{PvHPpL8pe*hLhQ;mu zfesMX44FJ__whTQkFWJ(78d%E15%e5Miw7HkEC^Q*N9p=JNXNgbd=2nBhVzN&g>@k zRb52X$(j&}Q`$E8ah4Mjzwm^BAXX(8mQ%1#yVy@LA++OFVqq8D2;*{CmT*HpbMbKm zg;Oh(DF3$1_&^@Kz|k4&sf&s_WQUlCDWGs_S2V^KXkN~q%Rz=Ug}C#B0Y&{x^S5pL zgw*gz2@j`2#rRNV|bmEa>LO4QtP^eFK(!96+mBP(fl;3NwqOzjn8$LnLs zT93yAhI0|=yNb=x+GL{N(Ng)r%X_9D%H;RrQ-w^g>Xz%9AANkS6vV#y`Y)=`r2Cuu zL#0`>eY<)9;EeT}1<;p-&^3aT$Vm>9aB|3$4?YY%nib9>49G-*c)##Sd~9UkdURlz zKbKk=$yNWIj5z*MWdyPw&#BUdL3f`7BRoTVqoZqlPX~^pPFdW?$NB&&T;Gr30e<;a z#VZQB6+Q|uMqr4B9ok0&eN{pN4*-unNiyoo$9!D1zKaO+c*>oNqj-mh5Uj7OdIto1 zv*9sHJ{1WZ;!)9_k!{fl)<~RX6Ti1-v@h0nT@}qMBZa&!I7O&ceui6&V>o%F!6W^h z*!mZ%lyzO1hW1tSliczd?1#443>n1l4D+A0F1Mue_5`mnp7UE=aOq{gU2a-6k1`i7 zm96?74hSlH1PbL-b~NLh1{Pe}lh7pd)hHXQaksSu;l6k!8G>lx0mP(iQiKQgHcoYd zxT-O&1pl0*TENNQ8_zI)JhW=RtUh~u%=hyLG(H#?`(aQpe~Qi_Ir>W*EtGOcsu0wx z{|ZwdKRi&Sr{~ciDsgL#JYwXHvyzppEjW9o^?ZA<1weH{7Dt^_k zw82(cn_=D+T(JqO6_nNbVHokvk%Nac3YQpT6JS%zM%$29a@hgKqYC#7g`Et^XeNBL zb#uLhvW_w;&AHfI#|8}lQm^>DZC-qoHIN-MlT-e~s3=3o#zG9$Ol(PU&R2aC74yR4 zzBfLJ=uzL*fPJmdVsRC*xQ%HoAkw)EG1-IMbK_&Mh7xYLim;4Cs)&I6;@{1hG8uHN zvT%6Tm}ou<=OdYMn{`4CGLU1Jf+Uzmm_jI@jmpEFLwPn^8u^b^!g&v#Eq-CzRZT9V z*124O)bUEg;ij?AV=+CYGAaolok`f>@4f#$Cqp!=dOG;ez3GpMy;7#y6csphucvSD z?k6SC>q<2tqmR|sEcpFEklZ^(IN&*u2m?c|3_!g4K7Hhm&m8wSm=#{`yBIKcwzHFx zb2W7#f57f2#XbMNKwri8=!N|Ei|CiAROsWlvdfId0e(3LtX`uzZi|*Hm?`b$x%pa; zwlR$6*k2MQ>j<}{S8e;9DNW2PgWx!jM-xO{&cHVg;-ur1)iBt%21xm->I})dLt(aT zY`R>TeiT;r`Y|f3;>xn(tnmF^dH@AC+fu36UE9O6y4sO3x;if=0ZLY*2s7^qv(BRansYh2z4+Ei{{Uhqz{%#8#$bba&?nwKQz&NwC&QaV33xj#} zH1oEj7Eh66-@Wt;ELz!qHfPvN$Hz_(}2#iwEB4z~8w#AV-c zh*F5UC2xd2U{H0#lAaS{y{o6KX1+YES}IACz5-jgn;M_9_;4&{8IE5s%%+ZcncbyE z)CA~Eq`Az*I3xypM;{AKkV^c6iD|oiA~Z%xt7u}u4BGn6YYG;9+FIV1_0JD@E(+=p|2g3}UD_^ZsK)RjamUV~ao~y_Fe-xvAPAWUzOukMT&FL4 zBqe9W4cp}THo|(-FQXoeg8S`=4=%A<*Dh`UQV%d*YuVU&-WP>UA3PfhVK?7$c2{8s zN*@=ye$5)QWc@tFG6&k>C8wf-Ys6!aFv0hV@S>;Y>CYgw$;)M*vMLZBQ=A1OGbP7T zjgntWol5UHo>~UEhRAGm`M#6nuxyrooAThroHv{!BI5IPnNIOOnh@&9aXG;fp5=2p zCz77_&Y70xl2mhRb^=zjQI*r|{FJ%?qi%JGA!@r3c(Gp*#%evmtShM7HzU-@5fY9@HzP1N-=kK- zrQQ0%Ew8__wig~irCO|yK^CrI_huKLeKpK~#!5BLhjrToNz!rzCat2~7BVVBLw)(% zx^|gtZI^ZEN>Wz}*Fk0&mtj2xy}8I|wmJ+yaY_nylSwh4|XCOkikfH{x=Tc8!!3e6IamCsb28bI*WOmdY&RJtW&waCT@xl#6{m;Tp z0YCSl!on@TQ!{)H6S9`Eys#J!V;_m(0jk3~TR@ug;AhwtzWZrzHT3xp-%+z9@tp7#^5^>0{_X(Lvtj0cVe>@+#Ytur zJOD&H;=qRX%k->LeK^O~sL6}FKLdcaN_Vw)GAb-l8p==ov|V8|9~DJX0_ef7>a0U@ zB_+~BG2WRhKCYFji4^WbFu=*_W$__BAuCC=E91-;z^TYbD&&2Pzs43&crMt?xH4sh zJRgEQP2sO~LMYY|`AStL%$+gOUpyWK?L|X8o;~J)x_4!}UGP zmzNxE?lAudE)lh9ebktDPI%#BBpzCi^UDqX#zvSds}GyZ`JTZuZ{izP3b?=9 zl}P{_I)y2yETi-34Xyp@7mpyV!Ku@!sGU<%q7n-cf<%>s)w-)}85`4ne^_ddjQ8A| z^!Dz#=@gt0MB!Cy+4b$}gAF!w2THf@#VbmR*xK({$wZ@ZuiG?>MsVCI=%N(O)rMg9y0DoWDd2Y6EK0k6$~ax0XrSC)c8V; z?wf2UTONI$aLw4T6`6UJdHT?}(B%uJ!c(E@m5hQ9uZ+F`hl;lEgI+O0%e3a>7WSaK zvdsZiI#Uj=%cDkd(yG!B)UZkx0h7XkJ`X$>28hrOs90C&Xmf6oH;!_nydq>{4B{{T z@zV;{FOpW&SZ@Q4x9Q30MVe7g@P-(tI&}cNryk zY}Z__Vi)xokhpd!fRS{wa(BQrfc0fv-$+O3F3N}zz^N1<9eKipwIV>fW>@UDzlLzA zHlk1KQHuCpOiXx(sBYtEq?c~(*C24S$V-@4&QyiaqkHF+uUnMU)8b$8Rsjo&(CzpWP-TI@kLML@j(eI*2BFCW|6$ zq8}^4&pX=qJw|eej;`iv+$%mxr6S+BrZk7;8<;MfIW#%pIn;0~IU)5* z6fd`z4t0zYw)l*zC~OFE_ocv#vu|xJ1azL({=QIYanB3g6H=-2s4XUv2jv?MUyt%& z;W2rb=eJ=f_rnt(y^dSH8~eE7pwsR7#*McU+yUq@0-r!XyCo+ZCpY{0&4Vlh<;2VX zD8Mxj7Zx;-I2!0_h~APv;O}n#B$eqzoU!3I|8pEokr8_;E(jO7;ma@ewae{MrvO(a z=zA|JadcWP@m4-oR|ge0nl-4XT{oL~?a_?wOV+$jw%if)hw{JmET;%eBMn`3Gsuo^ z!p~Sa#a2)DYjd)A-5cV*W&&w#;Q399rEgW;EZ7Qx7PB9)cp>u0%bm(YZfY9@R}-ll z+eP_9nfasZS{Au_Q4(Dy>|fvrcVv3L+T%x@XGcYbuI!3{-|kx6_E<`?4#$RkaJOnt zmdS@;QdkAA(VZFQX9WmZG5mBN?$oY5S_ARIV0_rOJr=pn(QA@Q7u^~E0Wj`)J|zR; z^OpxlQdpwc$6GXD3#K(V6RnATkMgRTe2;xfFji3HcNE>ar*pIl7 zuiIRf>C+C|%id>-9X_TC*}0d`pskW7&5svfVB6P~$jyir;l`eE9MKo)=4C?;HtNhW z58dh*?EjP!g=%wBpc`2M+oEr8tA4`8_V8te2M1E`33u66@^9GK)S?3U!xkx4te-$3 zmqEthn^35~sjG*^kdeixF7)KvWBjUZDBT%|`KB=@e;wwMm|!xk%TJ_pRgB@vbr5T9!Pn-(PwKdYF=&E-&Bq(q3yj zj%xoA^OpJ(1v}upkOB(a##YF=-ln*B8=QRS3it>1Jalc-eO7sCBHQ(30oVM~Foqr$ zkWe_b6}3eT+heYIDw_Jr$R=uop4_scpMVUN^Rl(#4W7>qVi^NLB5ev|ynLAAp&h^FLEyZ*zwhYK!8GCvj;Nc^Xe z(toNSeXH~M+-QB`GIwyAV`mk_?=#HM%xiE=ZdKWHo$g_2m>9ITZPV+Txd+c(8pXOd z!@`X_#b$>gkcqFz43tD8Wf=-&*O8>*PVvgwCzZ54*6KfJ*3{zGW<70()_d^l=n3YH zHKXruAT$}`Cv{jueJ7H}>--L#1N%Pgk~#<-^&Ffo8TkNDWS_*KQdfFnG<`A=#aTrL za@P>l5AZTvPa4Z#EdQj-mM*Jy+%5q^pzVis!7Z)`%bM`^N*a71!6l~m z=cQf+2472B7J!R=)@94iYn|O!9ADxC{EbJaV*MmO>Ww}Jf(mabiU#F#at0w-niC3N+}wi~0JH+dGOIUDlIh(t`a`4fHGW_lZS`~uOZMZ#zL347+G0& z#<0T3ICcZQ)V+UD_rj@kGo!rKDa%NHSKhjGglZib?96j0rJZ=J9KJZjJhz&7Y;`}l z5=IZY5zs?WCz#aRewlmOpZhLIhC*YRW!d}kkx6F>OIeL9FuEa^ z(sFfL1UKbaq0<&$BC>f-VxSvP&E0GoK)Q(2r>?2b(soyUJ%a*lu6+{lxm5Hkl)!lF zGhUo9vq}Ec?flJu=MRzLq@1TWk|8WoTMG4UnlgiH>eZ3php}5ZZB%Y%+4F}?dd!y9 zs4cXN@~ouwwyEWPffV!r-XyUydn%P^3x6O&-UV(xllXl4U+Ws(B3i9V_4N7oEvb?| zTk$7^gHj>BEBZ?d()H9y>b<|N3MFf*)2P21tc}9Zj7$|~zkaQW@-NinO zv}b+2PY^!1?-J)(fE_regfiqeH!eqUsFdHifI z=S>?Sp1JGHcraEYYl9&OB2mn5-Odc?KX{_CPf*^TP~m|eZx_IJyt0#GXQew(DJcex zs=f^D;iM3Wkt~}PaonK-XP?C*a1W+OZUAe2L6BK8BfDQ4h5{BIETGp)A$z)9&QC8dB8r=t3CnCrI)y+u5^J&M zq{8ChU9%zBn~~B@PywBcyeI|u2O{Sp@uxR8lBahx!Q&nsImc$^a>iIp^36u%3i*o> z$#Vzi;Gp``+dZyV)Z60U18CBd)$ed@z+%A^)U2_M8R|6rfqAzydFq8?dL{qcPYQ@9 zD@VKP^EsnxgEE7`u&huwPos-&@(%eta$YJQ?-dql~xK0f2_$apgsztp=VW@i8*i3$B6fj%)de$gK47V?HSJH5w7*i zqmD(xGZ!zQRUW2tyrvNyu{`{V?+&N73+Lujql<~AZ904FG9*<{s!!2<`l|{ZC#{0wn^w^2cNvFzXf$if;=X z@5O{f=KaU9nwe{l3H=nKdE_A$`qOyo)2oza;9m}wERTrB5iD8b`3SZ~a-HHy4h8~U z|2?ss%5lb^^$;kK;K1jhP7_fPQydSGg5zf9C6qu>jd(^cV;qyr)?cMd)U9@ohrKsF_sJFc_{1eBw}Y^q;GD zr8ngjnCG$l>TQmsq$)1ug7``7rCI^tkteTx-Mrg?MjpK4wjHrqWNw4|#IT(vP(917 zLZ5RqyO5udHut7ua1EMR1vjjC`@t}$`MKS1oqMv0rV2sxBQrbcms{v|+^ex*UwLuj z@}NPml;E?B>x)(?OfBT5^_GTJ&jq{wMJDA$s95~F``&+5h?0xGAUElfnl5DfaiFm2 zfbr*Q%WNu~>BAiX&Qoak3&n^5fG>9b+3I}whm~J5$*z1T;MKCuI zC8hDU2iw_&x-P=}ZBxPEt7Ykz`flUHcZm=32~-*9z`|h0F8rd9TYv^&>gy*TT_l}Q z_@I-N6uC+EjwY)OM*-%+{CpI`k1>^q@G``Sl_+uq%Rpo_{f*!gpNz>Gb&X@1pw@A1 zof9WLy=uI%ev^)YLBS#KLM0+Dy^FLY$85#MUA}S`1-lB|RT@RJ0T)l?vdK9~S>iF` zx_1G=48UC!@&*7(w-PC^Pc8evItsb-kyA7To@!FTnNYyV!Nvk}rG%qU_c;N;N6j)O zQGlP#quQePEep)k!1IFA8z|2sC;;u|x(WkeQVJ>Xd;pOMI6RF#i8t_#^Y+b*pawMI z<<->{uo<@-C81}5>o5v8vidw?8b%A_g>h0~-vMZ#3Azk!M@F)$C1a5A8Uz4M!cb7s zNEQg_A+1j-fErR+yBUbfh7Z^b@b8-h4dBf^pm2_s;iKvZC9tHd^I@Rj@H4Of=3 z9K)-&l;9-&sLUD)vfGESY1>0?9YWsns$%`TtAY=;A-y*sl4?{jCANJ&HbG+^R!WSb zARqY61|kFDb^#?YYL+UT!9hEU3U@r``HiHdK*fgwQa6Qla>68(A(Qqdf|DZd z5G_K2yw|@h1d5Y{Z?TK8Ww$`2Q-u!2ZkRxxH6fvF+#Iv)YHlzQKF|n5R8lyB<=m2# z#p7C6bga8Uo>Lkk4sx=>pghG#9s72@8?s~Ei@_*y`!yFuLnIDcAOmiY^cv^~4$w(S zkq@F?3PLJ)h1o>7&#c_j)3n;h2-=-FFXVB?Rf}_>3@N(}!I_^r8Fpb0{$|=T^zxY+ zd4xW{D&*!?>UD@ikbZmSAXu`?DWoO3qE#yAN8x=R#5Mje$r$$=&I>7kH+radA*leQ WB?8@#30g@1W-ysl@LIbV=SnLq8>m&P&(4P zC{mObss$4Q2#A1`yr1*!kDdLwGrKeQwbwq+Hx_u51ty>K90i$xz#s@{MgRcfF@G2T zACiA(H0U(4QTuqSH<7v{T~TYC&hKp)zL$a2MXo-+Tr};%aFp7!&^{gH0Yoe1nL2-9bILKk+N=pz2$LP#oOe| zFb%f^Zjm~9D{oFXC!YWo0dM9fg0;;0;|r$NeAf$}O?{(VS#2lXYixUU zza&1b5I$G=HJ?JsB@jUQxU7wcia_A%{$b|W(a=&HkjJg z=f#(uKHbVjHpA4LnbdWWr`MGbSC+*BxE`Wb>`qw)ao=8lqC4%VX(W(pzX`GH#GYb_ zfn5W7E6RN15@ET%0@sSk<0G;a@9USiwrMdh-1g?=)g<#6+)Gjsyyav(6;7?+6`luG zb_89>i}IM(p)Irq=Up3)FUp>-dGYzbgt(u}U^Tv8QuRBTkaXnIY$rFWhk3bspZ( zgK--kVR~?)H8l!)c7UlJM(Pv@+I5&Z0-{Yxn}3G%+ZJXvm=1|(K9U(EHG>(A-Bz>D0y(nC0GdvN~v`bwS(2)@ZtKW%kcA@T7@9RtD zVUp_teJ|8piG52oq%vZ5?bgunl_))5VVXTRPKqilyx``Y&=aE~Eka^HL+C8(j*4K9 zokDwsojn~sFU`(n>vys;VohA72?3qTK6txmUUJD*JsDt(|SgOy>6H86K&VFJv2bthov)!{KtWI zC6g0tPzYD@q(z&pc^1qx*6SCA;lQCqHiP*4kdc+_Wz)55B!nPDa@*T9fXgg}?R<;W zhd3DJ&#Nlcl^C3rvlubVqv6qdG{rdDE4(GUkz=g~*b}ncZj+W-enWzeZ!#Uf9;>@@P z_f3?Gg}mWB<$Vrh@VQH9t)q1re5e4nclO=PREaF{^R{Q$SiFXw~ix@N4v(sPiy5>2HafjrDt7fZr9_hv5w!6fm?cy)< z&^uAMZDhN|Wz^ip{>5GWK1K;>{3}Hxf5hM(BR4s)d7uSLX$<7Zc%yV7vz@3v;58N$ z(6y4;7H>FmJOPKno)9AnNZ^_&kuu*o4e4?_o!>Cz_F1MDyL#nhgp;7`{R)lgH?nst zT9fHN5q+VY;s$DzrKc09N9Bjr~Zj1L9F!BPw*g_hjMvDM2}>{D|WBPg}n{)$7atC)u*?S zniqLGL{#8mIbG3fd_pmcusk75`0Inv@cb*>He|*&l$!We2-7x+rq-{q0;+*O+-{FQ z6$M`)vMyxEJdiwoWXq&ZhU%Vn`^pwJDw-D0 zAvYt=h@G-YId`;qOaD)%OQ-hFkMn8EsVmn;?*74=$A@E@Da%$6V_zWsSq| zvWk4GyVShFFMS{ETL;!Diu+T-6SnuSS;k(D?s&QzgcnGQ3Wq_^H)ssF&h)o#1+y1w zD%Ck4qe1spljFL#lBQG5>kDFZqT84O|nL$}x~H8814O~gd|l)>%P1D>qTZ~RTltj*cI1Q2Dp?lQPHXIlgdBc`5J zdlbI-qR?z(NyYAygaOR%V8bj}EwuTd7$?$;jE*PB#UlDz?!1<-t(M&}XSo4nu}R+B zCXaW@WN2pW!hm?A^qp9fcK8cuXFQf=1Rxq@`{2GHmL?#%t-n6eaN_XLf1s!o<811G zM)8epB=n*aU)lVHzO80=&7GQN4b7WW>BLnmQ|gIw782fqP|9|A00BoH`?KAghS@v; zr@5}`|JZ&~3pbm=6VVVl?T+n4h3!h5boalYhprBGl#1Z5Z2;g7oxHn! zvjU4y<@lxoJR}or9qv?rYz@wUFX6QGZ^=(pahOXfRp?34D{C&>qAk?VE#~4Ru{0{f z(7aduS|Q~^^jl*Vh@+!xaO;r&aEw`XD~vrDsf4t+q#)P-^>-qRtPeao6*R|E0i0mv zaII3`gZwX`>d(J3W<~h0VDHbo))L-WjFdrZ^Bc)PW$KaprCRRlJHz4C@ei`D?GTQ@ z)%&jej>HtiHGx4NqnN^oNa|R?L3X@kGv*{8({kWC23{T6l9SO0N7ipCjju-R^E7*+MYeJo zYLA5*eEn|IG6wHVvbPrMPt{9X=SH!XIb0WtzFIPi8H@c~F>tX{yOV#pq`f?-5AnpE zSr(ycbs|*)TzxE6U^@Ak+ihOz{>qh;5~n*cPiDwf!eeCZjA0j)S7QEhR7gGoTC-0S zP2LNQB8rg{y|HCaN$>8yU-e+!yELmFbNTLvqY};-Fb}#8p%6EZ0jMoatJ|@WeYXhA+JDrpzP7S=Lt`qkK z_i6YM5;C^lA(Iy*IjM6)yqcN2jX@jB)ftca)+nlnepphD;^Sp7hQU3mA+F;+8jKmK zC2n7ygDUEuNBbMHu{ENQ(d|7DNJ?;4X+)5CgbNYD+1rU3VugSU3u*i#UA|lS^B5ZI z1(~=N8?!cy?kbp6rx^aMiQyRc_QgO~ZF|Pg`a*IZ#5%)24mS6-9aK;j?$=U^KIro67vp>oZ?=-u}L{U?kt2s>gf7t5&745dV zNzxvvi-sN`txE6`gx2=Y^xB; z#2y8G=m}dA;2z?x+v@L0e=^D{#LWF^k2cVrQmV@6dts?UOA2U`w-0+^5--H={r%k*UUkoV*cGz+ z{MlEQIFPF(^fxus=AE8bj6O${_3oY%1ve{%*{)c3E&NE^$-LalcXQRfzw z_SosGh|7VTUT6cz0RLYE_$OY;xDOksB<4I(FU2?3{WE>Gs||!L;lUM;O{eu0Y+z#& z`E!Sz_N|AL#2D#}gxBM;HyG=&V~0Oz-U3w9qY5>t@Ep0wU*t}eg~tLdpOeN5I3cwr z4K+&8Hl0*9T41uBcuIVMacsA7%CO&1(m{Zmrtd7x7$alIHjDJfA+Uy}kbdQobk`#y z>RuP(CIouYjn`nRyTa+3;=!VmiZUR(d!S|R7y zRdZ6B{9i9x?n7A0w!*{qZ4%A(CYhsdY+E=#YcxOR)u%7KnhEIIuAg=3N*%?X0S|w(m9$d z2NsmzPF$*ydUj2)YT~_6jt={yZu>x}_t*K8?0Tv}=y4-56UrXYZBIm&wDPiB*(ZkZ zXYV%6xfuVs#-E$m+Ij9%nR;MnbMevYu6kb0SneQo$gErjwUf^$g)O|Jx*3~6em;8x zxB>2Dxrpryvs0$4wbqM{K;RA93hQnp2jU#ah}SxR!jt6VTW$wIH*gADLM0Lw z2RMUuS(328g_D}A)pLpy?63Lq--uhdNDpU)RB&Os$iXgJ(w^_~I#?~eT|g^_W}jX% zfr4$sD1*0kcc4T|e(ky@W92I`9Zb7@h834&p{z1jEXsl?5#ssjHn~uJyfM1QT6pq` z*r>$dXkxf5Cfqow@}cT{H0UTPj{m#|g3sMCoU~rE#|?(}NHM-~xc-R&_t_dLOz~k zuFk6EA=#bQ?3|shniK032Q=E?g_an1iXd1)3pph!tW51E(!9OiSh+sBR`>WIwqIXN21w_RSC*{bHE(I-v zn~eAAIKy*mP_n=T99*I;8Kg8gC#&%K2BcHiJ^0$@1)OqSq)F{VF$QwR#E_lGF(ur2R|3^R#x{TXA z*q)+vV9!vY#l2oyzQ_jhTn5IEX8f}C5yy2^VPKNpYq`_6?TOiJad=MjOrvZ z&P4+38Ctj9-kr0c6|o?Ii?XT(SxD9j<$vouSOa#w|DVQqHJOJ?sQn=C)NpuW```rr z#scXGCYA5a#L4q1qJBKiEXwjd?F>+WvB7P*c01lU`O}^)hrj6)0;;l;=@Z!ntKs}- zL7C+Pu~$u12Qnsx_Kz|r?{z+{!iCSs->UGiKJbD-h0h8K&7Wxs=5D0_ zM5#W~W*%mapC-FTvBnYHqE8>$`rBR~9LEIoc9+)iB3FAYj28HS?`>LS6!7gLDS17@ z=Q8+#cQHalt{I!;l`~EyxAalnwv*eYVqLmuC>QgisF7AFaaOl0K#K1#>v2nqn5i+x zU_~M0p2rSk74v)txzbE~bCLucsuk&02DJli5LXC!WOStIofd}M=8X-lGPQL{;Q@Qo zynxz)AV}+O4=Xo&kg#EPTg`H3j|4Zr*Gy1u6>A-YEr?0!h^pMf{vtMiMrn?(o5U<# z>HfAs`0U&t@3tZgrr*Lio!06SbbNdzw$!@yCbLM?zO^(s0(8lw+84QdMi(5cn5%dW zJpdyYD)s5xe&$`p&bCf;`wmA|HTS8kj3{~gPMq7}o~8P3=6G-E$liLF`Kpap)^klH zLg5b$$apx-Q=aTkfIS|Af!I{EgPZh@JvPg(1gY`L8lMcdZ#g3f9LkZQ+Hb{Wjxz+eK& zQjb%{1WIBTkJNRwcwA*SxD+P#{Wl4+S|k~iU-^kWVcZ*g`bm!S{-$wftfweeJQcn} z6vI%aERL?k!bCDuI!?QLt&n6f;>_0I`&=er80@FngEh7#S_R~9WNmDnhiI(?Q5*iX>;Kt1!kwsKd=%3_t&F8-gr)9)z{>pQS=oQ!#G2SJj72fEbEzO&bV`AiRtG_VO z)z-G9SAVA>`3gt-?_E0_vAV`$;yR(3M@;{M>A5rNSjU!?8jT%5f{}3-GNj0 z>W@*IdHH1<^?<|xsRfsLBe;?YGK8uDi0`-RMs&tU{T>dyZ&$rX6nHqA^=(b5JMNEC zCNkGS>4p_pXjdswM%RdlXl9o{@fYK!}I|%f+QqyE{1~j zJAt9Rr*u<0bLG^fQ|tm8h3Y*6lyt8NU$20$Z%*@l__Qz?+GfQkH@flYIc2(Pc_FY{ES>+gH>c1u1c9NFjqeOV<=u)2=a(*#e0973y7E*v`r~?X zug6@*VjtC}F5BH~b?DN126-MU{{wqTs{dn?Xz#2{GbROxGapKx=PAB>x$&s(ETzai ze2;bOoB2e;5!Nl$-|tx(z{TM;CQA+w2-?R~L+!7QedXSmkpOqu?3<5#?XODidJ%H5 z+IP$CUy-nq-$$)+dgtl^gq|eu1!3 z6p?~!;jfhe%n)qvw{Ff{>jsZS{pLDQQlU3AI~I;MAN_-IaP4D3^X$TUr}AkR%=)i=V$VNYu- zm!gdfxG;T@pSPMqo(z~4$-T;S{Xmr4J=dMJj5Jk@_>{DjdCRUo$0B?5nGW9TJh1M| z%YKVX-OlkH8cydwy{(y-;UW;s`{0l8Tf`PqTk1Ooq*ByUs^3DZ5QYcK&~_R!?U=MJ z8RpOTc|51GL~btZr5!%G3c&07E?|epCccRKkW*o>9@8GOPyGS^XsPohh74lbONKRK z12k_Czew@3V-2icK6_i{mwj=%BDe)L^!kY0js=kyZSmmzI*Z0H=Pw?*{)OMHn(vd~ zn7x((1i?Mg-kTEMxPq(ebADfg)>O2sM1P<-3wu~AT*#=pi?WVH&;MK*Y+QTS3ZH@6 z%}qfT2w(DjlvBZ_`HRZ7XWu_8^@U~zg5xcvdGzA=o47`TqRy9wYfdOZ#4~pGU-~HJ!RRyY- z6|UdKQ_6|<67_U~>(?dL)3Pi~qV(T6sBX*9M!4r7rdp&c12Q+rf=@2b`*dwHFp&3L{T?^lcv@+vvSR7*91O*}0wmX5<6cSdtwyA-pE=D)UGkrP>SrNe zAL_6!+aV8A=5vogb!E-Vmf=VhkJHiTlE)4y56ryoyXq zD^q~o#nv~qBfW0(6o(t!F6oe`!MG#;m8ycq;U4v*pCPwq3V!tIi=T7Ue_|u>6Uc&_ zY7(VPpUHn7?yh_{Ffv{*_ z+#>we=eI+B^>4<1AE59#8rbNJ4C@Q@w)|k!zyYit!(^rdF@rd?-UACTWQF zh5fz?ZZo3Lq?eIoC%Bs}lGkle)HttttbMbRvomtaf%-9hnxb&8FcA+*d8x`2ak?VY z_jtV*={6jHo+=|(19ZfZ#`$naW(TWxB$PvDFtYe?aSoY$Zuv*53>*7=+#Mo4bl5ks z6s?>ZN0QwxIw~R6Vki!kN}kUlx;JqL*Z*UW)Kk~)=z26=9P{9N%%5g(+Aky0h(}?+ z;^rh#%YK9XWv8-AD)bUEhLg%o%Wm$4X)4#9>y^1$81|5xlvG?0WDwzcVn!Dbn;V19#Q2+i_z#ApD7E{#~#SGR>z7K(S9J_m=tMYB|X_2q4hnK zfDP6a22ujsIWf#w!LD4K_IclY5P5{$vN6MUis{l&`ffWSiIgpxJZGj6=%vDQ>f{Ob zSN5^a&~+W&W}qkUK795)>(s%|>Q@ht{X!M&Lk^5}^uPs{_0~^=bc8~#lW>)+uR*DE z^G-pq1Od7b&O>zWO%fD5B7iWGLV>HgoOpebub5VMC!BMWkoN^$BOE^j z!=o2eDs~a;i5!+g~86CEPTEVio#j6O?|d zjvKj-8qa_auaWhNqfVe`-ZZbM2iDeyR?PYlZ)H{Lv%!a!F;wl&mo0Ah<}}q09f+ni z?&{IBT|W@D$z#jfG3AtE<09e!*7|)`D4^|_aEHB@%|`FcuVkdo zYn4lIu<0E&`p5X@xn6Tk_V*^Cf0z>@d#1-yzA)!^ ziLVrjO|=5I)|u`5C-~jA%PrAkvAkS{2$q3_;?{34YYD6-RBycs@o9@?Jg?pG>t zpGhZ=P!hzK9Z6_xe7>@_4*1`E4{aIl`Q$>8I2-OHo{9WqZDsPm2%<|b?QHy3{{u_! zScAl~Iyb&M2ml?eMveFT;x4xj*?n;NT-LhGbFFu{YjhFIzHnG6bMBBOQn4@UrEJ=C zxd4;Sdo!l_vUwW}JvCM2X7AdXy#+{bO-c<8FMuml4fj2wxlLV6Dq5yy8G8a+UiiQ+ zlG6TF0Wj$BAB!MTguhk5DMD6yPZ+o^oNAiPG_u$q;W*NQsz#vu5$!pzo>hm(sgIF7WBf)mNNB>D=Q8D^yu)MELh_| zB-dt17N($A@^f@V$(i89iho-ia}(`;z_tSci@J9Qv%1NZtmL&_u3h|iHAf0jCHaKu z(}FF6-&Xp~^5LW?<8CZhsqw1=f8}a`Psf^b1MiCCeM9~c2G1jk$ED?Z!4w{`Y&%u3iFNOd8d;Q%l(Wn)6({1!< z&Rdeo7H{koF21~JR#Y70ih5)O_3WpL{ejz4ZhEyyBAiQWVP{|9p06eU`>4&x`^@|3 zJjX!fHR`^Ts6aC~e81bpnHcK$_*4VJYp~2Ey;yWoSf%Eb*M*+G7(_et!|t?#pQ?Vm znLWs=aFRjx8(8vhtk7Mvn}oqK$Lm=U(@hYKZO7npl#j_IB@;uvd)BXE}T=&pN%AbJ%X4ji@d^XxURDWPnHI`IotE0JdRsa%D^y}V?9pKPqQBb*_TKNXYKYtJJAXJjPAsNIoB zz4tYE|7B3XZcfmFNS4pJ`gen7w%(YOrIE>L>bUClok_@IhGv$Gp18Ne@XKx zbG!w?l5S;K__Akc#@o@osLM^?n9#3u{ zG}4=z@oxz&^wu_fJE4Q#*@f>W^w4|z@co1V`ny5=d%_3$&`10*VT3+9hW|wPOdp@X zPZFl+(+vC!VU|8Ok6$1x(!YGgeIH%qQ_n^46GT`f}yc#-Tc%SzO-J=IS3s7o3#z)^%}gu)d%N{r)>xJ$0X zLBq0~kUMhAK4i%ir*_ACXD6X`(h4RE&|)uWjivR2i>0b|HwTKR+jgh#clwUSw5pC* zsIBJMKU;?u*g>i3v!rDnJ1NK_4W}6m1vg(}x@~@aT+=@Ik1t?2%$IO7MoU(fl%qeDH0i;2KP88q4+AN2IvL%F z-^{7J@J<&X1IujyZRt_^aQtm8-%zs%H_T!~2e4WfDv* zX5k!GDZXuTLSixcjO+&SH1cNbf^y#NtCaT^;Khl35oPny+(|CaaB_QiHR@rV0xV^q zRH$aQ1ov0atWtN|^-Ybd!AeMj-T?_8)F2541!q2@lJeO`x&andK$T^IzInxci}aH4 znua9v5mVV~k$Q0U2te5rjCs%Q&!jBS3lcPe(P;#%}wIBk!H%H;obMMk zYs|1c>)+XHAP-4O_5%%T=iYIu3A*ZHHy@y@Z>dSG{!B;-6JvnhMK zQz=vGp6SG^ybBvkl=by@@RCoDEv!*RB%OWc4Z=Ap}C3VQk)|HRN>W^$bWQPlpN{vk)@RkdfzHc8>4m1>K+?d zJVuJ_KX%9-qK(k{ngxylLVpHmyP_?rIs2Q`p|yuMCb`PJkC!$dtEX6x70$F}-Bfw2 z7%`kZ?Z2C%2ozS@j=~DhNxZfN;OlEUZp$OT!hoMAz5#&bRqgjo<;pjC8bU`-3OT@! z=#*XbIOQY>ZdWfz`K;3hzn{x>V+#R-B#APw4RP=IO4VKC#ZB|JttUwJkPwp?NIK>7 z*YKKsuXoNoi;jNxn9njYn%C(SHcIG;Fe8~k zFzu0yk^_wMW{{iC3qHU7w{FZ<#6xS*)ku@9#3;q!mXhb+%OA5zo`hIp$qBP3tX57yg@&mlvDuTrzzZVlhB85!eb}nGZadjI=W+PVFOmrkUMH8UZ^>NS2T{6O~x4|>HRC7vpzf{9OcHiS}m)qL{ zcG$={7*S4w7glc~n}`a*D8_@%2O?@z;Ykk?;boQG?n`iAi_{*M!t$QqWwMX2BmuEb zU_wgH{20l4@5otM*Xn6*(96}!Mtjc;Zk*4#IVA*El!Q_ywL)}w;pA+f%;IJsGUlJ1 z$(83xT=uU`Fun+X^?uAiOCj4EAzV-GJ=(M8!QL+_qjs5Z&4HoYC(I3JPr(w$*|2O8 zT4s#b@90w&#i_~K7n#3DPCKXZRSl_lf=RdbHsWKTJz=(GF@_S8sxdl3;{^5F@l?Zg zxv*pWna>{aXGtrTlZA=~6DjlO7CEeaj{l_p3m~v`W!e{aZF9C3kx(SR;{D&+zuieF z4y&V?x4i^k(0nTf!LM&#N_iZOy_8dmyV2~8pjIPO(A_3{|0TV4dQ;$YN^!BEEKSOj z*=b+eEPAA;FuPvpv3jO%d^mFKrgv}oAxd({`A_n@!SURA+Q&!r*dKWr-_%`7 z|9f4#xMGP>KC};%P%nwOA0@(h((Vq(UUcB5%>vt zPcNYieJn(vK3N=!<8mW>$+TTL{Gd#f(v zu#0^_cg=Y4-*&=Gm5#-L9psJAk#S6 z2SBEohv#m$rL6q*$Y&URi_T%=Edk;*saogKvB@!G^gk!>qnnj@ zhXT><6_Edt4kHMw!Rq19J!ABcSaF@)_+k_;XIm`VP z*UWtn{JQIWrL~n8^6$-&kjR4o9VICx3mU`LnK_%h7f)+iq!za+obXmRg!x7O5RN9F z74``54oewRz66btRRO#! zaUF6#7JKuZQ$No7#gvJr$9*m{g4{pa#W?ktKVnFUoZPF~qc;CWZ;6^kw8Scs&5{h_ zr3qX4h!1>Ig?W&z5RYddUB{7=;NZ$A8MmNiWJI5PZJ`wsi3-C7faOm;t}4XUX{Hya z^8!JdT3Ha|u*)k~g?mq=OEhErlP~W>=I4`@p&s(m=L*|bI|N&}pH777U-o=F?sKJc zq1*E*ie3%9A`ex#77@?>7l$S<1hLM*#ScfD?~yb)P8|?jK)Lz3!-_$ zf|>{Wb3|>&`fmc731(sz(MWk6c8=u75~!7hh=BKjoWbDSr0ZPp^&OMC+gtwA1}A{( z>yGl9>>Q|`mFz714m4CxDgaJ%l>U~I&~fTtQN@e!yh*!V>vNi!5?nq~cF+`!`2A%O zkQ`SPnsCLUByl8YIkj2_7TB}w;zCY?>a_P}#Own)nHjOL_-{G6aM%7X_7D707b131 zQ0EaJ?hAr>y@RY8Gp{pF3?}58E~gQzytCoV6v5^{u(lwN+-a~9vYqJ$?+pMrd_Yf0x>)HJ&i?GKNUD}|cFXg?*C|52tO z^V5sRqQ}T-0isMuP`-TYS!Rs$aBtnP4*$*;SzQd|_U?WA=DT=>OFidTuLK4vt!5#Q ztMZ@LPIm(SK3S$Al%&0S<60$73i8KJ$;DMjZ-5r!ZZ8-VBG)8DZxFUE=dA=1&P}VF zN!hf?K}?fx>`}l(nVi1_mzmAklxHgf zFY94**^5&^OmrJ_Z-YfS@E7+>`^{P)9FTbWw}#E$ww}5DB@BZ^mk%w1WUmR_(c0Ft zxF$H;+uM5DhyiQDS)Ae5U2o5EtE-fSJa5nr7k*o4bhJ88`a47VllFZ{Q)fp<)c7FC zgPiO*7LGab3W5$7_x*~@iSzLE(FV=CIx92k?D##q>}GxIuI6;ueUd%vC3ESW4{Z)| z_*y}frNEX@pNdpj`XG_3c^i1wHn55%@nxdj#$*oOn}J~0B(4SR%^k4T_U)G8nW764 z2Reeje#@xn#)B*AW877>;r_=becR^|WOiG-tLE*dy77ML#O~|wR@*O=Af2~1df&Ff z(`Jn}_7{Y#(O23IocOK&Y$eG9kBlJxW?$|;>~)%(leJJ%SHeXQ?;PB|Au%&~7I~Dj z!wgj|JTa>;VKIGhPRkgs!pwOV<(ysWiFyJ&v}f&752n)+UUQzg;~w}sqfp>Men`j2e~#_IbL9H@?VAr8&Jqo@ zX9~A!bkWBi;C7!$L_Ye87{UD_uaAD&({^2lafK7ODhj;$Nk34Ali>iXJ_3>q3eu-U zJZsW)hhMDfiWb{O>?i~N(MPw>9m_G>z8Sr#v2t%yZ|3#%u@wd~3iSp!8tHVaDUTf0 zOK~V%%Kgk=G6N2tOXh6&&MC|Hy}a+@1Q|&-E}!PT7RWUdqL6ymLz_YVqW(p>K%wJ{ zOfM{6gZmXz<2HgmK8|W5MBe|4{HxTR9)a%UzPC|SGD?5QK|Ebm#a7$GrxR8PIvV99 z`A=c^@hnM;X|GIQb$Y;oNb=$l`NefvegU8{+1?4-;uL|~P8X4e5R1{_fz-Jc#RK+W zzn-#oieYpBKm@}k14FUHXBRg z6#G{%E5%|V37lF49=U!``kzT(SwofzDbwkg$(ul1NKq_b+f|*_+`7Jx?WPMPE>!&& zQ@-%&K%Q_n9 z0dl^IE*=y#SqRd{^t694!A7|UY07-clX}&u{OpoUse#4SLD4W5+DlRCDn&!Hh!@m&Aps*$E2Ppc{ik3YMG=@SwA zUJ`=N`> zlDqDyM13V#b1!CFEgnS@ckqI<`^GbKzUlzkqc1eC&X$2>V6ktb!C7=W0z1+7cQ$!q$1G9$*cyAl~4y@9qWjP-q=*IAOa0Z;r$!I`(q>~2hw*9lop zT(;%AT7p@skqbh`edLO;?Ot+-5+z;5QDP1mg5nYgH-~4V^e-Je?7Vk{Z5Q8v#$qU| zG#$kqeBFtsGJ67mu?{(Gqf~$?n<$x0IL^!r==^I2{_&MHCZpHw57}dEJUd{bN^>E? z5il-=xDUo9nhhfp`L7jG$*tk*unF*F)1uvanIiLI29W&E7Jo3sLM+PopUYet8-Qvb z7}R#OkEIRdM7mJzwgYZnDcgbl;Be?E@ODA%1IXKw#Zg!~%JJTNsPr4PggKB; zY`&Slly~rL@6z5=K>>L;ZyD(&BB6>HHL>J~vl3o{6nX&pwo47c-m!Zxi(NEzzjNO$ZBXue z(PmSe5bK!3(pEb;`+%u_Cr@_CObAFVy$H`;++rbq@Pd!LH`ibu2aUYhG@@Q6CZV7yy9f}Gx9B4y04e!HSuF_jO4INvO8<5CofMp z8B+*PBCjg-!H}6s+E^@~gk4sflJ6vx(lsgKa_(lPsbWhSMIrUmHrHEt0MLf;U4Ppw zn07_?QuCX9ju%&z`m47fP`2>xpT4`5QI}HsI{t9b@!yW7%*b=)ArZw!ncV%k2aXay zneE^5svdGUnsC-fj_HX=(<4qUq2zQY2KCh;*)xvvm7L-m&LH8KP(JYUD5@`VA^BPi ztK7S2Fe-Jw0&?ux{*#Oas)g6Nzs-i$iI>bim?Rzsn7s!Q{G4-(F8*`RZ???W?ZP=_ zI|}EwJW*hifBE;lz1^K)5k(~m_R@iitmUTu?e_3fJ9X;oxN=3Ony|JDb(QO|*`h`^R-E){1)JOItQTv~p=VoVj&-jr%v0=!I4c$C#BvX8Adwd5~7> z3DN6lNgW~4Z-A<+ql>dN0;th1+=6|O)8bI2ao4r75wo&2it|`rnWn7kk-|tB&uuRc zpFyKUqLyQowku+kVi#Ay!`BWXYljr1c2`4=t!m{;E2e8PYTH|ZK3o3;AMKM*tJI}gir-=~J{Z9%K zyE@?1yLW_Qp|Gs?`;|N>?_=xb3_WEL%i+gSn_szJecE;sq^4(EC$E}^@ zA5F%|KkF~-%yUxvEYLs_y54+*!5NtvnLf|nnBq$-YP_O{4lsDI$dIL=sANNYYtH(%C-09>0I${dm0JujljiCe056 z`(K8K%&~RM3vKqp+mqCZZqU0~kl>N#2YA@SSxDBNq!@mZ5Eu1@D=#P4P^K3g>>R0Rog*H3Xslk=7inH<7YEmivO7Y}3Z(>o?n=c*3NCNyckU2v z0zcUg=_>nOfS;TeY^R5DWg2{mK>&^!Ql(MBSv*6q=u>elw$=p{aKd#3D%aj0XCUyl zYP%+%)z=y6YZ^yiN`O zDddxF0;XjRWu+YWn}Ck;Pf51Pf7?gpMgCMQ9di1J3c!jm*N^7h+;OaE&{`;ag%N^z z40}e)nm&eAlc5{+BQnO4g)OG)nK&GR@XVGbS#a*NM1d}%5o<9?` zamA1#FzcAQ2yvxE{6bugX}r=`*)`7TFwd$Lc?AD$tak`1 zRny;tRZBMsZE^`R^cJw932|t}px56*AGpo=(9Rr%h(aB2169XtD37jI#eYRQ_EkS1 ziKvGE9k27Zo1Be<@^q(vHEs0T77Z8N!{jG=d((sMH6K4>>H_g(R!dkGeUvs+F4u(1 zcDbdGQhv(3ah`rm$=rBl$wb)z_SMU2(kJkw<{QaHf}m6$Yb{SDDi1$xb{MF^{}b`V z0{3R7Vg*(O<4k!*n|$VmTFQWHrHOxA$Uz7dNmiM(UljQ=sMIKc!6OCZkDL32rjlio zC<%PDjno8o(>k&_Yt`eInebygYWh}xq_&$73cQrUYfM&D0!msdelh~H^3`;BVJPV= zpm85r(Oeq=mbz!($-MO?iSI9K-vx8iyZ_yMrgNG+xjjyu1giT{o+5a$eY!A^&k9eu z#sPG&`JQ+!=W)}D>)C;^&SWBsN&juDOWS`6pnf^t^TTAhzWyzp53vGmn>yo(Y;tQ| zIpeqx;Z*PYsHwTaj1SY}&T<}5#u03ayDyg0lp*^!5$;7=-(h#0vrZz0=uJs`pa1YT zcB2W#!FKaP8wae5dpK_W_sT4aGg|vYPS3FF=VqUNz#_!ORn`9HT(eis9^vk#O=p!Z z;D>>wLR4X>gDiRfGhn(>JxQVDn)LLoeUF4pv7okErN! z%2;Nf0Qy-^U@@33TrjpL2Px|h4bHcce-pwl28N}RYx%z)J5ty;MR!ncxMGAmI8!|& zkg1h3FR5#nQ@^%d%p=37zvxHWvp2d92?F;3_qTkT~T@Ek>gmsXmmjThdSIHi0BKL<$(3iH2GX6O%5I8?4N z!CSeOqGFVR*SBlrdMyU#2Ch6m$4ogvWcE@AO*iyYkJR}?0f{rT))|i+X*Ey6D0szN z!=&@8nFH4R*eo;eNUf}T>-ZmGdCH**KCoAG|+YLv1S3I(g~i;O1K@=`?=u49zhD`I2)ymKv`lZIh7o>H zt=nHF`M6^Q-g%o(rZ?11!xcYhf%cbiIBpYx57>sw^y+KG;0NOm{AFa0$420jws&ND z33?=2=O6C5UWkT<^w)FFFKt%Rdhoh#r`AVg`o_|x{P6C2(=?fmv`V^M;ddu-^7Amh z6X&Mp&>ENcQ6v{Q_sLUPY2p34+-r1)$m1?*brJq<+5!%l-*p;eNLer5=c%JU>`*m@ zb#$zdqUfok)_vA|7yOfBrR67SIdDW5H$svJ>~FWf+rx* z=04g(+vyOg;~e!bBf(s)>gI|d3tPN$9pvkF#Nb8|mHD^r=moM^hpVj71TMg;9juxaNZmUmd&impzg{-hVo z=LKDkQFW(EU=6AhRme>+qXSI4{qmUY;_1@N5Dy+lj{2p|!=X{(NBo@Z^%w(ov-uHb zM~ggGrQPCkh-9QwnOjy{ML+rMBBc*9veN1tEi3cAKtXb{N$@Us%854}1dKnKbpHOX zA#D!$GGfH)oFQy;WpA^Mz0p-9o?J%iu67w(fp_+KzTby$WR)qEd-QxakNycKeb!k@ zP}9+igT-eiHC40Hd+7q4B7uW1%#LDTW_R64e)$(N^tN|w?7rOaLP~TK&~p zhR-mfk+Ik#O1U?B%_@xHO~ zDMTAz3lCoZ@4oSY_8f{Bh>?SB$dRaRqwL+^fl1`{d{^5KR@5Q0%VjU}u6f#WZBrzR z@Fnl;u3$E3@u_*v3G^5b;dKZPvwI@yQ8rxeTT-SO1Il@Jd+hSc7qOFUJW~P={P(3f zIE8aA8##WSyF-x__z#YL?0xmsbE!6Z@#TCmo!dYXJMEDGaA=w6Dy^E%9+dFwl!=!E zt+b!~;Uqk0cg)wFj&L4is}TDzXf$s@M0Z z`yvUOx0E@W=DK0)JOUF%Wd|~!$_(xgHWA@^w{l_`PIXdVs3+Cl{7RSwyMUg|?MCF6 z?}McHYWk6oZx`=FbOUMIzL6WS38&9DUL?bj>%7B|^~35PO`R}C0}CvWQO%iu$fzba zeT5CV9<7?{`G~L*CiSRgN%*YIW9Qs!B=!?a7ZJ7$PnNA-1IS2Y#frxL4S+_kQa;Gu z_QvN9<&oOyG-=kSkIjrG9s|l*hz%b1TY|D0+@!=1A0g+kq8`{+-Cq|WSeG)gfS5D7 z&L60s9d@3agjE!NV&8rjBUT=kZf(Bh{G&tCCS^_#BTHNZFXj^h(=u=BO&^C0(H!2` z&O5ivc`=XWo^u=Kw)(ORG=|i`|C^d${z8ASw0eZv$bo0p`FNO^wa$E*A$@X%MHvWj zbLIvP{xnXAA0W=NR!@A<;I1J@b;d=G-WeG9dS@sV^t zl||S1g2iD7Ye6#`kQLwI{Zl4{zs&687WbQhtWAs)h+znep# zeX=^)D>%kYV|-?0jx$8aLg@J2{c6>wc39AjkS`@?4XH^6uAQ2IK>Y9=!(N6v+@SctM8;XPn#8PqW_LC840-Co zyGNmO7hn+*$ELv8xRU~DJ>#1`58?(BrH#i7HF=9`pod@P1v|g&;FvNM&1`>ahKu7aUZlSyL3;-0DfegTgdl%z+MUBv~ zr{=;3#AB*T&F&Fc{4RxnN3YzWP*1)+_&8P)w6gR`zL=iy{L$3yTXRdnpg}=QYRsKL za)UDoUH7gLvca-Q@uyoQNT{Nmr_ufwG!wLuEcx@r%jf{+FXV&i1+5}U|H0J-UB=2n z>Ab(dj0BzP-#ZtJb$R$8elBU|-B#l%n?)mk#&l{u=s_JKe)eYw&1Ed8im&CcU}L!a zuVOlrgTz?;U7O43!Wq&2hT~VV26M^7DAm~z)#oGbUWEJDs#t5YmDyq3LbYZO5*-;{2_J{Y zZ2$0yN?%Xi{BOfQPAsFWs1G7w#(d@N&JLM%vU-zSFjD_K1BPR=?w6g2OD$s8mU=SF)LDF{zS=A3Y_zLKuzpu&Q-UStgETcH>MU~^+lVsTW*i2M@ukj z4{UyWNJudbwljfPP1pdZ$WIc!s~!!_9plJcLxES{Djv~xstL7M0|X7*mpadapc`qOG??;l6E?R^gnvPn~VQLvEBJ^@nbsaXH0Ws&u2& z-x0y?yb0$`bVG5(FOa2z@cW*krOlg&nbOj&p!B`ZrA+Ri(s?Jp>0k>yt7Hh)B;e#% zoRjZHNdBbTCzy`ydLwIIPU(=}Uy)qpUeDx35N$<&WEtV{=aEF&qcYKjdeh23O?yNo8=sr(B#Wc~s9l_6BpW$l` zQ(|&u5Kl9|7_j~bfG0j91*=e)_+07miXnE|T5?3m;2qw^ZC8Y>GmpB0hnYK#6{JKw zr+Q#B4|yr%J#wOE8(g0R>p_9~W~oO2EVe05>9{Z*RVkiF3ir?@t=w~$mDu+~Jy$G1 zjOKnk#H1=!iv^>tiK%@XTTPWWrurB~2~B+$p9#4*2CZIIb2&Rd6)x6EkoJ51V(-G` z(N`56dR5rHzx_d#&h4~v7(XA&Zgdw zO00eyMErayDEuOu?cdp|rrBZI1kx@fGO!XD_1=}-P66KuhM;m~em6FQ8L4^{g$}`( z?`6S`5~jjYGyKkolm^Bn-AvuYX>{Y!Q2tAA-6wlq6`EKoq@UPG)r(pR(|@!zw9I@m zPR^9gctOy8-0X3a*65|`Bz__Xbe99Lw>)usc52K~6Y=rs;8P^%Wo*_Elx82zd5g4E zYE6Yr6bF89M@s~5X>4SSe&ApAh;DPY!8lw@}yyP6o|1K1RsXu+rAh zd+8952q>o(0&o?CV!hwY^UbKF>Qf+3vZj6kLUf4x+KcLl%}I2ErlBuJV3dq3`Fc)b zej`XV^YzEKYH|I|C4`?RZQE|W-~N;SndDjpMP+C1-#t$45~xI|UeqtXSHcBRZKsv4 zg`ic)VP-eSAdwRNg(}7dR$z#)k`}eA2hu!7ke79Do4h-k)t{hNLZdSfwoATl!K7vcrPC;Y2 z^NCuN&*$1_u%|5K+K_*O_oJmov6?^MeBCe+8VV1zNU;XoYk~0Z8*x6|=vdXD-c9&I zoG*4GQy5y9*t~V{&TErdAjTDm5wzto9)wtwa&V-vdtE=^)X$ga_%Dzs?DO3n1K4RzBKN)}Ch!?$@$MZVooxoU%go+~J=EnmOeJe;E)zrv zEVXb7HQtds>{utU;IOwgZ_naYBpvh_^ZI?lU-Z~XTSG&YS!Gj*PRdM2;&JD<=+NXv z70Be;>j3GV4UpH`eC6?2ZwWd*KCNBRn{inn;aS5@4HtE-6GDis*GVL6{`sTBRka+; zm=kvjPbZgXxkwAzKi@<1%7(L}B5&KP!e{?Ejz1vdo6R3%S@H?1MXB4jMAdQOAJ}4Qw@oE}JEd>0 z=3RX3k}m!t^qJRunq`UI>{Io##`{>Yn_cxnT+)+OdJ{r;$Q|U`1IxlOes&EuPo|97 zvrumtT#O#Uo=uC#{t)0{!vbNKxhSqazlje_mZA5C6PSswMFzQ9JR$I{5~x%O$y4Ps zoNO+v1I^Jsp>kOfrKkfjQ!+BBF5b{_uT6aB$5!zO>u9E~TkMz5;;y_QzO3G!soZjz z-Sa}Y=m~ht3r>$@b^i^*2GNt$t7gINS#21K6Zeq6K$7#*NbFRnb}>k`>r@o%0Clz# z`q_Vx6Z%a4w=_5=otRSP}S!=Lz*&r39VYV#Q{)kfxX-~hDD8Rk9a+))%g-8Z{n zkVVP|0{Z$&iH&~*6s|~hTYR)p-wiPz3j<2n-nL!8XZ9YvaAlm6L$J^;1W#`H?S2(1zsQp( z(&5cgs@L?1ncYa3UiZzOk?kXHK&Cwl*3lC>x4g56-F&v4u%^{_$Sk{n22H+ni*Pk4 z$#?j>>`jRhIE#)EYCpY?q#EFdcuEh^pFNJCzK4es`h3xUui!>4nL24+8oRa-eAcO` z9{7wo-!GlVXF6gB$hR~P803mikm0WtQoq(DK)0jt)KxzCwNJuqd2>Yz`#1k(dO&IL zxKoL-gp{sP1rT<4LsmGfn1cgAwAZZhPh$azg_j8K6=k|#|Ce2T{LI3lOF|<`UT}(w^!X&6a z6wFb%2)R0q9>2~85MJ44pDu9$m7Lh_4`DZB-@A&p#uw&?63Cr7G}fN&pze)X)hvXW zxn)^`f}eTbHpw~1PkINog#o{BwgQk1*wvYRanrk&*;I@&r2)GU-=&e08*0O}{?-bb zu$vFhGHgGAA(DBsnTHCkQ=dUo^9CK~*czu^Te&E6~*j zZ*_;hYMCFv5;OfYSC=t{K*Gyg&PAqwKRidn(z(iGm(HD8eh{yX3oSN9GTi3GdaKUa zFJus!2?<~k!TD#!+{4=FWlQ=A2GGw4XVk!Q!c0s1WDQQ6F0h+re6OERd%9t4WIy+1 z5h7QZ6(&jULoZ-1Dt(~mprfuZVoMAQjz4clwJka4yCO0)MpH9e5*ndryzc*W{vz_y z1DYbnq;+<1jneP;Z{?7f$CAp+oXv(ikO5D1V2In5&wt=}baXE{+XGbH1j;U#naS~g zbu&O({-LCmjBi>%(V-$wyyYx!RKx{hL%3KXv6&nKi;s>*`bGtS5rIT4znB;zr!y|? z;d-1H!q>_tw^Ic#j(P9o7rGW7>8r}=VsE18bVJf2SK)r4lkaHelaTH=6gDtzp&{l{ z^P8TqH~A=A<%`E063)6i=7#Uts1NYl4TJ7UsB(ky&Dt0JB9Ke3WEy46_A)V_s$0J8&&g^jJ6GFY-2%?DKYN<3p68Ol;d3j=8wV8543|8dvS()NyUnuc zWQ{{*r=p=nKo4f9*5LyGP3E2FZ=Ce*7?3?fRd`d9iO5=Or|g+B0*>i(8xD925#-kR zZwh#cBj=x47nW%|-dDV7qVvrrF3zn~AtuWH$VNx~tV?!&U+(Xf{uL47b)futVa-E( z{&lT-SEx69MPcPcgrH4bf z;F39*fS}gLGS^V&R56_uBQxBVhJM0rb3~%#F@)IloMxmE^bWxelT@he>h?b1w1$4x z49?sC{Go~ch$0fc%@j2Se%GN|S6|42Y*6+=~Lze3d|7~-EWEKJ^T%AThC$9>{`#x%}Y0jY7 zm!9I+lB9ohPo>jsgBO*g@z|oD!VH3xGf(74jMQ$L&npG8vSEqIbGcOjsJ@pVJ*jQW z_Dh7v)}C1SzMaDL=Bp<_c*q@K^j=)4Mt*L~7NSKa z``?K@`4w1JR<&RblXDUF2mOmy5dm&7;uE6$XR)|*jeG`D{_M5qe!V2|6+Ht>9G(Yi zFD`J!ymJT!7Q(pPa~7YhJS(*&%a<7!mKcMz>CKLc8<6@f;GHzNyg^lXL=mZ0|>s*w!SzF-4BHW z!f1NE7c0yqhDmn-Lv6P0xEF$PJvn5QkSP7TUA`~RdGrH`zJCZdgGZsTHUf)dhq_Vx3h!UegcgocGjM9zrCoR6KgNFXI9jbFHUn=A}l1#Z8@ zu=xV9T4&hqx#n6>#X)l7C=~EH-I}Oq5numNCk77H8tD0N5!q7v6dd0ZY$n#KZK-FaR1Dip9WRJB%P% zTGLpOaKtzOt*SXh9YdlaAmtdd1Zu#7?L`_LMKl-&`GVAF7f+{&=WGy!V}w8tNQ>SD0kWtED0qWih%FCt3@X4Y&nz&8JVEY5*|@Om`E6b> zAs$0k&{ky9WE0|Ip1z~2YI(@Y?{M0>THv&z8i&Kb;y6 zcC(*PBjWjn<=qQbk<(()r8e5j|Y3>TbN;-yu8SR iBsio59__2#gY*G5AZd{QzXY);REj?jNhvIiH~t^I4Qspr diff --git a/pcxtest.map b/pcxtest.map index 2339b8aa..9bced34b 100755 --- a/pcxtest.map +++ b/pcxtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:50 +Created on: 15/10/15 12:30:06 Executable Image: pcxtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0b5a:0000 0000ecd0 +DGROUP 0b59:0000 0000ecd0 @@ -25,29 +25,29 @@ Segment Class Group Address Size ======= ===== ===== ======= ==== pcxtest_TEXT CODE AUTO 0000:0000 0000024c -_TEXT CODE AUTO 0000:0250 00008591 -modex16_TEXT CODE AUTO 0000:87f0 00002406 -bitmap_TEXT CODE AUTO 0000:ac00 00000605 -planar_TEXT CODE AUTO 0000:b210 00000275 -16text_TEXT CODE AUTO 0000:b490 0000010d -FAR_DATA FAR_DATA AUTO 0b59:000d 00000000 -_NULL BEGDATA DGROUP 0b5a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0b5c:0000 00000002 -CONST DATA DGROUP 0b5c:0002 00000076 -CONST2 DATA DGROUP 0b63:0008 00000132 -_DATA DATA DGROUP 0b77:0000 00000326 -XIB DATA DGROUP 0ba9:0006 00000000 -XI DATA DGROUP 0ba9:0006 0000002a -XIE DATA DGROUP 0bac:0000 00000000 -YIB DATA DGROUP 0bac:0000 00000000 -YI DATA DGROUP 0bac:0000 0000000c -YIE DATA DGROUP 0bac:000c 00000000 -STRINGS DATA DGROUP 0bac:000c 00000000 -DATA DATA DGROUP 0bac:000c 00000000 -_emu_init_start EMU DGROUP 0bac:000c 00000000 -_emu_init_end EMU DGROUP 0bac:000c 00000000 -_BSS BSS DGROUP 0bad:0000 00000ce0 -STACK STACK DGROUP 0c7b:0000 0000dac0 +_TEXT CODE AUTO 0000:0250 00008567 +modex16_TEXT CODE AUTO 0000:87c0 0000242c +bitmap_TEXT CODE AUTO 0000:abf0 00000605 +planar_TEXT CODE AUTO 0000:b200 00000275 +16text_TEXT CODE AUTO 0000:b480 0000010d +FAR_DATA FAR_DATA AUTO 0b58:000d 00000000 +_NULL BEGDATA DGROUP 0b59:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0b5b:0000 00000002 +CONST DATA DGROUP 0b5b:0002 00000076 +CONST2 DATA DGROUP 0b62:0008 00000132 +_DATA DATA DGROUP 0b76:0000 00000326 +XIB DATA DGROUP 0ba8:0006 00000000 +XI DATA DGROUP 0ba8:0006 0000002a +XIE DATA DGROUP 0bab:0000 00000000 +YIB DATA DGROUP 0bab:0000 00000000 +YI DATA DGROUP 0bab:0000 0000000c +YIE DATA DGROUP 0bab:000c 00000000 +STRINGS DATA DGROUP 0bab:000c 00000000 +DATA DATA DGROUP 0bab:000c 00000000 +_emu_init_start EMU DGROUP 0bab:000c 00000000 +_emu_init_end EMU DGROUP 0bab:000c 00000000 +_BSS BSS DGROUP 0bac:0000 00000ce0 +STACK STACK DGROUP 0c7a:0000 0000dac0 +----------------+ @@ -62,106 +62,107 @@ Address Symbol Module: pcxtest.o(/dos/z/16/src/pcxtest.c) 0000:0098 main_ -0b5a:0530+ _gvar +0b59:0530+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0255 __STK 0000:0275* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:89e4 VGAmodeX_ -0000:8aba+ modex__320x240_256__Enter_ -0000:8b1a+ modex__192x144_256__Enter_ -0000:8b7a* modexLeave_ -0000:8b92+ modexsetBaseXMode_ -0000:8bd0 modexDefaultPage_ -0000:8c36* modexNextPage_ -0000:8d06* modexNextPageFlexibleSize_ -0000:8dda* modexShowPage_ -0000:8ed6* modexPanPage_ -0000:8f26* modexSelectPlane_ -0000:8f4a modexClearRegion_ -0000:9062 oldDrawBmp_ -0000:91c8* CDrawBmp_ -0000:932c modexDrawBmp_ -0000:9392+ modexDrawBmpRegion_ -0000:94fc* modex_sparky4_DrawBmpRegion_ -0000:9666* modexDrawPlanarBuf_ -0000:9684 modexDrawSprite_ -0000:96ea+ modexDrawSpriteRegion_ -0000:9862 modexCopyPageRegion_ -0000:99cc* modexFadeOn_ -0000:99fc* modexFadeOff_ -0000:9a2a* modexFlashOn_ -0000:9a58* modexFlashOff_ -0000:9b28+ modexPalSave_ -0000:9b7e modexNewPal_ -0000:9bce* modexLoadPalFile_ -0000:9cb0* modexSavePalFile_ -0000:9d28* modexPalBlack_ -0000:9d52* modexPalWhite_ -0000:9d7c+ modexPalUpdate_ -0000:a2ec modexPalUpdate1_ -0000:a366* modexPalUpdate0_ -0000:a3b2+ chkcolor_ -0000:a6ca+ modexputPixel_ -0000:a758* modexgetPixel_ -0000:a7de* modexhlin_ -0000:a84a* modexprint_ -0000:a9e4* modexprintbig_ -0000:ab60* cls_ -0000:abce+ modexWaitBorder_ -0b5a:01e4 _VGA +0000:89b4 VGAmodeX_ +0000:8a46+ vgaGetMode_ +0000:8a7c+ modexEnter_ +0000:8b1e* modexLeave_ +0000:8b36+ modexsetBaseXMode_ +0000:8b74 modexDefaultPage_ +0000:8bd6* modexNextPage_ +0000:8ca2* modexNextPageFlexibleSize_ +0000:8d70* modexShowPage_ +0000:8e6c* modexPanPage_ +0000:8ebc* modexSelectPlane_ +0000:8ee0 modexClearRegion_ +0000:8ff8 oldDrawBmp_ +0000:915e* CDrawBmp_ +0000:92c2 modexDrawBmp_ +0000:9328+ modexDrawBmpRegion_ +0000:9492* modex_sparky4_DrawBmpRegion_ +0000:95fc* modexDrawPlanarBuf_ +0000:961a modexDrawSprite_ +0000:9680+ modexDrawSpriteRegion_ +0000:97f8 modexCopyPageRegion_ +0000:9962* modexFadeOn_ +0000:9992* modexFadeOff_ +0000:99c0* modexFlashOn_ +0000:99ee* modexFlashOff_ +0000:9abe+ modexPalSave_ +0000:9b14 modexNewPal_ +0000:9b64* modexLoadPalFile_ +0000:9c46* modexSavePalFile_ +0000:9cbe* modexPalBlack_ +0000:9ce8* modexPalWhite_ +0000:9d12+ modexPalUpdate_ +0000:a282 modexPalUpdate1_ +0000:a2fc* modexPalUpdate0_ +0000:a348+ chkcolor_ +0000:a660+ modexputPixel_ +0000:a6ee* modexgetPixel_ +0000:a774* modexhlin_ +0000:a7e0* modexprint_ +0000:a97a* modexprintbig_ +0000:aaf6* pdump_ +0000:ab56* cls_ +0000:abc4+ modexWaitBorder_ +0b59:01e4 _VGA Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:af3e bitmapLoadPcx_ -0000:b09a* bitmapLoadPcxTiles_ +0000:af2e bitmapLoadPcx_ +0000:b08a* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0000:b210 planar_buf_from_bitmap_ -0000:b330+ planar_buf_alloc_ -0000:b42a* planar_buf_free_ +0000:b200 planar_buf_from_bitmap_ +0000:b320+ planar_buf_alloc_ +0000:b41a* planar_buf_free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 0000:0292 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:02a9 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0b5a:0000* __nullarea -0b5a:0214* __ovlflag -0b5a:0215* __intno -0b5a:0216* __ovlvec +0b59:0000* __nullarea +0b59:0214* __ovlflag +0b59:0215* __intno +0b59:0216* __ovlvec 0000:02d8 _cstart_ 0000:03ab* _Not_Enough_Memory_ 0000:04dd __exit_ 0000:04fc __do_exit_with_msg__ 0000:0559 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -0b5a:021a _fltused_ +0b59:021a _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:0564 _big_code_ 0000:0564* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0b5a:021c __8087 -0b5a:021d __real87 -0b5a:021e __dos87emucall -0b5a:0220 __dos87real +0b59:021c __8087 +0b59:021d __real87 +0b59:021e __dos87emucall +0b59:0220 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:0564* __exit_with_msg_ 0000:0569 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0b5a:0222 __curbrk -0b5a:022a __STACKLOW -0b5a:022c __STACKTOP -0b5a:022e __cbyte -0b5a:0230 __child -0b5a:0232 __no87 -0b5a:023f ___FPE_handler -0b5a:0224 __psp -0b5a:0233 __get_ovl_stack -0b5a:0237 __restore_ovl_stack -0b5a:023b __close_ovl_file -0b5a:0243 __LpCmdLine -0b5a:0247 __LpPgmName -0b5a:0226 __osmajor -0b5a:0227 __osminor -0b5a:0228 __osmode -0b5a:0229 __HShift +0b59:0222 __curbrk +0b59:022a __STACKLOW +0b59:022c __STACKTOP +0b59:022e __cbyte +0b59:0230 __child +0b59:0232 __no87 +0b59:023f ___FPE_handler +0b59:0224 __psp +0b59:0233 __get_ovl_stack +0b59:0237 __restore_ovl_stack +0b59:023b __close_ovl_file +0b59:0243 __LpCmdLine +0b59:0247 __LpPgmName +0b59:0226 __osmajor +0b59:0227 __osminor +0b59:0228 __osmode +0b59:0229 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:0588+ int86x_ 0000:070b int86_ @@ -171,16 +172,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:0756 _fmalloc_ 0000:0756 malloc_ -0b5a:024c+ ___fheap -0b5a:024e ___fheapRover -0b5a:0250 ___LargestSizeB4Rover +0b59:024c+ ___fheap +0b59:024e ___fheapRover +0b59:0250 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:08cb+ _null_exit_rtn_ 0000:08cb+ __null_int23_exit_ 0000:08cc exit_ 0000:08ed+ _exit_ -0b5a:0252+ ___int23_exit -0b5a:0256 ___FPE_handler_exit +0b59:0252+ ___int23_exit +0b59:0256 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:0909+ __open_flags_ 0000:0bca+ _fsopen_ @@ -193,7 +194,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:1174 __doclose_ 0000:12be __shutdown_stream_ 0000:12d8 fclose_ -0b5a:0ed8+ ___RmTmpFileFn +0b59:0ed8+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:1343 _ffree_ 0000:1343 free_ @@ -206,16 +207,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:178e __I4M 0000:178e __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:b506* textInit_ -0b5a:0edc _romFonts +0000:b4f6* textInit_ +0b59:0edc _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:17a6 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:1c3b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0b5a:025e ___iob -0b5a:0ef4 ___OpenStreams -0b5a:0ef8 ___ClosedStreams +0b59:025e ___iob +0b59:0ef4 ___ClosedStreams +0b59:0ef8 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:1e33 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) @@ -228,7 +229,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:2090 __FiniRtns 0000:2090* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0b5a:03c6 ___uselfn +0b59:03c6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:20f4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) @@ -240,7 +241,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:21e0 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:2250 __EnterWVIDEO_ -0b5a:03e6+ ___WD_Present +0b59:03e6+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:2274 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -256,21 +257,21 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:277c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:277f _nmalloc_ -0b5a:03e8 ___nheapbeg -0b5a:03ea ___MiniHeapRover -0b5a:03ec ___LargestSizeB4MiniHeapRover +0b59:03e8 ___nheapbeg +0b59:03ea ___MiniHeapRover +0b59:03ec ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:2859* _heapgrow_ 0000:2859* _fheapgrow_ 0000:285a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:28d2* __set_commode_ -0b5a:03ee __commode +0b59:03ee __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:28de* __get_errno_ptr_ -0b5a:0efc _errno +0b59:0efc _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0b5a:03f0 __fmode +0b59:03f0 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:28e5 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -302,7 +303,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:316f __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:33b8 _nfree_ -0b5a:0efe+ ___MiniHeapFreeRover +0b59:0efe+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:34b3 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) @@ -321,96 +322,96 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:39a0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0b5a:0f00 ____Argv -0b5a:0f04 ____Argc +0b59:0f00 ____Argv +0b59:0f04 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0b5a:03f2 __amblksiz +0b59:03f2 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0b5a:04f6 __Start_XI -0b5a:0520 __End_XI -0b5a:0520 __Start_YI -0b5a:052c __End_YI +0b59:04f6 __Start_XI +0b59:0520 __End_XI +0b59:0520 __Start_YI +0b59:052c __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0b5a:03f4 ___EFG_printf -0b5a:03f8 ___EFG_scanf +0b59:03f4 ___EFG_printf +0b59:03f8 ___EFG_scanf Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -0000:4b23 _EFG_Format_ +0000:4b15 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -0000:4cf5 __cnvs2d_ +0000:4ce7 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0b5a:03fc __8087cw +0b59:03fc __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0b5a:03fe ___Save8087 -0b5a:0402 ___Rest8087 +0b59:03fe ___Save8087 +0b59:0402 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:4d15 __GrabFP87_ +0000:4d07 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:4d48* __init_8087_emu -0000:4d4e __x87id +0000:4d3a* __init_8087_emu +0000:4d40 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:4dac _DoINTR_ +0000:4d9e _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:5125* _heapenable_ -0b5a:0406 ___heap_enabled +0000:5117* _heapenable_ +0b59:0406 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:5136 __nmemneed_ +0000:5128 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:51be __LastFree_ -0000:5238 __ExpandDGROUP_ +0000:51b0 __LastFree_ +0000:522a __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:5349 _dos_open_ +0000:533b _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:536f __GetIOMode_ -0000:53a4 __SetIOMode_nogrow_ -0b5a:0408 ___NFiles -0b5a:040a ___init_mode -0b5a:0432 ___io_mode +0000:5361 __GetIOMode_ +0000:5396 __SetIOMode_nogrow_ +0b59:0408 ___NFiles +0b59:040a ___init_mode +0b59:0432 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:53d9 isatty_ +0000:53cb isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:53f5* __get_doserrno_ptr_ -0b5a:0f06 __doserrno +0000:53e7* __get_doserrno_ptr_ +0b59:0f06 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0b5a:0436 ___umaskval +0b59:0436 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:53fc _dos_creat_ -0000:5420* _dos_creatnew_ +0000:53ee _dos_creat_ +0000:5412* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:5441+ __grow_iomode_ -0000:5528+ __shrink_iomode_ -0000:5569 __SetIOMode_ +0000:5433+ __grow_iomode_ +0000:551a+ __shrink_iomode_ +0000:555b __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:5610* __dosretax -0000:5615* __dosret0 -0000:561c __set_errno_dos_ -0000:5684* __set_errno_dos_reterr_ +0000:5602* __dosretax +0000:5607* __dosret0 +0000:560e __set_errno_dos_ +0000:5676* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:568f fsync_ +0000:5681 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:5694 wctomb_ +0000:5686 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:56df+ utoa_ -0000:5780 itoa_ +0000:56d1+ utoa_ +0000:5772 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:57ca strupr_ +0000:57bc strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0b5a:044c ___IsDBCS +0b59:044c ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:57ff* _ismbblead_ -0b5a:0f0a ___MBCSIsTable +0000:57f1* _ismbblead_ +0b59:0f0a ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:581b ulltoa_ -0000:5958* lltoa_ +0000:580d ulltoa_ +0000:594a* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:59c9 ultoa_ -0000:5a87* ltoa_ +0000:59bb ultoa_ +0000:5a79* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -0000:5ad5* _SetLD64bit_ -0000:5ae0* _SetLD80bit_ -0000:5aeb _LDisDouble_ +0000:5ac7* _SetLD64bit_ +0000:5ad2* _SetLD80bit_ +0000:5add _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -0000:5c50 _LDScale10x_ -0000:6528 __LDcvt_ +0000:5c42 _LDScale10x_ +0000:651a __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -421,72 +422,72 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -0000:6d04* __init_87_emulator +0000:6cf6* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -0000:7535+ __Strtold_ -0000:7774 strtod_ +0000:750a+ __Strtold_ +0000:7749 strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:791a __Init_FPE_handler_ -0000:7953 __Fini_FPE_handler_ -0000:798a* __FPEHandler +0000:78f0 __Init_FPE_handler_ +0000:7929 __Fini_FPE_handler_ +0000:7960* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:7c1c* sbrk_ -0000:7c2c __brk_ +0000:7bf2* sbrk_ +0000:7c02 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:7c94 __doserror_ -0000:7ca2* __doserror1_ +0000:7c6a __doserror_ +0000:7c78* __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:7cae* _frealloc_ -0000:7cae realloc_ +0000:7c84* _frealloc_ +0000:7c84 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:7d8a __ChkTTYIOMode_ -0000:7df8* __IOMode_ +0000:7d60 __ChkTTYIOMode_ +0000:7dce* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:7e08* _dos_close_ -0000:7e16 _dos_commit_ +0000:7dde* _dos_close_ +0000:7dec _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0b5a:0072 ___Alphabet +0b59:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:7e63 __mbinit_ -0b5a:04f2+ ___MBCodePage +0000:7e39 __mbinit_ +0b59:04f2+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -0000:7f3e __LDClass_ -0000:7fc4* _FLClass_ +0000:7f14 __LDClass_ +0000:7f9a* _FLClass_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:7fd8 __I4D -0000:8027* __U4D +0000:7fae __I4D +0000:7ffd* __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0b5a:0098 __IsTable +0b59:0098 __IsTable Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -0000:80ac __ZBuf2LD +0000:8082 __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -0000:8174* __set_EDOM_ -0000:8180 __set_ERANGE_ -0000:818c* __set_EINVAL_ +0000:814a* __set_EDOM_ +0000:8156 __set_ERANGE_ +0000:8162* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -0b5a:019a* ___f_infinity -0b5a:019e* ___f_posqnan -0b5a:01a2 ___d_infinity -0b5a:01aa ___d_posqnan -0b5a:01b2* ___ld_infinity -0b5a:01ba* ___ld_posqnan +0b59:019a* ___f_infinity +0b59:019e* ___f_posqnan +0b59:01a2 ___d_infinity +0b59:01aa ___d_posqnan +0b59:01b2* ___ld_infinity +0b59:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -0b5a:01c2 __HugeValue +0b59:01c2 __HugeValue Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0b5a:04f4 ___FPE_int +0b59:04f4 ___FPE_int Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:81a6* _msize_ -0000:81c1 _fmsize_ +0000:817c* _msize_ +0000:8197 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:81d2 __HeapManager_expand_ -0000:86db _nexpand_ +0000:81a8 __HeapManager_expand_ +0000:86b1 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:872e _fexpand_ -0000:872e* _expand_ +0000:8704 _fexpand_ +0000:8704* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:8763 _bfree_ +0000:8739 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:8788 _bexpand_ +0000:875e _bexpand_ +--------------------+ @@ -504,6 +505,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001a270 (107120.) +Memory size: 0001a260 (107104.) Entry point address: 0000:02d8 -Link time: 00:00.00 +Link time: 00:00.56 diff --git a/pcxtest2.exe b/pcxtest2.exe index 0687f965f59be1387b1dff9ad1d902633d347aee..4f5ddb7be92c9b68a2e9dbb77ab3828e15573ea6 100755 GIT binary patch delta 29040 zcmW(+X*?5-8{fUzhPm%6cjnF&a$m`v`|eZ>KQ`pr5Sby}hYm;mic&21%6+yJiN@Sr zn;}Uf$M*ky{?Ci&^Spjuea~e!aElEjdW38NECE0O2*4EO^E1)^9seKs|8Ea?o893K z5}v%JSkpu*9)dyvr3~m{Xld8}@5g(3q&QJb@{g-8>x6`aU`lYwHxK~LhU8E8_YP7K z1cL?a`_yO|<%ldUm>2A^91mPj8r4>&WBa zs`%h0-?PlXqt|7K9e}d@E_}h(QMAtIT#4xS*S3MR#C0J_azGsuwA-2p@@+THC=TG= zB0iTZBd>&~UZb-Mc>u4()A7oIX7eW#_&8+;eFq&KL%yl9FCkv{_&GlAv~u!=Wc+D9 zPEXl8AqjtOH6cD(_>-!9Lkn|rT_Xd7RU^HF zfPH16r~k2I%FXi0I?Q;N_;|fjrm9ouQ2J$`nm>Lu~Sf^SWyi$1)dSvEghqLk?6#NDC1+xXMq}vq$65@EP@S1 zs1sV;r88u?IF=-6(B2vg_LdzpY3QVM5h>GSU(NyvTVNWGZ|i*ve*#a7XfrWG74%z4g0Umj- zr*tZQmHZZ2_kDbe%%FjScdr1+y@R2`#re}695@YPNMn)Lr5;_-fSp^Gi59qvSaEi< zKZCro{(xvW?XBxAn&+|sis-?(v1Ne%(F1ig5ouYF(g@L{r<0$R>pr%87vNG-o(1g8 zsUDOsVZaK7b!ZT$-J z8WAV4Q6~y%*;X?6nyRPtcxx0-{MbgG4rMX;NJbbF#u&s(Pn9? zNT^PG=Fj*S@Obz0_i%Y~{@|+nLpHw-VEvt+x(!_g1Jvwsz1DBmlA(ija9G^=6=R|y zE~I@fyn$b~fa)gr;5LQhWLSvtFXepmh5#9e_(_k{AP=*#f*A#KQ`rPXdvKcvLo8i0`3SBvrE3r;-LDnmf zUrGdkG8g+(6m44xoX2LSh940`sT{YvsOh+40XRh-r<7yDE)Yp&`YWF9L27b>orTJ) z4_#Io=dnZh`5y@)gCgomCkmM29my`L246zOzQ#>{J;di7xG`3&*}=)Vwh65{%g%t4 zC1DwCbv`w;D-iuu@-bafo28-DEG5E{`$~3+SAg@GQfuu}x3bu-d?NcweSB9l!S{HB zYh62Jov-K%N`6Ofd@faI^A9R5B=)V+sh%MDR|sAJh&;-k+#R*ft4AxcrGz)hOwYaT zPBCJLbN*_wGnfB!aIR=1$R1bao`+_Da3X>t@_J)@wCn6Kl_v?B>^2f z_#^pzsSc}?`^lA7CkS1a{UCDFE1k{%(((lkbxSwX`HY-#d1wT27= zYnzdCWIfah^f%(L^soWW?%+oxMXIjul8}nKT48Sl&q(uTsQXT0ad_&NJ>|3(?>&KO zc#*}8a)v~*qK_m~TO7#4ab@9Y_N^Q32-`cVujfhcKsdUeNvwCB1N7MYX~@o*w^LuL zm5CEo+)qiX7ai)!!G4{o)_lH2#wLIUNfTzpKHt-k!dxQH(uC~%PfHlXj@gVlPptRS zL(nOKAe^bTjzLf1K&T{FR&c~Q@9HiXoh$^mv{H)wfGwCc=r~`UyL^Rk$3eSmnF4Bt9PzpkxsGYpYh_vUFau`x2pl^)0M#? zx}SxzVN3ym92jFw(NoRq!vJKt~uin&b z7AcvD81PwhQF}-B+&E9wswQF5Ef;yT2AKPGH&+i;n!d?jSHA+$cK8K2y3zZEZjI~5 z4iho2A{QR;sWKk@6eeLD>Y_|cu?SY~Qr}+PE_*;9#JVtt*H=mEyAszw2Pa)jl}t|W z-gY{3ThuubG75T=PJtS{p8l$4ee@trs{|lM&+kaKG<U>NTNgd{o%TO zW9I1{TguQMVbb{(g7W=KR)x@jJJLUafX8K!co#!LRUYkR_CYD|D}AC{%oT$@M$Zco zcTINP#ttJBu#7qz2P}!^V$vY^V-^SBW0EEBuDpPBVw*yc1oOnERL z3Rq<8^0~e1p`VZJ6ASi-kxwPi8deZ>np1*-$q8ZE`FqDRHUnw8TQscwr7`ka7G{d2 zpt(>4_kI(jG3;3ek~H4_%cY?mHrAjNf0L;n+@@8ib#Ol(RVw!!z_!t-25LoFO%kCI{AEqKQI{JF%QGU2<8oMA6$@qKJ-eV?);_LdA#6Mv*NnlPFw=sZ8-$jF@z=Grju^){)1Ehh{p9Uizwn`19(k!7ou`s~@ppr` z?s2gG4@&QrD+nozFd!TjZqEC51ZmgbZT!1ToP0O&cUhEps<3A;%D7ohO2YbL z*213+L)B%7x6St}He5b`seNQ^T4KFb0e5QK$S_W|7l5@`^9Z+O z!jp!3b`5$+-+7I>{mdT8CDf-;PdIQqty`(gSU%1mU9f@W!iAyaRhSkZi4h^{60yHW zG|h$KOx!52ze(FawjYa|QON%u0o!Ac|9bBJ!lZ^s13zZ$000UC*JfkH+7AeTEVUnNh=P?J^#Z)d`a?IVE-_AQO|TtUXuBT1IRc03j8I6j{CVD930)(;5@0C z=A1jaduqjc`t_9haJ}v{kwY5m&zd|eV3z?3u^yYW>{4sNREMPs zU-Q12gZh*O+FH6Y4})xd0T0oFAY*jbKc+`6UUc(edt=ZJeCMB}6QM2=V&^*khIoB~ zUfdC8S^RVs4pe{ib4N8^PEHErY-q+`qd`;}q+MYx#K6Th!5>2)3G!kfWTc}b-DC&e z=Q9{@m-2NA@d>v8&3oI`d#_n=NtVA>?BGjk`hL}S8at}MH&*a=jGqfw8Z5?r0&y2T%Ve_D6Y}TgucC9B>Tv=K&7Ueh^6nf#=I{Z6f=iH`ACvc`+f6=d zesT6Pz9pb z-y6Rnb>;fIKL>pr&$;8f(BzF>=mEd})UzqIN&MZtQue(6v3O%ekM6kn&|#bp-lGZr z{sZx{Qg~PLJR~MY8_GSuMe;l`LOvASXqKI`7Ny&@_QY+BIn#I->8R$bjshc_?(Gh z5}=klkCSIV0s$ASdTB7&uIB^?2vCjZ6FFr-fIj6I4kXXiJ}&2;NrV&H=29DJNbJM% zYq|zb1WM1vq5IP_!WK9=VOKUkU3wTCmGQ=H!K!ViS;FB8U_qaQ zd2%!O$yDy~6fcD#k;*QKG!Hw4XW}S~!u$tBhE~w+vMZycjb2Ec*hJ;Wymm637*Nv- zi}sniH1jkc(7A~O63`jGDJSmW;$%L1;b1CDcb13ltY2EzU^W$+>#x?4#kv1DKE)Yc zIg45D>~e_nG3koBy`ae%(6oFfp%W-^4(T!ea|bA!w-e%SlJ0GQ+1h;z0?^?W;>SNn zKW-A--(Jk;XU#sE=;VyD^G@Q4=;${~O!i`TPr2j`Yl=x!tgNUw$L5rYRJeip$-5)* zn?%utk9Tq?vnK1ucXVg>nOFmgNT||wa@7+D-zO}8aSP38_P1OrLF!&?MY~8s@bkYH$!~G&sMh8Ex{^1YK=gmgR8Ie;c zpBDt+7^M?$Gp9M5knVtoJ`aKw0nod9LLlKvh;V%L23Upoj9a*1-NS&B6kqu%^jEl~ z^}z78&qmi%q?GI#ev&V59t~xHr4q$H%RvuVs%Y>#ln(e*zYyv4RAfnh>s(fb(jtG5 zd4)sFoLyuxSCV7{(&Odz!Z_=PC3TVnt(kL0FwW}F9G)B-FI7_Ip9(>+NXz^I$n^U` zpE|8-B6RYplFP;5P(W_W&)~ulR{QSP!m&~SsV6a#QTC2A=8 ztma8G(&`a;P%slnhbw!$M|71l-L3U@_rS!wBZ@*5aNHhW^0~l43x(%`rtr7)gi}1Q zaf=ER03oJ;AhviEgu$6|@OKwcbk^Q39&HnD26TZ|$=OS1e(}c=XE~c|UoMt;mHTGu<5f;l3H4$;d)L`#8Fn{8#1Qa} z*SiNf-(hK77FOpMv#O;EXINFMYii4X_Vb02S%d6*+0s>=4+lvBc>@`*47&8D6=q%i zXdk7SJ>T8>2rZjkB%=2_4lFRhZPC%mOOBS;2NAuPdH=14=kFAESshjly*Na_c&MKS zQjuG@^VPN`&8C>~GRkq+gZNdN2rlZ1%E7}RI#x=sNT*8Kzk*Mf4PH$cA3t<%bX?Bc z?>O1R9rZq{! zPBq0Z5E65O=9&Y=^DnJ)o?47n()Wp!NQlL6!jp=bdH?_P65b@=Lx_?J`W_P0ax@dt z$Dy8b$~R~qdp0`Q-<_Ywg;%D=;MYL4*QPOlOhRhonDix=^xwd7nhGJl8myHfZrR(U zR&o@?JVy=(g&|6aXEIJSk7Lx>PFf`&n3fU28KsG+-39g@C2$<1!e))Y zUxbXNU^5mI%^WuBqmUbHA73UkY~kiDe9Z#Q*$HfSl%=@|Iqu;jSz#hSriEtnLM z*;1cfh}ozNGk=wtNma(BuXm=7|Dc44j+3p_bn> z=Rrqe47)b6htGb@0|CR3n8mZn1|3#o0P6)%jJ5ozL*lTvVXwuegR%R-n$ph>q{AyZJp(bc<*2}CYM|Tuuww^l*zg3%tb{Htd2ktA#i#9h@V< z>|^cWeip31Ng|xA9*^ZuH+6ar(~NX_<%D!oQjt1Qx+*gTWMTE(d5#28`)gV%?%#C& zOgfP5r!Or`wf}-@*^4AIRp5x0oDp5i&nf84>txa{S^QkJ8rCl&J)L(aK zHkF~Xiq`Ipk-Ppgb$^z_7|>HQ~bn)qCj%^=g|H)ePIc z7E@&cN#g2pJKXAAsXFIN+cmkbo7Iv*X})TCg86{fy=~Nj3-Ro4?R-+#HN8fPi(WMX zLi?o&Y~dP$@ojb@SF$R1htn$2x*Xt z;F2TWPo@EfVv)ysnNS4k?!ci;=-Zny@^pxlu%{@XLRM7j2rG9VC}ALK4*8aN6NECaKgx2fm4C%p4;BO#LjI2 z6^w@#Gv2P>L|{ZI9#n>}`lpM3in*|(CT}(u=Bh5(N7}k8*9q8e-@*DTfSEz+l(B&0 zkMEGeL^?^(2L|CWl>tkR3yNF~gBSrIBfc0VdWr!Wi{0)?*L6?So~)4n53f!G-{4gG z6(Yl4VcZC0rK8r?%r{O8l#r8giZ;qTnv z2vG4HayfTEyx(?2_w-GCGWbYit6I&$-W0H{$RE$?GNs8qK=kgeHIOFy!(6T;5mdZ7 z*(M}~jVT=%U7i617A*wm(4W;VMv^yfS^b`}E2tK*a#V`nK}W3GO%bPL^2vf_W8E8K zq0Rce$E=TMSEMuLTklobK(CQ@ThBwEouf39rKHdsh}~845$PM%zM|5yW(*ZjubQ6e zCFZ+)N!X3*;dG{4p?-M`r?8U>NV;(WX;V*nB4ZD9u4}zB^ zH}<$wq;8Bm8C*3Gsuuxooy+@t^XuowTE8rNBO{a*%wgXBSa?u@TrDMT*qJAFuhwOx zf-h$g1W>L{JISys71iyDB;?AI%n=m+ZJFGFjpuBF@C@;bRp<5E(KszmT>!Lj`z9-~ z2ha3K;B#guea<^qj{#O>&hQ0Dt9qb?9KBeP*S_>dp#SYB*vSS#0r9TAGPhA&Ru}zq zaQXt_06I_j)n`|)Too z90LGc?-TKXpUatA-V5U|+w1p~EX`c*6*6x1JgS$(&8S|k3v$>C1%V}wiHXm+HxEMdv zXoJQ`gC;|a_e9mpBIp7atp-Z6MLiqTZ`zwS4R?b4L1Q1s+TZG-k&dq%DKGLm7;1Mo z8#d+SZrEu>L++?1Er@`LbKaz>961m}d#9VJ*&)+Ghb+h_7)Wc~!u-b5CJ?%l>z2fn z@8=53H6Kq%XbuI`uSm#TK8+TCt|w+9aBe!)fpTe?B`%%bQ4n7Muyl`rE4dpM0M&C# zy*=88AsT3tM5l?x)mhZ)=e~#p6m1Z+QlcR-65)}!RYzt-Y=n((DkHYvzNApLYWk%# z)E53y;134RiqFT~e^F4Yy%|pE+#yO9=Af(Zxb#W?;e7aXIbX2%bBlHehl)>~2i$}5 z^0M__lR98>XAf?tszc?8yqG=ggMu+Paykp!5+pYaTaxmn(M{vW^Ll5a(g)BA z=J&@{sx`+CWH!Gs3*xl;$!4LS;28kTMbnUTFEE=&ECy1aKA892u;@u`_)ov9$P3(( zaYYN-J}^p!3`xal9hJxqB@mUn(a%^h3%74tqDL?uduyW{yJjtbIoK%r{4r_L>A*Y5S;!T#!H@^3po+JLB(1#26RDtk3%^pt2fy};rr2d(}# zO70hOs{Atm7ZU!McS~h>e@y=Pf;}_w^H=~|yLjCOsBClL&6p0OX3V$5#db04voog) znKxV6_qU8t5AisK?8Ad)E(`ucF;iZG!8A#cSJ=?ldkX&yz{SuA(I3B@#=Nu*bB1qe z7|)PtLXM=iG5%Jx0?{weJPWE}34cni=bzu*HH^;?=>9WWdfR!Y5AS}r$o;DZtuIZZ z@CUrq*>xx?gg?6bQzK(VBlAlSpEG)SOxIqcDkb~Q9v<6`>!R-vCd^&GN2wi(?-IhC z(plnsv)4>KH@XVPk)X}Y_QgV2dw*X}R-{g^Oz93r%f^R?fxvHXBhJ=P*yg?~mL-OvJd8UKPI*8P&}1NM5^jM2Uf<@6%Y(@sQe zN<=?QkNb1T$XGJpR^IRNtC&HuGiG{B^Sy{LXq{lyGl@?9DcmVx1iG~Q`02@Q$WsJf z5+%Y^;`;@Eit7;RPub?^DwDJ)YGefuxLg7K=_^ZWXSIUfkRH0NZzfj^?PqYhT|Y!m zHuSa<6L>6LzDS)yuJakz^al2(dAa~Peqc1l=<`#^nNLGcr`wDh$^x2KBm9;;cUYUG z1${#Fw6lmZtAPQV7D+8-`Hx7cIBw7N6~V34|NX?u-Ynd8F0oB7{%GJI-08)S5aPTB zCghbwypI_@E>gv1l=+%=3*k|BAlvI~k!BTH*!rs_k=X`ev2mhf zvK}Z3R@ap_pwY%$ksS1meTTAFpLRyEMtp%m$Ia9VSg>4#bVB6(N)~->%eo7TGhS*w6d<s1@S2b)XdzU1#0f7`lJ+%7Hjcgh z34x#e8gxR8jSRf$I*4}~5_{~;H%N-JvPg|-KUz@wXbG{kjMh3SUff<7u!-+$5c)Iw zPuAPAL~_CW{zl%i0dTy+p@oRxba(W9a?6X^GtHCA8+2?5<{ejNv?HGQ^ydPU=PfPY z-k(#NzKE3DwbFx4Q9sfT_-uIG|1kjSLjVE`Se(X{nAbkgG&1i?;f zeydvt?MHrk7U4S|ab;LwL=Gf!_uTDN=L%B$>VoejA$1JN3GXV+;8YD9@j#n4wR46B98DW)v{89jaMz&GZY(`Zj@Spi1=?|@9zSSr{ z+Ihm7jsPvk3&SIVM~yXF_L)1JpT>QLSnS(cOE< zRRE`f829pPi1qdg{ecVb9=A4ke{&=+5{sZ#x_E_;R;pnwYR4dtfGy5oA+w?VgPhqf z(pKlcK@&RANXQ)I6lDJf9VW^Z(%?FLgp9tC?7qqShX6~Mc9i41ZgUW?;=I=*o4U+H zX`2?K_|>>XXTcKuuWz=TUv6xJ`3O;pZsIP#qM(hK7TGI}eA;<+FONK+vbia#pz~!F z*v-K{8`8Gy)fSewVEiTOh7Au5Z`Gn4gQnC%iRO;;GPvPvQmMdcj60EP*0cSHfw9( za5#}yK3F82An92sjJ!5^z)WhQV<6CTF35m$Nkthb+gPz|zAbek(-zkC3z0``FTn>! z`x#gWX#}d|m0p^5N-_Gp%Ao-f-=cwmF9El{;`L6ta0ZsP>f03RPQj;ndC2mT0Z5f@ zZ1RATc|~(E@^E(5$e9*X|0D5+e3@7cJK}u_OTDba+E9rshrt@+Uxo9NAGA_t0=9Qb zJ>z8|23n&p+uyIdl=J(`&PH@3tGoFetomdp))BVye=AL(UhDadd2of$n?V{@b(f*lv428(!X#7!t3W z-RD8rMtgpcq8E`^!T}PoS{IdxfJt;k$Oo3LH6K?osC0(ib#51w zqL_lv85SA2d=w^V?kJ5&ysmQ@;q;j;3HA^dJ%piS(uy2qPm9<`&Rx(05D)zdFN?|^ zfFmG-OD+Y*$uK|f7sfD!?zKc1$7#jDT$XWSc|O+aR5F%t<(;f!<&=EzkCg*nTd>bj zOwgkJ{egN$AzZX}_A5AwGah#wFrz`j7N;Lrb@4*v{J}(>AXUvw zLxPH`zT6Rl1OvKK^WM&AkP>k%Nl%9u{+Gj&+OfKmOF^92#=oqx()0hb&g$At^8PmB zyf2h0bVr~S#x|W--vqM1*8pMuxSVmUOk#@f&%FhKaAXpGYXr zE^BsS-bP4B2_B}sfO;*7bd|mFv1JyqZjSvIfR*(opuF>N@eEAaug+=KYQxsAEg?3F zZvaM`v=dU3mlf8m|~+( zDrF#9g{RC#@nd*K1xm`1*oDka7)G+Mx;Lt$j`qia+^`;Lrp}iDi`wfYz)wa=HLE7S z>Vd^z(PDHlj5V`FIhK$ybjQxA_gvP=W9dSkK3IOI*fa9rrL)EFA*V+Uf9WWj`8=T8 zE4YZ!JHFrWV#i~jEwwu#P1CeGTmBRsB$Sduvq|iup=-IwzQ9Y>(@{sf$v1qj zcL-L)_!e$E*&iSPXr@!g=)W>kwOfng0cka;3jI^KyP7dSM*kdoyfbyjfC5*+o&>c)xSyPT_0&t z8W6YJ=STF#hkM^O$%Lg8-F6C*)|H@Wy>xngygvn&*kv;^t(F^kOViq=9bnH8jBFnM z-iDl0b!I?VkVy(NBYql$eawZ`lJa#K3=+EV#>EXZ#sIo0OUv>zqJaE@!f`0pVkM3D)`?|FjD7MsE2e%;?A@Ib3KYBi@kwYo_;A zdsIuG?zAVx>jz2uWnP@NM*&-tf|lELsOS5LI6#ej{433)gEUWsdC2iK#>gK|e7Lqd z>2)x=rCPqMqx=v3pqEBvkhgw!0ElRvz$PGamUrAPz^YPo-s(`Wrm{_9bx<`414Bz; z*-zbNy^@(coi1$xwl5!U5#M#Gm&j}IcIo#M1J{HJWmg}Sk96wmyF$FDv|EJqO2)=`q&rn zR8Frd-?MnQw3~$m%=js$$a&qnj9k+eKH+7_C8xUQ5}VNu#TGvUE9ltO8Y$uB41rp&p>Cs}tWj&AROb)-7c zon5f5R5$ujckD5$2i?;P>rM5c`}$#zQ~l`y#6WBiHJBa}iVdTN(<36WQPgPqiIdn< z)YJ4cXR$HVbM*7E*f=VV9-n|sr2a!sO2*=;1bRv;HjR3Lo}Pitq-N2xiC7Xfhn|~< zy-3Zc7hJ*?Qpxlp3bvS9LNC3HEu&tcU%iICPQ5|Dc?)}+dWU|u9D9#?pZ?$>5nDm6 zq*qmAA5kCE|9ygeO0A*SKEpnz*3nv@BdG*=MN6rvr0@Pe zH^Az#hI$W(a-dPsir=3R##!1uoR5C?XAHaxq*x?@rjM`jJOa`uHvdG!$#AUK;knHEiQ<_vnwObZE!c9ZV^4dA!a@rNWMx zJM-+K0ID^4MZ{(SSC&vD%0LNTlF3WhvKS;Vy@+|*AYjt*XA4o_aHl~ipd^AZhd~3$ z5S7gkOyR5`-^GbpIrLwGk?q6h{!T%vM>kfM+rcf?catA-*m({oo2g8F2`-&B}Up z@nwS5A*cc$KyozuG0a8w7XG3HoX_5Fg$W-#gP^)JQmyKnRsBL$%sJCJH-HEcYZ?-A z^i}tbm12K*o?P6Q8-Lrw)$R1#OYvQUi>hKF<8 zspP>!Q5RPhdowMC4&XZC|BNk`_V~W^~0Qu9cNtw9kVvvil|VOA~kDlJLaI{Z#{n1U6MIb`em;;gJ?%MrO`cVT6G z;Ze&AeVVkgE2MXYw!*`R-8RkbkEIOvkmFc>1AtPj76ZZFz2ZJwZI=+kStS>5n}EAq z8eo4<4nz-!w;<>cZ?JS}A@SZ>*$eX>g*{}&CRj~f^>se!hz1CpWNnr2eTh#M;=rs9 zBx&=gVy)(o!tt@QBLO-<6u%xKquFkAn_*iWifhew7~@(gCmrHLIb)<9v6St1oT0)6 zfs&}js0bg119?;`h#j+|N&c@fq`fN?fmvfoN*qPGi34U)yh0-6c+iCQYl#qV1bEoH?!BFvdb>U#hZPU-A0Gdd|PD=^M_~W|i zmYJ#d_BZl)H8rc~VG@85Bws9`c-_i(@+4X2b^Qs6&CM?1?&6B+3^AaT1S)B}uSgzb z$1H3w=Jse5DiCj3eS2AU{1=0?zWx?kJ#gR7{+l74B%W&(A!@iil(&P__}QH)ddQ~R zdf&{ubeKbj7LIPsTN?pkV~XULu$hHX&N%ryL^JIo%1D-vjkc0Wg+T1O3gH4f2Osn6 zUdm$(h&5@lWDl2y6_iAM1`xFSnQ>s9t)~HtllL_zS_0;84miI6pzL2(6i0~z8uih~ zE;bv)9VFlTMs{_ooOLm8n#ZIIG*`BJBaY!D5mK=k?Cg$qyb>W#W0+PU%rv2s55dE1Q}Pm3YM zs#~nD`_Id?QTp?bo2uehB403R4jPJ#N?p?Yx*Eyj_8{(W%TtXD{rJt$N zgt%H_*8!3Dznzh)U;)+v+mE;^&Q~}0oL5Q$R-hGRRg^9Gs~yAiATvG%x>`QxoXc@G z&d_ZfKeJu`EI*i9dtSf0rm$RUXMyv>`w##YxCbgL4-bOSOy7Yq*72ZPqL5h&-==Xe zU%Zjj6}aa_0?!@F0MguzN(4gcC0*&0xgw0A3-*(*k4A8={WRQ~W(`LhqyN6)ymcyi z$Z8so_rwrI*P!c}(g!2mhFFe#|EzQEosujIehw!d#$ipOa(8w4q(S6~S+P@>|10de z?&jVlZd)!v0ODsqKfFk+b^3?z3>*Cg!K=`OAePE0SqOBMcLrqFAEpg;xwD)Fz4yW? zk#Rf%(SJC)7X2qg`R4uXTYMj2+G(xXF@l59j+%0R=sP4BXK;3D=Z5LBm)Rw8;3@Sa z5wKp=`F^1zOR)B8f0xbmmDpVPxqU}Si% zN?)|)6K=N8E5F!NZm-J?YVwY?vizSq&>%rq8(OZ3H&_@7iPNp76@*>%zNNpMf=-IuZK;dAu3v$C(EW<@t-G*$ zPRTo8%3N>p*+KkYCqzzf%AQs|o%aZ#(jG2_SRn;$`b-=1|Ly)Jy5F`VyDct+!8&gO zif|73{Y2)!(l`En;MFI8UN)f5`ca>$z%Rb%rgcRY&1MvA0RwqfI^~7YVs-XYow>g< zEPArR0!FtH*0MlGO%r@q6!|$dK_*0qQ`=?c@s|dVx#LAP@^M7q`2h&W2PNvAv0G9)4n{xY)qysISubTi%B;waMo0o-AMw{I7xY4utyu-} z_FiR=Y|@ZTE_-j`P~mXaIee8;nnpufWRtyM;vyup7RBQUx~V(ys)&cAXk60422?2$vcTFJ{xKB1_Zee> z?<^k4$NrGHxn$|rP;qurk;l3R?OmE}zlMpw;-g^KK+pLuMO)PQO7P9VTO8V9`uk7P zcsBi*=OO$jqfK|oiYH|r+ja0l$waY=rXosu!-<6!Zytk7G4XIVS{eQh_GU+MPoK{) z3TdITDkv}nH8)ODg4G|1h7QbDILr=T+l{Hcx!pDudIs>iR%EfX3guTzBR0ZsLC4jE zI^gso{c+e{mD3Xy$u4Z3dBvW|16=_er%(e(489A_OAnET5|u$UOF#lfEl1)cix6k z2U${W*uzQh{bBpCoPgfqFsQ`nw5PAnN5Y_cJHO#7Dru#FiAm?> zQ*9cVj^efEliIgjUK1ohyI2hhz@U&-;hnQNwQ-V@b;ItnNKnZMD}yfN?6twNss`~$ zc?M7)=ZmZ^Fvsx$aaBcWQmSLw9jj84m8y^ZxfXkJI}}kR;{eyQ=#E7xuSm=1U#tTX zNhY(=|FPoJ)~OpbM^(G^iCFJLsVt<9tf{SNu?=N)nmGkY zT2DOCu9=rQK{o78l&(W6J(HF0RDwa7L1vAT^=W3oY!_e1rcIs4xl<=RUPiKG0}KVU z6=5MCOf?buM?fbf9(5@}N*5l_m#nz9@bH_uf~WvkMwym!yJXGrHSB0MdlHDzjA9)8 zUSlR5@nert|1y1#$l#W~Vf}v?`OVNpmSkjk{AUm`@)W0=lA2-UG*?g0%N8vXtTBKG z&5@|umg889Cw_(04=UrUKWHH;ZK4007XBKgEQqwVPNrCo^MN9qtrfqp)SQ8=WBEV0 zA;WW=U0mUZAO~CNooRE92^aGlZnanIbIiX=T)>8ie5BKhW*v_uOqek0s(k3A%>J@K z?t4W*yS3jHN3LYYV!q6Ye9{NOsF9Hrzu6<^#^KqO5jb=ddgP@N?6!j1jXDZc`BLmy z!|KsQ(Q#{=1AT98^L2RNs4?EzmoU`zQNQi2Kcuqb_k7QXmWuBgzYhhuQK8t@BQYBp zxBWzMAYUsRqAyr_-`Qq*mT1@|B|pd$J$mcty7)}s1Ty`^jd5*T7WutX-7s+KNU2Es z8H3~PGg}UN8OjdmyZ@WHcce1)AB-+xvqy8ItnjSBrrvGHUas8~eABI|LVv--l`542 zHMgEY>&qbZQdFgXhr+hrDzVap9+~$r$^4B8%Oj4A_t@_PkyFYu)Sh`@^+$0|C{tl3 z*KO>!rgb!9+pfE)Qe?WhFu&dLMOON6xF+(_PDWbch4yHT#F=eHIl}pYLY}>60^tuS zKM*54e>O)K50o7?Vdzj_^qU7)ILJRyniHV_vn~vh4DwS?3VYV1=mhtw=#1jqLF`Hc z9#N-uE}qWO-^qyDQdqmYr8e_^>h#()G6GcvoU#vl)|dxG^dwm=8wvJ`&zOTpW}%yl zZnKxf$L=}XmB2fp+V)d|K0WA}F$oGHqf)tcQGU_trDe+EnI71#0>+)8fDj*780Vlk zCEUyNSD;Prc5^1vdL!a!6jab>E44IRnJjlh*A5uS&?llqJKbuh-mys(lLR7@b8QgKB{MubY0AQoXsqe9H*Hq(#?k74S{_ME$SBB#LUaw$3xj{K zw~FR7YW?h}XBlmaxDG_yFq=VoiX-G7(BqLwbo=$8yTpsdxKIx9Btn0k;)VMJu8l`Y z%(yvIqv*-il0TXi%)}C~N|jK?U5@n}H!6`CCquTO>grxKQ;{xak8M?1&lTt$@@|`N zBcpTc^xWlYX%lolqygpb=IV%^EV!w5LWKjN*mJpyM?wm3Xl%bHmF*768vJzk4Voy% zO0NJF>aQ12`TaDCKyB&~UkW^ROV}(~6sJV;sKrk^)~ZDAAWltQ<@J&ULJD}#1d@H* zRDF_LqRF;~Oa2e9kqrX~!DSOPX(n$8pzd86f408u-U%JCPPvS}ohwJ4dNd^~ePf&% zNHp$E;{yiu?4!$9d-V=4M>nsw|A4+nyT-&tL%%!seO^0hf`|}i;&9BGllI6GPV7H32y164IsM|wB2;-FO=9DBu;cLJQU5GF=SJuEx3awszYxE1{O>Uic} zjta1yO@DQj68jP);tKl^-UL?F^Cf4RP4V!6B_1?+Ub2tx-m=$HDmV8zC>{B2aVJ7x zqTRdOOkndc#x+aO(X+~ZgdD*6KxX>-rmp~^*<%ZB^PonSdv2avyO$C|+M(nGNr5r^ zMH<7%uP9E=X#+TlSH1s8y+bK*)qJP#d~(*KGL#VITOx*4J$vpOjCp*rdaOkShzWum z8NLh~mQUr*2Dg=o@Ht_m#p;*U4Tn|NiYCQ*Yk;f`F&=1&(;xngOkiyYZ~SLHdN)zq zVZQn7yxZ6&a9ey+Bo)9T&)-JCL)R8%d%<90VlVSFkTZLUX1>!wT@v?_x+zHIK6ih= zhQb!HO7dedDmHrJe zeDm{8`EvAG#ccV;b;m@^sr{N)__6iSbJYFJ7L)G;*TaT2=VM(;mFh!pG3IXNAxBVM zr@~^qHFwQcZCxGoi!puJysZ|--CGo{stIl2P&oNkFSiBYRm1;ji>H|T*;CXYmqXqy zVL5<0;RU<)S&)q&_DM`(NCz}hu*c@B_|-_`ID16k3Zs#}|1jlgx|>}dd8ZS6rgtX^ zbN`kHjr9o%S3nNBn}h=`+VSL&3~XSv+cwat4P*h*rtC`5=VKX-yXO-Bb=VDj5uoTS zHlg>Gp2&`mWEiHjJmi!)IQ&+RNr$KPd_1_c*{E)_!_0ok;%6+wc$W`jV85QkU4B!A z_BZM#EJ^q49%ryP`Zb9#ws9daYvr9MSB=Ax(9ZIiZRp(fv-+l7Un$&;folmVA-pUw zPQ+O^ad17fpl72kcnNtofC6cJML|_EhkxbSE+BJRcYAALNGamO|KSri&0A$2oQ5WO zcM?48ZdB#z;m#K&p(T(zrD#T+C(z1jwD6&cD-e~Ke89{g5VCp4Y8rFAaY|ISCAuq(oUFo#YkXL|H@#BA&+HEBX2 z@9K_Sl73`TB#k$w$vn^`b^?v&28!z>I55kDt`Oc*4>6Fb(}&J!^FB0qtC&7ulHddA z59%g(>VE>p;@#!0@|js0U;plQN85A)V}8Ppu={JYB|P!+d(7T0A;lSuL(G|}LASV~ z9otl$yyeD$jj~66AeL&a+Q9vzL52#&`-qT9C zwZarhB_385rj~20^MHIJTeAW@`FI?9YCRh9&l*IhBq)*$P zMf-vW7*Eb9LdLmL&>z*{6~`z>D7Yc@J%vU3JB}wU1T9nrJ5S}3fwHiRHxzVEZ!Iq=NWIs?Opu%r+20Ho6`2n+MsNwHn^5MZ`_%ljoT{vcM z(xq=2{uf7qta{SFBr_Lr&?XX;9NKSJD{PHB4Q73INc=uAxxv2G2C9Z)uQIk}z`LDI zv(XS<_pcHZ0TnQndXR4vo&wAIbeOcDHOvGje)&jUgpc4qt86ZG+Wk8qF&S}_ZPWfu zcShZ9ws(_%HtHn*s?*xV&Ye(u4Y5EXw$3pB!6gBb-N^0~b+Q|9Z(6~VQoKMU9T+<1 zA|zX=vuf~o!r%kDAVakR)buC>l%cfxp1|7?u+uUF`<9-;-3;lRTmsi}V?;lA1!=ll zF2hz~zb6qJuo6v@G+4*hA)muqnbPeA%~7%sx(n^QYG-4<#;(hwum_3Mr+q1Mz1+!; ziOvcDHC5B>rI=-nxj2YsYxZzg?xbCD5V(`>-(~kcSfDMqgj4h-xE&5MKN2c#^8c4X z88sV3p{+IN9!@%+tU~152BMI&33-!w-B=?Zi(1+&(dsw?Ga(d2TyUaTH0VcJCEk?9zK&57X_8E7Lb2$%oS`A71I zBr%``a_7G$U*-tFii;ncXRU6$!8pB=zw7{oc&siU&o%AwO`$n_+uFPA5bdk5>4p%5~fH+u6^9-)Ncp6`tF#X!caB zVHbJG6?`cOC3A&7o~MRyHTquuCwHPiNz56b+VV7-Z1$7%)VC;%*Zn$6WtO;}Cou@Q_!EbNZwF;MB7>1RW zsAg#1Aa;awPMBTjQPi$Gftl!c9Rn?uj9!3QeaDggge=SW05lfizsPOio8F_22Ig}i zfVYStXMEP1gAl8ynC=?#$QZ)zw&de!;nTqrW7XakH9=7jQhu75quau+h``$ejL~-! zcZUBtk-JazD4>aGtR*{bJhx;v7rcVYa&nVKs_Zv9=JM~&$kSh~6??-Qpro$ud z-g(RA22n2V+|Q_F#b6MuSW3Xxg;{L#aBZLxrBA#B`eZwEDK?2th_O7&$VZddOo_YRD+yO7P|C&X~U;HG}p$BCdB7z+tl@V)`sgq4h2g6d7?dM`Vs-RH&Gn<$~z-6)C#=TwL2bQ_g_Da}PBK4{iWg-NaRKs0X; z;v0Ra9au#J0T?r`3ChfUT%V157&I3yoQF%*cHdLi}*+SdR5$K=Dx~; ziPK)(F8VXMva2cO4EFrfj$)L&Al_3~rsq)_H~5e-PB1Q=GqjZ8Vm+>PhFz$%lUj9% z_hoHxM2oO1m~hR7b;xIE~U0{Xw)22tv!dpmd<0_HmzLLTKvM!eN)(tf!@M zsBl9bbCGE{yIQEDOw}9XBN^ZlTX(FdE;8zv6>J_Ri^MBmSDj|kye@mL1sT>B<1dZ` zlnyb>t2z#?)50Suq8`r0a`B-G#!nS~MF%G*y_*iOR?<}9vSj)o zP5hZ)zF?j>Uy#4xU06uYu=zIU*8s)Cr%AgbN=1p=-3E1~t`e?63T{;(@w{n1kT46) zbv49Ke2HbLj<8pzbcd9V4EjU3nd3)`KiwXXn!^r>?NEhM7{*8PGSHXbmW|{}L=B30 z*ln59TgT&u(V2Xv>e(2Orr_dEyRE`+Hq|!K_@X;Dj{4Il(kjIRmw@G@e(-9Gjk8(V z^E%UVwC1G;fQ7>_p$I?)JneYApdo1iVi{Eu<#9|Bt-bB!QRZoaFS+nNJ9&Rt8wCzi zex0}H^|6fdtKZ{c-sMu#q@vBquel_@lhwCJukM@nzL7bIPvbYeq5D?f{N&?1`5@Nq zcYl$^CVk)BAIUG79ojX5K;qF;C< zF*Y)AGdeKLpF??&mMDMGwj8G&ddvMcsrF?|$mAi^`mH#)l3_e|h4Qpe%}G1dp9 z$T4|}2qMUA$X%D!t?-eB<^_hR+F^WDF*ig-i6GDuPm-j{+9@AbwMjt%E>GzTao*t} z)>i*1c?Sf0vkUK zj*{o>kq(RWcV-z{saDW+Wf!$a_Jsxa2ax zdgrZa^-rX^K)F=)WH^XV!6T5raPM@S^Dxt;GZ{lN--xoYoOb(_C@>f=FKI1Qd;~Tb zoD<}NR>djJIBuve$iqG-D;2R52IBLKDNjZ>?AKJ5)Tewu_hN{__}JbNzQTDro7e0Cdnz@9@Tj#~tHygX4zXB}PkqWnu?Apx?bvuY; zn7&d%?{=fd|C%Iy6H|n`S7seTskLi0b z#IJhy$zK&e8rM6Z8|YT3cLhgm;zk8!qj3!C`2NJfLjs9Uim?f>sb`^WNyxkGfu^I1 z4-5sI4apb=Y@&U8vuqP-RGxdKwSfgR_CuxO^RD@`lkDM~n8n<;-;GK$`E4wOk*$tx zsh10t-bcl}w0Pi6Om_5W>}jIl-pRIE+<>p_V%v)x=^TdGoDt6D=_zPa87E9pKvFbK zkXL5q?{@7QNldJQKzR0)P$3fUBbIpwJtGYs&b3QLSer(ef)FR~nABOnsh;=`WX5O#FD6gbSV=_}>dM_%x-uzl(6;{ZLHo z^*2f_QGuiP`v*tveUb;fuGd&+4x)X{fcY3s~F${(6a8-z`DbSHoz3X?bJgMLf7|(_{nQ_!*4}9++LQ=1+fkMAE zf#2%XWQyGz4YOro(dEeUqo7$Er>M}1>uYjL0uT1-0r;i1O1ZxJey)v;uFQ#zpTdFw zDtf=-bYois)X&i^^Yypw^*A`y85GMuGQVN!(UaPr2iM5?PKo+W5ZDv;mt-9>Ye z1*o}$t^I-{WzBaQEFYqBmODZpWLBv;v{<|n3tuW;BhAIIj2AFBo*92xhW;dH87~St z&)kN(8Orx@J-{v3X0Gl`WkZQGGM!M;wVqH`_7IyQ^N+hNYry|BS2m2hveG$aj&oOl ztceozT*gLLXL*gKViQXRJErbWIlgai8``pAR6a%Fu^lZQg9nVBGTF3=d_)k#JRZ4G zw8tus6r3ka0E{av~4Om2zXYq11Kq=S#H(8zM(66Qrzsy(a=bwk%jR$=p zn{6+Qk4IO7l}n(bOMaCcg&gu$x6RZfmif{5^fZ*s*T$5}#b^@Oq0D<}@wqFHrefA$ z#7#>f3uHb8SG-DNSxAt~59`c!D5c+wwiOvfFq&=`5O(ix^1q`l5-9vXed zO2!xc`liLmMkX?noD9^GsKj*~9dRrL)$DTHYGRo&Ox}4#&7Opby)EU4TEQnPiv<8D z!S5kKd$nz_H8x2=(y}5!{}EKrNPI^z+W?@|HGyJ79;(!&k2ipa$r?^%xaZ11IcZ8rHK zG`0+h-3|9b0kSvvX)3zHSGaki>w% zaLI?hQlJa?ZeP~O+;KNti)U4Y)wW+|BM=4i+Y=dCMO)Rc?*32-F#grHwfAB$3YRf* zJ`~JqzH`}Kkrh<_+TMFwg7 z$P=fv{5*Fl${_=Q?!kT6zHI`}!^sP$4~OR^*fWu}K)TY-N$wuLqsoV0RyMwkc?pmV zT&fGD_ERGX-g%L)`jjK_*QT$EZ8crBcSTH;UJP*zjQEGI;&i#GvA7>8jaW_yLl zY-0?jr6YzfW1N+MuaAjatGyG{Ye78_VZb_D7iz-tviTh!p9AyXMhF?8FQlEhj^$H6 z=c1>#&Sbr^5;E- zD6J_8jAg*${8LL4lINeNB|=X{cpjv?)zW|VP9m2`BDvw~9Qutm6Sve#d>F^=iP_0QJ(=gefSgNx#6v#B_^a-KiZ2A4 z8CRyRlYfRF&QJ*T&TzQ~N1k%U8FLag8VG@VN$1ylic|PWB)!$jhb}e(H<5UW(M%BI?rzsWElVM1f}r zBBT-Tmrn`)#$rA9rZH?TcQTXfr-^S^Imo?kUosJB>J~s|8(qw3YX6mSBr4Uj>f1F28*Jwfm+#z< zmlG4TwcoRpjK<*Kb(}68$8!SJ@*qLQM%+P30OkI8rdfQwe_0GHUXV5nXJwo@UmQEk zyqHX#fG((@P`-oP^EDYCoA|oJTX}3!O?l7Nnl|v0yAdsiuL*>;aDU6GY8Ge zdNFw!Ax?FUjIE3$YeJxJo(H2&78la7+WgX;?rR6T@Ro7W`q0=Ak&?B`ICE@l?DB;` z@${4plysKu<34wtmyM+1JD??8ypZJogf%&+Rb`}Fv(2G#Z6ak;;)(=>ZOkRRh$oee z`y$v%0Q3fu3DNIysAz=+@>oW&!OMsDIm(G66qX43?e8AZytqgR4r$)%W-fw>|foTo6+Jna; zsDrhb@Gf25i5rn#x?dxrTw#oTc}a5$4RihH$cUT=79Sg2>ED%W?_&VT{B*J(P4P?f%aay|`#c;A@X`aL(d!#>GnzOgUSlzHwrU8{4n={7tObA6ecvs)7} zHM8h9f`{H2>n^7KIsK`ft zeOs`l@1AU%Db4rt{d~nJ9DYP0j|G>1ubV)iCki7hb2LNvk?}`dtiW-Rn_!dk2V*H5 zD1v}l-1LS274IQDuH^mKEA#b>D$-bxCQ3emv1!gCC$dK{ISnOCPaZGY2BCi!nB=Qt zUiJQci_&SdHd19%IWYt4CeFr6V=6pN5-gW9*=~-A_?oAy0L_$(7OUSB`%o|i-ZqX{ zXgoie{A#bYl9Sp2#)n4gR>o55|NPOt`zCV3NeuEz;BryB6Mlm6`oM@_$9e@B+O#hS zkndSc+*wWL3V(p>b?5B#pcNV#r}m%|yp}F=q@U-`79!A7ebOpR4jh`QFU6C-9netS zI|*X)1a}E-5Cv+Q_A{%;;Ntc*aw>`%H65V}8euBpP3qJRJ>;w36nfl0#P#z1juKn3 z`okx8_q>!Exb09K_tA{>br)uvq2;jaycRU}EHW+K?v?vuie-__+)MRKCWmaIcd9A` zIgR9<^htu;#M217@0PpAZh5R4EEjiV&0htExyjb!^eGtIbSQZ=tIT(dIejwWox6Gfpn_*o<)D z1ZKTiN>rcPpawRf-gy!(ZJU`Z<83NpoAH{iKfLlhPUXIZkd)P12JxFPSGK->HHhnt zS6+b%6cmYmP3Vr-BaM!E^~27XswOHDdepvo3An{u!Xxd<>E7UutaBx@(Dom>YLOhD zU)9ZV)xHh+sTP)zhf4*?O6^$fL{P#QzdX&(`ld=%Ab<`}DU(l?AVsuPok)`>_dNSCFU56SUbPF$m z!A)NgnW@NTeF*4o4oM=39uLpRk(c`J(fD~M%f22z^UE{KZM2`b37&Di`3p6v0j8Oj z_k4m98oLo*Gp8N3=kFbcfs5ym32FT?rz?Hn_SM-2;nHwwZw(Az z(U0o*3t64ZXAKmjsF$UJ1L$S>Kgr^O{{<(n@s`3q_p-$06f7M|PP_7e0{pK`#QMRc zKkg;HU@f+lqXrchvd;(AR|@gX6tTfX$yj%kg8DMy>~~1-?u1(&cf^u$a~WGkk(gz3 zgcZSO^<6Xq*HTsw1r4De(@)YD59tN-hoV1_m2PHUee)EfBE>s}2-FUSto}rUuDT6Q zpW&#`U;!zeZ32H*P7Y$J8g5~W>^%>2!t`#XUu~ziV9jfRB_KC_8IW6o%{9_<8NjZ9 z-zL}TL>k=O!jha>gAmF22W8W}N}==H@@c?U3kVENZ<{^LG7GWHqROd2+~03TYtUJ< ztzJ-eE|OaScQ9(jU>2oH)Oz-8puF*fkQb>>xn}63T2dWVI%3(#$j+Jlpb_5px;`KQ?r4UqyB8| zVo=JawMnDxm*rPOLf~X~@ng2e2RuubW9mK1pP1vZ`^hqu|e8)>K| z)WMgJ3_2<-btAIK=%#dP+l>W5{Jc|zW=DLP;PwU4;XaU3{&veS!bOBWpP;ftqwH_^ zdIkm9Bz)raxmx-o)H<)qXZl&<;x_qH-{o)qdw(4BoD~Z6#xn&3>&qd&E%Rou(|Qdg z*m3MmZU>c<@#e)N20doSa>5q!=6PBB9n-T9cvCUMM3bb-ocT0ITi8QE@;>O+bJ5Rd zKp+sNO{hkR>gn?@8d4&Cwj?BmQ9`Z}gJ(r!i2>`-=m%668x+8i^be z;2IBV$U|DI1WCLhySvzDlMbx@8{~tH9J<7Lmb$#$VtqRx4AX_k*2|hx4*nDBl6K+z z9EyKwh}}Ty!p=^vu(~{XevtdV!#bX^e>v~rRIQW^)|wB2WPIzpoCiVad}|)$ld-q1 z@E}fi@~U*bwi9PX(;cX(2F*&odAQ>_eo|hFRCa{ALj~SGn~V28R4=XxIC|x1QD(HL zei+tttG7#j9E#iPJ3VKF@x3{+lduZT+ zdKWDA)N<|=&bW|YNxG((pa5ScTv&hsPgBeLH?FJ1f53rkDJ2sdlSJx@84?0f?ATz0 zI`_V2ly?zcf-@X)2%qlBDy|ducT|4nDz}fwk3dP;Zb6=y1vlfuvIo+N&iEX{GEOCt zJ{roX;jRTWMPjVJsmSo-?J$>|_#FubL{^^6*XutPu6#?_JOYVZu;r9Zn9gUm)NGZ^ z+|xK#aj2!pEtl^KhkA@VNng!cwBmFhZs zBl$|NnHr9bUW{JXKDV3pYuZ%TD(Y3#q2!wg+ujo^^{ehqd6$>ZtPZRS%UV|BUdODz zvz4`!?r{d4hpetEuAUMoaI1fOA?1$8Li(S#VN%|)l-ITmS$p5_*?5A3N)_vOz|0sR zNhW;N0wBX&sW-FfL`Y^yDJ1=eYax&)Pv?nMB#2qUpw@_EwuqKUJ`ods)t< z7k1EQ-C2?Yj(M zulP7h@Li$ZMeE;d<0984;0dLR4sDl8CLXalzjM6l1lA&8kFU?~F7y6$dS>c)K~qdg znExfK+rna0Eb%ag zwW2+b3SUWLYc?%xtIFZ`V`3r;+WyDbcxkUfsSEvd+VjLCbZJ)U6XtU&XaIyJx5+r+ zO|3J~JYCkdoZWFE4jcx#Jtg*;^YfQ0FnZQxzya*-K?Aod%B_z{fmjsZNM*LcrQz{4 zJMc`W#=cwur)6rA-g^2Y(5dSvCp~$zr=hD?440KA=WOA5<~;9*RJ`yrd)kK1UM{6W zuA-$~ke7oyW6iQH%^rSYxsy9p*sp=X8&n3{hn`zBmFBf@Jq6^z?sL_uN3rB(^LT74 zQp>V5grKW)CUUxxBYFjH(*FAUZC>xG>rpHvx4*8Jz2 z#elu|YjiuX^agN!knwDd)qqDlMfUq6z7-Km21<=(`{9na<)wVP$19A8Y2o-fZ;ih$ zZ^XX(0urP}Wh<_`hqkH!ZI1-b-?_Ox{KuB3Sv0fAg0SwE7yr+M!4?9cq^;LXvKP3k zPc!pD2238?f1v^(2;^7jNdkSo;+7kkHsf#`gj(3pg^HwEgKF2 zO?4sYfSEazU`36^g!LIqwuAZR;#1myyRAfan<;8A@ zod-chD4bFkKZ8I#H12umF$D^O+z!;iz`ofbY|$x)SOg3X3V;x>NQoT;3JgdxB@^KaMhUPw8%DD-4Ga0*E zs|`=!)^PxV7F;ALtRP!1q6r|covg0*ICqT#*vf%_dGRPkpGa8}(Ym^C3Eaww-<%~L zldK@DfPDyyhCTQ;_@Ihg3Fp^a9jtKx9=Hh>bEgW+v+(S&@ELQV*X8(lfNwTnNie62 zD1cQytH>T4B)2Jh*Yl^ck%YM1$s=CzTLPN7-$fO`t@b8-{JgBw90D*5AV>m#py*%Y z7Xe5Dw^;>Qa=^(F{MbX`nYU3ODce6`=T{R_E0_M}$9?=Eh=V|AMa03tMKA#mm5Ck%NDrJ0{~Ytixb1Fhw}_EgV2g zAo~sbc?Bv9g24hYUzp97PYuoE-v9=Z0dk!HKpEf3%TI}rpybbYbv&q~euZ>a_9%dl zv18GJzTQ53|J)U$;*=rUj1g4iAfH+W1d6#`dr?~KGF`Ma+7^ORP7iEo1zPjfC{&k4 zD${>SQDx>qsi-mcomcBRbeQST4Ak{v8V^aQpD8Illrka=UK()@fHE>3 zm)lB3N95byqG*+XHCc7NyQ~ywaBzrJTrn9ovr>_{{Hpv=-fGs9WO}7G z;m|sbRr3}1ARgD`bCwyNVLx0p|e; z=i>o_y~y25q>^r$uxM^CPl)_|U4x<^DX3AjtDpt~Pm9=>(5c^3CG1+|MrU74bP2l9wu8jsI*P|2DCGc>B0 zxDt~zM&r?Ni=w%b!Mx5l{6crW$?9Ic()OPh#YBFBZb^>@m1cL5Vn=hLz?}IY0@(4- zL!GYO+FH*>BeQgk2O8M)0u4gV0M#V!OhHhamzan|LBT`^R5CFL$6*&<60FxRVMc zwm+Y7ae+Tf5G1?rE?T&Wjl zN>!FZvfDaj5v?FGbmjYV0PEIoe<_Hg-h zqz2;Liu4hI+sJhnlJWT|hh{HPSM&xdOc-@U`Vr7Cf1vJBSlVAmNtozx%|wt=^XSry z0GG;q=D(wwt|M29j9Zd#!_@L{6ewkTR$>lN+U*ll>z9I|G#N-<9b8;qgq?obwqk{l zzG1NLfpj6cH%n}YHbb^&6pa>V71V$! z@IERp2a(N0aEhaj%6hP(gWRD(9RF>fEr$^#vP*@T%G1B2H`l*4xy9t?P6EKaxpV-V zI;rq&f3gsxoiIK29sFYKIpULz9A!{vHT*ui4q(e);-_vy!2q?GL%ngfYpco7L3j)- zI&y_%d^>KuJ#?#qUy6beQQn&|Ledc|*Rcw|yrq8;*CT`nb>e%0Qevp)9-yr}E+JV$ z@G$IGlXMG*B5LlW00Sbgt|j|Yc6beD@}=|WxN~83C(g;gp>QoIDcdeRYtZQxQ%MP` zYaUWQk0O!gFPYJwf>eMKyE%_q&5cJ%hb7TR#!<1O$KzTQI3?>GGj@JSs-FS_-xHvT z3vt0rSDPx5#@Io8Zr&(SM8sNMF&`^F)sbwan)970wm2TS$gkpE#}-jKY&d0zP5raS z*l;EV3u8~I^ItK#0wQYa9}PEXv&qS`1MT)C@3Y;&=SZk-aoZV%cBqB_VOnNI9ViS2;Acx~~ia59l+rtRdt9MbC7`JnS%Yk#O5X0NF)av!3DMkDL9_~L0 zDsJf@*p1}zJ3DMnC0E(3oFsPnWHP$)E{)YVtT8 zwZ_Cj79+dIZrx@I^l$xO_q(_p9So9sT{5-~b5J=jj8i;iiV!~1R2|T zZ!uY|M3Vo?#U?$u80SRE!BuqH@@aglO#p)iNy}u#>_zCl5w@eyFdRoe3GLf3`!g>2 z>o0nPg3$pDptuHY-HSZ~hp-H;OuS2My5L4n4}!~p%c2pxzjsg0nGMQT12V?RNqT4K zH|Wd{w`i&Fgvl+Zc#67+e2{ey;@?{!8%*L48HXv#w5ohQh(%u#mhL5pV&iCJ#q}S& zR^`^MMRaf%%b=OF;->FCCZ<#eox1q?xvU>eO z%~UXs3r?VXuM+R9KvA%3Ty`Y?pR&L2m&PcA6YLYEieqw`Dq+^;ijtx|UvTA10tE53rFaKd@GRAZHX z$kQvM8D?Vl3tfSGHA)0@4NT`ivnxqy)e5JSt^Rv;yVPcQ5a-(RZk^0j-<6oqdYyzw z)l1&&-lm=rH7ZVoRDmv}Q=vvvX^U#M*L6~~iUFF#$c~@Z#-5j_#&hi}xG6LUR;r0# z7#h?^|L;P^mJ;%3n-sEAR<7TsuK-$iOKJ`Xcmz?Jb~WZa$UT*kr6UP9B%L`jawT%F zp(jM-h9-L>cqgMCu#7#+02cRfh1Vg3qYkdnX?- zB;lWv@yZdY6N6WqRh#^k?Cjb8{9S3x75Lq)Q%|WYww%gz&Acs)^?X<5vxFXDwk1Cm zrZfme0oc9zd~W`F%Y+?ie;@r$yhaCo+(tk>#W^7&`lOKAoaKqF&43jBEt+25rD{s% zj4)jAD4!{v;m!=`kDQF&23^rZE*xDN>=_kp#Bap&;D08 zSFMSQWhmSSl=&TT`AU8h)yd~a1f*Z*UXrL3p3@G?2mpdUv`PyPHL#&skR9M*K2M9@IWbzFwHXxs847=R3zkiTbA9tBE zd@*4Nj#j&9_5H69+w3*}NW~epRu|u!T>GeIG;Hr%1orD+qnREdjxg|RcCS-Nq_BK` z$2d(cR`WE4(R8v$Z$1S#OPOZ+x3(`VRS&}8pyt{{5pM{e~sYb)htDMbw>=9OrLVO8(nFl;! zs`cIWf$YLiyZ}^s?g-<5mx)v@da&#Ly}zt@Ep0Em2wC$3xhh^$I*+=q%usgExlv?$ z94qdT$|YnH4d0K%ATrD1m!m~t5u`?fRQ67M3_)5bb0tK$G&R~f<`(gM>Po0J&G(Sf z7Y=Eo3AU#smfKU_bnx|`GEtWwU-pKc^iR8S1_xbKmxVa(5nuo6dHLwgf7X{n)?Y$L zC@&=cX@H_mh$YF^6v&(^#VlQ==K2ytb0)Hvvw4h1WBBHVW_K+W}1EM>=LSEIu^RAsDKtt znqi%9BX8_}_$HOUX#CF4T&ZNRvC0<%f1Jhe=SsyH-(J4-;$!og#QF<)+0)y{jVn}p z0Vu0AvCtH2c+xvwk5LbKi8tTa+~0%k`SxXO1Wpbc>sBm9%Q1~EfE&D7-CWcqWpgXi z=y;fVUQEVE%}+u=CUXSXAE)gX)X&tLmXBNtb8OzD{1e-~_&XIVMGhO?0RVdBPtQz= z8K{s2=Hhp@Qa*LDqfINRAi8DPW_lT#`j<_J-%MFs7)kDBBPa!tOioRtkwSZ z<6(5rgS+ECH`%r&4#6rT`~W)p59yKEyFbi_;b=6X&7eD$c=m-Jwv$ThQ89SW1j3Qa zh8twhAfImWe7G^nfbd#K3O8Pr{pbrr;-fTzC~? zjz#OQnPTL%)4(0cDf023hV(5Nwj571?^Dy%z4zjQ>Cl_L;5V?AEy30x5X=X5xbWv$ zu|!&&N5^2>fMeAc$vE{85@Fx66KZ9YJIVx9i%`rdBl@4_NgTRyCh?%aJx5T`rY+IB zt4>XLraDBZY~JfCD*Ov*>)Vx77-Z}F8h9uWGD;u*D`;1B@rd{ZFDGGPI{(*Aa=EL- zlvs!VKhGwp@(!3qxB7Kmh^_u>2f>t;y(sB|cIU4R(IBx>J}{DgK<~oZPlurg5`GJR zARS>HW*zXpYlFd#Db0(BFTwNBi1+JVt9JzRzwxWroW$$MOQ(IB^+qo7kIU1&WM}`Lzcg0zF5g0C^K$`$X^`xn zO?O{NnNdc+(~CX1lgA_^9nH`hdfI)q3tG*p-=rDut$Iio+dS6($O;IuJAU318PFDP zyBdA#>$QZIk$r07AkFEIc6JJ+0hp3%rnp)v9k;-4G_Bh}P(Hb{mlzdLMy&mK_%or? zp`S}%#-%Ok|3IQ-`x7S!ft6z?Zq?0u9h>g~{C(47E4iuor<=1<+W!cuU)h5ivrIZD z>rHTvgMT6=Uv^#;GFwx#n>1RqHOYl<9-@zGF~#sjD`P%GgM8H)#@!9t#^xjTB!<64 zy@S>KV5@xKwl6K90D=}YSL={!H&kIO@PXxX*`*aOl<)6pkUuui6`0FlZzD=C+feS7 z)dV*~mfMDBow`(hZNK*%<7^NjQI5Pt>2{Cg)Gw^?W5SqUGEb<}NHBE#8NM&mH=lj>O(9RU3)eFoH0}zG_8`erqTB z4c9+~eTkfmoL;B_bY>!fM6`krBjr{_tn`F$+z>47*7B=cHkXzKm~{mnhSqfy@y!1Y zSJW7vK706JXT6iGx0#XDO-oIVvF2-Q31q;O1d`y+`vsKA-6=n2cF)V`;+EZe5TJYb ztChG}#EQJ@4{RA`F)-0~ar@K#W*b!ptWk zYJPvexxlTsg5vn&TFE>sVnQ=qTi>;=XXR8Q93?H?ITkUc4KkkIMUJN;Thd4@RAyYy zrD#sWEzeYER_%T?M%{Lq&EQ?7u*7L%9-=2IC>|%#=C+uplnoUCVw3v9Dz8LtK~U&E z85?eO7#)U#eU&}y5M3*n^Nr%cb^7@32}|KR>v_1aw6`S&_$Jgp$qmbAp$E%_>$Fkx zpS#tJirlvCntWO4A201$B&GiZEh`@S{k9Me92S%;)V%T(@_S7XbzvtF(=PAn1@!S)8=K>LN;Xg1puJE>cP!WXwfNH-jbR#LQ%&pH!58Y48v;!tbCYaSW(#4voL|{I*$V{8V!sEW5X26P z%pZU>e;T}2ca&5O9FJBMx!8pZR%)T)3O@2!?TZEUPQCF^tM*V9PEYk}>JPmUg@d%( zWN5(3$FmAhmJ~B|?R1?s?Dfyn#8bUWFLyV=PRF2;T$wlkbYmMV;Xb{CV&o_!1T!jT zELJKMgVDD%85X-_J2?<81vm^JQ~H#Ms9=iP@(lJ!;9NTe76vZ6$H&G9=wRey1kHiW z_rs@otKb95&^Z7k1vCS3Ktaqolfr(ZB6Nig?V^!3A$6`8#V+~w3uWI+JvDrWGZ+NrS1Uv@o^XrXvvj;8 z2}BP1bzMN0vjlvb#yY6m{QE%*^MUb$X#HY`T0ZBOiN$l7Pc9`i-5H$-f2G-h*G64j z1Njy=Um8J*S-;e9gs8vSHAnXPz<~v9`yV;%>=`ec+Xq&AF|Bspr^Bj2 zsNY3HG?1HY+O0+576tnvhHeDi&YiSK>XQ1_bu(KY20_|%D_#kYl5zSMy^MZscKpPY z>4?ji*H>S~7w>inQIsKQTb9*OQn(sJZcf&~6}g}L50(sW>rArhPCZKH`yr^IFe`dW zv3Kcs?!e9rT-^N{1?9wFbw=B&4kY0&j{u*ldR=V3N_w{|M9Ba-21g%`1rbSgSA8~W zyyNO6A4K|1A!Rj%^xId=-8@5Ameh|dplN)WHs4K+6*TB8!`ptvn~hxLyM{bj@OeS`0j0R)NLTQ_b8F$ zWEj$J0{$*<@(MPc{56)tMhzV5+0E?{C3XuNx)reH`}pl~uFo!8i*p7t{yJAS-w2WM z1Ia&r^N20OKV3o>k6=EUJNnpcwDK|I>2|L>K#f-HT(FYS`)fhqCN(P>J`=G*dEfFQ z`V>+DwKXWwkVXQ%Ir_iH2vllRWq3`)YA{w{nhzZzrHpSdf4n3%)q$ z`#V86MWnsNdl!)~BF)TWPG!7OVqREJC5`>0hKN!g+o<{Hk{gse5=cFGxx1jCw^{8K zyzyx3mPQS*q|rhyM>LH=I0BvzKCqqMW?^Lk&hhX@lA_Im6~@p}q&wyMcOd~wf49;`M{dp zuMP=iQma>^8$n@VKsoMX&PDF+n_LXF-jDQy7iHBrCu=$PY;5qP+1rBG5Xce?+kp^3 z??EuY31NXbQ7Q3Eh*Xu(XfRC15W2)i31j7cD>20t@gW>~lGgi1pr+@~S97rr@&mKn zK?t88t#ssu*z@2&;9>ml7mAW!Q;yg!v${x!aT*hQ-mF6dW^gSz0iNYvIA8^wV!uu` zUj~cvX7u+Qipf2o+|SGaLjTPWrS6D(O^&aZdIUGSD_ z45wp~V}~0(-cIwi`bSR^naJ#M|N2Eww}(J8@$WUq=&z(Ab-RL<^IIuG$8tCwBnj<} z^;G8L3;YYMK(=p~^av-1J7r*3r2>LV8mg@$i8CH!%OK@$Oc*n-FR!&Jcr~?CxvB(4 zR>S@~hTMO}(49hS_tIsxe*M~?;V}NU9MQfK!Puh?e~q|f^Ua@y#2eiKoz7aNz!Cib zk@Y5?`D`i+$*D)r$gP1Ln@HBJy2{oa!?uoqj7Q3xAOPvp1tq}n!+WK62mSYoF7&AN zY*yzwoZOo=S0YM)j+}rtugWFK5H3G8sTNB8gH3kzLe~4@~TWNZno9TAhl|3XtkW+%J2_RM-NCerSpexsOW!lD* zopNaBq({NSaif_^b!e-mtlLcOWPr&pqKH7?GE8XIi@4s|hy+6W<%1V_NdhIn!9nM9 z@GA?gWZ*&JPD^vh)~IqqFtdg{O$1MK2V0*$zdqu)UHrDT^v(QhfMG`4Ns+l0S8X*L z%IhA~mq*(CO!6m^68TKmHYr#Wl;BN%4+f?iAsz$o0YRMS!kP{5$uvQ9+x@k4zskPbnjjhNh^}U1^e2+eLdNx0t?^{+HFlY@b z4ts!!BEzdbMtdbrfnyFMKlVb~5UA>b42O{N$8zLh2g!90QKSq|Qgxn{vo9tARI@3- zdrO1XSudGk#Rj(=s*~iS5wG&2_vBmts8V%OgZtg339VE2{U6RKnZT!s9|ax<9P$YF z^64azH?Jr|#`?24t3H0Re@D^OL&IGHSyq8=5!%6igabcWc??#xLxI-F2L-CQarU zn+VEuZ>XdM8@}tkbfPiqf~;IC;f}pSec7&LG@$8R3Y8++Et$QsD^Ia|B~@MPBnq}T z%&-LE)ke&j>mRVMVAX9GRGHz4r-~3x)#~Soa-4tO80X%TbAS@L=n>!)8_+_F)%epr_OidmR^ODl5To3#zOLc$e zlEeFZ$L-_yO1@P@Af#I86a!JB5~v^UMRX!xLWm^urG<}f%seLw*-Vpck~*)CxNC6= z>*!F$au%is!z^~^Z*!)pE<0C`JIG6)$>x)74M6qt1}ZTYKEsWLz}HWp<>Sf%t>Sy7 z0wemZnZpyP3pPX*V0JG1^@OLeQ#jD4p1mw;xRMXB(zwD(2R0Azu?7*fDQ88cc-ZcKvPzNZMcNOmo#xX~k?Q_qZ3y?nhUFlG+~J|`iT zGe>EUjB4}w)sIlW^P9ClF5u_E9tZRy#S88{cNQ8RS1uZ`tSQI98LJM{mO^~F&PSwa z1pj17cHMgD*jZqRDeRE)FPd;Ly?ES$G)VEU=XP4B`dU&+(FprU6PXTGnO3$Rza+hB zsNcxHc)GjMK35nd6Et@~Nxd{Id-$T}Kygl%zlXZXp>gwfEg(P87JZcd-oO#<_PXH8 zi`3jDwOfOnjf#0ncQ1a*n5!unNED8Wo!C^4JFY^L*1xQo+tFbKIW;e@AqBkw`h%f; z&DNJ$|71;CVw_vNhySYMIpn_*Az^a)JzCo!P%K*PTvMu(c+lR%?!aH#A?{j}^MyxuZ`i0Ha-RxfU4zo%sCaGE%m8LOVW) z^NN)^2ZUPjVBGmpR8=3o!LIBJrT2WmGk5|Fw|G-#WN|}Z(!KHWvW#6_^N2uit-EYo z3X71^D@+4zO&)gkr~@r^_AqxIC}%v8(>R3wY<(bnWhyhUr9rj~)-U-bv|s>HH3unS zEs~&LO20|o)Rft)dVOvwAYQUwj)Zxe-LtdIqMyPZaU+;UZ}uJqrZ{5&{l`lpo5r5@ z!e+WJ6rtr4?~Wa+_E=EaZhjjd$Z7R8&6Ik=VgNiA%$v@I3fr-*22$^NclvBt^`r)! zlmh2Eyx5X1Maw#v*rq~CB^g>rb@qdaN~BygD&0*C_yn*l9hNmMlO$j12hBP8GL5r1IMK?9q?Q>`ea7tDnbS zi>RKUJee@8R+vg7h}Q>Q_~S)>YSBCT2BXt&UrqVr<108YCn{;RO+X#ae@&^mIz zkrU<|BxFXR>R+j9eJv#ZG?D;T8oC_&pGCM5OxRR298$p6y%Q`yPyoM)cDV7=xsaZr zZTwE)hWP=?HyTE*4aUD5`9|KCBx?y>)v$(BoOAiR0mh8@bdSFy=$k1nI|P-W+l8Tv z8hvwV8U^qYmxE42ApXS2uQ`o$jk6g&iu{qV%alWL8YyD8xO)V>ILz=4_-n1D(-KhC zv?~#ltEAYPG%?#80*KRM*j(XSt9Gr2j_ zRizlWGss@F=d`M@2eo%Tu=V&2BdIL5GGE|KCb|LK>N@s4?>8x47TBwjX;1&o7|QPT zi)Ne*+kAE(MUVYkWrDq(2l(Nu`+D%On@c`@MDtUDkl6YQn{nZ@sX}R;PeIpgcWVq! zL23|P1Z}d^MQ1pr&=x=F~I_qmIXoY-ae|2~@oINnQb<&n}@`ut+~=p2hPQyY$v_~39k`2L;wNu6-@CFBl(G<0$mF4%bU3puh?{6X8M&05|)@FgL3$xgvXmr#FSLeCS z55sWYpmNiT!#<515_!bMmiGFJnqrRsE1ZRGvJ5dlNMy8lRanjKx72Y7XrrR@^j3ij z%lYyq>(__;(j(NuOY1uqv+n)J&tVRp5RMv)`6d(EnG1pR+w?O-_e4gY)|`%0gw;(c zve<|DGrtMn3grK$R+&HddGjT_p=ioKY8LTnQbjr4fq+3(-qKv}XN%M;%b2ZyyC>2h zv@ABJN;0Sb!Jsi+1Ks9rD_G{B&xarsR^4R+_0hox8Ca!On;&=`p`4JnHMcT6z4m?% zIt_N2jRnoW`u2WNiSd-%@?@MF^(<)7$Xqdh@RGbG+Duh9Cvf1s zxBahSzQ?qDBYm6mm7K+HE_**|H16CwtORf-MSav)Tpi~uOWc?hrj;5=&pMf>+awdc z;`0NTN-FdE28bhbdvS^?<1h70=i}V?QAMYp7Ef`TQJC9YRt@aH@Hf*)f75R+`CMPZ zsJqF$M6==|P6lyy@zqkj^%BlWD*rnpZ~w=;EvfGILJZ+-!0893$_Y+L%I)NSfnz-fL>7D^jD7zd$wyMAEs_if_d{&kCJUAD4SP6qM}bZjV$Cn&H1Iz5aL@ z$yv41*m~i=#B+xr*ys3Njcv%3jIai9ZrU+*repomlux*lNXwI$b>Rrr95JDm07P$L zf}%}SGGEQ+c(Sj}5n_RNLc6^=Q70~zJBKhO9Ack&4cOV@+)l-QPqU9Ak|OK8g44K( z|dTh4n0M-HDI40VdFs@89L)%;8DZfaCP#b-M{HTp;bc@iTWSsLm*ToO0E5NewM0O zMv-J`pKMiIg7$%~4gQNQ`VkMx=e#(w+Of(^GS2b2IF;qys5rQNcL1(CV(UpMRh;5? z+Yn=fu;6(Xn>4_R;jJ1-v^OGKj=F+Pug@DU+@VjU9RET4M*|-EBS(x192pYbSRoj=rg6S)P1MVq zouC@*%d~U_Nn#S2-h;KI`wJoC$yzB} z{@XjMAr&%^LL<|+2A|elG5pE?(y-|c^)E+`);GC9CO+&xL&&`HDBufiu9OnqYQ2>k zRS&J4Jovdkn=9F!VnA?xXKO`FsB%z<9f+6wVTNxMHb(NhPet&oS*c=?CD!Je4QOp>ghTyP^IPZtvl5`HB*ERNNaN_d?G21beIT4E4n zMz1$K)ZyN_hCVxYe?e7pm=#`rkAyn-wQ*Na(167Cv%gAJ%#Hf7&z=_3s@mmz)iE>t zNwO=J8B>SMc;RZAqTQBYzEzGPu!G%e3$StL6{G)T8cr^E#@L)r*0bSzCF|NaC+pZO zI}x;HMh|PqCbz5HtuJVRi~6nHb<67IW?Mxoq!$QT9)8KFnm}nk$ zy~G)`>G{Au^^+PoavZ^oayUmeSgLZ*+%oJ4RrhM@tsB0^f< zqk?K^N-l+f!1{up9N*TUgNuwC{_`lV?k)W@eUX)GN1O*~`*UgL(`5Vr= zY#UyUa9T?mpPhD-9mALO%DB4EDdM2E=2G%==Ok-od5FA-DYFCcxk&*Y)v3C)J5z~0 zvwv0hanLKe?iMvnd+0n#*M|LiKeZ%Wu`4%&VFej5zoMjBEu#m?6b}>6<)7@ncxi4< zCs8+0RWrkwsH|!zYe$q|Kv$klJDPl@#+IEm&?UwELk!63EI5YI!T7p~bf{7ZACEL4?DpBfBdIO;G2T0fG9C34f0o7~ zz1x0b?8CuGcU3W<3lf4C4$`1&p5U%h-S97hH(8zKbaKXD880FVk!~9=TuS{t^*$f6?jp8R79Y6*XQ>&7ed&AIj+t3=w;iHyrgWI8?tSh9w?E5_<*NIC;&Q_ogVR}<&ukCX>p_3z%{5nBd z<0v)`Ee~&zkAcQ`dns3KTnQy5esPBk+w)6zTq`c{BCR7Vit?B#k{5ZX;O>MY?DIT< z)cSJ<5`0*ZDzZ-sDwG?^f#$53BM2?MVM}#JX4{ug zLTW$>6~aSC#DzYfUETe+HLjU1KS5k$KGWg--i%cC1Uox*TVNX()4-Dl2g-S}Lf;~) zKtmck>`-2}ChEd+pbp|XzsB}jq(S$Z&opMm>$nAi#T5KR4m4Q~CW++~2`;|@{XLUs zosof0e718^!n`U=aQbWJR-($Y{1b0cMg&gLXJP%}-BV z@e3I1a{@xErR>DCxO2KK36$f}b-SmpJexEPg118jBe*WKD<;-_aJ@QEt>|}^3T(X!@l@H z6=KXYmZv6tfSfv*?#MR^EtBd}>s3kCU8;4Bu&UWQksnt!$+$S+YAP$4AD@w;b5vI7 zMoHA}>obw_#b@@y3PauB&kWl-C!taF3I-)={K@%Q253P>Ur~!LlkD;Q5V_{eDwNVO z1vNNw410KCn^f%I>LS7{2Knt$Srs!bG}3YfGYb&vVnDS`H{|$L_Jshj6|e>q?l>hB zlOALIALX|{f1teut?%wZec?&Ipv}$I9&(S@EY0ku?K<(jf#L_myw!s(S@nLJeXZ`Y ze0RF&O#kNyfpMM4mTI}uj*7p-I-aIgfnJ86{Xrz#1U3y@m zR5ZtIDKNF%pj}MRJR)wAazSOh;o$x~0;nE5r+Sn6BeZuL;HTi@ zMGCj24%5H$!IRm&#vVoQcqQE|J%F#mdt&a?bnadUebhw7X@SbMb#S_Py>fj6oFU$*+}H$n0B>4uW{$JKTb5fL#98BQ%5Cj% z_IQVK$3r+L{NZwE7o02Jt^CMQ+%f#|a(54$C*G^v+Xv^1KT+=Ik0S-(1IuwixL|xp zd1x3e93N30c@lRDf4Urh26q-8RemlS7lV&2kBi48;1kRLOTs1N3FX8TTq-`T{QL!6 zIzFR3GYdz;lgqPnaJl%4<$3wIOZb9vN+FJlFDfrC!Cl6emS4GwE5lzazg~{JfxlUP z>o%?ef2aKJJ=}c~zOuaP0j?VVu>8?u+!K6F`O{k5GyL=Nx)->Y`1s#`30S zTnoOnysaHa!@nti+kxxEca?Yd;Ck_W<^2P=LHtnpyZ5+Z{D<<7pKzb?Bjxl_+!+2# z`PXsW1fEeoIfY~5r^{z%adY_j@`Z1>@A$>?A4|BOcoM6ey^Q1FSISq{aKG^D<-a#@ zfAE{-f4R6V{C4@j9o#N{uY8|}<7cWTgYULT-Bk$G5Qv~!z^aNvrzlwPwKcDvHremA zkhdtQ=)-~66@Xf4OoHIE#3+I0m^qyvWZYC?vwz5?j>1EYp`k90rzDDDb$e8~+ySgi zD#)MI1^K+ewbVb8O>rZ?_0HvBYoYWr{`*f=osa7^-i|Gxc#U_^{7I=GPLuDM@`Vd} zf#U8G;g3Wo=s?EoxHU`#G#-bZ4z8`HT%13oI4$xg@_9NjHo`c%a=byf}lmm1Q+Z^voswk0^ni z^ov~sqnrmTp(3#~hAW3VX}S@@rgaFWcu+KC``N`F~Q7AJk17E0i4hR_n?4 zIqck6CppJvU0r84gxAQMvOg?lOi^6t!wg`$*MBp@9Rws=oC^ zchSr8P(YblRl-U&LdcQ#_4zBx;0IITJ3GCa8JIYgj*fWxLP<~Uk+)wtPcFQL24P<5 zUuia1)s?ca)1}WphzyCTJre5cnDa`*r)BVL`$%E!^gvLKf{z5_=`i=OAb$6bI1zq~h>m(stgIif4 zB{r+TAqSt=FJze3cCyKrjw$sKj>=z}pV2J6Lhk$E0H3(H8=|>4TEb8dY9Yln090;I zsX66qC&1G7=#CuzSDYqf+FG>)B3_Sba;@w@9`X7TpTHyx_6ajhd+ z`*a(nq)t;Phfdz%iKTqt3>D0S0xK4z!o*X&DI?H89{Zx^|1v}Vg}nk7!`2RBp+^rL z=@Pd<@d`)~Kh=|AmvJ9+xUshjYyxJM6Y3v+oKpqK-4#wg@uGcryf~%*L4u3Np3xAi zXslp-@MiG@dBi|*HEgFCw0B_Ce9OXI-0lx$Olz*I@O}~?B~Y#igx3@D8JD8`Le-xX zwgq*8yIKEBU5Nd82{>sed8$xO80A@z&|cJ|!6+cf-}pW8veb8Jki6dY9{Z*EE)?-+ zU|1Z4SdTqsJT#xXgRJ@BX`QacIJlGb~xKHRrHt0+gbsq^D*Zq>XUKR2(-2 z(t0kM@MZKOZKP8n>k!8RI1J&2`W*98?l8Jo$0eUq<{G<#a*m|{flUI8Jr(v*4dA5< zJ|v7az~bS6OV10yA=b+xDRF?lEn4iN4#x;19=A?^^!#XZP4(k~af--#Ep>;{U_cEI z?mfUXXIYCUeK}cwsb@Fbv<&?C%FP@4G2(w!s2!o7*#-dEhg}Kk7`59t^}xFWdBo14 z1DsD>DxEqg`s)B+eDNK1`{Y}p;HX1%b%ggNZe?k1b@(SZ-%x;ibwqH{y$? z9CNl2<@^`fqvE3OEQ9&h`9X+lzdD;(r|<#{hea8`D;wKBUsuX$ zQyP}a^RB)9)5JugK%it({C!a@GfQ=Auw8>lQp}Hnp883D z!spjKcl|co8Er5##5wa<0U>Z{{@1gjcb44B;}3wD&n9k5=3*+>!LR1I`6rHTx~#7h z`>$Yckc*`Of*TCTqz*Hl39V?Jb>RpckVQi0db%id)udRUhqdoEHJrl~ z89I*$dNX`F?;yF06pkCl~9nb0RML_(G%!a)5P-`d>2SfxjIA7$}py@7b7#T?P; z_zp$M*ng@I30kc``)%jC`Ld_QC2`|U=nkb0h2X}Q=F<_Pbt0jBeOs>ch}zHNGc?g69_2^qoyeTjY~cP z$}NN%Qd#t`IzFO8y;_z*(22`C>3u1J{m%7IQ|4r~BT{oc6633kt1;IVgk*^Hbz{^gpLsIcIqjiwY5EJHPKCHcvHT3;4|z1vO0R0Gdc ztXxik9Uix@xeNR#TwGccbYU$7MuGR`==E9KJ<0NZ|2j7G$c>8pr^2a0EY}(YErME! zZ7~r~(KS|%TZ4}&hSbX3#o}PYZ_rOiG8}K{O(nl65NXJQ06rQm4>SSHF;#%~SNiW{)JVD=mPZ{mjd(br*a`OBylsUF}gKJJ4|cq#}M(3vZ0ieTYzQ50wPS z*7$FVr#Sw8T>scl2MC9X7&^!wvumul^MWqng}GS~Z_+V%;)5#W1l zdRAt}+mC>|t;#@G$|6Fh{znn+yKrZJTlHjt2L!fDg-f@Ak&jW9j#yBkS%6Du?uGOb zpugH7gJ1*ewYDD?5<=(K9984}Q#>}bCvIh$%gT0t1=Cw%GtJ}DbiXMkZeP6B=x26| zOR~$TH~OI$;T@kEG5iiaKlrFuBTI@RDW>G5$A9FgL|hp@cukPm#@LqP-E zj>@Xwp@c_~4ljDF$eZN^BE2F1^cUaYWFv$w-0b-yo0BU~@}mDa+{Dq$F{e^cG{EHm z?-bcz4~+s+C>Ev&t-;8Nhgh=|o6Jc?$R`YoR+i|1C0!{ArKE7Sbi-hX&t<6({k!Z< zb9vy@XVRl2`ga5V6PMix_7*Vvh(lEkszVsm0Ri+JSaEV+wK%|rWZ*Kdhilm;=x3c7+do0;V;9wX1#Nf#5mHln}6FEcSu+2@_LpkKK_1|EqKIoNBl1ChW)0pHi-5 z_`VAzwVWPTpv6E!wbM}nT8m|uKp9t$;gO428uBf$_qu12lPD7o(*#V*lCk`1bhV@& z%;{pmMhxbtNUXyH=}zU4bzKY9@So`t$8Sr`9kXD!{R_Uf`Hjup9n5EI73~54ucNbm zi*jqD@H;)s5JNW%-6bs`4IT*42*(g2Hl|rS_IXdp{1^8 zK1&&OUfF%JEfRm$Y=Jj{?{&IjgXp8ugjxv9x!4=id z%m0>@eB@t22ag;oz0;QOK)fgInSCL1D)MCaoYoYGigb24+fa%_>7cp1eHbhRAC_ity(THhmov+O3M$dL z?Ak#=mjgM~&MELS&U>c@LFebEQl(&>VtZDl8%Xrsb|b^na4?P+~7T9{filb}m zctdz$V#SdYYyWV01=1}6CBDyXcUI#RPN`5Vq9j3rqqc3UG_%Eg(~+l;Jxk$eX^yCr zvE0U{pvSvt5Q|b4Agu{Re1h>A0j+Guiz1D~b51>TR}A;38;f?QAPwih+$*U&0&B2P zH7-12?FLMZAc(-^k$0EbyA~||>5;%G7MdM&8;2|K8(a`ngRur(TbGWbCz~A==`!u3r=c#|EE2z8Ry!M5pUU4D1GlweA#iwkvZX20jnl`-xD_ zR`z;qY~17a`%I@sc9@u%-{g&3WiP~|f&I4{ASq6T>@ixIzHM-qT0NmpRi;u>TIw~ zuA`EY-P$z$WC}`7In;jBAbE=7-q(4&0p;>hR@Ar!0aQZKtxuY3t4*1mVnM6LDN7UX zqKS#;0+6(kV5D}J0{F_?s&w~^FAB=LGHT>J9i8NpkVrMdcbiU5H&cW_on#PNzYUP4@v8r?yIA=8C-R@$b0_@&5S8^2`t##+M106mt1YJ zUl=3KL9b!?qC8Rj1+HE09w~s6J?TVh+N_b)-Au@{ar1J4*%-q`=(O-VQCi*{=SiV> z7ahMgEjKBhvcgXdR{*IULoT@RI2NqYmnPx&dJ6zNWUmXFS>swlKS7gm7f(E z_<6(u<;Qj$pSR29{*xm11l0Nt)kEF7$7QE6hyQ6HDW}RsB;GjAzB)BC;e)z!N^1|3 zfo#vJPZ>-d2Pm6n8oQo0|zDG*LEtSs5i4yNDXeGrNdV z6<5`gh66eN2Z`U2qfNg)FQpzjUE78;1Vk|055AQ5<2ibwJpv@rBio>_ZC zi-K_V^2gLT*H7|92C1 zHZ)fNNf^!cB-}n`(+Vi-g_cGdrphPUYU&P;R*XHrsu$p0n zs34-kJtJ#GH?S4Ob5x-S%b5s|8B*=5JH@0yRp3PW8Y(q)sr!g`%J#Tv$qf*{$vAo~lU}N5wHbMHn zQ)w7ead*31T{ERngy3bY*NiV;&me!@36 z{RBK+)1rX*WC0VOfH9i!6b1p3(r*6550bpL&DXxHZMv=b)V3)s*n)A|P^00tM7@zU zR5EMXP0P32k#NXFN2}R3!*eBH6E*PW-&_^hh!>_!xyhg}56TQ=Ll9?<2Ixv)fy1>N zv%M^A5o@lb86L-M9eVPWZE@j)E!1$4e!3!Z<8=9ORQb2TiqCPfKpUx8cjY+iQ0!0w zU&6-cydeB*g{VNxj9zKA<>JJxa6-ZE;uVGdZs*Mvp48Mb>8@B&HQO$s}HWO(E3N`X2u2YH2s# zlc{dq>w)~Uyhmnf^EUY;iyp?sg<>0VKLH1>0)N3w%bV%yK)+VsoS)2S%$5RCb7?( zA$)lwm+Vx-^GuoxEGoSbxO%{Fn{_WwiCcC*B*b*pB|qnLxJZ->kznDm&3086$ zFP8zppYyus!L=IybgnL~Kc{ZjmTz*2Eie@7AxW&A*^YxT$K<&!+HxV{Ue>9?PXztb z>GyNG*F^0cNbVWboavV*?&RL@B({kC={PQ!0Soq74%t(80UJYasJw(7reqvJBUF#% zA1$28fzDg$fyT+8zupI(WFNivt6-AXEh?k$6R-AJ&kN4w;ZkO&D6uNG(w*zS9or5M zNc-G|@{%~%vFJVg4nt8@r$xlRT;nzu0#NTN?|54CdK=DEZ*?@h=1hM|4l3-8lS5v- zTw4);2f|fDMDEtVu-vIs-{!3L8#;>LC$F{3A}g$)SwFEZ&p@VqY2Yjks<{KW&Np2~ zcIQI0Pik7ObkUQIyyFLzo2twD6A-(kvR=)dvTkJ$b`P*azZYGo(g}6I-$$&`&@kjg z10ptmx8=LK=Hl6!Vez&DRpRBWB^&$}5~Cl(0L&)uVn`YlEmrvQ;8GseOhf zD&LP}0Z5S0^s!)`KVjfqkhL(qi>+rlBbGtkuwc!NTc7}*l^NVkaguxr{ zKY_|l%d%*tFncJ18Y^n>CbeN(oK9kqsk=RD2tHQ=?0;^YTD87!fF_sya7p?!AvOw; zeI+}U(GqOetq?Zdl1Z{ZAI<=2q5TtZt`*9jHp!r7&{2n`ML=2_(;1}*IIijphTDSh z5J~_$V41Q{2!UedTiWvOwB#B@{UjPSgMY2h=~hOzd~iJPSZ6K{tpgq=z;zB{W%HZ3 z*mEQ|nAxb@O-t3X(5A=GyskY^NFVxK=Q@%(k}6V?*YTFLlo|-cWP*H{bNJ-nuJ;e< zpIYX3Q;!ZOiBZ{&QJA%Z$pHf5cQ_7ed6IYfC3Oi0OFf*1#SKL`2-Vr*?n0uT;Abc1 zCpTF-Zvmw+uV|VyWdCW;>=Y5ob2KK-f1nJZ+`7S$0E6egKO!$`jnE+}U*1uc5Tl$Q zm9`gqBpqC+WtgnQ$0x23DZeMsrclPo%U-G2OvV+^f98)+Cpo5uAwA_ki#Voc_&aH# zY}p21q7i^&mSkCEP!87!Eb3e=gRNB&8Ju)ogUWRd#~SdpSMS#qVr|_nE97L)sq&^p zH)@BXwNgor@}E=P)n+W>f`DO--OlpP>)N4A)%V>akT)n{{|R{Knt*9JBRMI@ekP!! z{PTF*Z`HZtgfN8nhC~UE2)CJcZTV&d5-4BQFXC9<1|D zF__+&=|$Km=OD@TC65^2LGBX@*6N?D%;pTMJ|itLtldjLFJP;u$ezR?Z46^otNmt@ zzxr(==TBZTOKOhkd39ZbxKF0zf;Q;1f|8!`LQazo!Tw*9)CtAl+hC5kx)6S?RP;)0 zo+(l3tMasL?~v`@7=cMA_<&i;&IMO@X<(iybpXR6liCGdHZ(Vis_=~Pa_gCHaN%ig zfsi0_wbdO$yN@rzA4@BjIn3dwyL%>B9hR_K5vTB<#(F29QY~!&tft@M?2wC-p}hes zS`jCfo3zF|=wr79Z|cR?vLrh_UmlrL_Ui0abJzaoE!dk#`Xyeqm$8xQ>T$elgDCOsSH!st~mCTLT z=qAbru&Qt2* zik=16N)w%3&O->?mZ&!AyejnNlTtGuh6Bk@lrr}XNhQf9lH+)&+o^F(rmson*y^V* zv*F@gYWkMHB==hp3f$!4>w8#K97x^1I(L+pRDb##>i9SYSQuqp@2* z*XB{IQQGhF`bSkiw|Nf(=Yw5bRqbyrw0Y(oa^AnT z3zRL~+D0T>A`{*3Gf$@m$JXo;<#U$z$T1KLdrdYEujp~gT4kC9hOuXuF_<1)5OaSX zQq~U|RA?#R63i@XKkwq&!B{LkI$_lg52pdV%OKYwdyfauruSnd-yMGkVCk z_OhGsJVj1Vtuzm7PYz@JwDLuc1xQL@s9$=yp&vxyM7hp{!_u`36|D?3JgSo$JpWw3-L!IGFCGVbcB8%z@cle15MWy^!OK z{U`4UCmXSZ2&XP+Yv*v=$b{tE5ifl4v7;>MLxcCfZT2bRx_zx8C`iFb_}M+H4npLW_Q@fSEgUBvl)`Zq|%Ae_T?Us`6cSi^|plUlEzOtO??IEV8-kIZ0* zorWvNr}Jn(83!rra1Q@{xXhrwMl{DK;<2BMj8sfGN8!HCokU45LV3yTIAc zp36xK8`fptpgBZHxv0Gk_jA+cbI4xQX^xK1sTn9xN4-0sXbS4+SR&&ag|&$#-|Mxb z8&IrG!1!|~dfOPi0qR%FQ=O=VMSH$E)&wG_A@k=P-c54(2UtHu6SSR9kUGwhal&Po z%<5jYaFXEpSFWFUdL1#iaRjDGtnV#9lg=z6Ry#ag95AhukG1k4$8T0O!8|A;Ya7a&#-upPHEr%=B#ik1ojlcH7KDPqh9wFxSu zR+!N-rqh1)o9)Vlvg}|2mm^CfedlCkT<{4mD^nxJfXQrWY_IE$JhMvY`J`Zp2&Zzl zoQ{fNlFbTv2r{?Vycz@K6uWFI|BraKbmy^T-1;@2Yfc8MP55Y7#GUh zbB(>w)$v^Uo2h@a%h3wl^Dhd0-_4R#rd1x$3f&09lTP~Bd2(RuY0dFUl}TOoy!2rP zAFEKnry8@%suk!4P7{0q%w@wWjBrE@^Qm!ET)00z z5~zMdj!ISTkchlu6zkRmO6Rc1}cUk1<)L8^2*JX10FS45;14=|ki5G0h( z_&v^p3-=YsOz@9}B z12KxV4L%jNZI-?NGa!-FS?Fr}E{rl_mQ-F-aNWa}ZJ#W0o1?VPE*-N?C8icQ$I+q* zf?E)-XrHGg=7blt1;+h2XX5EVEgL4)BqEorm$E6g?P)YMN;2=L?_)8jAa z==xiUa%r@V|ER2W1+kg7$*^kxF>8LhW?1`h8y-hf4nN+v=lDww7R1x25UlBiry532 zhX8Vp5?8uAe~WBAdwg54fHUm2Z9nhVg&$YZaV|L0h={XksUKp#anyP0E6n8X$FTiA zkqm)Q*--P{#_tlCgcN?jP8J0QUoEWqYf9JXNi9J}sP|fy(wg3Q?m9@wYlptn zv)7SgyCWWqkAL_YJ~D;{uW-J|88x`jCij~#Cgs#dh67_vOyCvoG3+SUNAEX{&LtDa zw5j#cAHkLyLsYr9GaH>_N~c~7kE40mIn9V2isO}-n#&x#3`@pWj860bljyMoE&U=GtN3J&nMOrg(K9b>R_<7 zF39VLZP~1sbT$1M83p-sI|3P)TDzuT?c3|J%S_XMUgvSfd~`iKIP;BtGvRag#Ad9J z0Ej03sa0*A>4XJ7{$dI>yh=%gc6GM_e1A!s%|cPPBV}e3r+e(t!5=ul8pbqw04L== z1AP<4J2W^ucTCglb3iwj(h`tsGTcTR{Y>o8KD)OT8c2FH-S=eS{1tw&K=Da1CU%UE zYeIDg9{clCg3&iV2JViMI_Q@rep>gJgDja^B(u`2JFX zXE)5u>8WRr^LoJFg6Ik5^U@0?5K=Bv>Ly~jY`yW{c>eK~vgeyGF0a65{c??# z%7p47^DnVq{# zbk6-66Wpn+RKRTi=f^fUj&hYY@-m+5liCt|Yo62CPmMk9UheZA7AMlsmxa0*J#Rbv ziA~^(>6_hg#qrmWjHPwh=jFQY5>biD;G56)pDLO_8ZcS8$@1QN*`P`-jhP=&iq{Ny6va9er5T`rpNLU+HZ7DfF|U(V0?r5 z%|FCn3;lDu3g^15SNynV;!?pz0tKJxSGc*npuPN$XkIWwbo5O>4NNk-i1G!$zL|2G zVANSZ=Jej2PnSq9WusTSofI1Uw14Er*1`lqO`IQU)Q>Z#@ehm2+*juV2gUQ@nGS$P zDh2>7Oo9R=HJT#XhahaX$8m3c>WS97X2wjE1*^zF;nB6n69o7^<$EMtert#2U%%AY zEGCZHA;?#=y&GO5OqlsfkCyx?ll*{%1tjR}09BktTD@r!M#92)WjinY(K3sE#?vgj zKJmV`AF%+O%r8|0pK&#ODXLW&JCxxL8A*0Mc{*8oASKc@9dn!I&2wJ*40E*V^}06U z*c`r)rP4da-d>WV)Yy2~0b+Q4y9RtVAGfGFvcDkCl8uT0GZi;ZsRx|t_5R9phMja9 zkS%1#D#CD?HzsfJ&t<3OzRQ?5moFDxvAZm*h+KdCJxnfyE#5${n3i<-b8BeZ1v1nZ z#(ljon&nEg2)9>y*al@tE}9!#7+p2=EebL821dCNrQ}D75;uQQX)STK8)d$|0ih?O z4`Wsx#_$)PrFR*nmMs4WVf{On&WjUY(oI~yH3o1m_9xL87q9T=$rX)U-QEQw(d1do z?kd)FGZg;FVEY^Hd}*;yLztN12v%U{Ejmj0F}#D>awM!E-`U0%!gLeKte<|d`&>Jg zQR%54+L0t3?7V|-O+XE^lr0A;mmh5im+j0V=E`;%1C>6Hl|lGVh&C7~iE8 z13Yz>O3PMHYtSl0`M}Ihk8ojy49gVI*LzJ-lOQ+oGbj%_j?L9Tdsr%R;7)#b1-ZIb zJw3@w$xpRHWMB9KxPJE+t9lb3q_PK;<%gM?F{N+BC5I#Lk+_>(5wb1LT$KtlW|y!? zA{dVFr4(oTh>_w61l_f|2kv(KM<`Bz#caj=+V>}pOiCi;AVVuS%g}B{>rLmO>CM}% zRYO%HOD>Ps)y}AO*i479qPw5_n!c>L@-=Dvb_GNA7W40~!-4jV4Fb~)-C=s2yfdAQ z#%O5Y&$kN9%~d(jaNb{m1`6++&6x}tUo_#FC{OVk*8)k!Vc!^S=c`*I=c$v~s&>W! zk(Gc~(;tZxu&s|Dm2YVEv#nP+n^6za6~@1q!R~lgOi*qvn%7w&WwYhlrRXSeF}GaT;Vh#U}e zXBBstvqvf)7n$G+S~wSvON!1J;fS?oO}-OPw?b2dN&-GEq9FmiyN$T)cTK#6DBq3| zJBo=c+{~=Ig+LZd?xwHBAK0ckewB-d?^PTsHA)orgipIT(?rjVhj`S|3@jgpb+5HQ z_Yw(kfM3GUtZa{r43KHLjW17VM(##m_V)c{NNl$x@?|*E|K*8{_~8F>SV($7oA;lE z#~~Lx)Lv^wJ1Op!PP`#AemEOA*ZdSAPi2<2f0SM3_?i)3=;X704I88$z4Bt4i^y?+DrR_k~?1uO4YO%v@rJVnrwe7ewu>ULL zGb!G+8j8xzKDsYO>EWwHs9x1Cc~Hs*QSGFbZ3LrLNTFu8zCj|yhKp5<4J^T6A0;hH zPd}vX8>hUid&kuM@tol}wcF&ttUvQ5!5UNIod`s0QOZIKz1xPW(ToTIJXoL^pim^| zA>;5Lhna8~><{FvdCqFjAIds!$saM2lM*+YfSt}$g=V3(LltiJ@OGg6pW9`>eY#wi1F2l9E;8~rWfO&HD9;QgL+dCdZMl6bTxl2yA5ucfaVc>OGjj!kuB!J9aMOZW|l9hFvSUF zP{K5AM=bW!2T}C*f9t>FeDGNaCng3t2zyufkHCKnKCn%^+EgLlJFU$?hP_I!?x239 zcAsx#ZXHCK(C8OV!nHoPDc`hBskzD#&ZcRnpt0KhOfAy;bA1);IYWK0{R+<$db45O zuUQ{AOt^-^Bdz!t1NMyo_~JHOtT#J4Mm2D72fiHZ!@Qj>2rbqQ5GDN^fEV;$bjJWV z{t$08Mo9X2lAE2krO5Kt5{6sW>=|C(=1~2NaUW>?jb1xzYgnqXrECh(Nm-ankg95jt0k}8 zC2wpr_;dJegIFtb(&x2rgCl4oVpk`(S3(kBTovJ zzxT8%qsS%1$+K(8!d7~IOufwJC`%;rd$vF$n{@TKp1>dnBXX2!Z@!qfg{g@#S*F3v zJ>*CRr$??J*?Vi%+MfWmjLfhkM>eQ;*H8Gi$tuV9(Y@*fp^8uU7$6()T_{Ag)T37A zGv0h%2deFMCQoq@rE?i#cGU<`lDh5Q%i46ym$Bjrv!j{1Zi#OpgS+y!=&E{Ww(^@K zCXXv&!e`*oHLQeWb-!)SZM;YPpxSwMk6ObJoG5`LeTsz-NTc-`e0F%NAk}QFEomdB?~_wl!@Pa8`awxtN<^5bvYS4{#1sne z=7SVX8HQf)`W(1E>YTPI^_SqZ-bCIS^)3`C-VWj?X%75^T!Mv)a%ID2VF$4L;#&6^ zcXMH+Pj^q~?oUo<+yB-_aU)G`|Em91Z&NUkYkdW38#dwc8uH>}UpH^vXoS=zmrtUI zx440U`r*Fxa7}L7p~YJEL>7M&%&1Rjbr}NL97ATKaP50gpuqE|yD@}o1zW(<A#iCQ< za$XP{fy2vmP{|oX@v3$H2*=kn{U|8}WuO7hSv!R8{*4Z^D4q)zApKLZ2?_pPQT!ub z40Hp-QrCIl<{zny+Xc6mk1kBNaseYjl@lYztdAspD**MA3$o0iStTrKz(QR-?+i1U zHF>Pe6E8ou;`s!A-?{FB$N0?C|#hu>fXFs~|1FWAa~N|lTsN0|S_ z49K&35qAVLyL$89Dw#DdgU8g&6;O7Wz11g=iuKzM^xck9%|V!%k6OfW`93Zn@6VD|v-qz0_jofDM%1nd4e#VUbesqBa<1vI@M(8QMBj%ws(>G&E@q<$1ohUE5 zb77imk4EQscI%sF=rd32|Ej*Qyd*&MMfRwqZf~|V+QZ?idGUK}iFQ@xX2D$)f5%jv zwD*0 zrs8G_*2PY>}p_Fe}l3c zHQ4!9KP&{aznYPeF*`KwS>kqQ7}4MyHQ291=|IJ&<4)XcE*?%&yKP12@ot5-F;f$g zcJj9VNMYK5TOpni7AH}Cmv`ilRN83R-_*ln@FLA&p#F~|x6EJAUnrnZB;yD}D3nC- za7CXB2OY)Od8C@}K*3|qU|qhRrME|s|Dg!AZ9GzWe>>?a)+ZQZHiUzmmw6LrC9=%k zZkDf14{wU4e|x_Ce9IhK$?6Jzb(0cCI4aGjtTUQ0X#?n}GdS&e*HAR6s-I`){iuoP zyF4(|6aL)luV7PiO?qG>Jm4- zob)CQU>d94oHRtP=Q6|L?!8axt*!&KdRyG3DujZ4yT*H&cxEu~(~vS4Nb5Yh5q>mYr|_o)U8qci;3cY?DMxCG`d2R;A;wNjc52H-J7poOmp$h%>307DOr&4 z;DVPNWii{w1W{4nA2n%mse^oH?|Y%H{GCnK4$^%5z}+j!W0*&~jo)I6ta#B7OLKjh=qk}q;p=Ww*c ziQHQZ@Vd&bf=)^m+z*x2|)V{3b%Gz z+2%@I=?lGY@SIb(yf)7sP`hPoJG&U)vT|gtTYQakgt;BIjYv7nX1j z`OM?bfN-^YK-hy=+d&PpH@na|ncTm^IO*IgaJ1Zc`7!Jk)x{62yPdZF%1ui&T$tA; z3#Zu3gJ<_c*4O-SiO;|7Gy*y}2MDa}uxa%NHu>I0gFP*T$ezuUi`55@(63=l10>vX+SdlfL}pp)Rgx4cXIZjZ?B2*~DW z=biK{+;$&v)J@toA~vwx_EIKs`73iAShQFfdUm0+4`g{*X;9&LkFnGZBd9B7@s-S>a`kn9Zt1z6s4u$k!6tnANUk$%1BDB_UI8Vg7}X1jA* zL4D{P?be@ZvDiltOtCbfBorM0CBvD~(=<;kOfLF}<^YCB?b|Ud*W>#7QP%}%i*~hz zT+QfDVncTRTnStX7WIwHI3z6Hom&S2Nc#fW@8WG~k1bM+ALKUq#1u4cHpCctE;iZ+8Wkj;&M6W4Z0x)3`o0?S^0@j@~!}0e3171NxvM_LWhgBqlR~i-x zM}z}V=ekprI1&v3JH@Y+LJb&Dg9!YN!2`w={F}GDBzVUVKS)(Gc+?OrR{>V{Jq$7U z`s@=3S_mh0e=%49^XcRv^VZ@D(2zPu`t$H;Ed{K!Gae{nepYi*%KQDX88?9;wK`K8 z96E3HpNC$b2dIT=PqnkXjCqpHYDA!aZHpC<`pF!}J9!z6(%iwG9?JGD;G{Y1VdD+t<6b z8L>TN(RjsDdIF*6r3AT=aeV^f6t>@!JNg{_hlNi~P_42-DhT9w6UxfX9feGUL;6eM dK6}djNPq>B2KoQiL^!$FhDqTcQL|T5=KNqv+YVKyedp*w{`XJ=qO9;&^;2tO$00CgYPKM7fmic@5 z|C0T?3jx0cTdpRx=}$3!%Rg+S7xlqlKnVv{DOl3J`|IwGE+>lzw#ZsE5CzAOs>XyRUzh)n#xb?J0=z89;V{0B3%3Jn2+aN}xVJSU25*aGqvB zt9M=|yX=|ko15ybLd^+DTrC6ivbl=jvZKZ)u`@BrIEBb4a#V8!IFhj9;Bhoz^;B|{ zcvC7#U{Is(q*6vu@aCQ*SS4$WyMgh@kvsKKtGg^|96{!_7GzFsmpAz3#$ zVkI3&#WbgMCLT|WI+5_vC0yUj)SZSF0o6td`-@;sUGv4)Hy_bW_(4hrkY|pfUlQVz zNRgRI1do)Yso1De(-lH$1ezZouN##frAyfMi8+x<05`=_4D!NX63hwFf~OP{45+nX zsjq{#&P2q-9sc}DG&l|s8mOI;msrtAfHk?N+=Wj{MJKTyok$@n8;Kzg&}fNKX^FDH zsWGJsE`cGLMzdf;=Ky(zICw*e-hm>rph&{1_~|jbsr)2JA%~OFY=s zfXA&+c_qT&suIhM`F0gb`7MSJ74szO0lf#6s>q|xE#zr<@r?xlVPn;_Zhr0XuZPr2a(vloq46XlT5o z^EBS?ZU?YZaf>6vFZon8k7eK&Y5Xhdtt()FZG$$$?#-8Jlvb2;yX$ImwkL(Wv=vO!D(d_-ewT&B6q9=!iWtoF>~0eZ&=jc&LDhUx2K_7*f7q@AW*E5x(`3wFV@9I{xOqc+9aq~ zvJW!09*`agf@1E+Ho`nS-Uv$OUwnmJGI+$@40#k6aj`ED`k;|bs5B4MqjehO_OI@# zF%PieB0=^?cYBd)j00S=Iw=2|{OIrL5-uasLb+;8FGxli)3+^`z<^(B0L;wRF!RA1cOpiF?gL+3 zX%cB67h~bR!dauY&Mz>9vR*9UnDR9TBxj!IEGehMm`5jK2ngm(&b{2{CS@5Dul>NL zlC`iURgmWs)+4?L(~3z3Gd4Ml^>Q?p$2WU~-xN0BR%}K}Hx?@w{I~9~Zb2bphe~ddC;5m3hLW$ zW|vxol1TGL8vZA;g2ts+6)LbdxN_apOeS@NsW4^RbC?Mo|Gk9x7!iL~0mN~+YB;pZ z?6%Rf>^5OE56d%sa>1l)^tj+t*TH>U*@F3RJw~N`+Rs)3gfVoeBFi|fEo-Y3l!32G zLSzR&4r%T?`r2S+QviDS6z@=sNdsq&=O)d}_X zoF-+OnV+{yZYTaZ>5eV)mpE-!xwOIH&8i~HU-3dXT9KD%VGU9^YY8dVM>Qj)p6joc zvS5nmZSz8n*7&WjAS|2JAO22jKeEl3G_actqh_r)EW=`Weyj-6C=&aihkvg^Y7K*H z5~(p6s}*`b4J`>BhGgFJ;}=d3FZ_Be+D}{g^(fTG&EPZoE{K|yzAOA{sZOXe`oe_Q zs5ZZAUza|gFqny;NPp7WNL*g5Rgii??#`Pp?titZRQKXIVIZ7)#{-&hRk)jtykcZ` zP_!4CP^|S`BdV5T(61i1dQ$^VP{08mBsG?z&2zpf{N^hFSlNtcf^T8r7@$U6!#y%48N-zR(%R>Igp-FA=VIn@%4V?CSId}DE;T-Ep$ zn{2?NUD|2mjtHSPNh$Xc4phF;+i|}ZH}FK!zH{kgb*rTY(>P3hh4FJ=-oYL2?*-{6 zV@x?ugsHJrdpGQyPvHzgf9@BpTJl-lq{M85Rib>fm05gnE|JcUU>^gD`aGJvRW2Ol zBa5TALPG+AhusD{UI;Xw0T;$_t3oUm0{<)58fUpt@1NNo%GO90KXoQhFw<{)A$A*T zp4_=Z`?A2^;j_nprMv7YP|wn>E6vbr012>&iE4frLtX?@qK>xe<*(sLQ87UFOh zLRLU>?{EmS!*?Tl+04`(xl(Z(hVqL!mYW`5HRlUAKg)1;GlC~;O2a^7wMXkvYZf4D zh>he9*hH}g94*4l5=)limn`f9e~z7CW8ttqgML)qrZi3MDlw>0?Nz%USQ$lJdCwTr zZ^ZGC87C?NSI1HfBz<1~VNk%u)ZJGM7^_{-g?<52@vYOY1>0r9MaM`E z$5_IpBH{Z=k#G3qk#w!4xSZPr-7&jCC+RcG#NR{Y`--1FjJW8dgr8fBr}c67+jvk98dz606f>@XN?y;=|67 z9~(#z_0T6)iJWZnuaIA9{%x=v6iwvJ{e`UMy|!d6 z9#Uxn(s^ORLG7F4e_e~3&jJSl=>5%092bGkCE{eV?UkO^JY&ieK}33G_Bgn zaF}JA29?LB-haNL zd;c-kU6zz4mBK1b4y4KKxHUO~wyidOue)_0%X1HOO?$gd49K}Hj=NPG%(3UQB(`h^ z=hD`}6uX448^0IVp;Npcv)Z)J^>c&YrXQA!FMnGn^HLJ-iL-yKr~MOP*iNJ_9iwx2 zeC`HvJt1xGGJk_qD?3~C`QjV74ej+e%%P?1YT@X{8{6h!huy4YX|T6v^Rs1EYbQHz zTY9~>vqIo0s-b!Q)nc*lg_)v}*TQDjoha5h+~)$Y+l!klp&eJ&<$j(up-^hxMRUkUi);ofbcD1GpT8EgkCM!du)R$VIfq&rZC7!? zo2ox_`373SEIpPgTi6d~A(zevi)}(G=@JRNeDrjeAlX5#+c@(maSeS!asxJ+BwvBU z#frwy3;>oi8T;Cww9TUNoVDWS8}EvSCo3EjnW;{mfl6D63LAcx8+pDM+5O>_i&RQA z)J!Yms5Pqb8hCvV+S(YhbyIQl3v>9$v6Q@y94@~4W86$DNTBqhilTO2*QSRDzv*+x z(WdF$UNyK->WD!PvS1whMTGmOE=ansH$FnX1LPx5)N?d=+A*yab;bysMI*sZb7 zLVJf!Ms7DEM{E;EC$$Q#e>m{E2oDvlhTQm+Zo2c-5P8U8iv2E1mi-D0sHQPvXVpZ6 zj)^seLoeJCRHt167pL!$kyhHN1gpIKtYcs4O<+&hApCH$Zm=yMlYRWX#LHQ$4Dx5I zyCO=W|k_wETjlhf7JKg5)Z`l|V|r6^eT8^HP_U3>nJ$ zw8sz8axSeAFkv**Y z2HWK6%d2+th`pul=T<=!EwNo$2g{I_(*&kD!`^@vovLM}m)h!-sWYvaZGtWO z-TgxgrE;zIQc|U@63L_ea%FpaOMz6jRnvrLhYQ$B&#l&cBUf)jKgHy?)&4XC{YLE? zqpjaOXa*`Emz~rn!N)8_^R38B#Z74!fct4?{ouZ|S$lRJttKW}aNaFM5)nQOsId*!pH#zdU0F;cX3=*&~w zze3O4I@@uwttK{#v8X;gq-fR&aN6det<$r@&C5^*q7$1}e#>U1EpyNKw5sBk`<-p# z_ois@lm>x3wD9rM?yIx?3nRNra0f76x9#)Eg$%x-xaF9%#!Q4=+x(a^63#fNlyvI81U8T++hj(6H&h0kDiNt*{rn@2J_7vgv5wufvZ z*eo7+t}=Ra4ZFkIrK?tN&tb&tHhfPjIBsi)0>>0wuKzivsH=g^QC2)1ax73-Is$Oz zd&fkR0gZ(8f_2cgK5db{Pg)TV(W-kEm z||=9FVXZ9*d)sMlF_y< zaP~UQ@l46}VEf=bAP@)ujsbj@Ki~&&4<<(W#s!iCq9@e=PqqqhB!CLIz26271Bc`S zvw%ithZ_HTaZlsZ0K50ek-&ijE1)LjWL$DwKsT$EIyg-ZvYOeXM6q;*o@8~RK!3pK-n>kaO0~Pnvv?JuuSQA#j*!vwIW~0PTGR?( zUc~8NwX-jUXmPcK*7pS9<><1%KSDuHK5#QI;F$kA$R$(#=0i)*NRfR2Ev+{TIrZ=X zovc5hLc4aCB)6|;YxvvnFhl>taACFT!EhHYvg%;+Lag44co3^jj@}a zvS=QmR>+3p3J_@-Y(WsLd__VKq4uc&ntE5dev^7R1$kzGv!*~cWnJl(Dy#vpyZZdX za{#FJ$&R+%jwT|Q7eV9aa4<+~nb4@6=>=zgti8p@lb6)+$IeIWvgyVkGeijBl5{vW z(kgQA7ac(V+jib6Ag#EAR}VIj$O|}m;7zh?Oi!eEN>=7vb!f17&KK2ACdFxg)H2CB&(`3Z0kkJ-+d!+Pj{*iEi7Kb< z=Bi7}_G`&p!_E|ktV$6_+PxD+z#>}#) z_L`1&`6Wx+n;q6)>VD#Vng|Xe$>ayaP{d@(SH~wJV^JX@QdUcl1-f zw*|PHqMPcpjppn2TdfNq@UjB+-um{?lb1$DJR`m#pMUg4f#|XunJ-evQJR4KDr65L zCL#Q7@E~O|xUhtn!5!5tY2JkWVC*$5^BHZc{LA2fnK4vBb6}`yRmT=S|Ma|I$w1>w z`vUc$x0p}fzq^uIZR;pTPvcZo=>{&TMt%*1rYSNLZ9>*d470os1tQn74MNpme72-* zk&(Wc6m$m;7nQ$3ZLmf_Y2CikUu$8Hs)0cmj4dZq3DtUh0RF z*I5zPLO4IN6j=Ms30XU+@LRngZ<Uf)T}umaK8 z;4B&4TrPrvWY@xTvFk*U6YIK`}C2*ZiAw=hQbX`D|R?a4Mfm zmd)8lQXvg&nLrc|&<^+#=Z%bn#{G(eQevtqwd>)XQ^mhI3tG*5=+eVaY-DrL8aoa3 zGi!5ZK_KulMhP5G9gknLtv04z-4eM|yuP-NxW*_wmho_p)rwfCN-m*WW>QIPY`bJ_ zjt`1-3WFb67s0t-KIKw3^LWqa%G~t5y;>>#7>bFHxsh>@IIB#!B}8|0UiGrCF~oI5 z5PqoY@w2l_D?3gi%LwlnBb_4;Iy=aG5EH|A%NUx!d*8aX7k(?WYd`HLdEvkPQ=vXJ zBE)=NzQ62!y-zHZ1Iu1g!jui~sH!cgihU4*Uxu?(x0x*&4_(AxmT=j0Le(TeNQAM4 zR}!l5?up*oKGbzk3Ms_0#b0Zem|8AGsH_!8f!W4P`9w=5w%@#d$1D=gr5Q!Xw1#5T z2-(P(^gWJ#mzD|b1!kMFCfeJE+Zot-rMVN^an+?=r%V0)V+CaJePEleC0h~ZFnV)s zgRuqIhyQEdFIwD$0q{uloB0jbE1-%~6a{Lu5aV;}h?dX~FUFuj|8XBN6<7ELu=2Qy zX{R?;&BsAR#T9!2rHH^2&|Y5@sXcsLGCI!;r{x;%d3ejO;M_e*YR?AP%%Mhu8ze`mTK`iJ;K|Tpf4o zpiZJi5>A5YOVfb(^1@Wok$|njq}p0qC>UVWZsD)4N?`?g9&jdPRkeLHAfu0&xy9IG z!)dnPx6WuVL1$G^x#y1kCo!+KOA?v|1NJS>UmguL-O`wNx`CHz_fCNGbVNPyjzhfI zFU?Jvq7!Kty9G4tHr1wnQ?x26oi{u!oK!dBG2Hm&bgS{j;5x0Bt}Nr;Yqc6LWhJ~$E^Xqt%D_Czry~yar`N_fnVfs)#-ayM#=S$}*&CcHk6_XxXc4o_i zh0=Zjm9J+{@w!$(6n&@+#T2IWMf@?=4qh+~azxrQQ=uRw*PZCOVc`UsZYhjE{B-+L zeNGJlRz_mT(^*g1sK*lm5*G@k>kuu^PG1W=<(wA7sjpfdSJY!5T#z%AgL()sfNVy= z%Maey-?-V#qcMH~S#C2X&a9bFGBY83ea+~rw-O&q{Ayk-8mTFkU7R=jbq#P|^WL*8 zo$p-QTPlOq^d5ZL^9FA5-lM%YPQpv9aqHKx8#^iM>hJBKnEl%Cko!}6(PuOn)M!KiFjLpV9D8wA0lga=75}URt}hDp4?bC}xmZ^Wc5b<>Ba& z;Y8%@CMV#vhe8u-B&z2C|@85}G42LLxcn^B*T;iBLwpIjLXp!m!!`=)S9Cu;HS&xE9 zww*RK5Nw9{7Mcx4;QYbcL*#PNc1wbByvUoT9abOoE2#fyD|C9+4!w}XS=icShi{UtnZk8 zUjoOfU@S>&j%@*W-IZXcI@0ZGW}B&pRX|)@ZETL<4;8S{Byr-1ZkSQ>gedT0en>&3 z*;4;&(8RG$r5i}Lp>2cQG;hqo_Qx)WLk78I|9ZE^D&;|2pGmEXEZGk2x0j*;I;g~; z4(0|2pTZp+vhw@g*~b@AHGkwb#Hu?Jm}`U1x@y)=JOGDN0S1iGSYK z!Qt(vUqj_;Zkm=YbI9c%GZsBx_BAa zR`5$}767Kt(W*3kiUcr3$wOsGoQSP-s}rCMws9NWX*c=Xh*?P1O58xQbQw+pDW?q% zRjw+ban{;B&G>ard$`Jld5Zl9TBLUSMwG01j#Z$g3Bijx&002vYyA;;(n#Tj<(w$r zEuSYh{(~%@r)>RRnpwgcJp6s9o4R(AL@trommEm*_@^dVkNXuQ&_jo|C&lix^UH0; zUf=mX!dzPzN!y#DtnVL8 z;dTkz(ycYmySYj6J@U#4t$t9EQGMS;m+AtUf(QO?z(1ppdJJ8i(Yd51yEO|a*+m4| z>DsNBrkw`!b#%`(|LQ0e>pP#ow@eo$(7@7eh52m*=A|r*HAtmJ-Jm@Tb09t{@i>_u zLr97yq$W`oQzD}7tvrfsqmcla>x7i4sN?Xc=5*<($WN&R-FQhc3ByKB7#l-QQcXA^ z!-+~c=n%6)N{qB=0rUrqpPr3{K6alvn3{^y-*$Af6VgkKY9gPE7(0yHP9)RfPsQp1 zZhLN_j+BIC$L!CiEC>!$3GtDM>P=*uWUe|vn&x=a%|q9q(^OH0OG%DujLv*>O#?vB zgtI8gK$;NX@5c8|h)S?lji;^>)M^2GZY6>Ezf(_%IV3nHC7!UK zjEIN<5|xgpj`7&L=>kAFsRmQkoPyRjrU-gBOIo~XMvy(wM#r0?-r4`ih$AE>0n(y7 zY(;=@rE#D+>{O2_oWh&Mb0`6w(atm41Qj~mWjt9%_!;KAByCu6D0dxK)3@3c9u=36 zM16>sIU%T-SOXM|pE#Y;ekcLxh{r_hU?M8nS^@u!^?Eg=YN=edh1YTt$1MS$YxYvy zqur4L%se_em>T~{7xr?OL?xYw?;(Zj=T>HV$0Vh>b=y~eK#XT~Pt*!Ta!n&B15opg z!=f>m&KL~MQoSxIc?%?0R^y`p)lFc9Z3g?ff zL8LXv+i|JS9U?oUoX1c2N}_bo(O%8Jk%enYAS#O^%r)C&jIOKlWew zzfm;#^ca~Yf<kbFZ=t+(_S6u1ZBX{L7!#g5e z@Y>r5jH}FCROCSei^Ryz!I0~3cT?wksMPhc+M&HDp0(m`Bi76(_nyRAa+Po#_mX@=bhB7gGWsNl0EK@wvap_ zvZ2~t()DX3-A%W!+}JoU+VR| zC5{abd= zX_Y6UPasb@*87Mpov+BnMh;y!4o)!lk@~*PO-s6s6(A)3qo1z&IZ#72VjQ%O!MIMZ z7fDEH-izX*Qil>bIyMb=#Nkn;zT*bsXH>fuNYnJ)gC72EcHcvMviDVp)HJq?byF)2?@S- zaB9uFm{on36Vb=$9o3AiY1Pi)Aka{(Mh#P|0;ROu$P)24Is$I3CB81U-hHE(vX9Am zhIC9PWX;me9!RrZA~2#Tulv7+8f*kI1bXu~_@3;`Z~*xq04Q$8+68A192VTkTCN2= zyd2<1S2N0%y|(FkI^sGmi?l}AVj8)@_RZdL)Ep(Wf!K@iWAO9W`BsK0M;hwvg!Y^n zH|F^b_PuCJ#!C4bUx>fRM{~(mIc-Vmwkd*aOKhePn+aP$?f^O4&`gNLaKepcqw@}z z5HBAt2m%H7xIBKt>X{eKw4BUU5G8Q4sITpV6DpK9RNUsW$fuRGV_sxI`8h=+jIQG5 ze9ieyo&vtO9P!?(HE)Xea8;ka^DCe|>1MeH zKP=vyVOG5QiUuZ(l}Pn>*!252hZJv##;9;)^l1|k({dv|-dn=CIvC%@9%oBsXM8th zP&Qc{1sdgyuzc=^_#uXEE}WWJuMA!m)=loIegRi*}Qm% zEC8k*7FV&iv1!1y@;?IZp6I{`I&Zs{A|m_@J4QxctjP!{YP{ma9%Y8W~3_IIU5y(Z}VX}hSo#p=4m-1hpY0$f>Ck;$ zjL0=`MyEJdv**0I&zVBwZp8$l8 z_rl6RUY{o&qV$SHBuPmUt$2){>~Bvqdwqxja%gIIUU0;hBykVS_?MAA0+Iv ziEuP{!c(NlzmiqMDy_jED?$AcCE9LBWFwj}gg00#wLh6%x-^8O3^b8rEDAJlSBo0G zBw@muD}G|2BAnHIr!QZ1>KtnNHswN}71}q7KC_QMCB@6?1@>~X#{AN^8SA00qf4U$ z!v>@5R=yA{878FftDF-v`q`Sg0V9xFSZ2xF0oPX{m!2F*FHbX?j;1EEk$_>I|1U{{ z?bnPgmaiMFuxnNSd+XWDU&dcH;_lUFjeKZhr$~)uXn6VLX!3kl={rBRn8Y>PT*j04 zF$`ac@?q+2ncyo$o%%z;WlUqvF2qa@T^l1jY`j_ex>?w)2qm~Ek9^z#ibnu0(gk|^ z{^~a_PiHfTDtixM*Z|a;!iy629VqJoj-U}!=S^e$r+&6IQLO9Nyy$_B%P6f+3^M8pErv24Ho&{mHdLG zv5~j>q#WT8?4X#Yqqr^MHzDiANpo@G=ivFWbrXH$iVXTw#Rg(k`nTw_yXY~m&0c3i zf{|p6x!fVfmw}v-Bl)f+VdsbL4Sh%ab%8`wMX=FNByb^;&0@A-cqpi|Cpk$HB(Sk> zT)HE0OadHe|LUIAjbLFX@YPi@hC~PLQ}^<=z|~pD_xjU1ebgZVly2?W1FpiFH^tw+ zAn?hkCNL5|f8KWNf+Ahyy(8GN(da=;!Y;`nU;mq={!5dy$arb-$rUG$AD87)Z+prz z%{=NTq3Po0Mg9+!33-yF+WuFC%eVq@iKF2PjL1Jm{+8iP%D2gBW*P=FWO0R-IVlN) zXv91uB)f05Xz%~rKn2z1Z4G(sgEpq}OhZgS%O=cFe~smkc{>B;TZ_n)hzbWQmD$P*{Y(80HlR5E?Nl+b3taAURK7xz0O06T#Q}0^(PWg50 zU!&B%@Qttqsj7MvfeE8h-e%?PoBTp%o?Cs~YQ~qc^ z4ZG>ls*n+PqhlZE*RsAsfB-V^`W)ucAugIS=TGHVb>ZIK0zAF*%P1_6avWYw3OVosdBbczNg! zMA}zBF2{UiaX7FVKX4*lMoPYL$HR1f67`X3C3D6_J&{e; zrTxc^?E6l!H_DtUB)*kdHG0Up-?MKoawa)*c76xcJ;=j8twQoG7-27;?w_J>8TNGv z3EqRHg1UT*3gM>J8#|>!=b56P6W!NjWYS7X+6~EHj^=o|xP*6a{HG*lKYCW3F_f|y zs=q;Lw@mb>uxS!={~RD@flIc|A4~YdBP`U}|4kd!=uz+;y=C6AfHPbJ-1n)n)a{q;09qQ|(S#+#JYZvio5OwT|cgZ%_4~{D0V1B4GDh4=ngvD_A ziHpsY(6^3(mml$)<-acw?()CjYCOYT1!hh?naDt2ENOQ_J-ZFHHM0n+(4+_o)Au%P zYDyl-(e_Fdpc_Yo8E53pG8a7g3wdiFUsNxW)7~DOaPUf4R4Yad*k&b#3Q@JDTY$fc z+YtEujfC~YF%kKjw@j<PY(7Cjd;hJW1N`P`tw#}IAV=!yS-sGt-RX%LGEbSRuYm~ZvI0wo86Ufk@Z6^ z-Cv^g*txAR8G+l)6mhW^O2VzA5($x;{$d&!PMy+(a&$_9ouO6MyWGeVsQG-}(BBa= z3bc*S+F8w;{Lt*Xc&*zr*pLnZ%uXab5O_aI+KoIKev0zKXmO8IS!>it@WkN}h*J`1 z;DWA!3hlTvl`lKv*J71f3#>mm!aeio)E)FBio#zFUFuxYY1^)K7=iRGXu2=jgQA2C zMA-h;wo2lsI23NT7l%xoBy6{-+3O3sTJ-JH_xki-(XfZvSTS{Cv*P66 zr)Bpfms9&G0%26@)Tvb;o@+D_wTfs8JC6KjNjqToTs=9k;NXTrGNb5i7mOXqSP!bX zp}tblC@Jz~!Eg5rL|(YB*Zk99e59Iu9??NS(q7%enGoxTIoMw%TjbbQ&KNBTQf$g& zPvmq7*h42W6|jm*yGGc6W6T>`(9~V`TnL zp~e>RxZ&RNoktR$(BGRs_jje~#(M=iUv`lCDOyj~DW}(KV^kh1Q#IBL4?qoZ9`(o1 zA#Ei;doDYJW3p3%o#k4q>ispsyae1boRRt?Sux7{0ULe^r!1}rOuiFWhe{DN7%3VK z28-P1s5$&lLd4KB)3Y)zT7v^~oWk>H0;10s{^W53gBCg(|0o(Ly(<>+_$wlk0yUoU zvWt#9$RToBC%Ol4$1V*oqDJ=F3I;sEJ_il>-m?|!Q&mwjcJ8Hn#>nO<+g!u`bhMyr zRXR7}VU*@~aD{%)5XQ|oaBWpM^k)GiS zcj`m1yzJD=aoz)FBX0am7s_wu-QDF1Cs`=9{HlFcuvQYDVzadSy;f(byrC8H?@sPH zh{y&QxxY=qSXq%|u;f$2r*#%!a-T10{d=kI3MBRG2d9CTcOyTvgIdoE_cnw&pVTsJ zW;$w4ep>z@Ub!4+m-K#iv}@O3JnPFEp9N!Z>N8Ap92?J8(Z$1f2Q{77hisEW0*tU0 zx~Qw}dg-8EVU91a9P&}D+P6Ia)r)*p2Y2u1qyMEMr|$ z|0|y0oOj;2R0QK_#w0s?L`fB_#8=L_Tw9O_`JFppX@AfuanpCJJ$If{Dl4I4aDwy8 zPOY$7>O6X9(^mCefquzvl2%`-AqMDQ@7p-lXtN)u6`ir7?Co^xYmfwET~)2QFKide zz4V2MS3LiQK5${%Se|BMD}Jyh_Kw?!z1Mux3fv^*3a(y}6S~m7SGZF_YG z2dH*L-nCR0==!Bpi}j0SbN&U2XQR|>5*H-->C?JDdpf!JiJ%h5T6}M8GouL1w zOqx*--!v5?cK(a-gmD+nfbZ(QgYggFPO+jkTf^^=n=GMKD+@ITat{l(G&y|%^9A7x zX7D!iT03*Cv+0+wS8QB)QP)=QkeiNy>7evpf{#JlE<2Ja5=GopdniM|;uRC#u-s%% zA&}m15`KeeUlhSqsTU0k=KPZN^fgr{Z%{BB)If z@cf#LFKrK$tNtI(k3jQdq$YsB+P_$AT1u)x6=@9he^|jS49V^2~)5G{FtS&K{8viM>icM8%ki4Fy(~8N`9dD-f8oGdvo)B4EbNg%Eyy$j!h%3svgE@txOf=N z_vikX2=Kp!g*Xk`hG)^n63Q{>hLR#Uam?gEgI! zHb;u7^yhDCIzs+aYEKHwd|pCt?+=>J`2&5}lZgejS)MFxlx;s?(tj(h>~gj9Cl2zo z9j~v=^25bk17n;jU*X#6Fo##*c&NHSSDs?DGEiM;@Y^X{tQs+wq>&$-^ z!ivUWw}x;SJDH}9TlBf~Aj>BLsmfCUs+uN5o%5Ts`yeUlJ;a~4?8*TJ584{2O6+TB zzpam67N^3YQBnGx*B4I6)?B=_;O=1y;ubd|oj+p&nqJdUDCFk4;ZW%{Z%K>B>(DZM zKd==U6;3%0`mjjMGB_WoPqNeFk_q8kq&QM?l-8~%+CDl}N=Y0)=toqcV9isW zmzDD1tsa8dhA)trq%4~96le&;@uc_7GR$&v1fyt#oM-`1()+K88-HWD18)=+Zl#(AZPKT_ z7sLU}rhFN47xwet z%+B&=YkQX(BIPi?ev0EBesHH@Z}UXot@F^fgEsRilgmOSA&br3h8}?P2_1UWz^qKQORvuUd(1B0MNwF-<0@Ox6GO`FBO#Ck2c!xr zk*^x5``~hPkZN-(JQcVXu{lhN_l`MwO5qKPb{jAVYYsxX|5Y13?0j4RSGy^9XWbzE zy2sCCV{y_Vk{=AeghFgjdEje`-~9Hfa8ZdRTz>HiV;3B?RRH7s5RMrXMBkKUEFV?c z?7L+vx3r8Lls5zw*m9F`An?xF9GmxgIg~?nTS3gGZ+m%efXqpYyB&5pMC-Qyw0K&y zk@@%oHJmj|R`RKjhtmp?>Nk8o&MnFW6ASFYa!U23$!@2FuL;a%^zaY`ucIaDpEZe^OtpGHUN?lxxgEm81H z^#F&lZ5ON96k@mP(u2_XxybRPl{IN@ThKe{(h0-0J{JXEbj$xH%V@Sdf%p6-0i2 zpwJN6DzN$&1Pfr|IW?dD@=QN?Dde#+un^aTz|)bsHFGp z5seqc^<-Z&zat$h6ticD4Z%>R4~6OO0QT6 z-rCON)5g0oCwrj8UkX+za_;JhYe&whZ!Iz2UqafsbWhjfBzTqe6d2DoJOJfiz90JA z7NF&2X9-hY9T>vhYrS;Dz5g;_>(8%yWSCU{e9kAn&O9C0r%&nz`D9BMR_JpbW^XKg z7#RKVC=@Og$Z^lx2zw)G`EGO^*aMXN8v|RL`+1G0GxB9W`oLPu}t1$t{+t<=bDIoNt zC|_`Qbc3vQbt_uPJt|%X0O?2*Z@~pvmx2!R@lIY|M_Rmy0SbW%og81gK9B7Dv64- zI_2G%6z%m~b86Dd$qA^}0q_>}0vr_l!DNqsb<I_|D1*uk`;hbS92W|8W@Kz1e0q zW^U%b@B6-|C^r?6qeF5P8(lV6nIWZ9zsk|!h{%znm^-N)p}BHY+6+lTX#4pqzMt>& zeqOI9jrxn@;pucx4m2GvZH^-!Gc7)EP{cYv&t*H!*pCc=tI`#t2RiW|dPiB@CW$iR zv)td=)AZ0&Z*eI|HGEsx{j;%%+d~?gx|~ zI`-oW@FTr?)w&VltemajW(w&p;Fd zuhcfIFAA`Ap+H=FwlT^m0IsOQZM@yC5vb`I$Nk9;Ji+ySukk~QZs}BtRrHV-ZlR)E zHA7z5W&QawTwthL{djwa?yu%J!lD79yP|W1Pc^Cyv2lx^6KrS#13SaORimX98z)Aa z{Y(8xnS=m6wY#4kZfq2Yt6^4$V)nrHj&e%Gj6OhWIeE#+a-JDs%zSegSEFCV?F(7a zeXwze&q=6oT75}h{qR8MPY?I;E;VF2^Z^VVu~Fjir3m-%(c)KLe0`fI%PD#BNQr5Y z)_*V58j4rbr|-tz&32apDA%)&g6>YxO{ayqtOt*WAj_u=I(waKiS@epm_L0$?wM6< zON~Jj_h7*;+VpwS3gEu7KudP;+O0hQ>ka-}#3 z18sFUIpZDc0{?&*%j#2Bo;*1WLi}}hUp%GltO_Qu{#a%zuC1$j=itZZnL97%pt7FA``H|oi@==_1I=mby)@KPpwgY~v+%E7y#pD8RF)c>g& zm~+1gr2(i>>Uw?5wHfg>hRp!ir3U~n3D|Ma{SoFjZ>)o zzg)udQq;-Wo|QRSZ(*DE=dY$BA8@upF8ehQQ~xL({8=5E1qP-66(zn-P02W*oeob3 zsUaJKxo0w1w5uXQ5``14DtNVx)Q^&9B|VyVnbQU#O9%pe^`G>by$AB;kOGf03E z`tVor!D>=I#@R!D?qlv&f)Pr(HlT;-vt{A2gI$Lt!dx zsT8e3)ONzwRFYM4VQUatEif9>&TIpBT!hp6JvLg1E`-^W&YvG_OYFl2+`CY8yv8|9 zJ*};oPFknEO|lpLX=DY|`8uA5(2jI|{$3GA6PPJf22Rc5D{{b4IRQo?)fe4Y=e*i7 zezP)l%DM%w9Foz5Fx<)=*Mx(DPqwhZixMx2)I{U*LHACPe(<*M&%~_X$ELO)PTA*v z8O!u>xGI}Fv*NA^ks7<{bAbJ4(LYwl=O6q2bnxo^R4ssv@k=bJSonv@8$3IAqP8dg zbc5Ow0MM3AScmZYExY2W(wbeeg`QNi!<#J2ZP*P|B4TU+{z^Illq0uO98mYkTdbm_ zSx(&tbE1prL4xp3M#Uz|12+E@nB+<$rS+vkD)|8G1%Bcd6ubyf;;E~*!T|Bm-Z40A zB4;V9;Kne*d{gb^;UQ4pe^C-_FmOi~NN<063j~sbZ9}#w)H4i|Ezlx7UZ(_CJX4=s zH7FeG_);w|+Kx9A?=z;nDzw?Bk%tarbzA;eYEIBL1;&}Bw8sT6!2uU`87|1G-8b9* z)s5ZI*u?}0xAaNK8Ib&TTm>soNI+Dg806@FiAD|3}6nbpT2@W^?OUD-|#P8_Q(DMx-l>r1gd|-E_zqctrO}kk(lkzdle(4wYd~< zBv1!~KY~3jOh{cud)KyMblA&%nt9PfIo9-={jm^dbX8$lVh}fq28`p&#AIoIz-R%o~!XRv2Stb%gO`OO8d7*I z;`08Oa7DhpxtW=^fu7#7fsO{?AJN_KzyV@|bi8JFjL3`B3N#$xULT9zW9Z0^&Y;+^ zl)TpfLFA6@M0?BaU75Y4oc0x!On)MKpQn;7n~efbeZ=KH`Q7qW^d@S9%J?+22#ipl zIGcxh9c0VEBxW%+-)>qCofG^M*GLCP+>Le&RBpsGCT_tBfWb8_JU+)RD`^7E5?w4h z;!D+J)wzC<=V#C4_O_(}viJx22FttE#NRtrIb?owP6`P-ZIe!)wyB`QdMZ&{eEZ*z zHJIh)%N})l#>*AblJsJhyP=j3f_r z5{V3dTqqd%?bkHy1*(BobDBTJ=q@fm5jRzuQS^HbO1TP!&09Epc11maN!heD?T=ruuH8wnwe)bbnhHB)?g_CoP@b`=P!wHsYd7)AJ+Flc6eLTIH*#mE?n(u=fq^$qG5|;~DWvuN!_h#~T z7#}s??WZc7>XHq41Xlig8Fe_*EAYRF8`K0r&%)EFe|5^;gh~E?y4>&v3>_|^%fNhFY|JnRLmu5M{jyhent3_haes; zl4Xp5uw>8o+BcKS9L^=Uub&`g)=h~%NOG??_>CWZBIgofr}WRCdxueWuFUV~exxg! z%sKCUlesnhb2AJ6J|1^5l=2oQFb%NerGiOY2!SS z+vuX&O(wunb^!s$7oZ=L**~`Px_$v9QCMISn%<+hWx0FrY#R_i@029IA=}(no}`AO z#xqqcZF*j#N>X!^UfI@KB}(PcA{b&Bv4A*STNHN@qWuTfDbjH9s8y(ds9FoEOs*Gp zTyj+apwBD_GLFPkNqU^%Er3-58KEvmZAeg7&mAjP;uq}WhFS$qi38>6RupG&@6e6J zr*N)0#LPin4GooC+xn0jVozEfwF!)~ShWg|FCu|iOrKSVKELXR?VKIAmtP4Nz=9;fFjlBOgKKXnTp=YOo+Usno@u)4Q7 zVO)k28*`y)#ee{Jd>f)YTP%SD+GKdgEu&5ZNTvhv!3AJOT0CTh^KBHI{ihWFbACB4Wuo2 z$8zNU**gyvAVWH<2!1l0%Z`6@S?PK|%52_jv4waHMX@ux zh$L>i|7{=tFJ{;I!Af_kz5k4U_QxbOV!N|HuH0LlfF>Nn$`7>&o!`V*)?E?}O}GN* zG(hui+ksHCU#MJgeb_eOgZL-SgIg0DaB$H=(Y6}I?QEy?O1Dsr`N0ThFZ7$2yLH$a z2oF%hpbU2G4qh|zeQuN94r*V5=A{a;H~Tj7+|EQBJVP2c>9*{0n;dUt;Sb|@A#+X} z9*5AAOf5phgvsybNihBEJpSYnRH}BuJSUW|Lnhk@L-WWy~id8PA`a97?}_ zdL5g3Q#<=p_KpQ49}Pit&a$6kpy^{bBu&BZCbg_1nxxH4MEn_F4j$@(|BR%a0@?}I zc%G}(miv??heK+Y)rCX=49E62a7*ceHjsH7{jU=40BdQJ^G7AzBwlnzq;>NoGg`iN z?3xrcNAkmuE38*)L~iYOOI8ak|4-SUybzDmVCh?boQbohLheUUv6gK{Hc-Z}(52IV zS|HA$`LD%s?ndK>yl(1FjBP-V5n7vo|7{qfHRqDM9^19NpnOA+N3z{qlfhdVrSVpR zXXg3$O-pa4E22Y3b*A*k)UX6Z9T@fb-fAQ_0t z11~vAc3ejQ?MyS)k`+#|u;k4l8%`o6I*?fzT|LPgkqT*7ocHT$o2H`$u^;mj3$7v+ zYBl3P*+>)vMv2gB%PEM~**J*RE9#2H4r2GIlRdpMC zx(bxnJ#=gj+Hh;SX(Z&N;=ix6YmTYrw;}P)TrWMpspg8Jz)wNWkB2olb)1G=aOvAmzpgA!&PeJiA7@XNK*L(j_zEgiGekWr>fmmBWzq+GUQ z?;Mxq?Fx003~y2Afb zxQ_7Hu`IF zQ7QJo7Dfpu=StcQm;YY$^9jV~d+L=pQ9X3FQ!o~7XuB`qT||UeYRIvzo*dHF)r_GS z6V#)vVsFvs`1VYNlEaiKE#i!RzUK{;)G}ygbN)IE=m>hW34VJR;yl!BRA*dQFMMph zBe1_3KT*Fn9yy`Oqz3e(hLglsQGMrKj=X3IvIOuqCpKAW6M+5@c(W|taf2g#Ffw{6r`9=jOX{=NvSOMh%M(X-~Yx6$tD^Z^p7G>kyzM9Dr40oxO zYjfo5fq)<%^NhdQpXtB#GO6nA6nq{cMeex)kz$F_UP?r2PQSU*L>JPTlv#ice`Su_?BjemWsU_nMzt|HDJdmuV?N)L zh&c8JxMtqbofsf~E$6ik<+PBm1cNfhIU((Wzv&)zfLFQuen70TL-0D>r<{DAf&vj z%$y$%<=#p*^UYH!RK9G&3f9K7KoN5TbZZ)5;teE_qNI-?+LPL<2RYAI=-JFml3HbD zsjrzMZdRkK^qK5WQpa-{liPz8-`{xy8J*ENAiiOriKW;%_>F&8hfFq2uMdJvu)46J zmRg!bcY!!|XwcOMQ1!_9&E2d=p18)>nbOZ@y1p-F_6q$pN-d>^7q{^Fhjf?e<1+cZ zP833xw1ARb#P{;4g-jX$aI><-hxc}E7s&7Y5UVu%qoQdw`O$~Os8yb1=f-I~|Iv#r z?xD#Pp`^_(n^T@?Uu2YfilGgq&eJK}L3zerCN<*a;6?K|cFNF)!%o`{c!Mj_*ly(W z9gk#n0>PIVS9brUsatEt#ty2_L(_I)_r; zN(^Up3tmQU+}?s_m`_qc9Q`L9aq9?6Q*!mp-~kIkYGe%I@-osy!C>#-_M41zGi)mN zSrH-iEO%ObRL}FH-C5?UfkGUyvSk)f3IZN#hqiV|ZgdeR4ptXDS{=O8qFv{ZaA|0G z=$(Dk!;|)J!|D~?Tu600su1_@%Ey*z3)JXalha7{N#1+uxo8=M^=-QZ5AT31q2;M1 zf~>%P>g7eYDj)G_hPR-N&0Iz5mqZ9b4kvOK?_K<=&;3dO-+_JNOrPAlBQS(K>aDAh zl3&P=7V=szfl9x~>4D-WS_|)s?%IK_jcC@dbO|?2_f&nx%|9S%7}gHg?*&^^+z6+&;u7N|CQ6w2y`lJ5(Ocf2lIn3YTN^q_L%>EMG%Z70N9#UVJiD zXU#5-GxhoABI1467wB=Gm%Odcm_Vgpnou`$ArG1#flUPtMWR?P(?INbcq%Z3EcjCO zL5Qt^<|e?j@!p>FiE6a<;d?Lh;(}gM>hUosGqmRX$P?XbXQf-vq5Eiof(!eMonIf( z%M-w1I{KupoANf`h=fBeu)d4;MXdM4mME``Zu>CxXnbtb&CHzk5mU^ zMz8gK*Itf_5yTw)CRTX&SaF0TgXDiq9AK^iJSAfgQ5i+yEE?>GJ}(^8?!xt%+Qhuw z&ooqM1_U2T?}G*pD;fggp8hVj(KD2CPV4xbV((096WnP#occR20f);n?4+)Vlmcdz z%v^FtWAYb$5E!wlD1op=HK-(OGcb9s#dJ(&hW{ln;7`l^GF`v#L#5zG{~z_<>%^nj zqU6BX9{xFHGR)HE5^C_A%%d(EiXJC;YtNJjY;z){qHt@toxBv7_(j0c6l|*slsoDp zrOLjY!B=tU;6+JF5n?2%T(Y;wLTW>aFg-dT@DwO*$maG41akR8o$zGYeFwQ9B*biN zAjR=pdfxh2YLC!)J%NH)6P-K*lac2_%DpB=eaKGWCs8h{)-LytHUIGb{;C+o<*&r_ zorn#vd6DiPaQCOL7k48il`534RwV7-19nENed8UEW;$E4$m1L_hEq1_WF z#8Tl0a0E8;ieXe@$J{{IjO9J^&zG?HAjWoiy!p#(-kmKl1Rs?nt=~uFW!GNR|C(2f z+uu2P03=P>%OhEC)W9E2Zm$#TT9DqqWx-$VztU8H{-gP&DRYN@K%T1TOQp^iLA2jmnH#(mtwmi2FFl&i!z%$5S-x*T=Du~s^Ebk+^ocS<_=NMk zLt+jC9Kj=nsx}R4;1dKXTA;VHh&|di>+E(t2sWBNewAA4tb;)Ul{c`k8u`l(zgHwa z8zZc1+PVei3<8rp}el>_e)uneB6-e;f0)5nK`F z@e0w5Lr~JgbbgTSgKIj`DaIE$dIdu7K-GYLGFIy{^a->6@PWBkXD&~B-WJsU#^R(U zu+VQPZj2PpS7b+u?^|8@&|6iFw)Ep_;5ajl2a^?4`H*|F5D1${J+#d}|1UvH50B|I zudF5s{+%XDxvxGdXaClIn3tatL;yDD-wl$X-pFZpfF z4ST?)SY7~t3WgHztjXSp?8=-`m6t2n>mA<^=$A0mM=XmS1L|jC+;!HU$|mi%S)c)+Fs8JD7Bq~U?!j<42{3VuPmWnP`)2`DsO7oE@L znKjaY&vp0&5PEZ1K00s`s3(_s*C^Qisr?ROzlZcHh9-4{?R@mZas^u zhKk@G9b367XZ6dldc8-ZQFE6;O2-Oo zwpZ;({_E!obCr&K7F;+oC^W_uV{)abS`%N8y8S6tJqIgV(#n(!BN_}F%XdipNkG>- zQJXX?Nd;}~bwRRr1ON^!!O|4*`-C;ne9OVRsuZ88JieYgtE`~ z>W{(!?X_@%(eEjRr0FX!_=6r_y&JBqXEeD&b;~E`w^5V4j=FtDG5-lRG66^-#eB^A zC9w(n0tbz6pQJC94>h!&+sm(DH4}@S60GX6O{e3^#QX0M=jg%-n<+6!)%IAG9&U%- z^Y20Twq%;dVVVK9K#tujzI)yN$eSmgWxia}lihHtKfBZ1cO+1YUuT^B#jnEPT}aGv zjP>8%k=f{ngC;TZJJM;F>>Jp@BA1~`Jh$WK%UhIFYJhlAadLLYDgHRsX)dv#U34+v zjyqeCIiBa4`lR75`IVy+gdAuHd$N6rz-8haaYY_6z@ z822+GH|R@u@RagJKLbHxsK<0~8-wx|pw@~USxOU~u$azcdGD`>6x+v#)1(q_aS+PT#5{^GRWHtEWmwxWcz`_A`rdzjb+3aC8n ziW=sozTAH}rAP37#b8*sHYs-lla}}_Y{fIb^-97Y2Ht!ImR;l;2mA!PzDuzYu>x4CuC}y-sIdtqXBSmoyGs5k6xX&ZF0Ye9 z6@h&U=hC>scJ*renQ6vnmzqq!nPRB!fU{uH%G2mns`Lx32N1i?+_&~Zul=6*zT=EdkJ6s)= zKP;Rb~59sj=0GT+7Rno+8AU5$p&!fte} zBF&?(;wOETbVk^*3Zlua$N#8cDZk43^;ymd zK~Rsd#Hh;6X^%exO19^}4hT4jdp{Av-3AZ?n+958rKWx8(lS_1QX_yE4L8qASKhie z&;l#Rig!`em3Njz(+0eCePXi)?Aq;es~{?c%|I$N=RY>>n-c4#68pcLIL=%0~9GBHThMTXu?Tpb7?C<7WBH}K;_?Rawd4?2n;D<~!`W6YUD0EefwQJ4H&`#LR zDc+_EuiLO)g!0-G?FY^))`XsS^W@C<^Cd8$*IE0^b{N*&!i(VRhK(IewTiMgm$4i( z{t%2P7|vm6W3gsgCBJ+RtwT$ZXf={4r5%(w$PikPPnhIpBbj3*Ngy$MpWY``FMm}%2(CrfT;g~^0p?irf-zoO<1yz z7!lxInjo=_&ksFhVts(_T9D7fYY>8+<`1AKi69k1WU3LAFDxH>(?N0@Vu;5?o zfr=L&jZWH1vd9v2+3)WGiF4=9(9lmCIRIXaK4<%%rjU4bQ5J>U9}BO~doQ6x1+86w z@4+$VpW1)Yl%vK6oEV*_52OpK2EIS_eQ(l2?lRJ2M23Y3C`~L*qXIL7dE~7@K z`}YaWE9*r@vZ6AS2F|^^S>QRG($bB@B%Ah87Eu4DqM_@y^XTm0SM2j8{r1`Q^M0<& zYWvB@TIkpM`epNnJLJl=l=3KWPqg>c))8g6vzaKf7k?bS}+9fV{eKQ|TWu)eafZE$v z$d`>+EKO6G;RuDt{4jQuKHr_3Z5migiMeZ?OHVEy%r+H(qkeh3V-Si%6-?hn0O1N- z*VYPtVcYRn;QA)do6mhW zgr&MjV<0O%Qur{bb%|<{{BTY0Dv6TsQE?Df|q6@yr%tL<&HWpUGH1;8rxxr zrhu2_N)vRKw8Qlx@``klEt9v&ogIwh$AH(}qEyD5gU}N`!E+?ueN?x4-=l*_+VN@N zwivDGKx!kqT;$FVX7W%*)q=$$Tt zyJYFBiwv;~)R;&Z6xJUrufmrrk(1S>xASG$y#6+#U`zQoXz51g+ms#TuXq9tka#z( z6ZVz6YJm+q$0B{XU(fwHnZlDwXcx;VzXy}%BiJ;44+O-`=xtbL=kh&EH2O;O)5s_aDDRA0B|3Mo75<`2<{$IhTffpR?%Q&aEk z_V6*Sc7UB--%xOeMM_nue7?UPsCUvCK)BD4bkkIR_>h}Jj+id4l} zDI{p0rIeq3l}6c)gyzua707NV2j2kWl54t;x`mw;-~x@ZCQ;zeUdJ1&T7a6=e0eKw zt@AH%lh>I7t@QeT!XS%MZd?_neFyd&;II9%(xuRnw60$`S>3SBPXBc0awr3-F^KcM zcwW@rD~sj{B1lY$odEsOA@B!<&d5O%JV2OD_D4OzIs5XDb9RvDwv+_86?Ae6&p!)h zjdnfd&T!6#b-jXR!lR*>LaK8_|5oa8nF2NS7lGzv9XEdA$w-8y)zM7{A@DUum-1YP zs$>-5fi=O&`9rINVls0$L2jyJI|~He%_Z&9x9qR_vYY(O9WAR5O51lST5`HldEy0e z^vlZX)fJtkYiTZ#4I1e4rFt5;!RRBGh60L#+LMAPDnY(#JYE9A|COYsBSs}FDjLPgfC1U3Yx@)(n zg}t(5{ve3?u3hm2R#NTXvyZK!Ssz&Z&+@jG=1udvkZ-zd#cbwpCSc+Q6~wr`v@3@J zdx?e{cR)gX3lH<#N~koa>=&ZGs!I`mI=_Vwh<>|8c3;~&pI`NDFfVb%J@-EcekYIG z*6~HARO$jeYS~W5Q-}{PP6BZdFsxl+y;qTR7mDCS>1e@j2Oc^4Ab1yw*wSjd@93jv zr{ILZ1YjH3K=*k+e&Y?d+#%^0gdgp&M|rR)D7e2R4Uy*+_Xsdr?K*D5Z+&0yHmD6- zf0hK@apQt;P*S;2%2MMhAZ_^)l|OYMhYC*fwq~2pyb^{0fn(Q$g)?>jo-@X1?@c8P zrZ`HK1mfZFV}tJIlf4vuwK<^rR(Xhl;_!WR{uG3vlh(a~2AVMOE(4Q#2k}Stl9xm?goRfxL%2~!b2@^2m=tQ0_o{7#^J|{R5!-uxJ`40tZdI~U8r*65 zU`euw=)SoI2^sHAK0}>Pdz0Bk(E^#Ot%F{DPwSWm@m->OS*mW&l3Ku=+=ne$I_kZh zz|O<}Vi*rI0dE|Mblcn==Qke*%*LB7X5Xvx2?G>Y{J;V1Z#d;_9xK&_#~;Rh0SFR4FBI~Rpd z+SpPzxSBv&z9@2iHb1_>MC~Z3deHAV-&)EFf6At2L_L3hLl{2b;*|P#W4}RMaJ6Yn z9W}u7QfHVgb%X&A7Y^2%G91R0PJtH1BXX|QM2Z(5r3e2sk%)mGg7k>kfOKVAtwh*k zKB}3fjs8*M=p#MmKIL<*^>Gv=HGh&U3HFWd%-qX60#fXkmR5`=o{JoihG<8TZkDz)YWFKf$TzJ9gm&A$DhW~w ziqs0O0Vw7vn*+qrO|K386~Cd615LM5zZ(}`(HNcc8mzfwR+1CsqAWk*8#CdstNsIA z@%eXg&a-EGjbtH)H|5vZwvoJq&x8|FaAAIAqy2R!MxTjTIz4-VyUq~$F#QUswy;Ip z<4jt?9K6p-XL9kR&WZK{{?IuwwIkr)g&n=ueknrZeB>?SxEv9vg}Aq7PrGZx^Lna= z(%$M=zi37pJNqrt9xWmjCex%<(rTN{N~5y^m%vR_aSSu1Jf*LuFKSRu=4&HFB_Ms& z^gJUcoy`L}_`p&d!Ugx3CjpDd8SCZ!P@3e`qotv_g@>!Iu*mkJUvr!;P^Hs)2)$0U z?K9zPkRKa%K%t zc9i<%n6Th67O?fEB`gay7L6`HelN-HK`*?%A}X!}ENr`H>4}F*L?7|O#WwTJ2fNQ{ zd6h>@YKuun$UaekD%_~J6|DSOU&l)7;LeAP#-T(*^$sp`*e6`b^OS~CD%x^9%_cU1 zM@D)Q8)@cV*oceT&SdE&yUR(u7EQ3M5;evW4Esf=+>#^z%Tf+0lcHPuxz%^=NX zu7L0dtT^m_Ur6te3(_I2-RaShtao|m1_XBE%zrzqAR_AS+uQ##UyXm%a5Bx2+9f@9 zU(?wYS_OqE&awdlmkN8bGo~MKSMTXF`~7te=EMwS4r;}oZ~z^cfP(7J;r`P`i{4f$ z?#;i)Em`xaM)X4sVa~VS>GWu4W@Wt0$=uA66;&6Fb#SBE@N!Y$bJkX#Vq-5cd@jsN z;hg$Qk*!81D)ZA|?FU6oikmI9fle2*b-Js8Fv)8iUA|z4tpD3)1mzCVwiiVx??-$A zCV#neilugrg%{|90sRaCwC9E$JpD_sF(TdDs)$Eg;{ecM&Fty=>nAc8s>M?~4xdeQ zp~tF&jkFu@JgbK;J!>OoiX&wJ#(;mHax$2qY;`L&#d5%Rbefh5<00fZgc z3H7+FhZ`)I_B}C9yT@nS!|(lsE95nhf>ME;h(?fo4@DjzbN>wIG3}o?$Sc3ivS~NW zTQXYk&%!P>qfZ#vg?Ed^ipE|>)841i=`#VE^Br~9poM#H2 z`7BrftYG&qc#C0Duc+C7(0+YrR;0{s`-83z^F+;|&sim}70J>i%<@25$@3tak{EMN z6qVj(GD#?w{M~kc#D^b>WuPcsc`7;<^+ipUAH~k-?p49~;x+q}=8nz?9_v0QH2o?0 zXj3Bu_%_3>wn}os(nbneC`3%4xvFYUeFa`O(Xs>lXN|z6rriRDQfIGMA9Nd1f`Bsi z9Yt_UU@lwSW%?G@O*OC@qAJBL?z73D(#1@qbJ&M~xf%au;Rmn!MEmw5Y@}Ot|N6H^ zM+||Ssgw3=a8obOth*_D^NH2ChebVbk&oinNBnVVr&av2d;p@tx`7EISzIx_jb*Az zR{C{Gr8FSPkD{f|Tz`d4Sl+Z(3Mk=IUgX&ek-s!HfTSh?SdTDJ*8Y@gUI5h^pY=R9 zFc+x^z=s(UZ`5xbdf{j$mqm|u)~%fI-e7dug%EnPQfzn{=*Qp$P=IRS^g($To9!o` z*M+y#jswq`XGt#xMqicRN>FP7rk|4jQzaVOwl3TP5|FZ(u1a&X<}S$};CNa?6D|ql z!|w%Kimd$i8Obq7`T58XNKM4pkq6}DJt7f1^xcc4ZH1l15^`o|s}9<~Rwi-__f@`U z(5{3t^8$nuk7Crmr&KtJ%Z7X$H|{%>MOmVTum^6!C0(LvTr*w=k(?2L`F&92gOFP_lk7Vt2(3rOkp838g@G)@7*^&Pn& z=+9?WvQm{^*q?e~nOaFwTvDhNNS(T=$G zqShZJeD7+f?E1DwrY-QmlL$-DC5jMz6INZ`@+ILZAnIJPU;7Xv#94{pl=kXxVTI%L z1yN%DnAh_UV(kPAqOxPwuDnuWPbxr<&$H~r{kSEk`m4SDrDvV29e*&GMu*IyR z6_N%`IbUSg=ve$GKV0CQZ_p9lvu-*6n=u$Y4{&Q&V5xcF#30);$Q?_>CGJDdx1Lv@2vKKmkG2 z5FpR>7F#|*Nar6-M;R&=Z9O)eCw0*K&ZUGJ`IlW}ku18)p3ot`O!WF!~y&z9vm zlso!bpg#*eD}yTZJo6j01%_Cf=ai|ppD**J{TRW39f$+e@h5D6+&JW*7jk27fBe!3 zG5avnEh0=4Qg|#KWbb;u;^^LOhXW1m%53152~4l+_lf6I!1VbR4Ei>VFBiC6D*oRv zv~B}V#$*V^{mvubiAle>*j@TqHEKJ-`z{Tro2b&2Byjyd!S6{**Vpft=KX|UtW~)L zJ;=?7I@L>kSMOR*33*1Mv$UcOL9+>F@^$F&_slp<3PP3p-8n^Z#=Lgoz-dI_dUGaC zYpIL)sr|wplqr5hM`;+a1Q~fdI02mIe5CvPvg@AwjetI=74(@Pp!ddg@+1PWo$h3Sn?Na#)A5;CQluL*N$eB}%fu6Qnxf4y>;Qm``B}b#$&dV|R2eEN+i8bi z3QCxl5tZIAmYmB%L5_*ZA{ufK8y^+=YSP1i45A8^C;bT@ZWwY%D_?f6uwe*0y+g3o)}{Ux_B)2DC79Ik z1vGnP{=_$|4st^s`tj99zF(S@Y}cXU3jXd>zWbD)pec8Il$OjPj+EjifXQ9O;$r!^ zX}Z$IEMII$S?~>wiT4~@u& zf6h`w_EFGR%2oayxsurLau6gk9jh`S%mwz@Ib8@H%=x2GyLrL0i@Vk49O6r_zy}SKQy8 z)3^@rZAH))-qqg@JhLZ{AZx$PWoy#-d+%PjB}48bGOk|ZG1KysACeJ3G{~9&#Y&R? zcRb|Y+nrTG$vH>dC5WLCmAAbT_9;%V??`si+gSVqbOCBSC5S-)Lhi!U+DkE8^tStUPMOL(iQ%#-7mTP#X)P;BRXZZMlY`Zz_e;(2;3olk%GGw+n}nIkgH17~J~R9Vuv6y8q4GxzaLa&h&Rtv#=k97ZZ3;Phqf=u)6)>!zwyH z+)TR_?CQuWt^##XCx?*VwU#)BDl8rse(J^W)blKeAH&?cDnV~#-PtRJ}? zHEaGA^Q>+c@X9-yZV@0__^9{N+#c5YR~f<+5)g7v2rh#~u9gZsfbsV5C*Yh5_|caSO%q0)fj$z>zUexg zeD0HA@h7SszD@-h*#xC+ch@P@2cP2}>f(zwr~&4zFb3*akZ;xHy`{+JpsOFzVPi+N zY|S_e+fmJ`F`<)OsRuMvc3wy~3~w;XxFy3tSe0e9)|_&K+^bh07e55VVw8zgkz^xm z=238XsII3Hc2~HiEGSjVd#C5$sS5E0m`*OH9hPr9^Ea14{}A+wqx2@2&?H18a@l+B z^W0(Nbr6!2jj#{tGs&tiQL(dy6I}DgSA{=VcduwA+YVhYNl74BN{_#Z*ZL7)jgPa| zcpna@9QI2(BrVB8uFG8C@0>F44}YS{d)bb&@|~j> zg9mFXwB4bHRCc~&+rQjv*?n~Djs_5J~jePl1&gT$|`nIcb_BujWb*qXORYVi3( zFq(poh2P>Bb*F!3LBWDxJHasIkQprUsqMu(PBd?9bP!qjqp+qXS?lPR-THhmWlJW! zSmp`sM;801z?JGu&X*hNB68$oQ>Cf0%xM>za2MS9#E7?mvV|(Ae)n$+`}X^*yuw_{ z4A0JZ(IDgpbHI=>P{f7rJH2a@CY_1|>5WPaOdxoVT8m1C5X6g^$P_ki6e@gN5H+b| zJ%cH-CX0&-c;U(CSX$5~(pP%On>j9|^MI|Ym_!!>x3)Hq_}aaS;3KuJ=#+|wl`hBZ znVmeVY32%&2q67Ct8QkM%C5z4#819j{&xn|3!khI9RB3B`S(aTEAWLfpJ%lM{V`J6dr38uADx7Czb`U zQ5Sl|!;o7X?LeRFApy_cqWntHsWwHRmS*b@ZW55wui_~6%_iN5(_c^`rcIG8s~|F= zy9C(}tMyJwyly4rWyyLv7r=KvEwOMIt}b;OxT&DsCGbdJBg;A23}ldr(J52VOaVz8 z`kq&j>M>kvNR0RG&l~RJ%=*;Sbl?}ek{ts;T!D;BoDvRt`{8U}H*FTwoP3a%%WIIW z_ZrK65K+uyk}tc$p5E8EYH*xMzNS(9DoBmrsz8IbdnPS-T!xk*VFG)DDDRW~UaLvS z&Xi3I)3>U^oC@8YRoBQ?_@ZJJ(<7&?Dn3Qs$UQLtR@@fmQul>PR1Fa%-cUD@0FPCnt!UzW(f0JHbmEtH+3wm8Y=zU1hbdGR}cbE3P)SS5~tjM?IoMR5r&q6uq~d~Q^?Pm_h{!sxJ%dd8&zq^arbw@Oqel1D~Dl&TJs0oLs-RR>K(a{gS1Aoqp%E zM~XJ`!h~jMsmuzBRd8}%kjWewXK0C7qq4CQH8A;aKiNun2XcQi#Qup>x~r*%T7GFS z_(*aRsD8(w8TLoK;^brl?OZv2jHw@7q8R+5^DS`blGPy{yrnX&Q^u>eT&7dV6K2x-Fj#Dt+zeZ2osRy_*u=?uAcN#o4RW9qyfP^B_PV2Q7!~S-(Mjl#uYo&qAA9{L(8tQaCF4-cgUYy-KmU>h^G87Cbqdc zEB0F^*;UF72}gz0p;vU0IUhqY!!f%Q34wPypLaIH6FJS#%e531KfX;-U0;H<>^9Na ziRu2QHR2KqCyzhay-^NtuUq=UH3H{;Hn+WNe=VIzde^;C`I6PZO~;n`CG9G@g$b^J z<96K#!cV7mrosD-$u38EO54xD&6d%_chAAd9#?A4)Z6^~QY>}Err;oD#Y%|Xg~MB!%x73^i!zeeVDX%%_{!$EM1FlM7Y_rrb>+r zM|Z3D3k`Pvwgl4N2xf{L9(d8-8qz!V^SkH_fPEjQxJLckDh9o}yj3s0 z{FdS=i`(vc=`9IC%}FMxQ%)VgW25)5O_9FQ?ic@d$BYTpqSms!qh)jd^(FHOu8C^I zRR0054r;vLezj=LANzdAOWNJ_$gDMZnE4$FOi+9I<4T6gYPv-PJ*2H2x*542m^Uxh zP!5B0QmDexw2CP*4+a?v;cK|#R$nAyA`{3OMtGQ(0clvSsLM~w{2>iSt-=>Cfywuv z2nJ*F8|cvx=}xvO?#ePc$$TVCxB*2!Gs-bGscBomeT!+&I;mgpK|2-ezyyWt>To7C#apM^N+4ri4u$t}l;Dy)jLuZ7Y~^fbRsT0iv|5lAh# zSeV$geyt7{X_{pn`y=CRTLh{h%i;*zTs@^x!%dfE}(d2x8g+x)-?pxb#5 zoPUNd4!B4tcxn`8+K1sKZh)o|3yn&y@c5(nE_>E*2Mul%*r?wW6WMG(a}k>&z^4w1 zLQhp*v}vplD9o*KBv)N7I>;;RImeY}C7w9xbmIZ$73$P3zpTg;Nk5;gCn{hqqZ&Fy zhv|Q$HdcTGYuD8i3MhGCESZebLyr{Qc}}2w7bcPzh5yC(unF|_E;pZK)q%7+>HwW3 zuGal+hG;9mXeo_-5ZvPm$?g>G06zh1fMR&1qiTbSYt?zk$nC4LrIIDRR-}CyJM)8= zFe~$YFNaoqyx{4b4v_gC^@k^^`Nwl`^ANTD<2B^)-kfHcJ?-ZJ!Z8<|NR9#p!!D6@r9v=7 zd>={uXLP}>`+%IZgovw*5f}1@pZB`B#5zS@fFyL5XmtH?o%%kZb7WHTNYDt>_|&&C zBGr*a27s!G_=Bpc`R7#NDiX!y;6brKYvufrqyuAxDH-nt0n*JlN(R4KH zb~2Qzr_kK9?XnI)f#nlcVFH`ItuX0~)~w^%SD_i69+d_JZU;(nXNA zXZcFXA7%b444+h0d!Jlh0zMaIL)6ui3wR-u*}yWO?U}%|lRt$)gevNmOYtgD0Xy zN}VrGc&%;le!G7CjphFe=M3QDhwa!5tzvEWUcISJLsQ`-b#LFbo=md0{^e0<`SEMG zFkwKxc%t~@XSS9Dq{!&bXz|l-UA>`nJ^$E2yE4uO|4 zKltg#>(&3ePj+w2HaJ!fub5v#8T7+>&)e3C{^*>@d1KopAA>9-A~x;5*)> z1IvznrI5BkPkgdXTj%ntj4V(f`+@&9N1NltDBu$%d-=tq=RWPQ0{Ij!8xOla#sS?0 zDiL_$#yzGrN^4z>M|VQaiT9IAgp3B;O2bW)sHfqk=+*9Gt|BALmdF8^UwH{1BTsy% z$twHTN_Nw$Fq>2lNS^#fi57p}iKrdEDC$>5dm6Gv;Nh1;0i9H%b5ybHQ&Cu<>j(dc zKPYlVay%y4ZVGI5XFA>JywJ6b&8zfef?N2ibi8dbpGwogktRCxA9H*i8Wh#5iFE|> z1wzceDTN_<{OQ(|!ezJoTU;1?8wWP%$)&bte}nTeq~M)+&U15~>d_<|q!j)A#xuCn zUPW$Uuc&~&g{7-jg{6YL+#T^DP-_UrgG|adt|-6_vLZFFr#tS_dx$3nj0GONkJjtpf9JJI5b?54lvo(PYk~0>;;~#ZaFrF`z+vOD z^}6$dH~;%BC`j9A234u*TnTUh&v4QDAOm_@W$n^RT4MvY?Z$zDzQeN`d@qf8$<(%e zXw8u^Eq!WM8V3rqu4+|>`12d{jRk6Lcj!NW>Guq~e#VyzxkLIMYCD6rKb5w-)Hpvy zA}I&|JIpqXD=?8>x8aTDlz`B!9(X*bBX7#xjynQ8%(`<74;d56<{s8Z9wZ}Kao~=e z2_BVr46Z$wTj~U?j&?-|^PC*L-BUCs#6Mkpt>a;lIgo7m?2<&u)N|mHRLNNJp6Luu ziDmk)+9+%1ta=GqMQ2^a_`6d|@lB`;v0ZI{}iDMM2p z(yrkc@R6BYveV;ur!4+1kTUBBkL;}cS*S-awQ=>|}>e>FG|eUEzZKY&dAbzq?s3(y7#XdnyC z6f|N^*+?xw>VfL?FDFQT zNpI}?{Vj_ZP{|dRE~rx_78I(r!VwW|ei`-Z7*F-r{G12>YZ{qY9MeI`y$DM%nnu_i z@Ni%g2v?o}6bQczLA&=xW@reiO~S2ae{i`wpdCoFWch8*_H_J=rr!tNEpHXMwF}DA zSl{!?6WGh1`xIqQo`d0=D89$wb6bcT?v#!J7~`DUw{Gif=qv*yo2kxv<;^3hGZVgofyiV4KyLunuvg_+k;S|Hv}uTDuLn|c3O(IBsRw+Jd@n?&;ljUtg6 zsp}we`g>Fd9L_fZe^#{8fMEnhzgl%&Joa)bo@8)lrrCz0^5y~t@>r_3@-Z}#(M^q^ zU|yZ#{Lj^^yom~NPYm?6Nn3db#cahx_$VSffQ7L08Rn{o5Rrl@=&ci}KT>>*u^+@e zT_hQ)L8|SjFktk~@RFCKHOAh%hso)Nu0nk)!kV`hl@=M2uVtO1SH zpU-i-EE9mrL8Nk6=%?9uV2Uz;nikyV;Q=AW?9`XK7BdvEa$J^$k?GX$OwN5+iKgJQ0_Dq8ilwI@ zPao@eFX;1S+_YV!svktn_t8J{g@#m0XBCB>%DW|@mdYc1EP_k{DNf?ngjlVSdUJ2M zK%nMpOjVwcOpwe!_W16>xTwzpKHg?6=#VTj?VHR{z^;6_cjs4M7LvWv-N{!AudHf3 z*$dNR?~}W3(P2Aj^JGwWcUl0VkSi=3*JmnoFU##@Yig^#e^qZo0=ZL=R9?l?iPF^% ze=4j%J*C@tztC^=SU{uxE&K^Y#S5_pMn(g_*5uNQ0)?z77;Uv`xvd0bC{+zAFJG4< z)2W6%JIp{twn_otGBys#N{rmG{MwVUHlNk~<0!q+ssq#g^ncvQ#W;)W9qwDlSLBE} z`?0qq0F6`_TjYS7+?7pJNvF>5?=Ll;HqVm-L73dY16%g;zk>3LA zFDmzWZ}Q(G)nsXUi~I{k!T6#!QESIU9xCQaD4f$Tx}DW0S?uj?ez(umTPMYNGjk%d z(_#c_f`HhvGn?rF5}g{+Jqj%&5>r_%Q0}`s7)1l{Q-&_N?i}1U`QvHtt@COz*E4g40752CNcI#nb}rKpoE{_t*3G^yV!N7I z?0hOdu32YM(_03zls1fVmXLZs?B;yB=IAdGAz3dyAVy$qM0pj#9$$w{`V|7<8AQa6 zwXA|ke+F6c2tim8%degFB&8+vl0>*qd!dm&3fyY0kwFw4HP1qYXNv7J1dSGAmKwiN>J$kX%oy3i54BIng znMYyaM&=3l48_i97~_UQFR09Jp#`c&jg10BFFu;&7l_`hdGZ<3pY5yNlWAYzEjOD= z^of({`1kSQhw_OGk3hqdV?U)kVG)7a=m;NFTL|4}1Crr*9Q6X%iMj@U-0Sk+b;~I( zG9pApLV{Ku8h3ufNE2a|Q?L=k=YTy6NWfGAG^j?wrlwd%@m8DTz7f0QFPOwTy^G5; zW2^2(fQ@<24~_iMVSnDcQ_i)|{v@|Q;jUysM1PtbVJdDe{#fE~EYHoXz4PBCDl!l(L3=Q;U>Q5jtmgJb5WZd+^iZZo0__ zto4)IBbnN7!NKkCHn(g>DF~<4phbtnrk}EqYT*}@Q=?>o6d*m{YfbpX>0%f1?!9z6 zP6638aS?A<$!!pkc2%2#Gf!oU1)7$YMU~vk0>Pdc2+~o{3zS^!3(_ywTm7#EABsMh z=>~zyTTFHCZte(oI)!Tri3Y(XSg5-MTTlpcwYg?e-7wsqAlQ=Le`vTk1-)- zhxs#QP2G|}pG|O9Lt2WaQ2IhyPd6SG2&7me&h#jUCE5Qs3KAc=e5*!+tdPPLNonA> z4DL}5;bG+*?q2oDJfoJODJR=Gc=ENV|f`ber-4%-Lh5_9w(Q^s|=Rck^gW(R0UVDAE@` zOQ6N+^JIw&wXAu(;X2vNFsf+$9iF-$YK^!OADn@da8Wj0foF)}l~sDby36%TzP)wjQwHHqjB)N0+zIS?{?Wh$g|_xQ`i^AgoqYdbMg<@haa>!CnQN((<4Jz9)_?3jaKNk&PhW;XZOtGgx3aFC=h z?_Qx!(4%D}`PN+suqCL0Ll?7oU7-ulzj5#-Scc$vbdB z10iR?2F3<)gvmX9EfecTH7xe6yY6%}k_6PKD1<&E@9cppr)=T7f;E59460`n-6v7+~6k&Oy&K=u$vEM1K1s7b>Jek!k2z#*Nnz z;UN!S7x2@bdA<4_0SQX@wD1q^{c{b*9nr>JRTJ<~?LXwiRvf^NhpbRR23^A6Xmq>} zI=naJ?2#>=?TL$tT3hxldgjerXMb#5T3Ont_%>;?awZ{2h8o z^{DAjM?olwTkxcTeA1XiqNlM)zsU#dDYB665Vz-u zO%95sxrp$J-59gW-0DrBYi1U1_dgS)4qQ7^NX|ok$adDCcN3=fdSD>TrN@kjL6P|A zB1x@_p9_K<-pbE)rYp$bye2PO1|(CT=}TudcM;7hAs}_qgDYKyGXn8*oZ&@%vinJ< zl*7%UCs3G8`ks`TbF!G*YmB#v}@vtcI+%8F405HpvU@7){n;D`%E z)&D){I&@qwUR>`Kf#FF7y5sZeApbS-;3sA1)!!LCu&-Nx<7C9ElVi__K7jz^aiW>0 zQz9t3LjxJfDelyv2gc`8)Lp&C>c-$42=SA*@MSNS>|nLpi#Cf-^jw zGgh!ysso%av2Fqjl3S-iGO*Ma3E-c)W)WWtNVpIjSdrOz&xPQ!wE+sMrwDKneYqA& zW9KDXy2Fb|2J2Q8pliRobXtMnu9PURlKpM*Q+*NcsO}A+yM}FQYV)szO$NxZs!F1z z(aN4R;No|2TuAFUPm2~fvczETa*uoTFOJQim#uT)W<8QD^)(B{Yfv)s6$VDza`ugB zfNZ8DA@5#6nK4OUJ4QsVUPa)*mNUxn;skP<@pDgI0TTkm0sbr9e1t2ro3}&wC7ul) z&uX_>6RZmgYCPH<16x0&SSZ-7@))`>enkNFxkzMkfc;YsD0NvWwArF*owiX{lM)p^ z>bTZH=1bf4!?7ju*cxi?r! z(R3~*vhPTIYPiuhiQ^vVWnPUQMAJ83vB=Y>g<8i=fL-Z<(*we`Kv`yk0D0(#f3`SGX#b6|)6RB266l|>1YH-Odu{BQTIyH-JJwetGGQRZqTaMk5$rgjk#IAuS4|^|CCt6UET1^`8`n zOSMH@tPV<4Z$4$E8cj_PVL2Tottxf3p13PnTA+m5?^ukJ} zU@Y^nWSYwkH3A5aJzS09aw01Zc#lEGFOl!RPc(=E$v#Xh>)KYfrYPScxgC3H^Sa1% z1WF0-6$$Z3{~IaWot8sUq%pU+UmV(EUn4BnUUUlOoHnNZD;)Cmm%LT=NCgB^$)!g= z3-a5BmDw=1c(M}z9<*LqJgRnz>W_s!0HNcEu7iTO&L4GW^AGSuOY*aUgJY#;Kjqy# z$kDk*ajZvA_x&i}DP$Vz!c++g7Ryr(`XdB@Cr*Q^q+j)sNIC{g-t2OidhnbUacJqCRhLsfjb`ontdH zFK>km^AceT-08FSoX&LAKYhL41@nquL;^w#wbu$Bm zCYQa`Ngts24RlKg5E|MNniBfN4PE=`E3^Zt7##N>5y4Lz)8_a)rD$Kd;+T+Yqn|*K z1faRNr}*n@=Q#ok2Ve0_Hbl6oYmTo$g8D#Y{}VShhG#FJIcWAidKtZ%Y(nCRmEB?& z0%ICmv|kv`)1QLryvgC8E_S(ac7F+N(LROnji?*BJ)vyc7H{`jl4W>7!za;$e6k=b zX$+*7U+I+W+^NK~1&^l+0m|)j_cj=NjJ~M{;L`BhQgR$-DBX1@I0vM9e)Z$Mv!BU% z>2A;P=K)Y2U;BS3X4C>)Dz`BH>22QPGWFYsoF4S|=Ps2R;gg3cr*O=vc-fo_FJQO9 z-qpv8E$w!SE0TeNZl!K~IOLj6A4tFQjYn!KdjXq)9bDsQt%nJSI?a5}0F~Bv&xav6 zE?H@1ZMP#HXvDz+2V_8BgO_--!Q_`M!H}+jJN%ErVAAGKo(pPI8myDIm*K|WKo>bR zi#)$$OXUKkq45HC*X6Oi*6(2wSP_f*3ee(2N{o)H-R}jeNImntTZ)w{^^g%9g*Y#2 zadk*JsaJyD5SCS|zAxgRV(22S(YM6K-B~wX(~0hhmb^eH%PBEl+Gor z$CDF?ss(I_TE3z7G)37V5kGdzG@5$Cw!o!gQ!#sGcs@9yV3E8Bl^;O>eZi0>Rmh(( zp})jn*BkEDo3=s}rKXbQV%lJMaKKLfqKZ{zuv`11C692Tu6t5R@f=^hkXQ*TZ*ePO zGXbs#P{db4GrKM}N~DVvCfPT9b$wDl()*$U{#~X54UW^=w3=NX2%_gy1hK9%b+1*h z-sA^GLJ6YPN0-@v z&Q|r=&tC#;PMN{7U>KNpUfdVf!eS}kebE=Ww$vjDi*vHR%3E65I_(En$`%*8B+w4{8S)e` zdNR^k27VtoHvhcJV%b4F8Awau^9jp)Mm4J=dG9;;=L&89bM~wU)qc2;m0B!8_J5XV z$4(zULF7eLBjx!%S&u&oTGYE;y~qz9x^v>|lAyPP&{|*6e~DE2wrfovg_;&O=a|HH z{>U@56A4%lS6o6-@)WAN5C6#+6th9s=HFW^B=^} zyicnq&Hi;`N39@Hi&Q6Ul$sOEf7~ zY;08O!W}1mwj|;d%#6&k_fn}EF;?pCG4ZOh{Uq0DgqHB)uHsqW#z~l~-0s-+1n5(>nV3G#S?u=?M1mfeUa!%YgQQDW%ur`=uk@+;hu(Kp{4vkJ!I#~cIkff zX22sPw0q9LugrITFk5);s>f}=#@%1{fn|^3`GSXNE^o`kW^$xuvpLFleksr+_k&=p zLbs$QbF-Ht*lKisM!9S#5p>xYKpAI+oBx3BVnZrL&B*jC~fe z^f8MYL~~6gL(4atwpJx}9H)6%ptlJn zPNa^{t6Vp_HaM@FnZ03?qs*Plf7%U1mFh<2x4E4X;cq>(Al#N+;0JvuS~Rr1>wMR- z1#8;Wv!r6P@BLcjPpPXJM!0!;&O0YN-EKkdJ5itPNSDcGCOY)SE$G1~;=)r& zhA)c};*xir714(&D3ljs3vhOlLiqyN9_K2($IK^dfHS>n@JZt1!;jpmIT-ju<>M`t z4!%HVo=VyNIKVmIL_waXQkBpKINcmzd0V7UJ6(=}FQ2E6t_?V4H&*rhRR&$IR^c-3yhaix!ogG%Re zk820Bk<$Y6F2_qm-=^Purv;Q856*jMC2V!?wA}Gs)fe-Q`Cl(NKH3nIG7=4iL8ZQ&?4VL&Y7$s2RZf6HyhbyUKUup1;w-<1R$F1;6%-JSTKNHwCmpbB!c z)uH6u0^jeRT8ApD8M)qXz$b@Y_{=wz?v78P4!Z&ubRA6iR7i5Ww(E5J7xVV{ld{Ic z_O&{>AR4~7H)wI7_TgIL@7v^QwuoKwb$j}5`UQKdjtNN?aCetK&>qQ%75lU+O|r)@ zQvUZpf$(m9VUKfPY`rd>DjI3Vau2L6w7_7ZD3djycCM()2I!b2@SWfNwF5BhK(vUu`*sj)v)iJMECmzTNzV6h^QoqKnr{_v zXP%8jwJ*Tt3}A7bbCtN9>0dq)aND(#K4(Hw%ja6F!Dt`pU<{>LUSa^I=g=!>Z{1Yp{T4$ZFPWYR=wy~2W@$N4Xy zC&n+Nx7ZMW!`!_L!8~g=D;}h%QN~z8S`G6k2IVrJKo&h;0C9Fa=$%^lm zGf#$7_A>lZZrwZo)Q@l7kA<;u#3vSp#-Hwjj)XLl&yl!C2Jwniga>?K%?^Q5u`X0q{Na4#W(=vE+4K{xk#Ne+#W1jPM@q#7(7&_AO$XBDtMWm;9fyV8(mgge4#m2LdO+Ywt z0Z%}mSdv*_DT5vWeUFHC+&s%63*?6#CBy}jl^@GP2yW3HAv1qsQ9+m2nk_L;PyIR1 z;qbR8>}_ny(l~qtvqR1gmVU+F!16Zs6^;iz8G=jx_|m63tprFe$(eX)^}E8e)l^mH z`z8qRY^K~<(KSppk1u)g&oS8zD#58N_|};Mg5n2H-`6GlI@^DJsNptCLuqa`u2`lelv4bm8hJ%&`aGkICLIk6%_;fUkkN9^7j>Cbv?3 zEKHx8CO_Qn4RjszVfSxde0s7IRRUURX#tL%>!9vnFmD-Qwh+30_gaeM78Y&lxIyNi)X*J8fEDsHD??i|kugV!h9-#$-SDuQP#Bg8Y ziS(dMo|ouCVFE*kc^6^kx@H8$2S;VhvaPy9^krq6yNME{qXIg0MW6S<%Ci-ZKHfhg z`NNcZ$aJk(JQq8E^ygnTc{FV)&@N#gG@H*gkfWjegV(1hkd$5hWBO$mDe?3ol$#a2M^rAvvag7l7Z9+S%6bD@;9f-T&lj zWr7F3ytlzN1I9rqpr+$pu2pYOrlhX?0gMO*o{cS@T+P}3cecetO}!J-xJeb+Uv*PM z3fFSxU%oU_5!v))R(!#>oIB1iZYv`5o&(}&*NOgFkl8}!j%Oa^u64XisgZgP=|+)s zc!7FOX>5v!oA(}z4I)Ntvskb8&!82!$6SnpEUyALjB0!GQ}?#mrof(($6|y*2`u*b zrkuyQT|?QGX{mr&jAxIV(CZCDdMBU9GI#_qgdu+w$gZJ=oYO3whn69=%n9I=!K^KlZeSJ1 z6}>1AkaV7r^yr#5%?dx@BCM`OniS@)k;Jc3_@4B5uaHo{k8=i{JOo^>ud*aTa6K*9 zh`SzJ`GR?wV9b=pIpxR$2J4@1o0d1kAz&oWt8tIYNtk;hr3=4Ke3F&t2OO8>UZ)H zy<>b4kx0Cet^p|fgW4Kw8AHeKEEfl@44z%v)uZ8eWRLypBL{7sLx47h^lVoZS}yC+ zf6cARUsbD29!f1=jnt;fqndu|R;G#odUUZ=WF1hVmukFo&R&-9$ci>iSH)gf;e&AS z90o25wAu@RDqG`3tEPIOwRXuf>o)JYS>Tz;svA6&)8bX%YIxG1N(;zh?sodaoJi|$ID|J=(j?YD@?`ob{ z1$DjXL!3jTKskp=w92#Dv~0X95V9kU%y+#?swK{I@U#Z@<6LYg2gK%1_i13qhGrWSe>$pN&F%KL)sRJrgl!W@+$t)+ylWe_psEOh8eBn=d! zwH{|Lk{wU+kBO1HkR}@iZB9-g`u15Ehb1P;3WIBui_Ago0A>Gr3A&uBP?B>FNvu9{P zn3gV)&?&DwB&Or450JHd%pi2XE>eaf7kK{eXF8??&>|@tjAIEkB_uynw3F0Nyj5$# z7|qp%HG#iOC&}s-y@+rVN@#UO04sR9E0_wsbN`mu0mTBD!X?!5ua~d0`O_6~LXBee zv_AvuAfJXm=$7B8u{Q?pe50#5qX+%RJcX#~CQT-Wr9Y=DCtm9lVeYyO+f z0VQB>TYc1o@Xvc_jBLnrR599#ZJGjNpIJ8lniGiN^HaOD>jorN#>EDrO(?Q4rjsh- z)>64dAcxShi#_Q$@im={7c@}uc-HEK{Kzo0BHwqg~N2Ov)$f!4?Rb`A#jB z59kd_(8!c#?iMaVOD2Oti>eS_5V(-nEbsS8QcytAnd};ODCB-;l7N8kt}2eCuH@5u zIAVv1duRW8);aa5gxF)>8%p%0CzgWR8t2FYVMiMS4h;8=ytYp*ft+(z;^ft_f^+f> zX*%syTk2s2M*V?wNwFuJj)|tK3DwA{lIzEz(Rxm@xzvluwWN3%#rr-=6g0r|Kut=c3G@QeSToX{a7;@ zZz1q1ii77IfoSN4eNxAKn8{OZCux8R?@p(kD{n$n|Kj+D$qv>6tZ0f zQsA`lq^+Nl0UuGQdPv+a6B5?nKDA~Jen!|UkEWqSIKoz?lSM6K{XeQ!pQzJu^AMLi zXfB+g1$TTtLifyjUvn={xINN`N#=`Icl`3=m`C5}Cdnk<`UtMV#-E*$6j$XFF00ZRZ`x)km0s}{+e_FNSu)Y8^*9_? zfz}e%UEyEW!r8Rz9rdEL*E#|^4B30VUbqB<8MpAvIT_}o8nyRN1)GAZ$ZF_6jXP3J z6#eK^fE-_Pt~0 zoT3_gLM5G9r1-Jhe*F)iO1D4Ow;+E?zOc5X*o-s+s1oIg=$LGE-Tyaq?(t0jUmX8@ z_St>2n{6%=o7G!M52*fq~=x>x#ZFXo4LeDy8OCdP)UkV$>p0{Bwa*GLM2I( zkc5!^y#GFbzRw@$bso>>dCPUWUAQ#tR@f_sI4xrqIzMy0+niTxs-%jZJj-Zwww z=%rBHW^I84-iCo0%Eue$zvmA9$D_$*l<%_kSZHc-$oySn!lq6TokQi$KS9jBJ#XPE z+t&EcMJFd=*qz<9DZo^A41S?V)@3hfIewe`ZnnyHUD0b*1Sj@`l6mZkZP;-*H++R zBJ0au@W;>D!M-R%vJ&mm$4Zh-0XVDtUw&N2kmF zVS~2jA=T+@l!#MN)9l4Wlq&NzVZ|y`#=X}bLTRdon8Ld8Ap#A9W`- z!sZ7TRze8w(?nq<;HTELPJkUP`_&f{jr_nQiVT8r*bu9@Xv1rvahZkojnV=~O5#(1 z&yQSSo&b_`IEUR8con)(et3k*Hf)Fq_6Uo`1c0l7{#wQprXd05?^PJ`b`C}zS$J4a6-|}Luy#S#eiacSkt?3m5_p0V?+82$GKuZ(V9=C zzFBnoS7{bP?Z$*!*2mKpcHX;MKk|1w>RgL6kCOqaXVXYwK9+Z)fDk2#P7AY@A0)+{ z+2KCzyyK+0-U-9UCFw^DmJGcoO)lvH#ed@riCNV?lV>fBM+B5I3XmP6Pf{E;_oPPN z@a9H*IE%1-x4!PpAINxM*)aX6sF#D(e>f$t8h>L(A|;CZb*9eR zn1H{$xG8p$%)BWsyIVVJJF}sNwzrh~>hboyqbsG(&q?l*gokqSK;o9MXDj|NWXn{| zd)ZXQm%0{cG7Dd-X{#4i*UEmDKH zO|Onk!yjCpR%e!;MG^sNZN$3;V;9qT)K5&sw_*6^26JTuUZC3OckP6>A*CrGm?`n* z1U`ggb{uq^1CNh;&q`K#ow3~hBIu}l$pY&QvR$LC$!m35)ygUTz{oA>XU%9jIIY*o zYq9?r)Rhu2^VNMy>UQO{cvs1PNS2KksRDS|WH*tt4ap0|Q3c&p4d%Pw`hUCzoviEhoDuY@i43^Q7K7ChAS38?Y< zEK#Gk1ovG9-K(-yy*`n#6JYf~qu>VF;ck0|5L)v zneSseacQ0dgWsgQVVZGX*0BMy;34Xxyaj0#Y>b@CEr2xi!XEpsz!A1(MEBPPc{}DC zCS+eN$0vDB(oDwJyt#3iv=1X&lub$>mpbn=z$aD^u~ENEX(tx4ppqT?WqH(ytk)n< z)aPVuU$LT~-j;%d0){4ShCA!Ua3(j#F1dABUR?}xt_`~^H}8VU+8WX`I8?a-T@1k= zHudm#&h{$w-UpY&pP|vb$Zv^OmY1?ug(2&~;L|20@h7)1% zqUgS3Y5hU`n+bN%wbsEkUJ0#UVo^)5oPTwS#&2M{Y>)BDAsEZ8EsX z-Pb*(ISchIHq~F|pBBL+!Pc#4Lzb;OXFlKuxl5_H({dx=>hG>$^H>Ot`(gdEm8w42 zg4ll!PN>k5opFAe+)Tdu=j``A4rx9dbSRz)Di7(%U4u{m85IZXjCBl(oPtM?+QYn% zan`B1E6BlvBN)0Qc+14^k&!9xTB&uZw)$61nte&;AFIB_r)ix|J3 z#xxI}JUYaBG4e+}`criD>0nbVV5;n-7E;&xDKHtj(}M;Z~7Gx^@SGi)$rqZ)lpsLd@F_AhHF$`v>S!^JD&taTsr^X(r=l zN#8Pv*>h%>iyT+}cic`wE^In_h=$&$EWU(eROk3Jp?yl6R_w-gj?u-6H98Xo$VxTD z5NT`gu(;&A$=xR%4>HQYWRl06?)#*LdJ>>W^jo+!+6MO*orMnpx&1tOKV`y7`9#VI zxKfB-tYQu)!=N`iGqvn=^$1iA+%h@2U3NX~JCybW8W^5e8T%=N77%AArey)e@r|F& zx`rk-iCHMIZ0FULyoEm6y#h)ZH)7M)bG&d#EfP^r;chr3Oag{JI&3ct_W{J8|NE)K zzT-wkZWet;ZJJI1zbB_JT8-=GztJw{33*NDPY4u!1LHu@X=?uyg|SY2k=xZdW=t|o zX*v-*%NytSPr`3nOQzEY_>u=X-t<0$xgvZ5Qu>LIr66AXDN3}2uh=F)Pf)gw zg^3Si>x?+b8e^yu^;__*3OI=d%1cULu%>jqx8bY<>rQ)BcTAoc zuj}}A8`Yl$R$0$hY^C~+H6BXp1qA6)StT82@Pc+&B|UaSdg2g1la5GhBXreV1T>c< z61!0$GkV!`yT}bMc=Tys-KXfh_wL#^Geae%;uv|mumd`V*S)`W<%-~4qxJO0VwPkE z`|9{YcG0`$XC&nDfypCVXkMqb)ZAM+a(F$K93vi@QDi=1bJ&7Ty|7nTvjL)F_Z|w7rN4GEl#I<%6Y~*o= zkTue=jRo3A(*1gFr0U+#3f85vbQRw}Pf`_lzT@dRY(zW0s@oYyRh+bQS%KJJt#xb*Dr7b`T{+)!W~IvQcuht=CD#Z&Xm zD18x=CYLX)=w~36tA3<6=Edc;c!^I8)jdsd_`dmH)|S}lMxVn}3yP)Az~=Gd^sxQS zuXo=@-lE*=_UKg<+54rSVGw*JAw5=}7;3{9K9DYyPdzyUN~Q}*?-NbxdAEB+ zGbi4&DEF~p0-$fra4GT|o=B0KKDnH0tDhPm{OpHiuF+YpQGftbD?9g>=6b&RZ?I=n z^VOo^z;=zWJ3BrqVzV!Rj*qMN^`c`odikHsE$P-X4H`jp_YZqu{yXD&pabjIUiXLk z!&+dM;g#6T2W@_(d`x7Mn7cERPmPMCza>q>|Da7j-swL@BN+FZ0Q;!0B;~AjOaf&g ztp%h3g-X{%zhi#e7?#COma9)3+c{b=?gLDe-C1NRNVC2vMPdu~U6P$E-}JwxAE{g| z8YicV&xpe)CFg7x``%2N%U)z<1TQ+=uHPDzbo+YrBHI4pdv!ep;4}p1`H1Y%frlgp zaTVwT`v**ZUT9iJtF|{^`YMdSfBv3u$(N+Wa4Y&PG2PRO2(*cO=80>a=Xz_d^P2aa zkiNYUozYw(CRJ}kNvGQ$FR>o>04h!tQ!0d9`w#H;-c=I`2p#utfO!K)GT zI_N|4Yel)VO_PP;LTA6!>2Y#VQ|Y}dSOCKm9$ilMr}B?pJ>~Xxt>`%wAvpDbln&@> z&$-P-2kw^~XJWMFUsM7HXl<1lN$DM`T#m{3#7m?6v#i+=6W6_<@xt|fzW*!qV)yQe zZtq(|83E;PMUy-?-)=dm8;>;?qINTBs=W+>ikiu52xFFMe2x7}8!a&xZGO(l=s+k< z|8!iyx6MMfug;17eINE);g?o5-FN=I8bGX~{6o@*Q)zBs%(ba{!j27BOMho!O$YjpA+z9H>BsDIrciaA(&`%8)aO8| z>sqFG+Gp^DV2T=&7m*El<-ULn>)McQ+4P4zm|i<5B1c`>*8Mp(XW0*4aG!33%IHX_ zHVZ)jH@vUevcnKq%V#EY5glmbKZQMIb2jisi@yT!w}80+i9-}Z@tcjumZ8{}&n@Sd zy_na*u-nX2-z4xN=fXBcxbL=Q#NjrBSrV;$ZIpzVUJwgGQ1)m-F=NDEtS+j0LJ!8PUi69QqGy7r8}qL z9!r$0-ed@28nZeBFoCcnw zta?P2mpLkH=8Ra@<>ZY$kYLAxP;1AoPn^e~s#xgtFDDVvNbWVl7}rWPzCO;1 z6>iGMPgV^f_8&#iO(x=HXFOqzB|}&5MU6X;nN9-FC-+TOLOFZ+MI9XT5xu=~=`Ksv zSclqv9s2-}o>6Wv0xa#FIG;9Vb3`dRZev=~8DegC>;CD_&60fD=Y3zomxy6#1C(SW z+vUfzoI-SZ?PP2LlI_?8(-koQJ1`}F4q&tPJ_XM1nQaI|8mRFbhKxtD|IUX7a%HGD z5sot@{|jCT^l58x4*#{j!aP4Ry*#p?2%jR3$fk(r9j%-332uCrP;HrRm1 z#PBJEmAFIk;Zf0_9?AiM2V^0;_k``;7hxk~3xOSn4+ba5g7!!18yW$e31Br;kbAe$ zfFGc@#kfxd0CqA4i>sljwez1gM@M&;UZcp}hwtIJvfayhtKdlTPP=UmmQ1J3i!NKN z)l)2k{d{Hi-Xflx>-vqhLJ_wLK+3f!ZZHDGz&21uAg;IrLP zMd_F!eXIM~Wxmsdz{Sor8OV`aLUEjhnkF&Bc@S|1)@$u1l*q9L|k8`M)i9? z1ktvBV(x)fai5-Dt^xk$+k1Rmy|$T$_e4b;U|QDeLd8lK?AYNN#pDYtt8jy2y$fG;l^I0X?p?ZzQ5mvcZa{4UCX zFZYeNAG)@QG4JZSwb%Fw08Akwh-okcj;vb+lfME3U{A?MAOOT3aoxtJYY#>O^pFvVzL7+h#4*xqFBWnAEW}&bo}-j3Kroj{qEWADz{{ghV1a*g&Wqtbla>A(0T2 z->A<8u)&Qx;BiNc~ zty~dKSID*kLG=5rY5)K#ekNVFfO!PL!ya=huxt(FF7CzxA^~4t522D!#1|R_v)leV zAvhCr3;zXR08>T)CaMsJgg-z4M!7Nqs#g=4Gc2Pz*l*>-LY;}dxO=x&e=T#1K;bJt z8eyBPV{BZh8&XL!m=jU{9(d3wf)?Yob4xbLM6xHq)EG(xH@Z>)<2GZSK1HnOUcz5x z{?~9-3En8{VC0!|uRMsB>6MhVT@L5Il@-5|IjbFP>5*}Va=(i^Hr2^amDuHd{Cjyx z_9La#-M;+k_BCKbTYyc@71>F@i)Wc4Da>O|*s2wO z-oG)U%je`H_PQ$Y8DcSDRUh2c>Mf~P4bhJ-#=^B4FX3*Qq!@kN zFo~dM2qM)szg-h*L{mTj^_oEn1joKwun@L?KN%qxPmfSk_M0_QNrWK*AP|*fG^j)U zY*0XX2^v%?q9TYIB3~yQ%#syAkvF+#~6X z!~!F2!%%Jp6KT+pE&oK0__8MhsH38v8!hPpSY;)_XA#@me;1+;z=M_m;Uh&bilGl; zEr!-roTF{c##PZ>q5(OltNtif1sNNTykrqo>rxLOC^|+6z!Bq0uGUhiGKAFyINKw=Z}~`K;8jeWw7f#ipo%f00iQH$1OyV%+Dz z`RfWSS&`>Sx;~Yn&>*t_0``hHx@Tp9pu)FW5;(nLhQV3tZKZv{fO{MDw7}=*l~*;_ zjMH)|_+yde;ZLN~;61A0G1A>`kX#?8B9a%4YbEV5(EO*txvZ#h@}&>#>`t1atPE12 z+pW6uWV-7}M0IT@ZN=A^WNzsNRu{4vm#s}?Dqd`DhRzYYCI3~LYL8j^#Tfho9k0Zb zx4gnpTF?e7K(_I0gX#&;;vzI~@`_qADNm^VfjmQH7(J#iSOaD!%2EfYtPVAq(zea6 zhIk6$%jlmv$42uc)8D1wt-HoSdYgH&>v15f39RnAd2|5QP7wL(ap);va}+*f+~OP_ zHMu}kHeX}zN89_bu*>Dge|!1fj>=02oEQ#!fgI-UO6RE5b3wOK;$r#>DD7NC0WUSru!nKFq0xktFcfDVwkB OF?8r8rm6oPLHYl^NC`9m delta 57578 zcmW(+`#;l<_kZm^+sxeN&RipRx!rd} z`{D>Y#(M}RM#g3{&^^{B76NNCV;~}ubT!h_$a31;5NgRKWH=hOHbo;aGBFfN@<`6!}IIkL-K#Mim!4L2hNVv?2t2uBPvNokqe z2AOfWKz17@t9Lp5kl*Ctv_0Q^?5OY_t7r-HcyY7@=*-dB;PLt&^*>j)_@-_)!dqHMXujJuhKvnsKBsP(^-H`5bx z6e++_wTEY%_f8kof`t3Pe$YS|4K5T#*o!#feuxX3BxWSFr2y&8?|8V(7bP{JTM+%L zA4~WKsDlA#L_&rB!6Ai9odBy1D(0L)pP;{JXQPduuheyeL?Hex7TAUB+Jfpj;&hAto)pQL z%#Zcd=A`}S1J^*CM`Y?|Ab|KRg8b6Pe9X697|r1Qs+y@?NePk*nE#9Ayyf$-8t^6 zQ=-@L#6{@Ib_CVot6>RAk2E@%Ebm(kY81L=FwKFrbE<+f2>YqiOLqfj>}C)jqFJvL zaH0ab@@=f9pta#HY?;`GS#QEN+{b3kf3e^5Gj0~U*spHMv;JzlhZ+XofZc02v7+2pthn?P+fOA!3( zHGX~-&|+Bhvf+n44#o~3wdiaMixwybF{3;-TgC05$B(Nw7&0R!6}eG$KVz=jeI&Bn z(j~{f^+j`u)k?Lp$MjSZ=#QWY*{_uoDwwC`C!_^cQKw1m(!{DX*~`nLn8#K2YPDRs z>zMSq-Py5yslXmgR@*l)#4erT;U*c%4xeP76fH`tw}1j!lrTiUZqHnI<8!mq zr>0(ofK6q8}SnP`Z3HH`6b|*3HnAY8oMkUVq)%Eh;LGj`{?|5nNrx>2elsQ zGEqxh<{B>HT3aJ}>cFRj&e|s{VXMox*&3IK`0#OJo8aTRY;Y1fe|HrBq2vYbIQB{^ zdVbGgbi!=yRD ziO-F{VKt)T~nHXA1%F)`^WfoPj?DxX6J=N4-odgJd*ppLd zIzunqs0QWFuGVE_o;9zD8kh-sVLbY`%|c}8;5$bf!~}VFgs{l|i71$)oQnV4_M=+> zBTJ%Q&d%E+AC7PHg<>r5Xk4_h2F>vGt2Y|z`Zf^5Mx(~)g9?UL&_>{9)8YHzM7g)a zCLRf=l&OIl#$TeYf7?|AYfT623O0DIWTg-8LISk`f7B(^~l2b zX~<)Vr2FEqo7Ytyt}6=)uB&o8WMG*yGP9sTs*oubQa(Gv`@MZn^7-$(2bm|P+8aT% znC$K6$}M8`9VupWV}{0kI(>hQgoKv}E?GXxCw+;`Qz?;KBK3bixvEC5eiwVlagOKl zGaPy`U7U^7^X&CNUihSudUz>Zn`vPDyl+>;?|<5GU=s)UX^HlzviU-D(?&qsuA4<= zgYoT(a5*Vmf4sGTwFjh@1(lwU1m@wo&qYDckskJG18~pn;3lCd>qPTjgF-*vM@>cT zM}xoa3e9u{n#hUe{PTbxO`gGCkZ>HFQR_zHAW!rMi*Dg!UPP#tlq}xA+fC7C*!Aq< z(*Nn~MQ{CW=gBuQniPYv_J_?1sSH%0SUIB4X#V#B*++3Q`BQP#w(07Lwic<8#l-W% zICeTvG33`4pmBSz5LubHaWE<@a>VCl&pVO!JaBmuw;^gj&-IV#tz`I!H}@80^MvCy za!|o?nCQCyCNE)A+^(l@OSr&$vc+B$0*g1;GoZz5Ts8}O=@9_DPfDP6HztvQtOWlT zcWU$Fv~{fa4X6LeAlSKo%{jajPfAj}X}2k#DE%N_A`PQQ!{4Jz@1AP;#7r|s>`rda zMi4Wj9n8q-in*DfaAmiB#Me#_vMvQH1!YO0+7*kIHlTj~^iLMdBHLaFX>(2}3`p2Q z`@eV(1xj>ps)XxoNKj?ff}*q&AC>kH*O|nP1x}cZ)F%tLuFRA;vM7VFhqEfY|951s z)d=~1wwgjNR2Na(gRle}{XG|fj#`8NK+YKYBI_C-!%&gA#R<8O8mfckEH~-CQ+Da_ z-RLJak3r0)Ak=YdkR3z}w^a$2pOnBRYQ`#9%M}YhQ*^-8#*J|A1gd!rjVt5E$+GPtbn*tclJbmhac@{OSd8$qv0te&I89te zvG0Qu*3!&FH|%n%FZ81v92JiQ)qtu|H!=IVB=E-A0@RvHv!cp*fQa#ogFRS-YL&sd zO-bVAR96u3Iv0#_ONS4FFS&g?DB}c8GB2EDJ9DQ{zcVovER{K!)0f$C`iEJ|yOu6y zB?D05WQmXrFDe*EAF>w3LXkr{L6l&QnocgR$M1EFlxtzxR2WynYbrys46(>4ExnMs zuVj=g+CzG5Hd^c-Q$Z`2Q}h#gku=TbSy98|uOxUIBdV~WUB zalc*c-fz9xx3-N0Q9M~!YLwo#M>*t#b{Y8<)l>6!7w`&qx@a8Ts-OrtY#~->r{>;i zc;wf?-m1v~IKCSB$$iR2>+H9EPk#8f+<4guK-Z5>1XduHcJt2r7cA6QoOXUSwm^N5 zXA?Y;ty{nZ$S|83ZHhoh0a~xYU-OZkf^i*~0B0ADNaRrzb$k_KWnFD_dm%ptG zVM#ddncvXxfPbO}OK?e9r|KlLNC$`7Pg8M+8}IjnY4tS94CK zm=HnS#RD`!oNz4-x!RU9FN|C(W@ddh`4pgJy7p+*g0XnQ8TBx6eDkO!SgdeKAP)}M z|LD^a>qjqJ$~a}USGf+2>S|q>e)gj>yriOd^i|L&rCt;Zr~C=5`pcBs+Wny@*&w6l zM+ZO@yANJ2{k)Aq^G1KxvCyvVt4hbs2FT>M?TMtI=!*51b%tUOb$?BXBFVAh*Lu7Wi2G)u^`w; z@muVV63V+K=#+YXklpUbso>QeiAS?h8#bq{Jne z^~M_-JuEdIQ?BX~Lobd+^hlMhJ06S_TTOQOR)GgUpdzk3%uU^T5RW_?xAQh9L6HqE z1H8yG$=h%(x&s?pc;>XIF0~2_PufP>>SYsb(WM6K)P4`7xNW|VW$~Ko8r3Mv%+@OB zsj*SB-kyvN0W|*!DomX{M3uqt61Ro6q{Ygdwlk4PK`iOt`=v#iA4F*Dlm)dVQXWMs zc*jg9QqI#JXKo!5F+z5U;yqz!-(e-r=E^>AuYhM!(+a-&+%_(M-e>4rGvay2KnZb-v&&rHPcUS5 z5X@Py5&U`Kk~}1TO7$}krvLZ@>r{~gKG>&|9M9 zzqwv5t2|o4#_`M}T}jC|VkCDps8(l^+PdaJotB@W?Rii-q8D;YBl1ulU;w@Z5kde$^wjm3;8wx0l~KGhvYyzKj+B+XD}_m0WGe`}VglvId%u z4KAAP-sV?K8b2?ge4xv2ERga277ByVq#gc~=0wRN$A&H*S;*SK;lvA5Ey;C<^6%Lg zz_vy7kSlt>w)(5x(0e5-sm{;(Us6e$1B9^VqoN@lkV8gJMOVZz6Wi;dd)E){>*b|x zMLSAV#~H9Vf{uE*^(WXZ);2*?chd?3OI-_&QmNTQ9|Z6!{=5F+RlT%}ipoC8M;!<+ zmJbDl-T_I8BtZLfTCR>vx(Fb2A!VfknsEfKW-gfAhEhscRP|kP>IoRxg zX~LcY1K{|`W#y_5)XUD)NFW>t0}gZptWZD@0_;ssm<$ddCndIP0sGT6fPK?pfKS&u zzzgskS1Mcv++9*aZ-zaXP6eE%-o*nB3bsH>){(Hx@s#AphntfQr6)S2j>pyt4FLl{ zAJ7v5x_}xW4M_3@TUpBN-p0jtB6tQDFM^0cFi0K|+H4h7KZBlncVc!w!VL1zz81Hu9WUd=#GrC=K=& zQZ4S>ru`)uX;3fe#JKAe42*mm8KGCaH;rwgJB50*AseRl@{-X{QKJxq=zP2WGWt(OS>aiG& z0x~2%CfmyG*wTRBpa0d^hUG9T1*u@;lJSxwhugIXJZ5sm5=E`*-tvB<@2?L8XLkpR zXN(Wo9)ou?15c9fP1Dl%%O(~1U3}B}q*$mo6(g_r;k9mbO}aKmXrZgMNuwIG3zo2-e?Um0FdNm_DWgQ-`Ry=8;f1?Ahm0w!p5wnb!h z`0Y{Db*{*|Wc<2d?XvSWb)ypmUNTU*xjMOdUuMr9fpCw|L>Tn6Oj5YyLy{<;P@DP- z`Ca}JL7j$df?twxsT8KryVXK#X7RVx2}*F`3n)m6NismpLwdu%>GG9N z;6}Q$xg^D+O#zYuVJk3D(E?a#<(Gg|B((B(bV^lHYl9x)A-A{kuV8|wD}-(?-eDu# zbu zv9hq@Kn2uMWFo$LwYu21^Jt6vy&X*8;QY-USfN}I+38N;o}OzF&DVRyI}Fyw7BoA9 zO(D^v$?%|t@SBUX%Uf>MOEv*}oKpAof-XFp`OLu3->lJx7jCuN4Z^*y^=(`IB2oWX z&FnsSPJ&4kyew6e+B9ULTr8X+GH~_;yurMxLG-p4e(4#U)w0<^&iAyCuHv#cs|gJn zViMhSyp~v9P-x=JhG$>gUZm=0mgCt+qD$q!5E}flOJLJBhO*5P18aF{%R&q8(?;Es zlydMoCW(O5%B|cnI?=~=!)%UF$$XnZ+|t%olk?Wip2mxu6H~ja->E!C&fEfMx{7sA zV_j^ou1Ve;2`%j2YE)4*9`?&0amKNav*lKtS;o)uejq^?XBJV%OXz}4`mgF3 z*J~fD%-92A!K>tCsT1b~Vhz`(?CCPjZp*s93Ol3pp})UJc`*Vee7GK^sDB}lM9LktNZRTQ-D^A8HZ0DS{5xuT9$?ec?j81XBtbu{?^ zLu`Y-F~o)|Zv51yMl%dGs!`QD2V@qswwuIk!GK4H$Dc1@ZBp6_57vtCoU{P=4T0o! zze!ht=$GKO%>v0BjMIN;m?_2mVefiFTJC4T$gF;kVAOBq%dvJ-uN@C!?|KhdgKt{o zfg;k8kDrIc>3T;Pim5|^0CB zuOo=2_?upziS=;q(~i_P;=J*+Xm>ZYvCr_$YFXgqZoc@B{d9p}ziDgq>tsWN(ViA# zp~&m#L!O`8sS9(bb}lELG?33NI@bQ%MBGKx$-TR*NC?h);ctO{Lk0n~O`3{+jxg(^ z>57wDox#*69E>bip(78M_-oUp zlnyta_zDoLupkZGrO&c8-Pi$yB3rBpR4vLdz^7Q2hT;((p1(PGdoQ-6qrDGX+;NzE zf8&X?AKO0R!~)%-I^=+9iD&$fe&6=?jxE2r4%@6AL%CDd}o&mY8)Pv{q z{6<))=%<7~4`*fseIT+?5_E!Wt}}(ZeJ|6=q73AU1T!R|7eNwRar5Tl427ir7Z{HD zvBihbW?6z>%vo<`*n@sHDs;)?$r-=*4-hXOT^c>gbva7U8&A`LCU zfMdI#N$uWS#cS>B0{U;LkC++NU5xcnOd(1{SeO|2^4dMJ%D)DgK2vQa$lQU``sLb$VB=}ZQTFu!(bO4yZCo=F6oUPGS6!8655YV zS6W$UKjFYwX*UAhF*oFygU(v0%i9NAA+$^URH2XciR?+@iFE1T@8~e_8xsfg(rSU%cot1l8RrPpO1(3V^1H&~u4h*m%D#SadE_l&8UNjkd za8S=UW1)_j_JyEa;u~r-v=BUw1LMtK!GOpYLLn_L^%atX{ZN%;N<|<_9#w4 ze*z066%BnGqYq!F4j8H3Lb9t(^bxaUfs14JPtXJjV(Z-;|aFerW2LwPTSmts3m|Z?A2dd99TSIYU=6#UEU&|#p7_cmG(V> zm;790A&YvexDIV?+h5jBR&(Tp=#%V5aC`aOtV+^nk9nta%B0UH+h+;NZ0L~P15y^U z?RG)>n-;j!70#)H}8p_=k3a8%ius2dBZsYS&IXNfW*cggLfS9}6^MUSp`p zEPT;`?B|yIL&Vw8G>s79N&Br6ZbrqeDdrs8;Ej@5`ou%3TZ!`RuV~f0GLQHB%ki-I zjV>CfJ45CG0L}k5`1G|F&AwTj{@4^PQNy)XA(x3gEfjG|OAoNyWJ3XBlBi<+gqdw* z{j2iZ1`idYJH@+~SO9n)CcnE;gc^%6kqxXy(yATbkGKJHV1dueEi>2<2J~LGSY{2$ zGVpNoI#yHZxq?&+E!0~cz;~@q#DdQ3B0CeQ_w=;ZAc{KeMFu3ZWI_amwFHOH=!)Do zCkvX2L>+2t7f3CSk;2La^54a|MJ(}-ePcg`zjTCDr72?&jyfeB$)*~91&L%1KxH#T zw?c&yy^a?fFAvYU$R08mDcb|pIRv2z997czt9J$ut*?%JhpHrR?olAG+A*C_KEnR) z#RXzf+s@$IDbd0mu&NA;iLbv1NA^`gGvMKk8<0}|1(6aHe%7iBHh>uksv$Y=kBO3f z8U3iHY*X|*>9VRab@7}V$Pxk=YW2`Rado(Aj%*=O@_(3|8;-tPzFqU%6C=Xw9?h8} zuUaj=%;JihBJIF;e5BsX36Zt_=#YBom^v&zy}YOFaoKH}4{~E{pdmsd7wr|3;baXZ z{f|CZc0r#zG0e&HBW zTZZQ0X@!KW;=T4sG3oJKd%)0Lnu^zPHBzk9QB4o0p%51qs|vlB>1(&D@_aOtGf zhewlzRKUmQpeuPHEz?#1=(07zC2cb`K7AL-J}q-sJ3-zxXv@dXz}Q?>UxCcdOh_z< zxHPN{u%}|lnKT573WWLuq$Q+n+G(beQC`bF#gE;@@9%{INaYm*V3bD4EE>=0%(@Zt zL1rI?VC+-V_KNboW-K!1MSNTQmF$U|*&QmMCkz zY9%(oJSBrNe21xUcyf0-FkNx@SW}iK92oDx^d@2^*lVa9JysY{OI}5&CwuwPaHH8-0>$MU!prp~G&?lJ{2#1_p+mq}YxNX6Xz=4tpbORYjo`h+A?ZO*tp#(Arm z;yrpeeoe`(4Yo_JLd`#XEZwrXE5@o)L>+zm%O^82ZoZb}wQgi9@_Dc4^Ny=)<>0wcxp8SAzZ{|0!8RA;7 z)BdR=WY2FBcUpDz%TwRdWKkh2H_2HECS~%woxe`^8tWO?dpavn8~5S&dwOI`o!JLO z;|m+X9Dy>S)w9R9$~!*P2h1xsO)zC8x|09JwnZOy=%}n`%6zcoW;PrOOKI!PnUGJ7 zZs>b5v#ctX1rR4(Myi{94unVhX1)E+YHXbTlKr+hz67fxr*GR5wKvEjFGC_-(gF*=U*+a7Gp>IYfuCA7%GC^C*4B&z z-PXBCe@Ta|M0iC*z*#{d!Is!50x;f)Wk2x)rdx{|MUY7X;wG+ z+h-p>)_z=>6I*C!;=~otntu4;zO&fac|2%H$4UIbfrjkN}%PE%)QH){71H ztwZyTTU~?aBbUqj(rVVlnVzVz_Zy)C(uM#;a@T#@PFyouIsO)X_oAYw^Ym7!zpw@C z{0R$i)UW2%`Uz7(|1&PrSEyjsaVSM@#NId1(>7`&MN)&PFkmF}ZI-HZI`G0qg}!Ft z=0ww#GGjh6(`EG;TQ>feeUXD>_VhLxU>fs(EAjvNF{=1-tLn(pxum_b)OIDl(MhG# zeCOlEiYJ5DkqRNqIoRTw%EL(KEI%O2?Wx`vHQMj#Q>u{FL6J3~}Ze`bNPo<>8TefY5|BYI-nV$c;%18AR4 zzzz6Noj!V39&cmE4b{8a`-$&IA1`^&?WabfQ}WXULg;megO63KX37Qb@Y^)ZzuQy@ z`F|ezgra*?*cg3KUg3+{r2EX2g14)zIfUN&YnMJI#FhD4z_NIS9E$l&z>HJ^g~Mh4 zg&WyxZ<|AbLYbqcj%Z~ZU!kExR{xp?q!m_f0evkGyW!kR52`IQ$J-&z#?m%%;$3AM z6_Z4m1qNgO$oURCc)SCDCZ=$kx+8=f=e8KS^2cWPeIPy+?Drd2{9EGU&U7q|bH1ut z7NR8yg!`2rTk1$%SH{}tJVySMOf*X?Nh91#}r{rZ7&tf_pzvmXa#ZBgt;Vm zYV*G2>+Vua^iL%FSbu7kg#eT)uf6r+pUF88gh&nUUKO6b=GAMdPrLxlQ`2 zH+5`r?1k|dv2$4{2IIiQIKh=g4P$n>lr>mkN2$eJ=H}(373{fAhuoZSv&6!QbT$%r zUK0H~dT^7zVKNtNK`rky`rg@j{7ckiH*Wl9;mgsk;H)LN@%(zvK(XE2;1$}>UmFY} zA553%cvnxC39qFP73dd86}>&fr*jyl+tCmU3OcDz+?2Tf%6UrMqC!_x`7W}l2iAfB z)Z_`>RsbNb{vf}MczWjs-?k$}rKD<^-3YzigCn@yaSfpE{bO^yQ!1zLwrZiCOK9|M{yQQ%&RWrwN_w6&#!o}BF`8F&(nYFGorXkC zO(1KL$mm$tvd=-rc)2!kpp{2o$=?4;*dGC$E=_YU9gq|~Den7clQ*#y3JT*t4tS|% z5_~rLi#3&vERs{j$%5=(OPvuxnXs?cSxcX37s)uiDr$txQ$SPd))0B}e2xRfc& zabEKzPWIG}%Z!7adCzRGoELX=V_%e_%P>Fo=TSFBv_9`QGnz#YQAi>vG_&H21Jv_s z$(sy$Bqu3sA90B>>X3b4WX3&?l$W;HcJs?SuQYXi za6iLBN{@UnN*Y^%zk{435M%V78>=l9m%-d7f>h`i|6xKWY#9H2%b8{5U|fc=7upMC zsW6CV((VX??}mY%)u%KHNUvmro2UgRjK{U!A6c@)bv?Fb z&iq2BEAi4cEeAu$fwTY1;64958T*o2ucB2IDfw{XB}%RIO(vt`Msrn4Iu&M9Dc(;C zp_#>ozF#;q%L&4=Z~7p;TL)p&nqmwpHQU5KuizVlP~7~o;hFEZ&!eKYlx*UH!40lW za7d59icfwTjB$(yHTt*EK)k9+&t3Eo{~szZkO2OUiqtx<=h615q#HZDe$LK`OXohY z+N zgFW!Rijq;uPYLMZ>ik}UtDr@k(3j`4Fn36fLcCQk8O=z-g`0ef3N_PdjXa!LE^N8m z8zuZR!7q>bW5iTh>iOM%{h4a`&sp@!r!w6e2YaU!MBe$M zUy$xO-_vAaq+Fk0mAPu^Mg=kHo6d_x&vNl+M7%6yrp-_7$n1s-qm$mlOS*r6fC~3g zWiSpbb>$!ZMWgD2rGCUob=t)HJ32U0z=~h(6T36mu1Z7z(_%RiN&bZjsu(pjEoGQB z`;N)DdIZ|(R&d zMw@G7j!kGLncX>0z3O08C`H;h!1#Aqz=NG~UOcV!iXQFpAvJm zw{7qGKYm;df45TY(ui7_PF40z35L2#K+lG5{rZFk&GADTJUU-ZcgM#{iDsgczyH z7DZ&q%Tr)89UQj^t~`Vk<{Js22r2>wmjA_<{txU=gO4mQoZ;TRYL;T#nQty4#zty= z&XPM1z6sa3`&6j>^gE9r)c#VkKRNc0$WhU$%>1acRc<%cjH25C@Ik|% zVf>Yb)%0|U$I4eh4;yX>8&zn;Ut+Y00kPe)IqzG&B?cbr)$i^K(?f=*4(mcrJ~IPe zed!2oAxQtai`P7mGO^-TQ}cNoXVM(Ykv2)Fjip|`tv4UAA)6d|uu9N-y7ffh!K*wR43*u+hL(ZO(YrifDs41|>Y(9q)kN5gK3OQKA z_B*3ztWM2wrwA2qco9dQnc&ZG_vPIs9YtS4b(2>PF7_@8^t;fmqd+ci_q4Aw$Xo2D zvbe%Y_cgz+Y)8glTLod(@J>0WGHPn*h<%s2bDvRwwTTW!>gmUd5kH^t${os7UH1Q0 z3wJ+ef@vP-H1FbO)TA3*kD1y`K(t*r1h%rNraENoQVdsm;E0N0!+2-U8ia#90@=Q`cY9Y>56MJR-=zb%Ugb>W>=Shkq6^6C+v8u9&n6~t( zqP}6VyXU@1DQ+BxljmfJS<@3;`NgoMo9}Sy=-*#feK`gS{weO711`T@ryuIyB2^^$yh! z$U1(K%5w)N5iX~>pX^L}_##x+AAHcq9ceTgo#er>5))Q6PNXydcStFE&RIpqq>2$* zWbv&wE!@!0!lc;(<-&YTJK$RQgj>l8YKA25UkMiYH4YC`{Ggr*P?-f{hoxg!}wB3Ww0;qK8&zE27q@n@WP+ z{n0xuj-7oK-S5n8Fi{ket*~cx=PfCT>Gukya4TlI)f-hN>=ovKjRa-0H2=zUM|*F) z+dLw|pKzx34(`RJQJ-$12l=nt&W)uycf}JnH}5z*o{Mb9m)P$terM`0*0xSV{@Zds z38Jropo(1#(;B9#@!iE>VK4O=#`y_Z_r-q`7a$#9ufPA=?H~Vx1DdW89}GLFe&ih2 zgyFh-<>ONM`{AW)Dedn*kL~msPZoaR3#VZ8i39lxMQUNkM`%oGfyVBJ)dfe(DE&QH zB?FYV@A+KN;F5T-pcYjAAvn0!RCqCIScf!(F z1EW9b5l)?RSC=5jmZdX_-Thw5iBG21&(~ZUQwD`#9VpHR+)kM1Zg7ehCaM)>G>i{V zK%BM8nJFeUvrwrfX=K|udVjmXW@ch=X2HL+`c-pWaz72T8>_6 z+feSg-$cA>&1>4^nRQcTs;{H;-kZsdKJRy43C-(p(~t|ehpGz)dHp-(Tg(?N;Z|ka z6SU2m?7&v&N!>%xqbIWM4;Qk-py!*ci^FeO^>nFBK&yHs_7sC-9r}2+AfCVv%@C(tJ-r0Sg?J_ay`N2ujJRyO!;i z0sSw_qLbovHBEz9{GZr<7_Ti4d?WHLOz3qz%a&r70dFMPq(K|Fyr&LcNQDl!v@c+x zD4b^j@A7bpwhaE4d)}&!ccCS(tJftLDGLin8G;KAK)Y`JPaQR^$Zm6n(j~3S7^1Bc z1I}c}-q#b{zyG_c2f-A%ys9i~N=JRQNn4Y>3(gqVCTR_R8DBLA?&@wwDp3-AZ@6u14x_jS)}bdhnOaaHPcviIn-5+{G{M z9zGKM9bUeW9#*!uN{XPXa`|%TrlwHL?>{&j;M;+7$;g3v6q~02i06p{nX=1w;EB>y z*sl~T#5(xD@_KDZ%t3*O2mzP|z^HT-u+`fzk_Pld$_`J840#cZ6!PkHl;rY3J>p}h zkXB!^MZc5fZCsYi> z-FKHapNiI`a0p-^*7{lq#jC?=NncS4Ctwc{dMd>}{2CEIIP@b7j;MlgP&4 z_4Uz8$`efURFuRYJ$Uqb!ILTuCgMubKPc+_02Z{}<#mKn(8+NydwwnF^!XulGTnn#9BcP!>x3*xnasyjr=j%Sc}t6z4P#fT z7XAb;&}RbFPB_T@sF;Xa(=_?9yig??XIcIkRxyblb|hd%V}6;8McR~qR2|J6N0y|jvr!HlEY^Lvd*i$6HZuC))GplCw4OFf@ z)+F{k*vYVxr1O#SOe1p%l$w{sGbjM=52khPVNVqXupb6E4k5{y(2$Zzr%3pSw2x&l zYokVD@234i*0k88s;HJ*kJpP~6rK&lnXkvBme(a6 zy}kV!1yIE+qa(VJzTN?TPCJ1ffVY#c`#*z-lFECE7m{hi5Z?S684rN=c-BWr$f z7Y>|#fAjc5uxyYwA9?Gqpd3+8!5GcwMzz@JcO-Oa!!$=Is}Ry`@S5+NOk^D_Slf+B zZSW}1tL$31kRPvkMr1ss!f>GH87BmpWp_~6*4zq7Zh~EL>~6$%mK^oxR#q9{orn1Q zD@M!D!2V{geF#^UGw3h08Hu?sIp(i#}!UtW&+tWMYQ4ht{E9l^k~ z6B2h40t&TW#qH0K-RUpbUZL6PlkG~Vc1vZN4g&h7lfqO6-zmpq0HL4iZbh3Vv#LVf zjbY-F_NI4yxl>^mcA$qofM~$Om$?hP!h2TG7$2RwknSe7)aQ_9$>rmRJ0<^AURmGq zy0)HvWCXm@5=-lv5mP69YpJ*X-rR-?^p3_ogn{B4d!EP;x=#*3wWjuhKzY={*AWLU zVGALD7S9`VXu9L;ufw357`Nz+G7J;HF-u=Uhv>kp`)KbIaI+%()6QKZa9d+7sZSdv z&kJ1jNmH9Z?Ls@Or7GhHgR%h<*|Og;I&MA#wW1mq?W`EtP}cI@6_)>|bJjr3C%xP( zi`qx2Pn;q?!nIxH0tf;Hs+qv)I0`BVqr$8O7K?o%Gcnj>4k3%JJ;t0Gw-dP67f>Rz zuIQ^P&Xzh3F#K5WN@Rm%TY6dAwrvE-q1*+jD{_0q{YV*1Jha&c1lUMRP2R4Ul%TFH zcDt6nsrSZ$Xw0id;wx9pw{6q2akeoyL;nb}PEOL|Mc(^2Ks|MK7gc~-tgS*fXJ{|?It;}VG&+$n?c)KaV|JqW>#(o88o|Z5Nv4TYKl%^#U$=fIlPXcFPL6-Q5Lh=woB$DmR+bY`; z?d5bps4Iq~a{sH`&F#3PRlSK-i2G3Sj%myf!~H|AnBR-{s@^srDu{n@^VO3nF3@6h z=C}H8%i+wEbB6?z zdtUTWcw%A!hcPPcmj-#rYu_dnftY}{F23dr(cf~K3 zMeT_QvD^PaCiHgooD{+!0P!YJ=1|QwWN0n}?-wj74mOa)Y2Pl}R;Qb{$u!^vJfiSM zaLrx?kjFdcab`|%$O|PHioy4|gd1IF8@Gb9XqLz0TgNvNEz8BAe{d z@RJpHsO0X5?i{+()G`_(MYaoPugWTpBcsxB2nkvD>tFaj-|zE$pZDkedVP8=3A5MB z?PD8Q!J_)bVm{#681yDfVSd1%bvATYzaD}Kud8lFhTTq*UnQP8;i4EV4~m!JnhFJ# z8DA2*ThMih%MqSZ6zG2rz80_4AKvz&EBXt!zC+T{kel^;GK|_6Dc&o?t#r5oXoK<1 ztucyOue;jg{e}vVLgLfyKTabEs63OSL)0;wXLCzb6Uf z$3z!%0@seLP>Hf0q~P+yjPazh5iHt3rE9v4+gEX}pyurT$)E zFnU9&rZplVZ^~f2b^&BN4^*P`Tpj)__W&uy{14RKwhlw2ia?Emtm5c z)uSfGW`h&lN2=>ET7@gg*9535p26~qPJO2l-@9I&J?^Zhkw$3kUA)XYiF(2swm+tD zI21x(H91zFP}i&~P*&X@lZt4bE#Fc7)c$(s^ekvsg@Lvsf9iZ=^ts>doV2XnDuYE7 z`J!p`d>grX(mU!fs1?ve;ap*LTVZO#ru$l%qK)1wCMR;73HrD~KkbTKYei7Ja5;{K8kVi_TZelS}l^bid(o$#b?A^wb?j zRjLN=v#;d9J3nT2b%wSp!K9}#%>M@+&6F7R_;LLwP8|QIVw^uL4kwN#ed|k39GGUw7 z#P88lUyaOfU4;fUF;g!qzxY*No=I*6{T0Oo-Xhz)(6IrxTuUXBrLrM)L)QUjPboq( z9b%vxyQvDcc`HXWa{1`uK0*$nXP6Qghf>(B8ZQX-GzUQLww<@a>g|*}BX?HZ z@08quUK1z1{jkqEW&r^t9!RZ3ZnfWTlFE&Jbj5Yu)qys1SkAfHyfPBm!eJr_x%~VvKE?9vSg)XhBZmZ_N)*zsdz*>Qp zW&nTdFF3XD6pMj7>UWMT{y1P;5~C1t?(&&gb3lcL^<*>EVcqIX5-xMo&YX1b+ZY`p z7G5~=K?zKP3{0s2Rwl5XHOBCN+(af>l_qRcwlf`JtdZmqv|Fga&T3l7vf z$KZeok`*qQ>UFumljn4MU%2hA%DKEy z8YAwW!g)9uxbmd zT#lIdY_j&uU{6qqhztF2KN)-{NPcI~*LPS$+;g%>LBkz>HWYVC2|_#<8I!00xbi3O zVU7$`z<@uPR|c?{<0WoE|9}C?``9TB7$6?nbpZ~G%3id0x-|rm*}VNudk}QyQH>-A z2;9>HQd@f8kwJp6?OjO11tQI83rK*+ix(jVr+iaJ2ZW7nTh(jmR{NZIcdp8c;FU2= zI=X_@A^UR?;$BA5HNoh%{40F^0_@U`B0WcUx4mlWIsj!0fAA3QbMys(i^0aZK2&Yw z2Bfw~j+?W^HJr}?0H-^_yIg9a{%*l)Ko6;{F4n$KVvc2m3bB3zsKcW2njh+P>PHKB$C8w$J6RmKpwPj z4dV9ze41rs0*?vU9NT24jcozIZE1t|2xD@LdiSwRp1@<2{O~vMaAu#2bmpzeVlPbo zo(3&eK&-}#xQlMtt#gFBMN4LR?Jw18X-{tYp7tZ__F*yF!l{#~Xt&x*0o}ixJuSLU z#h?X)nh4?N)lD2~5C#YiW4~bNM@Kx`ya=T1NFE;=yj)(>V7m@jZm?-?U@cR&mY2Ex zZn#7_<=y2@-#!y35XAh8xRJR21|xbzmA7&20TBQqxKUyfNZVRWg72~N=*muh-h$DF zuK(z}^mQEmu(Zi^G!WQ1p*c8*4xQ8mj^rEjzfLe5-A}d5?PVU{Yuo2uZw}PK7jP^5 zPVDdN5I~z>sVn-31pw_~_$lDqX73PuSWMI|&Q{M>OUpyA)Qu3`qT}IF=wFJn)Ws29 zG%Kk2@zA-2*h_Jxk^bJ+ng?VBWwkq+VbN{Y6IL;?W z4uBHbNN>ym-Pz&C;VfpFg~`bv6XQLC8YvlK0Xwz(5y+LXaE(Y0G`9nx=WrtJn3^#N z-@JJ=E}8s;x9|83YVD}`dVsL)UU;S9wSxn^>={3J&TAF1#6Xd18~>Z2@j41$pm3_4 zs0QkTdU5S$7Y;vBt3P1wcZv3YFOB&m>I&J=B1G1Xz$;WyDeQUK!?zWv{ew%Mw8gpt zwoIkK`)X7jFGYIC(D>s`!_Rb=%Wggh%ZISmdRQy34ha1H)(4+y#(yg#`WWxMn4G(d zTUI})e52tpSbE&z@05hRFKw-3_w^KQhxSRUmva0;Crgf40Os2XLivQciao+l-NN&` zbSWNX~j5+#76J z`sBf_{MmiE%NhC-qY%H;QIf`imCN$nA?q-bKw2v_TvY@98fFvR_cr4t@O^Y*B9`CM!aa4bChX3o|E^B|_|KN`RGzreZiR=j$h)A?bI^mIHaI`F>8m)v z0Dl?~-9qh@+-C1SXr=&PI*3RyH~zfuao21Uir;6dnpt+E4i#Yumygs{nkTZeNi-|Q z^%#Iq`c^b|L18l*CL&$q&oU3;d(~*=Q_Aatosr@L7+hlp3>HTg9pmZG2LjCTEd&J7 zNdaG_qCpr@7JsyTj2mLk`YM6gp_$v)fV*zditFH9Qw6&ggk}V)J?LW&+B)Y?iDhlv zVe{#*_=0R`1=D>7V!-lOkSd-7dh^YCkl>p^_cX3$cPRrhm zanRqD!wI$X$1s{R$S~`=gDC=v2E^JKO5py1I}RlhQ8embNn7@=%Px}-4rH9s|1Mho zSE5iXN*oKU+24>F2O%@Q;SpB;LSLsyA3$P5?uuW4;Y^%Kot2@+w#>vo_K_;xcFh+= zG4VdhYdP)dTcSRvZ2EZ}20EdFYxzrez14DUD_X#MY-dYU1~&Wyp_X4jY!l<(i0aqU zZ>4ln)qSL*9b|c15P`U|5Rcae(lErOd%wmF-9&Upa!A?}Ai%v>F_A8eM4%X{Htd*@ z79s;=){6Ms2%HD=1`U9&H4MeW$zo`Xr6gWhT8u%8mjAI|rh(f0o{nZRIJ(@$&|{OX z0Y>^ZKI4_vcS;a*+eGi-uaZ{Tugj=L-Kppv`2-=mJWZh5;HE?IClPiJMi&M z8Ex{uQ_uE{OQy`V3jLq%R#&z-g8NEh;v>6=Rc?!yj;Gf@`$eGggL1dcFQZ?l2Syf(xpxU72^wcAqKO1xv zoeNb5UTPZ7>Fq9a>utTnG=xI-XUHP1Y;QC(N?R;{oc+5797Fv^#h%VXA!-xmLnyqP zt}ll^1H_36BOWJC(Nd6^wDLFO-aSL5TIHVVc;Ukz^;l_d= zqO{HO>tjvWB3?A7E8d;(i1(!PKr6!vm+s11g-rRALh3c8!2{udPpsoCZZY+crHDwT z@($hp;P__w&p-FW*zuwwSDQA^F>4i?Zum%NU-(HhVo7~f$8mr3n=xQvxi7!`p_5&o zfiLF%!IEYt1)Wi6VixUon7r`>e483X_At)rB>?JQ!J zb0vs@C0}18VPi%)Q|lFUxw{h|0NG^Bi9?hf8BS{|>C1%?VY9H7gi*77B>%Y@q$CoX zsoS2iVGx0pqG%pBh%`py_%m|xiRpt#(T6%h*Zcxo6GUUyC)EaM-QhU`;aI<14c{o> z_JjsJ6ezt>2*4HVSdbSq?hhFNKaMJ%242@E~ORt&%4lwZmW6F?z(O)1l4o{95a=^_AAF zap(Q906l+Y+@lrgm#xeE1e3$|0R*SWf2q-@5B7AyK}R1*f~EfV9*gePHNkZ$LYDe% zLC$d2+Hml^E8ve3>nc$3>-zI~0Uq(=T>JsGxl;RMmQ0>?`7KBrzj8=ZL*Y+foZM&O zVuSFOK4E#T+mu^BIyS&6BEb`62)#iipYc_B-W}LqhxI=@Q`qw1Ncq00Y|#anfl2#H ztl4{q0cr~0ODZcg_<3AHW)5>kJND~VijZcolG7#V>0q*+Wgi#S)kazw=MtBZuwNj- zf*9!;lSfufVAG#h0-?zC{aZEd&|Pr{D!20egU4t;1gADOoBQg#0hQVZ-ZtgtrLu@L zpa1@%-QWwugTFSKjao3nbKulYl^I4L{A(I-6ks+=0GYLkb0GXzsa@0o$)aFi>Xv>{ z_}8Pw-mk67gQu&5nXW^`PN5AS`7EWaE-uT>`h?pqGv%Ypq^ssLoi>2>-W&#fh0oC% zTfVlc$VO)ClgB5oC2MmmegV_PKf)F)=T~gbA)YJ_+AuebSH!#PDSCb7!bd~aupt=1 zs;4V?H(W$cJmUKiypD6&oVz&{S?VPpRyA%yD;<{=;QRDXfd?g~h@4$f>Vk3jtRkt^ zLE=uzJqtas9>W8RafmqClPiyqkD!?df|1pAX91=%aOZ@>u7d2hUx??5kA7kgHg}$< z+63ZAA%;80e0#%fQfWc(&g^!FEz9fc#*~19EjLW@n-`hmM}I2Zs*HVWn3Sauq%FgpDULX8Wu}v{oC9HG+}NzpI}c=HW`@zzgo~ zqo5do@=AqKMeA)9kMh$3rxNizR2=|gI*_P<`6{0SyN}YTNOes3hYp}UBTDXG-kHE9 zOh)f%41h81!Z^0r39D88jp1q6o?}NtTMdm-Ex?umoi?$97Xe1Ve|Vonxz_Ld`jo}u z%P2QdmX5%;?2`pVf2?UAo`?+-pp}U8dqy0aych&2w7GVmJ%QUFsL*7X(WFt)j6ZI% zz)Q@ubAGeyA7_Iss6H5e@>xcEvob6Nm2f07Hkm^82(=Q`ulR1_Bx}`fLjQF_KO(Sv zEAUK4Q}i)zZMyq~!>=~DJrxN>0z2F$uH|WylcT=wA*O$`2-M$8`b4Lt6)YVAmiIY; zTQU>S8B0WJF&ZM$se2Gzs!{^kKZ@ z4V}eMQMzkKv@t=zqPL~cz3gxyCemcrts=Ve2HBpRz|H^FV3FLKaotU@->|sjPX;qnDl{((6F%_V6X0E-_%$!{sS9PIi6Y}Z zt;3@(bYVQ?e-%Ua!wMNZ-dnxT)G@DrJgHrRM5YX%vQ_wxk_iq))=S#t<-(H(yGP~- zy`G3QlC{5RTBVCS)p{!SS-MS301`>y4^AeF>$Z4Km%9+`>!xx^-l9Y{R7b`(n#>)b z)0W6C5v~gER%$^@uMW+xr)}#r3c2iQ*YS7?r#spJO`^1n-Mto#J8iWw0~)K)w4InE zBc>xfB8(tuI+Y-5C6zf+z)XGqn}+uNlL&Kd1T}EIT$=>4oA&p_WAq1*Mu03Y=x24l z+9dLtl6#_7vr6I<;{INEk*nvwsXRcj8I#0|ve#%fq2|FSH{69Q=&p7Zu&34m$&CLMA`&1(D`z zh*2Tl$VAS$eHnw9T3N+yt3mv!6aMN)el3c{%BdW$S-8i$HXO4*N71ocfl55D5(N2} zaTgvm{&$c|{6-e*gALEjp99v<3ND?pD7TTRB|M&cOHVEV87t_6YT}#nUx+eyt*UQm z=`6R~)iOHY*o`K=E}jXos=FQU0cgK(GoA L(sdd4U{M#J@*n%>ORPr6tq2hPva zk}KOXncXEsM2w2%pCR=ZaPEb)QqQ-W#ws4Q)!;=EmDkB_=KQS|SC505K3e*^H-L=?KdfX2UnP%GN4WN&k?kW=kR+j`)zfzx>E zD+>J6bKc&}F1|8D|mY79NIPjPiTk~-p97A&~Z zHb*A{&qPdPfK?GTtj(i9%%xyBFyA&YQtjb=!2?XKP5y=lBL+Q0{;h*Qx?(TQp_6bA zSismY?MnnL1Eq^HEC%{ml*pLt+%d|lsH6jQK1JE~Txmn4jXgL5@6CYaUXefepO8d1 zLQta|>gbQz=l`1%__}#aQHyu+2VJ5{I*JJH?)p)^R36Q5<|KaWKDjU=+_cFj2nYDC z4SgbCXYlHL`TRonak1AUq^i!N#wwPK?&I}JAizT4X-uDJ(oohxVC#$DmK5f}7~3@D zDAZb1qQz*(XE*gX9WUf?P-_i+vKXvPS~@CUdo_2#9Rip1j^r~~z=EU}H~ms#8ARip zktyCUKi@x$*#xRV&s-V*PTwDmuA3NLOhKkj46idzg#a_Nq;ODivZva!P<2%P69ASp zFvw0U2PH&V+oO|VjS>KV@)SlD%KhTbuf}P;%Tq0AB}Pg~i$zNj2yVYcQvHgAsYbqj zl^{-EZq)4SsvO8y2DL8LRfIboT+UjelK8 zBo<(*YV1z)+D0TrDm~EPNcx0~zZNgInsrueSs(<&S0=Vz?-wyken2!GO~$w;WN*h8 z&sDjZw=rNio_2PuK~L(wLFJ;}H+rGHTHDDekPKU+3Fa6={25*ExSCkSKpy*j3HS|8 zQp@?Z2lP@CoS&suK?Jmv(V-m@S7bNIP#Yva@=0meEbXCT z4#^q!0BxAcb`zfw!;TtUla<2iUdr6(i1%C1S9z zhHGQxL{9N@Ogj=-xB+OdRKFVVTXM9;&_b-)1U~!hi5}-q){M#koUQbN4C#kmth6hR zHuy5rR-Akc`IOT<1I+k4om++Ao>F;rP891cDwQwvFUTh<>03q_!mm8j&$H|W0b2UL z+|}8HKCcFz44s+0aCOp#->3Hbd|DVD9nv^{oCcrILAFBO4R9G@UUdye&M2$%Gfheo zCW&PVX%8SI5yb-U>21H5J?(t`{EHnQ%N#QP;ufWyS4!BjdQJ2a1X^{&jaPV>vnQs{ zq)mI|8xyC~)zYjks0CF}TUN>z%fjHBfs2yE!GFdzy5G={wrma8S`I3T)f#by`{0zg zJphagrbZ-eR{^ANTC)MkF}~XXA{dGbSd+U|(ypXIRFKcha>*Uw>pOF>3n5+=1gYaX zZy*|b3N3u>%7gpOJ8bS!Ge*pHw%wG|vW^aJp^pBjRhrfH-YK?g3lfBt zP4{BNE_MLful{vA?E5@L%1qU6PUo5iDiLp+xg>m!2kaam2r=_iMNdHp?3JRbyd-Fc zEc^UE-%bDA%vCzkq>cpi(j|%spf`tV6#Uqv`wDg^jr5#t4R0KujMCLAIrIK-CE%q{ zVW`^8{bEhJvN^T26D70Jmdzu5!jaBx5#2v1Og4~u!8gC)5x`;K1nBjH!zBnEB5ML| zwI;?Fu?z*_K_8DX5<~UibzEDnM|M<-<+qh^7|KWY98v_qB)e%Xaz!QRaf{<9jk^_K z{oTP2PmdkpR&&)uP(}W4MwVsdS62JW*M)z*l;0w>&&%@=7MI+T6vCR%@aBVf?jX0| z^fPgwnsov2*$TLekh%o!jL<(d7;-SJP3-6d0)_MC1H8}*Cn;2++MR{fCl#ucU=LgN z_}=Z#H)&9rlGhaZab6b!$c2oE_0dZQg@65PXSIR^8UPlLpJ;fi!#%}sWVaXpy{{zL zp)UH(@@?>^EIWXo-lJ4qeLGfGF!1LQnq0EfbU}&~-pE-S5J5i$6(g3ww!hiuKy6%` zskB3)u;Fl8Stxf>Pm6XS&%-6yy(=Yvzu1bRq5oiYY(mwrc9I(nub_PXlr3qKcJOhH zuF$&o7_*nql3j>81G#ZTqm@uzsS@?49`<{z^T_19&LC=yTb?PM?5AsC5p{!_#^0B! z`|gyB&aE|&D^$^lNZcl$g4!kUQ$Lkf24p)FFL#`E@SRk9xq_LBD+c1jil?mzAyM`Q zpB${)I{wotxL9>0v#emxfifAcYLag7Aw59`Wn<>}CGOg3@j)>Y#e!#64Lmj-ozE84 zh}ienU&WcksA#3W$wh7VafP|c??$EO-VMl)aK*VK8K3d5L{Ytam1^C5b>}I;sU;HSnI?{@Ft~O&DyvZ5hpBy zUnWN*3HJSss-4_c(2sWkXSVRQW0G3o`@nfC-lTKY@mIHB-hHQ}Um>?496v(t;hgq+ z#~b*P^4055SuZ(SfWG;+YuYVpAj#?~P;Y@h3q_O2 z$ViAYegj%{4yJ07bF?+v9j$=$9aCgU>=UzpijLsgI6d^l^X)al;RQV%!@ZnL1p-nBO-El#jn^O(1kMg4_ zf2pjHdTpXV z7F?nxgRH|Nyp9P|GA_3eKvGHq{{H@^uHOitR?jH!H(17MvFSj<5$hm`>GW?xzL~5i z^0J!mZ(e4=n?%q@U!U+3{?pud!skwQqNUlT106z<9vgU>MCs~f;ezzrtN1@OZ1<93 z9?Azu8a94Ph#mxs*Ss=ot0pREp8&D{qXvNd5r&P+q1EoA5n$1Kil!q21dIIOKdDik z-dp-aSi&2@ZQd!gSx{I!!t5v;ksx}s9wA(bM7AO=E7H#mDR}u_kkk9Bdy#z+a1b<+ z_;ijdaR0!=O9G&Y39Ez~kB}DknUU$6m}b>hQ&zmP>`jd&*5K^F!%T3oE5G|YKivE3 zNO&+W=|A|dp|%qQZm8{!{{e8pw*ze0gxVJ)?)*oTW|u4VsIw@m&gG?fri70@n#~ZOQ7Ju23pm$3-er{tji-(R#2)CaA&_k z4uDaNY1$p;07+fOF_?s_LOGvZcLD|_pR;$zli%S0@>hp{j?nz02h4$!hARxvZ(>jZ zv3fyu*2fbJXtjXWiRNqgz6C#hlg32~Lyg`XzX^OxtUpgQR>Q?QgM}z%mm<+b%uM6s zPzdaiR5v22*V9wc@Ri5=q*0#TKf}Am7C}@;dr}pzVs`}sv1)Ou^7a3DA&-1|K$rKK zj**gFw}&-!x*;_yD(YNtlu4M*As zM0^zznKy`V$#~l^@p}NQ&uW|<(3Clm{Pnq(7LiUe-mpV=1fIU0i}du7DQ#_$)cSs9 zSOEPu=KLXmMLY_yq}%8rH-PK2XW0%Lh3YiyekZB*E9*;um|Lf$RY=3#Gf5)DbR`ln zhrhFk4{F&ZLhtjL=5U8@=7Nur7>js*sE*V2M}d?D(kb9nUoM|Z-7CS>SKB?lZ7)NK zCEFd}8sdeu;p;WKl}gN0XC7`+R~)K^M{OZmkAK z5B@Ab2$~YhT|W>w3!@A^6~blKT+}$#yjur}1EA8jFGv#FTkpz#%<_a%-n>s0d$uKC zhbd~fh8cKNCv1N3JJ@1#egz;G%S%? zctlrvdbgm8rhT?1b^qYHkpH~_I=YQ5Bq!s79jULm%HHz4kUBUr8r<0#lPIHYYsaJ< zeZ+C14BjH!se8PB5Q&jB)iajx>y&4dqs9Dk#T8L6%CbmyH)B$PDcktE8!EfE<^tK55($iA*!S5o* zMZVSs`1~`@rwcJdN3dP_c3YRV3SL}A)Q4pzIK*hiJb@G=@Tp>r zxEgt0Y<`5ng5uO|?gOqy5sk>PXW~J2e+N3M--4bozxGhHfwtF~K z)n$$j@5~!N;yiOwK;g5(ms_`^P7VF&KXP=K-hbOVGt^a3QXtCk=39JIaZC@o80PkH zqwb$TZi8}|t|CI6?PtJ>ZPkTX#wt56c$xhtEG`E1AL~a5z4;7L6(CSR)0UKNmZ%&VzmPb=9$v7ICcn@M8&t7rEZHd2R=Zc|h*r|KR+$ z&*pI{I{oUx$3A8Giz@nt5iFy0<$lxN6M5?Y-C%?wuV+d3B&5&n)l5LwpU$GQ*nyl& zx%qvaZL^xs_pr)o#aq0--9Cpc0N)r3X~0}SIuZvBMAODLAo&gX?VD23I^@)4GY@zi zL~mWcm6SSnmKGl-_TQ|{e*@;rL+(S}M$^IRGfo5!SPO=dp@TYPPwIAkaol^u|NCAzqLz<`8}f6II!*NU(`u* z0j&mjc8|)_D_uRp%0}%DBM|dohc4of!(y^Uf<;;Z{>N8MUx4TjfD$lM1nxq?6fTuM ziTPsBBKIs5?Ud0(MGa}VH^qACi(zH@bgZ7aS;{tx&_+9XigdtCN0l;CDpN9^9O$sG z@GwcTr_yN$Njd}E7Ox_mG*0CxiVFYyC9^M#`ZR9KHpBwM*^d_W%L|w6iFK{`I|OL) zAA5d&|EP55u8sOm>jH6FPhvaDJNeKU)KuSw!Y&e`8c_%MZ%q@qgINu(iviZ#Am2Vr zh_5mmQ=}H^3$boQx=3Uc;03F+?IXc0e*FT_w4TgPhdq~6Dy_Dg_18C3bP6>%T>*&# zQ=6Atho?0LCLaJrdBl04HGTI|px0FnSg3dKL0)v$Xy(Kb{f(pE>K0!n^$lNFocK?iamL{-_CYUbnhOSk7y!r-m4JuFP=4VXJBW{gAAP zm*ivicw=D0en<;cBdn1XdYE;n<<}>nVbh(-WaLBh5?#eJBaj{6A_`-kZnU^tq3n@@l*BAv=p8PBMyE;{k za(WrP&Dsn6j1{W>RZ2H`=RGoBjARvnOWSUPaaRueP~v>Ae3jPW{$i8&rSTo&*(E{( zg**g@#Os8BxX-(E|KU$Omn?bt$L_AzPg8gwIWoOO%3!nIg#gol0FJiZ&7Q`1;J~r1~``k1lx6FJSCL7(dT5gPJ1FSHh34;y$q$14<*>>vIDW|B}c50`BpN<#t zwdSD{A3+`lf*0pMPXSQfepsVJ=8DKN`>KThfDFEbZK!9Apd!Ih2-#cg$p8^~;C8V_ zMZp_&az>HhQI9iLX$MLF)-Z%Aa5F3AsP&rJigDUv1mXNIOs9=ENdiw(UIWg0<=@?F zOHKK(ZosCrnWuAdz5dLE&@??yI3V8u!$mbK5RRaoDCrx_v)8LUqS6J0pVvZ9f$WyA zeoEE&WZN|1${r=$|GJm$q8PAM;@=ArZX?EzU7cokH@E)J=}#P~kXRd>-c zG(AiB?8uW@=|Y(Mhi_U5M#Hb$6%?yUMcx&)5VN!Y*yQjk=zPP@(8H z{}-19VUv_@6cCW_m?4yutu4EVDr2_x2LGx)gE-q&qku-q$#Z_T(A^#dU#4Lqj*goY zJ1O8255Nf@55A)k}kPIuPI@kJ9pD*&AO}f!y%`4uEMxD~7nm5O&>|)#G3JJYJKxHie!?)fIs5=PwvhG7?Okzcl^$w~1s)B|qh*Lt}o2dn9jE=t)OK z6Ci|NI6|D$`LOACFeplj2y)$!*_DT1QO_4@Ol_r)+h5?_D5nl$m5fD2kK>u)pi9$& zM8vbsCXRIzuur31r|H;e@Uu>9pBOp8CR@Z;Lb1*oynM;3&P9-?BQ*`0N0?HCb;t$w zvF7PHqU^&AjNj^DqYMS~j5OA4!f0#>gTOz4_-4~}f`JXro;(4ha8B1?H0U-Akol$T z;WQ|)&lrWEd&H`M28=*KH+Yqe)kNWe7M)k?N$r+xTu_UUXPQ2FaIvl~Vk!CR#N}+% z=QOYC1=A_ZkGUSh?2>|M8D zLe2dljfpT%0rP*oniQ65QWND+lwL1h)odf>a)L5{tyRimtZ3oaysHo{|Dp65{x%*A zm9V+r{CRhNb$?#m$sw3{;iX$*in95*@{agNGl!_d9X1i9*C7%qyBBsCuE+M%LFvZp zKv>l7zoeCS)TwK3M~yz81fFN)Jjv93uh9i;JK_^fd%~swY;i2=k)6dxM*osY!;`}w zy82%-!muZ_Pi|uRS-BcUR@i&?r)vu!xLaC!EhTjYtPcV(FP&i!UibH%gQ5b>0E%EZrH6rMsy-fD` zfez6c@Zv$W+!%s7QLFye+of=qXWDNk6~Eg%IAm2`;j5=B>PDXaUUL+rbU>zC=KGI2 zSj1_WACQvBFK8dIQHxTPg7}J7h|iIlq5!UPgmz6JK*5=ORF74jv+-H_DC zhS`mldu;*+Wac8j-ZXceX1`-!3si5OBlQ5eO~BBYOwJ;qitWPU=J5{4iL0Cj;xDyI z+?eGl!NwrbOzu4BCr!e|Ey+@A+4DxViEphJlgITOA zKtI_l|B43^gG_xz(+ZuQfi!tPC2GNuFDkQ_X0i|O13vf8!l3gc{=1TV0ueW+mfWDh z0=yFc``B=wG;|HYTGS-lZtAj3TBq1_m)*8h*xFyn#(>kloujZ_+P<}o(~8{+Rro~} z5%BQ~f$Ep#A)CfH=an9`z^!(7%4)f6ABuf`hDi((9tdJd-!@x<-9<%(qk%#}Pkd*cBjm7;3AR3-*+)D^ z5C}Rhv;)sh-rux)?@lGmUm9olFotb9Z#SQu50bx7Ayq(?c*?)+)UL=U~6 z;!igHjZMW%RXxO5C!4OsKaDzx6Py)xZO6e>Or8eQR(J*Qh1PuxdKIC`rtUO?J!Tiv$+g#?svm^s*?JLl7 zdAC7IC66xV7pOI-U*Sz`5-ygVRvujWcWDv1hx;nkI!jkF^gRdx0@b`qRTLm6<*ew3 z0eGenEvB02h36rcJC>nIsmkQtho9 zMtqdr9vCy?;>AZQZJ(uuD2ityYUbD4{n0N#4q0y%b~ayi5XF(EN&2+NQ^j&e74*Io zYFtz~`cF2XfIWPH6w+lwHJN(1J`}Avsxj%yefK$W>iEa*edCQG&|Ok{cg3IQ?@rfG zdRM@`8u(9l-`CMeT*DEZB5q`kI7RLb^R?lnA%?vPHO+$uC^hYyuY5dD_i_99nZkWMa{EiF}U zL7(OG6~sw-Dc0KF0nXkybE>txoFubnqb>#yFL*+h__(rFhsV%Ljwy^)nLGF?Y0&-d zs6SC4zS4CmZ%kp#qO!*5rEWEhj?Sh6JUsHZkPv@jBan}H(fwP`L05!WEY$6iQ$Y-< zC_ze4q>A*WuHQBFiDhit!8bJmicJ&IgEOw=yq1^$pmas6y}&J+QMHm*pOf{VPULUw zbl<(n$+r->0L|BS*`qQ=B|uVQ5gHn`Rb)#1JRhlQ-pZcrS$xs>ndQ#Iq;T%F(mPZ~ z4j0r{e<~B#OR>`DDOK=6Xfspfx}Z2g#&C*1RQTE~uv^UWO}~_xWw?4)^d7wq!7buHy24$eZs8oAhuNmJloucklPEr=p?$$AZ5Y+PcGv(CvTzd`m(cEb5S zW!;SMLR$YKsS5Q8PYfOM+s2Dzil=|%ji_c`yD6m%ggKC;pBXSO*CHASo49xPU0?G& z+riHhzSe=HnQYh>G{}RG&uE|M3&*z3UmXkfDSWu%QREQYay!pdJ0~h0aQ2-k1E4R z*k2W9fI!mDo@Irndbo?nPF{Ag@P#HnGs}ChV)kfxblP?P9$7rJmIzDyW<}^%SUUA@ zfCJcFxYDd%uGuN?JpWq6Iz|P@%@-~^NW&>{OXzflgn;W0VI>OvZp)Lgve&PTu;IO6 zpWt0f-2Q;7b^hg@Q#WKetr_{!hNhe?ys}`MziOt8xz(v8JVgc-&#;4-8R7|0exaeE zIuQ3{oBb_VegKd7nx10`-6x#qh3R19<;%g^h&?TU}@y~6S0Xn==3QOJ2Q0#3|WvQ}K=py;1$%L9xNHN>#AFO!HkxN?D;5 zyh)|}a{emTkuq!dQTQjuIWbo)#gKUQ)k59*&tg6nS$Sti#sfc3@4FtD0vqT1qIRS_ zLCrr2&VjzglOJq(z%;8q4{&?S6#x6#hP}x3r}!W3EeX4xO>1d$`(QT043wN8NZo{$ zlLXE%@h=<~3aw(jeQ5Tm93fmS=VA$F@~bH2S|D5ev?@}F35;Y6c~}HNh#r)?6fX!i zJaaXof-vqpzKT<S%OYu+^ECmBum68nPI52MGtqoL+#sB#R{5ogk9tSh<99^?{C8qpnoc|7nup&Q11nmSt*I3v1bh28F~6Iwvn0^01?X7sftP zpO*1ZE!Hg=FToaWrLaPyZmF#D9ojL8i@Ing$qeD<;cngH-R&+q+N_ozouy(&0CAUJ(9_BZ{WPxKXMio zkWhQm+N;#A-5QD5f<~uSN6>**OIxaR*rPgVwY68(FA-H#QS1KvdH;IPz2~0up7;HJ zp6Bxgcix?G9)1#~@VN~H9qHE_2dBh-Ec5{J9%FcrVTI2|hU3S>xDkb*ui$p~`&dA4 z!!7!?qB$-b7hcd(6vg^z>5~UXZUcV2>{sdp>GTr6k633$9FIr)s_W2B2{#hdHpA5B z@ZdSq-bKT`R4Lc6MDP|EUoIdOFm=n?f8Wy;YK>u@0v$Ix3&=G`U%HkhNw&pU?gBNC z#Cb^}i4($^rK2dQzp&JymKd@5QEpHcKb6Rfx(>^%XYuET{SvF8=!Nk&w(<#nQ8Vgn zfWSSjzwRZDyjw==JJ6s*D2C#M2lm57T^4C&dM}TOLEz)2;Hz(z2UnQyXa>d{q~>YJ z?8*7i1#}E`Qx*2{#pWels<>1Sr^-WM?=Ijl=`Q(}z4Mil$&wkV!HgGXc2^lbxfDg! zmZn;#X2^v1F#W9pr4cb(uNlj?p1Cw0}eG%>K7xq80KXXDpk8ny#TD2W`D;0)2%WT`y z80~X$tB--NzU>L`W;DX?jL?3_Vp6U=B(48J3H(fDYaN&K742q#0b>H$6Xb_ zu+6R`l_cy+nM5Vo88eP~dq_$uQ4D0+j_vFafv|&Qgvt5DlPb;6#AcsgJw7XsN*bnA zHX40fEv}5H?@V!xNLmS0H^_2K1ha>=olQIwcZEzGl7>!a)ejNiIUQ`!8%GmT(pz%> zsSFsDU7altg}BVzk>m(S)x7yRx6rqv0QRvwt!O&Wl4f}~3uUdjktk^*Umu}Bv-{!F zqt>B5qgtuPel;Z$W%1KZQ=WSh359NiK=&DATslF#-Ogh;WsJbg*^pC8G`t%($b9ACfJhSpoW59cylT*7;l{+Wo zd)1V)5MA77+b!f;by@PKTuQfsZQ)6N>T86hr6a(ATDMc~9^Z5 z5u?DJW041l8ytsgSx zqh~8*Sj4S;?$ONI84M_Ou3+!G$0lUUE=gr;q#v9#q;7`>*_l=3(?|K$OsTE1z@n&93jvdW5Q@tB(Ok9QL2Uz_AZqsl1z4@lt>z^g-VNF$t(t|6uC|MyBF;eGiwXman{Lo!HxIeDa=i z4nurfb^v7^*`vA=CABq@XwvrHEk+rAej=!QR4h+{cs)2sIriTfRaUS&ALu2YCyg(;C8>(QZEp z=-uN)bPE@L;qA+_j)TJvT2|pn9V=aM;-h8U53!)&rAV?#NP>om6G&kFfS90WVv(5b z6OBACC~nmHQLbUMfK#C6atQ{7VKY5hyzbm@ZCM5|mXP`A-`K=#v>NWZKPoBJiPXL6 zb=V;2u$<(2;iV0z0Wx11T_b&LWM*6o%0cS*IIHqv8=i5{!E$&lXUN7E+14sioZDK6 z5XaAEg&!vs;1c{tHm(RTxlS!^>I}k;c3@tVpSg2B3Sc3nhQvC9OxfC3d`GYQXuX4+ zsuuMn6+HqqIP3b0Q=XiOuA}69!dW)$Bgsxn!^=r4AZMJ+Sp*?`c{2XG1#~Ew+491N zS3Osva1eaznanYx3VG9Z%#(NOnGT62peBBPoPzwZB#^k}H`Nt{TBOh_0`2kW>pCQ6 zxF$I#75L36XGH=KCm{VIyO@pIdOVZYkv#)#Kpy1f@*Jh=zLn=X2&M5>-ym#~Wmr@D z>NYn{4G*f%(EL=-$#$y~Tgp=Wm!-4Qd5oahy=6f%XR8?+gbcJ{oXjY2L(cy1){L4u zOukO}0XRoiJ4jsnTaC~|w}vD>Zb`Mb0xT8rP`4P!Hx7f)1m8WA)A7M6Au{s0OF5o> z*Dk`|E&4;?`5xtYUGIq_9RFbChvp{Nsaf4Y+lfyYCfUQUSDEd*?x$~1bQ`~<@NyZz zS^DCN8-Ky9MX(A+{@R%@qQP-4&A;~&Q(^FEa{=ft?zhc|2k3u-kmqpdR2>2e`Y3u? zu(9u-Rh3}{rV-V*>_ZTWrYCu5J~WAG)tK^!)H;;zh1!QP#|E|^)HIOG5=E~Q0~1<= zw0VxT!F(M#DAylk#~%kx3|t)sDCuHONhox{_f0Y{eNB7ZyJ0JMjdz8G0&s9-WKv>E z{PCq;&b9hU30MtO&PKvIc-!|aq>Q}hJl8OUZ~U4G(p5Th+%-v?Kkb=Dptv-0j9C!U zB`{n)!(jLdTOy&6CvD}aL0WiqyGCt{1j5)W)iK2!cMwEVZ;gE^u-Rqwz5a7#R z@b8j7G##y*dOWp_ zi+Y@o_4Enk#84C_n2W!4pIkH|;eOgK`<>96F26+_ko`_;6nEaqgLv%D)yhv2r2i0` zeE>e{-QHAi7Rxtldx~rOWaFa)NuEFn6Q=J~nM#zBJe%cvpbT@4K(?z{)&r&(Y^_Wo zK75%s4Ltk(m+NbB!=de_zrs zZnAtDtkoJdSep;VFsp7hyEP$bt}Q{N1IZnavdqH?kXIFc`0SU<);h<`XbZ9E`4^>s z`PBhY9f{*uFyDh2kYJWup{ZQGP*orJ3X5sCvh6ukH_I4@dY}apYMQN1L;4mgtDd83 z2r4^fzrcgt&%uH_RPM3{%cZWoXY;+}8Tv0?OamIJBiC^Km0AzR#OIHY@}=Gs0b!%< zuZgHYqgifH;dLngBzT7X2g7?s#R&XHA?sg}7$~DU=z+y{$~&D2RKV$1Sq)%VoSK0e zDHL1zV#gjbi`>oO-H?>$j6-`3SC-TbXa0?Bc`^yC9c}|^ut-j!B=?H4w zc9(K-Ix+(u9R9%pjAeD*izyx`t?Ce#ag`z>-5K{nhHqY|qEyX^N{SSgq+FdKbE6Sa z5Z>B5&NW5CR?@!ALAa~&O&~=`@ZeHWi8tiohiCtlTm+MwIN*;N<4d3?--&nV##qJ@ zD&BM`Nazi{i|-3t*+>XZt@^NpPZmPIR2r!nD)|pDR(!<+Z@3~8Jt*F;_f0@ZX2K8# zQg`F6oC+(%zU5%Auq3#kn*Ry~(*l|*!?JZ1Ckw`}1fmT})=LVb;?}tCC1Z^fGf98m zr`Il1xX5cVGDEK*8B!}6)HlEdB>(F3@iLN0%XO#rJsD3+B@`|<8O(D*)-+;;YC(NY z6M`Bl)SMSxu7_LPscSk!I{%TPph>3&CnQN_9ns0d$9y)JTcpgTOy1oCbI(700_Pm(T+Gu`CDB!RM#d1m>UYwRfdSoVpj1O%Q zU)MR}VhRn4op5JVUaES)D*J=hn<9nUKfl@lsQ_+CmZ|Mv(W;5S3u1MZ*Uqjnv@6J$ z4qQC%baLidh{cUN-k@P)-c%Ov^2r=txu5bZey$8|;bG8`9iGugsvG#(0%l`(1mlaJ z8^$H7Io>I{V~%H1emE1b*QgcgEIf+d-s9{!tkO4gFRPC(rdr)G9Y?Sty`}1(_iyUN z+lZ`)yaa=X)S>m4q$;avsnz=2^5p7i8GVT^9SdRUO>5Hw=&FV3J_vP!S=e_b{UykB z4`263Wi+i7GU}M+{plJabnohHsX}(|i)LFeHW?0C{=lL+7Q*>c9%X~13UmukB|Q&@ zO^zf$bm4M6Ni9WN(x|ZT9T0%nO!Q}Vh5^hfdFMx{6Hr^NQpz;%%T+YF$P;Xy-o5#D zn5h6*Sah&i^K(L$zuW^VL&=pdR|w{VBPFeCSkBs*6w-cZdOPi>uQb0%S<{7T_NJL> z7B&7a!t@4J`>3WYD3&J>l&*aqMAne0d&Zip%tPe`dCK;$W7>hZmn3$_z zidT5$ZONLEl-m{f)|j!7m5S-+f3Wrm$SfjltYS)Tr2gTt-P?(f1`heh^<-301VMDM6Uo^VArAyd(PNA9#UX}=sW968!hZ5%cnv>RbBWl6{p$rCCOuj za8FwZ?ni&|moMR?TtMDo0*?#t!gvAE{fu{5HF@PEe!3m+FvawekZTRwDGVN0QT>p( zTLdltkERgb&NZj~qey|brwBhxeD3~P$sIY^4l?X{{RicP1doH#1th`IrrQlZ2I$fi z_8{fzw;6I3n%EOR?+K)Uc^cSXFx09d?q_CV8i6JUqA5@)p@8$DsFv}FcDNJm2^}HX z;llaOG5NS{ZsX`F@3J_T*&|$W>Aj@KAT6UO#i|myE`Nr&j}(_0HuGXu$NL{m=+)c^ zxQ~?1sH1_CA8XNsUAlC4CiIOl@j@ONtDVO^^vIW39A)>fp@J}EIocR)=@Uy!5l!^g z{W3x8(9wN0psN|xzpu;wNb~0CixBWM#MD|uZDWF7=im3f_Yp67`%x5Eou*Dr+A_uB zU2SqhQ9k3DaB}fDo(h8K1BI&N7`HGAkplePjJ>g$^vAy7H{S%14}^1fUA;~Jpn)$X zUoPT=@bmi}v^&TBE8s6kC%r%9dar{_sc@*`lpCNou>KX%k1bGWIPJoDSX+^1AuLg6fNNsz zY{5XlORyL;YR)BAs3#c?t%|8g8&@DR{Of<0(&-?$m2>M-IMJoy%4I+$=m>$~mC3ky>oL_VGD+R76MJ*#Q6S8s`aqNR%dprcB+ zpHluLyROc%cpxe|O3*8c&I`MOFY{Ze zj(YPfhi~&fx4amske%afZMg)1^3~mylWF{@!#Hwbh&TV#m&=Ld7)$%<36R^CW~zbZ z`I&10m+6UkArb0?lohRz_aSh*mOi{Q{A!fLGrU1#M58{Jm~EpN7bJ6WjbP5l(N>q6 z$Z7ff1Y0mjM%tjMe3<=-OSaV}HK)~-yYfps7BYRdyai@v56bB%?8@dhvy}5&xGEr{FB2FSY8KJAaRO5 z0^k>%+1-s0-CtUzQi>3vajeytp>K-Upn4|nYfGLi^8PoDBQ&j3da%qXHV3pqKLPSL@W)q8{{x-k=j=9S<0aP)lruiL0<2xM>#ka`yO`9d?Cx0EGIt9Ubym0ShI1EXS`(pFhs6`8D0FLT|u zvUYdIVr>gzW02cpO`vAjU-T-39T+Q`HBUypy^;HUIm-%s(o-505$kGEu|K;fbPajpL3kQ?nPY(ep<=?D8VRZ=cqA>`LyC>NK7{Qzn7xvgVCsMZ z+l-??T6}EbP?6m5UvF%gq`4U9a zrR!$8x~(cK(&A?Zr;80rohV1n*kW%@wM}cB;xXFvQqEodr8FhvwWKsA@6 z7(~YN4uBUo;Snx~1#f|T%7Y~s_Bx6|2FYZoy$ND)pHJ##5vQS%eQmD65|3#9{+x4~uR?8B z*q3y~MVJi(x_STn*yMM_?mL|FaEBE%SpdddO&6)ZxZ2OO1cWyCTCw{~BOr_224^!- z-c-$B0HqIEIJE`m2BD%9@JWi>LECB_zRw+BJ4;%T_*KH(SK{O!Dy3~_Ah_KkULhO3 zn^a-${su5m>hA*G4Df*$Q;OdTb8&V~<@R8A6#9O+b9hKQ+~B9 zd5SYmTJ7zBfn-6Vnb|ZLWS6#YW?=l{PX^lyTI{9Rg609p5c3*MMgg;Y7+iBngH8Xa zatd~oJhbySE!ltqBhv$u--xSrB-^4Zi@^Mr~pNJ!i|%|GH*dC%DD}; zw^Dlie3IdXoK)HFK;s9Sna%$*h8EQDc^xKsf#6N>G^90IC@H-El zq^l5?l6R0$Ebj)Da|9g!n2Kq0Y?3h z0oMkf=r;A5&L>2C3@ekPmus7jCey!xWp${R&*4=vs%P=Y+cm=eV2^MGxxvn0t(D-H ze;H}FAFNbawR>zPomYK)Jp=Cxq=CF-vxY08GSIeO>Z#Ij9mIA2#x&YXhtqA*4LFT5 zFHKZR`QQ=mWBF+hd3d%(3We=u(C>jrtD!C>cpGaC5;z`hdg%nzMp1`AM#%GOr=8%& z=PJOgdVXalHHw5pyMk;La0qpdzchYUv{mp1OAel=w8?u8c|?yr5ij)| zEi}+mfp+}8avl~CGba5JanC4Ysw=i9!8$?Pq4KRBZIk^x(^ zcdJgXXhtSQwD1qus%oD6Uk<~Yk#!=D$uWaML*76^fx7w}_B;m8-gfEe@MF}n4=+rG z(-qLj!WylY7ohLR5JaT829-eFbGwHGO z>HEjBsB~A~ijZ%~hxPGKeXpUOdgi%W|AVC4Dk1%_ZAjZOa2MIpl|wd~vjMKm(TAP#C>u|IHpVI#c<}}zDxKEuyQfYa4vwR(=(s7oF{Xhf3aYxZ55q-i!{rV zQds#;OGEfOMO^xNiXd0qLTRU*7W3FD=#fz%LU|)2CEsq5q|N=gG&IGc?4vvC$dHxx z&^rQP_kNS~g@TXq_FGuC0RW{^q_`aA^w1YF2XMqah`d_)TZin(pF$7820oPhmt2M| znoHKc3wmLiEPA5vuv4L!Xj06Jk{6)TIi&6?c1pgwT|LN z!z#<9zgi9_#faoG6O!?q(FiOh@PhcVZ!#UL`An0epGYgBR2YT9wR?o{hvWR2fUGdc z%*;4BOPQlnJ__~gkcJtC+=2Z~BAyN&@g?9mPjsU%RB>rb1nrGMPkFoIEJhaoWp@jEZJyecdkq;>>|fEJ;@Om@m=m& z2mO7nByPnr?C>&a+k)>`qQD*AB}^cGo4q8A4{3h{$PSCKu2m18o>@-n?W0SCChbQu6g{NvYGwys*W41x8gr~~!;n(U%`PPkonl|l4RB`d zT!MyR>U?QuhbG1zNU+3mb}9wMpSb@8BsP61vQnH}lE9fnd3evySr|C9(%UwKGv*2+2Xa6Yy52jB&#Z$^G*`7ct9}S3h6`04>qO)^ zZ?h*@;}8h!OHnw@3?f_2zx{n~4cy57fT6*~Ac){MK-ZvhG(DBy8 zI&n+47EzC8PZ@}7i?+Vdt06*~+=)cwwoDp(o3*4y#wP2d#o+O82s@b;Ovd(LQr z9mAi)X5Hca7-OCf}o&wwIsNA~IWN)*7hiTypwIKf<{ zYz`~@q}$auRA#0QQbG0Cx>h_-)$pIzz9cdKw#8u&W)y1bY5d^$?IWE6!_#%&mcm^E7d*_zErN{MB+8q|1I$!Heg+nN-P;|U^ zKJi;_jGLiwkI_fV39{_rsas@H<$V!M_!PeIQMmfT$s6BKP>`j<(;Crl#PReZ3EHiu0zZM#f|fQZ zc_gPMLZ%vEL@U=|EE);z=v6HUsLu7p<-%!@b6YZdP_N9E93n|nyQw~aC&uzhnmF_% zii)E>R!vSMy2Pd>I(eNt<4{Hv#U3_MO+rWUm?nWc31$;4Rx>(K2*a+|2;FN;CtJ6)mmkzx|2oNaxl}D_{PuYEnTT&Z z!#sW00QLtHx5PSj-@eJo#MkVOtRKrSBYjO%6JKz6^#^=BkZt3co1rFe3Xj}o21W*Wen?azY9Y8cM-PSb-Wd+CRQ9)my%HQi zK3{D45Nv*83M36pd6fVzx=IRV7Z9-xVqk$`Uw($8@}Ul5VI52@Dc~>Z*^20r=m-ub zVj|Y^u7b7ywBvv<|0HTSN14dh(bEN?_mBlEwPhlz_vf}TMt$TcM)Tu{qe?E|BF*t8 zP6U6mn;EPZarDTZeWz^%`!%WG-JZO#kywLpR$(2DN8RE|{0;??rU15KHXxHB;UxQ@ zO7-JqdmsY#LzYZ`yJp4y!ah004s6g&o^=A4Mi4HTZ>s6)5N8IzN3Px~Xi%?pm9%=X z_HU305P|qh%Q6?`*cv@U$INR0vWw0xA^UwSZJ^|}YawYfH-GlinU0g>R@`|sujAuY z0l(RT`lsG`tek_zyaU@qnSaOTA~LT;;PE&>rMG?bE>5E zC8OZGfg$S;c352k2Xp=8oypB=p}ClsnFsuH*LEO)EMh3==G@+;({T^a&AH#(sjyi$ z^-#!}Gg?<#$A|57s)oPE$z;tf2!(g%G!F9oTt~HHI$64l$s5I-hdg>1eG&W%17rsg zIlKc|@c($hp!Z)in8)~s7qMx=Gn+ z3$Rn)L!b|9$=)`jL9W;m0iP*n=ti?M$I1w2okHF6K-0d8;kB?#1R=G%uDO*LvJ<=l z??Ha2h&@`DOFu^f$3E<}=nQmPN(UDjTfX4wBw8-`&N3$zw?q-?uwN9HCp7~P+F@Z% z*R;36=Kk`uzvN%1F{kgyt%~CfO>TT%038cnOx=h*Z*6KRI6}s}%3sb9NS5G+aw#aF zRn(A?V=Iwd?blCZ6ql)^Psqshui2+T*8VosmKV^WKqbMTy8M`7(p%4jC=NPx5s&aY_;DjB?q z7pa~5caU~^AyzdULdRf_tHIuZNK(}5p6&k@=-3+vlA18v$OEb41}1XOO|Imc-033b zll|#alpkb>V%y3@5|*QeulhK{?}?ZbBx}6RCwH(jdl%BIyLM zKNx3_15N+F2h#m*F60Hd1o%z)-SY&&R<{qN6dnuqtrwP1AQ9qQGR;e5#RaHiA`+Hr zS|ydZHKD$W#bX-n)5V9TB2}S8rvn7rgLQ9=LjRkj?tJr3LqxJ7WD5HjzM=7Gz&-_A z2X|VvxjHkDyhUL*yjBaTS-8J&msxcR{)J5OFbktTPQ=Kam_7^d1j|Jer~Jmxu`*En zCjDR@y~?`Yhv#2ZcEb|ZD?4F=6yKTaBVhU=2;z#ihqH5-92`+iF#S9=fFD)1Qnp;S z)XdyivDEsT2R?R8ae~_v;@&K9kYUyfU*U%ETYr-(9nUs3=?NwnmdSLSrmr!(sRtVr2s8z8nxt8vDs@rz9`6=-SkI z0L1_8&3)vD;dxMlL1A;mZJwXBQXckeN+9)bHICpVaDxkA1ZH2=fhnrbKEES##5ss2 z97Ma?od}TZ4>Kcg@WpStLH49x%oLq9FO-`ezskYvCIpg_3`Ww2zYPI_mX`!Gf2EY( z4vkcgCJ6t=OCLSAILkwgiu8xXbt|iO00Eq#^)H}|6KYfITT4*hVvu?TbAfhAd`ebW z%A14Vy5M^5QDa62=#`FrkU23pY94;=#Ej-gGh{xEwP_gs%n2S#-yvwbYxfCNPw(4~mE; zpw*t{ki71cxb1xB*yfG+3G~@oZhZ3>reg;mF&)2HvcPZi3Bre>E*C8kgyC1FJvNpR z*|Ov;qVbd@&e=-~L1`=p1>dUA_E#{0fMh^eh}YrO;v?2kd%z#ee|3=YcEH=;DXMFO z;IF!_Kt*j%0RB*~o@fb*bwQ8!y0CJ9oUWQ^njPXc*x!Mg%|)@jlh6QpCO_QgmtJ?# zDL9A|XZ=&CaF0>w{}{9m}FT==E(qU~qpxiK9c6zoD@2F~ds=vxT9 zA~n>n$o|O-ZHkhQjpor?H;fs>|GpGl9oUd*`>Eq6T5xWU1JP_c|Hl{n1p`eLC#`Ca5_V-=y&h!TZiKZ{}^5kT+&y zABzT4mhCbOF9^9B#t0Xla93Ny-Ww`^6>#;Hbl}whP3xMC%zwhZblg?iI`gLw-Jkj0 zM7!Q9-EB45qYaWbu>L8UVc~vM%vy%;p`C$} zw@=NvXW8&giR8}<^MOED#%*xkDSkOP3yv_WabJ(-MUtF8;EnU0qgg;CD!r>gEqUp{ zwreqU5wMVZCF$kPIi6G1t`6xP&lzq<_KhnUg_+m;uc|A-0{M zu@dH!f6plxA?I#GrF?tIDHlzi>4e^rLClV~rxkPFOQ<=JSSfOr3Que7(jzo3d2|1w{CU4)T4#JDa}3xOyvebE9! zPWl=%*XuT$wDT}eg5@WYj?M+bjnp(uO+E6sUoK~m?sK`d*9gUCW+u}j#aqtgvG~Nj z5Iiy+l~7_owPL_;0e(AiL59!hx973NB>|gh!EV3%yjd_fvFTHi;6nPwFrryDY_-EP zG`9bb+d{UdIk^e9%n1-YFU2lp(LO@O&!A&sT{Pc}JI!tcMU<7f+XJ5PSm6x?BY96_ zrmOKn3w(n+b{5HuT+#`{9>gt&r7X%F(`RZNyj+4Wafb;@7AxOh*5T@S;*lFBmEnP3 zi}eWnBr_1!@980IM)wFAH_`N<3im*j;9@c7dSAgFk5@c^dlXLrF-@EuSO5-q zGZ!&bU@?#Sb)Yd*obKFb@&S;nMNgJnJztv}{EXW| zz5*HC|Ba8c{UV=l;E2i!PDN(%LR@73wF!@dU%)m4_0k{fO7=7k(Zc&VMwXO(Tdx;faR(`o^PE+KDr?JftFaP+&oM2U8mNSV42I!bqCPssuS zE**i?*@#o6jdOIWYR6A!(^GX@zaIf#ov+P3Mw>T1GM{buXx?xh**N#1-uWX^U{t=t z$O04p=FMc#tb9-~2nqx^UvdplrQW;Jx1|jqdgO&`)~I7C2J>bxwzpZ0_t!vLjm35Q%GAt`zQ=eb!#Ea9e^8bh4;-!D`^{z}@6f za&bMns#|D#9CmRLi&}~QSd8o?1l5IAfx-x7d5)c!?QaO0@II54>IWw(xSaCJ=6*TRz6jB0OHp^P0-P$tB>*8)na4 z4qFnzM@QKn-P9Qn6%j+ip8z5DUy)gWkDYwQQ`6_tfE`x__w)Rxe1ILI!Ve1OF8}>h zIpF1NydL2km)SXK>>Cr`+AsRW}1a|kvPBe4}JNB?GSaUoelq#xcK~j ziVI9h{InH$2&uV{#QAWp&o+|p_U>tb`ypSgErN0Q>o9_NfCgK=q%*q|XncQszYXIQ zU^@u4qJ%H9I=!O_xgM1r-50}Of=&0v!di=iDgE|d*Z?4+L-eeAZ^y`J9dopR$dj-?}JX;x8v9b%wKF zGO_Ojeb?{835#dB1WE31JofK+x#*mUktZm-uSH~bjT}!X-Vi11HW=7DHNXq9DjYaY zkS5Ox@&x`NTUW@^r{^XCmh~>=_vGQXVKrLsB3@@%=NqNS(RZu+3D$l())w?}32|%Z zP8&+c#2n3Up>KHmap51wDVDIpF_x9}uF0U4?8{{dCh&Qevp&a)p)Y!7m+y)df^qD> z|B7_ZQuH1WDMZi!mKgpHc{gQyz7YyhXq8w6hRL(cq7r~=)%$OA_xCn%tB_Q;qY#^? zzAE&g>CdRgq7!af=tMuyWPy_yKmvzy{v~-q)SUws0%gFmsPo@RYTW}|ZofBa8C_i@ zP8rYlM?vbesB_qE<*5g$;%eHw0oDf4wXu@0f)Y6)n-+-1@>TI8XEiX5Yh%6{&vvNi z$O9K(vdkn*LcsfN0Nzc3gQMYZVVl6%ANMw~oyb8wk-Wvh6Uu zL^{9w#pMgNL~!=GJ3;;`c@Nz^tP?vubA0Bnqb%SVL$dg#bd<;Wpf-fsKwxhuG4xDf z-kZruU5Y1CUxqg9Ea8#|6cfV?>{rN#NJ@=^5ODiw;o2@%TBI$z3zHMYmj+uNOEUoz zW&TjJIK;u+sib9B6}>E{$ifuoh-2PTCb2m|=Veg4riqXVg8|DBsEEwSE^RnSA#6$| zv-&@CMzI_H+PxKp_~q0D%a2nVg#KVlPWw(vdcNdpw$l<H$LQ7TPU8p zU;$b`XTb>%`F08mSa4e$Cs@#Nemw5i{z%8mRw|4uB1TJtYtUEej{vh(oq?ra1yd1B zotE(ArCEP5%i6C^bLK9`$Pirc`k=Yp%FePaHiu^*lWPHc129K&?X(lWj&#BY2 zq3%KV>fYxN(leaec9Sl?_ZhGG^76;hB|%#6Tf(!1ZOWwL6G902Sp+ALF0>N(496^+ z>|B)QjV9optp*bm%6eBCu>V|%c@2nKulDr)by&8$axvkVf~8?6J`y^xYse zCOEr=KO&#BGVIixzY1+hz^kaLnXm)D8x7)7j;I&K`0pj#)s^`6@A^CFd%)qN@fic$ z(W{^FZB%08wy8lj~e9pRW;6i-Z$mO zE#i&ImjyXlrlI){xGNUHoDdU88Ru}9DG7f#;%PK(p@%5_L-QQJZc3-oxfMfwBS$q! zt554BneI0&l)N4uRmz`Q5%?^VUaxCvDPlIYBoFIZ1!dJc?3!4Nu9*a#Nr4crHrE4G z6HX4=#tZ3?HY7-NIT#2s>3{i(W^$QYc<6fuh$i&5dx>LZuuRi#zopwOodLQWk#xP` zNr12#>4)k7%uWv5x}n`x)Xr>HYV5N+LYccs?qqVBT%^OGUV>`E#AEbsFK2XMN0H__ zZBvM^#~237i&t4wLN}}#-}tE(_f+Vew$^e_@|MU+sSC#Vp=w2G@pDEP{&WK=vhzoe z^VB{?KCthWWdVe*Z)n^IPh&;s^G#S;^%ih3WoI=IA(kO0msE|pep4{>cuPMa z?qy?rr9u(g2F1S|#?(u4D8c47xUd8J7=8h9{ficO^M$ZPTh72?WT1WARJ1Dr5sM8}Y|YQ?r1-%*z; z0j#!4&E|qVXq59h$DgN$_Q!bT)klF*!$t-T_0{SJpQCwwwOFn7#4DFhr-I z&Q2bR0t^f2b$EFnG03ewfu4Y$3i@SCYpv?&la3;fdX{}QkA8KFf3n+etQnC~WATpf zHS!TCvck;U%-Aernx72q{D>@r=l|R4#6X!rw%P51hGkF2z@{(IR^XUm_5}suSuvR% zqQ2dx(24rtLzBSvCxbSqTJaeu*cLFTp_^{tZc$s^&1Ar8+$Mm5kjF)@YQ-m;*H5h> zZhzez7Ii;l-3dp8vaiE%QH-S~DclgkY{S(%TccveJ{~Dt{Gm6SbVid4S7qn4c(xNdMfF^-T7LDo z)N5x*9Vt3;PNmuSdKHh>Z%EN1lD>sGqD2@WH^jYcly@lVuF-3AnD4n{-JK{nHz0*H^Yj>B*XsS~Cc@ zKxeKOd>L)LT+Vh&oB&>rU+#`fVQZIzVhYM(NdZ}WFaE7wpaTbeGX(kw0(9y*fGJ*p zo{d9%>|U}JPf1<4((xh>{<>--PnT{NqCy}2?%++VbjxbDyKMnoE@J=M+0|(7-SWiFYw?`A zR)6V7LY6XjSSE*Wt+_6#6L1-uUbd~EO-_Dc8til>_~a5oQ}y9q#gR5%OSrffJPe^} zkaZMP;4rodT|(0}x0h&s%l!$fwnwrxw`Gp+bo*_bgM-#ZkC3>(u7Z!;)cl>l0v38S zme`wYw?fl48P>tWZ+X2>Mgcm-^yC~DpjRhZV%y||O#TsKB2ioU1V;WN#(xJ*#&+l@ zoCuP+6wD)1(K^8~CTupnW*IfWMB76ue%+8L7aOmbf|W-hr)o07xBt0o_D zG!hUtR4TA!WAqps5$1IyqiyiMf;kL*7=pp^MFm@>k7vj1c()6KY|o;rvSpKqE(29& zE_a8WR4z;XoJRpe_~**&$b>51<5Z{oeCiIy2wn9;ThHP_?g7JP_H!bj9oSW}NHs%0 zJ+o44x~9dirb@LW`)bg4odi^6L`B>_oZ9J1fF;Bx_;BCi_|6~7{SnIMkKz6gmdI}l zv6E^O0HN1*%e3e*^L<4fMj&xOi~o)+Y)g5UHGROwf4-7X^%Z7jstmB7dI%j~XYrrR zSm>-!Rd3rpC_)86RekB`mX8kW@Y1^RXy>=o> zI0hpiJ`pLKG9?qvkw}e|=}6o*42p}BVJLpXxX;QIiQB{n1=!0la5o6(fb_TSL1(Cm zDuMENvi*>jlOjQ&2x>FDMpOq`8$9~B`jP^DH&=QtMyef+C6?!Q92UfDlw!mI4gMkm zOm6J(tXJ0xXsDZHS)U3}ks9Fyt={b%$^Wn0Q^UnETBr!G)&pZ0H`k=oBX$hd%kvFLfD5cSKSSXX8N^Z(G2~Ju$S30s?zY z9g;2kJ%55UcJKn=)WbK>mGA*~saT?_syp+9+I3-FF9dulY&rZfAn?Q zTfR{$7%p3!=OkYinw(Es*{)hFdj)g;9Z)-M1*rqQ{Yp@G54#Top?ov3@2c+qBjG3$Gl<$el}o?*g?M>X1W&ZX)QMp^q^g~cSSUZ6mc7kVqrXn)XV$89@Cn&}9gYyz zFJDyA&_O%Lr$eUoaOtnmpfpFPM+$-$h<&mL)~l%_(8<13USG;WmkVc#(nGbB1AIFokvjx=5)9n zJC^zDJlj-r#tt_>D1g+{f3;I~h$|rqW`h4Wbmf6iZhiQD=be4VY{rb;jNRBq){-)I zb!n_w!U#o{Tx)%mv1ewaDXyYzw74Q&S}3|@iD)G$Taw(Gkff3*@8|q~{(8>uob!9m zd7dYy=xN)l&qS3q#XH?EIzZ@!Hke$$oXzZUu}|_&O|9WtFHT5&->rIEw;nZ;KHa$J z=0iw&1kY%04<7jOh0M znT?N}ujjyjUUQIAOeoz7%#TAEaJEG8Uw1eiHJX>Q_z9}m@fKgRw*Ed#YMjBJX&Doa z=Or?(b62b$`lG9pIpkCVxE2_MDg<*m;@b}uRz>;a5t;-GX$|s_wWFQQrQHqN`%_vB z!$}UcXJ@}vxIR40=9b-9|6G$@f8QU!I7nb5h#}*7(U8NlNn~;-c(``x?|SiM^ed(K zQNRB^*O%5b#>mEn`g_$j|0sBWCqk>GRv*PZkI^YB2)NsyHJO@OeS42G>+u?%AGspfouw&_0e=e2kj7L&;Mb9MczVxU`hrAyHFEw(zFUYI@#Ot>`8U&Q{n| z!hheZ7oOTQm@!EkNp~Mw2P69`sSTh zR`HLXIIH>SV!!C~^HWHRy57!L%+Ig%Y;%R(_)fh5Li<93*EVhm6rCY!;eEZ6}gjME7(cDg!H+1V2Um&#FHaT1VTgZGC#pphlf5Z#2W6x zS+)h-*-aJSr3NzkDXzCxUWXZ?2c|`5=h@bUr)?_yKI&SZp*OBl4PI5%=;Dk`QNfbQ zBf7l(lk*}>-E*Q+;7xp$t4x~{>B777!fJGpQpY50B;RyujpX6D& z9~@UF|_bQt+PNmu-U9^U|VFU-XeEhbePa8LH&qK@%#z1sJ#UV z-dHoS?B#z%&iQz=EE0&KE*E9txwAx;Z8Cf%xcHUa=f@OGcK+h58?~ZlP+vd*xaXF{ z#y_{IKTvi8*9gr2GLsxO#Maop_?-7`MKgV&QB3A<9r`>X`a0ZH@C(;2b3D@_V!B-?Bx0ZdiHO z(gDrk_ChhQ8>rH`l?GwUAQV)!>N@1mqp7WWLezI$>C?VO=7FTDKorH0tk+55!l&K+ zx2J<-M`DDyp4%?^f#OzH4$0F(UfDQZ>IKe=42JSU)NXV1hGNwN4bdm~fwFM7MIrFN zdx8I6L&nDiCXPVVK;|C2_l*ZS-`jzVJwNm$Bd^tpYetNo>$-T9X&bD%ktX{}_I;nk z-;VTt41Bj%!+isU+NegPQ|QL&0XYpe$GE9aA{|4(G3D^!D;{^RjuoqyKVy7+!fJDz zWew<3tk|TdFXNvK+Vnwgjoc{-?29 z6M9Q1_OqW(zCcZ^Mqt}M07chAMS7p;!a7=8S3vbh-TUsgXvS}9aZa?< z4Po4l%lbGJ?sh(%P!^awuiX|Iq1C@N3yO!@uYxx+T8ldM_0^(@B}Adx8}Tlj!g9+9 zBx=vypao*t#TOQz9^cU2^Xi@A-1F9=p*hWS>e$t^pAD8RZP3In-${WX_a^}srtnJtxi!^kZwd!925GQGdqBwm#_QKyF#WBa}{x2T;!)D*2`wfUcgrjG>F2+sl zhj@>}Qd?9nCeDkz0inuCBF6b0yin1I7?@O>>=;-&7AzpGWyJ-b4`=poP+J^A{!oZJ zKW{b2$uJ&S?3aV1##|3T5vThxF6dqBWK{^NWvXh&*xwlonPFAogbR5}?or8Nr%C^g zF6|p#u5Mq(#ubMs?@>RS7K@?mdc_N!b^;`0Ke;(VR3-{&nkeCpoTY&&t3=qeBk8Ev9^VV8->^%Z``u4KVvH z^n7YpBUM=88mlhTcUF=~UL>|VnP*e%o?IT!Mw$Kqyw|84<-}PeJ=}zvcV%Rozq~&9}npF9zdxVXMV zpVF%YnqHyozPku;9K;Qfg(6?jKciMK^CRzF64K=J0`~8aOE%eHMOAc!m|&N$c8nw| zw&Y|_)H(*N71P&|JCacrq#_LGuzdD)x>aYl-CTlS9-v3zl))#=W zhjJT(whk{%v=V_1@~g{vAFcQHBZ1f}1v$1EiEcywb*uB0}MDJtBPGg>wU z37S|cYUaTg^FIED^17kPA9vRt2cV^4)NJ}ZJX)Rp)^fFPLYG{$7O@MXhCi*AEGdbv zLu6faPVct-I74G8I+W(vtpK|}a;_aAp1>??~2S3Iha$Y_@ zUGV}DU9Im#tiUOu3Y{ov6s1C=x7`Qj#A)5($wf>vHQ>YuKJDAIsq0)VGR;vH`t2cF z;(L4{s&BlDJtfqVBYW;Ss(#B>PO>UPZ`iE(2Y%Bxfu)Fsq&@ABM{gz9OnL7T z6bk0Xs&=B}<5kg-yRx2F?aJRJp9~2Y)8Dq24rP#>qS=Fec>(jp*uvocr_c!h^DRIj zrmAn}cg4-DNx*~mt&rYO|>w&xXUKY@p4uIEC@5R z6@;SSa|n&%YuK;=RL*0uYjwz!S#k9@{p=#`@$u2LXzf$O8N1sp7C~8T?gsO(4b;CJ z&EX)bkaIhb(P+Bp5+qO%-y;<(;ZdlgWs~Z)wpUe z?LYVuaWPoeHBiGtZxG-~0EaSzMj~<@|2#!l{Xf#JQ-JQc)Cb#V!Xo{`Ux+h$MdZJ! zZ%KMnGK@FD_4UQn@-=@CeaJE_DGB`0S4`<^Yi0bbX6G)jp6y=})x6>%Dd;clbN+k2 z0z~sOw^mOb*w{}zikG0|_{PfIC1*U6F3zad$>!u~w()EtDOR;5qGU_fvGY5Pi-d*g z^pw?VY~xEKByR#kIhZW!LwT&<@X?Ew*BJPa#fbT~2N+%Hy{8L!Gz#jN$;-EEL-F52 z5w6*VflHO!txIuv=8Oc|g?m?M@_%Oj#a{LRRLc6j_&=N+(I|13d=t_tCPZ(*#7Mzd z&q#%i^HzTJXhM_kt9?9|>)Xr~E`}`*@yJ&v{dUf{jbF+RT%9JBuw0{D)V3h#;g&L+ zEeI&0W)g93btq?g?F)6H=mT(5UG85SaJai@#PJgUkAR{w2}pA>vApk_+-#Nlh)!)g z*>w83^?%5x8-m*E5!2!uFR5E~Ivb$P{ynF&lX@h9i^wXpSYOTeRj#qHG~toODQ9z| z?z~P=85{k>pS9RxRo=EM0bx@yqKl+GsaMOH=rs_dQsS`deVt@Arn`rii9h!wjzLiV z&y;Cp<1H0Gbqs=jLg%D_{>YY$4*g}b`_~eGLQ*^Z4kxC~4wTiEqzu6z{#N$(~ z&+ZT;+zY@s{-N;6C#|$Hs?PM!$E*HY4hfzok7vrMv^PZ~^&1Pt>*W!8QYC${t z>b3mldnF|uu0j8iT6!=p@{e17=U;hn5B0xPI&G)zk^EcJ)ow|}!sm=SLF8rA>%JPw z{KU%^x{bs51RK+{b{Q#-ieF;vZ%w8OJQP(HSjzJpjg->NR=Vkb3l7KFr)YRLezwAP z@#J|ye>+*h{P-{I<{lHJaX|9Kn`@#r;J#s#C1rgh}5zTzQutV2!VP@ck-%!)-nfz|;v{LHn%n zOam&S2OD?jz1`QE;>Tpj{rSwRo;Iw11EvjW(|J&}=;9Y)1}(1xR1yQKenQ)?U+ro2 z(I zop0YRik1rqs>^zw8|xDUD=#T3Xu0=S*%hBgq_SSEXRH(a)8!w#YJ5Wq?Bh{F?qdqN=IH`IfoDk0 z{4}+u4X{i>gsH7VCSRq7(tQq#<`H3-(zyW(;S)N_zw{g>A28Bi^j=JkOv*hPg40)g z+z6Or_0<=00dN)CX|Xc>#9UX8J^al=agXB;^EJ-^1a1}EziYNXu=cI$c6qG#oYcF# zAA-_|crO7)g;k)LuEsyCZqe}^vW(=`81#OTVUIM?9*Hj)^L#l6+?I%ceuK6>J1JcL z{V`ico=&f9n10Ixq~s^Gi&Zy3y>Jm0H`256UP z9U?kdoCKM*bfLRMjAkc9ULz=^?j7>$f14xu6@rxA*kg_<=*_rk84U(rkUMOCV}Qzg zfOPa*aK?VeZ%|n5v)^j-bDj@=<$p`+cH8`2`GdXLA1P0Ww)cJ=WsPTm|FJ|L?2w#% z$!TR|z|kYz*vA2h|h<`#4vz`w?Q54PcdyEN!O58U_3-9E4@r7`%AcBj4tJT=tZ z^0js=*qN_gah1NcQa?2}ZG z4tJG1?oli`N7y@UB`=+05$Ep4H$sxBJt4i?bx)o4+){9Dux_ez89DA;;QM0WJvw*; z`0{E+XP*2(vr5_~>_Kk%uj2lsdGB_WTrm6zOR!1#b15?3 zF@q~@7&D(8KsN1gdEa3BcBURf;Zpn-Bp#-iuG+WSH4+c{P*e0*UV3;GR# zk@ne?jmhah5pEv&NwWYTbs;y$@qlasq>iMQIg3SybD1}P0ipHZZrnvXK{fpQPCq+; zdKRwvYv?a@x-EDVRb7sq46>F6dycdNN!x_!j{uKnZ8=_I-0?5VBECnFELV`s7#>|n|8DiWtg@B_MgOx((NnK9WLZC_>(R)<&{WAg~( zsbBtdsvTL1{ty?_LsFzu{PS1NON}OoZJ4ebf-ec5NE8|eLio@wda(TzfS_x_CS%?a zV@k+PLVrQnhZT_d1Yd`%U9KcydTfGrtU7|}f435Qn3Hh*R}s4V0KJu@qBFdTF%5Nf zn<>Ko=(1ASe&NSiD%Eth6X{k-vgjN!Ga_FqUm{&2JXo_ZfC9)?!MQNvVd-0h2AEBf z{#|BubRl+QfyM-1v}AI(P>9YJZ8irvzJ2cI@M@qu3*d zkmu>#00z!?R`uYf0LF^1R#+A?z!P;%O?t~xNI?(}qHd9A?)>pyiQ&mRZ+Uw;XQLEM zWA0iz>QULs@>VpqGm?^>ncdf{w%fTKCWATzk!+sxuqW7zr6e3%GELLWLnI3|dBLv^ z$TiQ!N^?>!w5SVbn)G4fOw%M1iFFF&9(Sf({R`Ey(KZ#K*XVTSOE-q)AN<`zY2;rG z;wq-xe@d_5Gn;HYlFFA_9CX(QARV4KQ+3C@(Qpo^>(ao!jRb3}JWG4nUuW2Vg64Hgucakk*1RGYbe4_)VV{5|eFkWXyW zFE;wsNKZK$pA{aVA#09KJH70$Q(TX&^x|V|E zGMJ*1oic>5L1R(wf^C{0(DAB^*1ZPe2jky7p}TIZ(!x*m!b#uvH0WQxHjUX|JFwXQU2(TZ_-d;>dtQ@YOSBk*N=!)0|^j6 z-<)s416i3nEc9rx#Ru=ZukO0T!KG!_a zEW|jJp|FN`xsmqC0TyM$KR<9sSC&>WO-4m#JC-QAbhE9u_eu69nn$(D&>)b$f#1XC zgc&SI3$oLfarM`-tIZ6CG zpq+K^OGuD&pwo)C*Tzkog$R@suu)K^&YA-N;v7gKYy9S{-(bJd;ohJl&&kKm@zd2~mcP}@+_Vhf0;pj0mdlpXAa-nF0&g!bB|VDnI3`I2xVo#qB6mhb z$5?%evz|-*cXRTeDfjf#Gcxz>Z~mX3TY`A6;l698+6GBw*xL78yillLBR0I za6GXCn6j%Nt`Ac20D`rKP69neh~F9@@E5Q>Td1+WP5F?`JVs%ujBKBPbS4PMnjKoh zP~$lu;yMeeO#L%%Z*^j2d|ce_>X^!?{c*ykJeF*W_N0OWiQB@02;drmstEzmL!Ee}EdUAtexz^( zL_nwn2vA3Y1)ET43|6%eBwo6(g2NMtNCQAwpA?+o>xPYj7Vb(@HQ1 z27Gnnfnfs;=r0o!18g*iZ`3YRe&BJ&sbh=tgK;#_!LearvxE=OXmkhXB;@!Y0W#c- zMnh2aJ%RxkV`LA3hS+&DR6sreL5A43kPeUl834g12O$X3{RaYZ#vzo~MN1T+%$0=Rb)CSi2Zp(kJweH+!m2T|s^X_6pTy;yOZ zWx?0*NmfFwel+BAjk=>L4@`x$k)!E1e?ijBO74vnSO^1ZpD{8a&HjK4;fTZZpjZoz zr-@mtJ9kxt$a}qNaEX=U*_+8i;~KcW>r9;EVD&Q?_SD+fG2l1>7k|v|b#E!Hfq5n4 zKhLbpWWlvQ&JT`}s;|N_m3J51n8ftKoWgrMdOf`U3xgfH!t5nykB7jgPO!uXU%~xh zz4VHtd(0@OQiC6M*FaP%>!5iiIABkh+o*y1jH(VIypblL{LiKMr3r13LuaxG%@)7S zcWoX+(G`5hv?fw-#+a{XqJUQ2olKIG)ori}k(5M5DWo%Em4gD%{~De`V2I)N6NXi9 zWl1zJ(NtN2A@9^__rHx(Yyvmgzfh2E+wjt66e1%OUa@J430!;cR+=W*$UqDOIEJl? zf(tdLN`tg$Oc5$XXb?z5GmKxB80kZ6X zzL}$mA? z<|-Zfi@+$|3gjv{)eU15sCjs_=joe!A8w6j(S(L(D9ZbAlTkhOYfQur(uPqzU#zm4 z`*sq!0qBb)M=-{b4S*=$?LycD`&FWio{Flng3_0JNW8i#3%B9}{XPD3>w1>}M!eM(jMkgXcnEBy01)R6Utq^RDw<+N=zH!A&YKE%^c%fdpyDi@rq^uGdL=`7Rg}POa ziayu?8t8@{rBW7;IfG|4S12U{eR9hJT`i)MrpC5xK31PY7dEqdwNzlgEna3s8tMIm z?=5aZtAp#l)`I`|ZoF^&mp6Pd4P>{0eTmhQCd32@4l?F2vcTj#;xs#Tf zurl5w+uOJG<()mQ-OS4#D|L8hL==aOuy;alVgNdAS}mw8u5)%!qXsGqFgE5+n&tpQ zEXbseVRkKKUzQ3|P~+s`q^9>3IkaX8tQ4+{gm9ZDkcHd~@)dL&aK9mS?e?-ZJW8j= W4}z7Yk#9EQl%Y~w+sHMN>i+>Uumm&! diff --git a/scroll.map b/scroll.map index 6eedd870..37c2889c 100755 --- a/scroll.map +++ b/scroll.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:51 +Created on: 15/10/15 12:30:06 Executable Image: scroll.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1a8a:0000 0000f860 +DGROUP 19b3:0000 0000f860 @@ -24,43 +24,42 @@ DGROUP 1a8a:0000 0000f860 Segment Class Group Address Size ======= ===== ===== ======= ==== -scroll_TEXT CODE AUTO 0000:0000 00000d79 -mapread_TEXT CODE AUTO 0000:0d80 000008ae -jsmn_TEXT CODE AUTO 0000:1630 00000919 -16_in_TEXT CODE AUTO 0000:1f50 00001e80 -16_mm_TEXT CODE AUTO 0000:3dd0 00003308 -wcpu_TEXT CODE AUTO 0000:70e0 00000058 -16_head_TEXT CODE AUTO 0000:7140 00000405 -16_ca_TEXT CODE AUTO 0000:7550 000003fd -kitten_TEXT CODE AUTO 0000:7950 0000080a -16_hc_TEXT CODE AUTO 0000:8160 0000158b -timer_TEXT CODE AUTO 0000:96f0 00000235 -_TEXT CODE AUTO 0993:0000 00009f6d -scroll16_TEXT CODE AUTO 0993:9f70 000034c3 -bitmap_TEXT CODE AUTO 0993:d440 00000605 -planar_TEXT CODE AUTO 0993:da50 00000275 -16text_TEXT CODE AUTO 0993:dcd0 0000010d -modex16_TEXT CODE AUTO 1771:0000 00002406 -bakapee_TEXT CODE AUTO 1771:2410 00000bc2 -16_in13_DATA FAR_DATA AUTO 1a6f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1a89:0004 00000000 -_NULL BEGDATA DGROUP 1a8a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1a8c:0000 00000002 -CONST DATA DGROUP 1a8c:0002 00000076 -CONST2 DATA DGROUP 1a93:0008 00000132 -_DATA DATA DGROUP 1aa7:0000 00000b20 -XIB DATA DGROUP 1b59:0000 00000000 -XI DATA DGROUP 1b59:0000 0000003c -XIE DATA DGROUP 1b5c:000c 00000000 -YIB DATA DGROUP 1b5c:000c 00000000 -YI DATA DGROUP 1b5c:000c 00000018 -YIE DATA DGROUP 1b5e:0004 00000000 -STRINGS DATA DGROUP 1b5e:0004 00000000 -DATA DATA DGROUP 1b5e:0004 00000000 -_emu_init_start EMU DGROUP 1b5e:0004 00000000 -_emu_init_end EMU DGROUP 1b5e:0004 00000000 -_BSS BSS DGROUP 1b5f:0000 00001046 -STACK STACK DGROUP 1c64:0000 0000dac0 +scroll_TEXT CODE AUTO 0000:0000 00000d62 +mapread_TEXT CODE AUTO 0000:0d70 000008ae +jsmn_TEXT CODE AUTO 0000:1620 00000919 +16_in_TEXT CODE AUTO 0000:1f40 00001e80 +16_mm_TEXT CODE AUTO 0000:3dc0 00003308 +wcpu_TEXT CODE AUTO 0000:70d0 00000058 +16_head_TEXT CODE AUTO 0000:7130 00000405 +16_ca_TEXT CODE AUTO 0000:7540 000003fd +kitten_TEXT CODE AUTO 0000:7940 0000080a +16_hc_TEXT CODE AUTO 0000:8150 0000158b +timer_TEXT CODE AUTO 0000:96e0 00000235 +_TEXT CODE AUTO 0992:0000 00009db9 +scroll16_TEXT CODE AUTO 0992:9dc0 000034c3 +bitmap_TEXT CODE AUTO 0992:d290 00000605 +planar_TEXT CODE AUTO 0992:d8a0 00000275 +16text_TEXT CODE AUTO 0992:db20 0000010d +modex16_TEXT CODE AUTO 1755:0000 0000242c +16_in13_DATA FAR_DATA AUTO 1998:0000 000001a4 +FAR_DATA FAR_DATA AUTO 19b2:0004 00000000 +_NULL BEGDATA DGROUP 19b3:0000 00000020 +_AFTERNULL BEGDATA DGROUP 19b5:0000 00000002 +CONST DATA DGROUP 19b5:0002 00000076 +CONST2 DATA DGROUP 19bc:0008 00000132 +_DATA DATA DGROUP 19d0:0000 00000b14 +XIB DATA DGROUP 1a81:0004 00000000 +XI DATA DGROUP 1a81:0004 0000003c +XIE DATA DGROUP 1a85:0000 00000000 +YIB DATA DGROUP 1a85:0000 00000000 +YI DATA DGROUP 1a85:0000 00000018 +YIE DATA DGROUP 1a86:0008 00000000 +STRINGS DATA DGROUP 1a86:0008 00000000 +DATA DATA DGROUP 1a86:0008 00000000 +_emu_init_start EMU DGROUP 1a86:0008 00000000 +_emu_init_end EMU DGROUP 1a86:0008 00000000 +_BSS BSS DGROUP 1a87:0000 00001056 +STACK STACK DGROUP 1b8d:0000 0000dac0 +----------------+ @@ -74,635 +73,623 @@ Address Symbol ======= ====== Module: scroll.o(/dos/z/16/src/scroll.c) -1a8a:0d50+ _mv -1a8a:0d80+ _player -1a8a:0e80+ _gvar -1a8a:0ea8+ _spri -1a8a:0eac+ _mask -1a8a:0eb0+ _p -1a8a:0eb4* _t -1a8a:0eb8+ _screen3 -1a8a:0ecc+ _bg -1a8a:0ed0+ _screen -1a8a:0ee4+ _screen2 -1a8a:0f04+ _bakapee +19b3:0d40+ _screen +19b3:0d58+ _screen3 +19b3:0d70+ _screen2 +19b3:0d88+ _mv +19b3:0db8+ _player +19b3:0eb8+ _gvar +19b3:0ee0+ _spri +19b3:0ee4+ _mask +19b3:0ee8+ _p +19b3:0eec* _t +19b3:0ef0+ _bg +19b3:0f00+ _bakapee 0000:023a main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) -0000:0e58+ jsoneq_ -0000:0efc+ dump_ -0000:13f8 loadmap_ +0000:0e48+ jsoneq_ +0000:0eec+ dump_ +0000:13e8 loadmap_ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) -0000:1a02 jsmn_parse_ -0000:1efe jsmn_init_ +0000:19f2 jsmn_parse_ +0000:1eee jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1a8a:0f2e+ _inpu -0000:2090+ INL_KeyService_ -0000:232a+ Mouse_ -0000:2382+ IN_GetJoyAbs_ -0000:272c+ IN_GetJoyButtonsDB_ -0000:29b2+ IN_SetupJoy_ -0000:2b74 IN_Startup_ -0000:2c7a IN_Default_ -0000:2dde IN_Shutdown_ -0000:2e5e* IN_SetKeyHook_ -0000:2e80+ IN_ClearKeysDown_ -0000:2f60* IN_ReadCursor_ -0000:307c IN_ReadControl_ -0000:38b4* IN_SetControlType_ -0000:3902* IN_GetScanName_ -0000:398a* IN_WaitForKey_ -0000:39c0* IN_WaitForASCII_ -0000:39f6+ IN_AckBack_ -0000:3ade IN_Ack_ -0000:3b80+ IN_IsUserInput_ -0000:3c26* IN_UserInput_ -0000:3c6a IN_KeyDown_ -0000:3cb2+ IN_ClearKey_ -0000:3d2a* IN_qb_ +19b3:0f2e+ _inpu +0000:2080+ INL_KeyService_ +0000:231a+ Mouse_ +0000:2372+ IN_GetJoyAbs_ +0000:271c+ IN_GetJoyButtonsDB_ +0000:29a2+ IN_SetupJoy_ +0000:2b64 IN_Startup_ +0000:2c6a IN_Default_ +0000:2dce IN_Shutdown_ +0000:2e4e* IN_SetKeyHook_ +0000:2e70+ IN_ClearKeysDown_ +0000:2f50* IN_ReadCursor_ +0000:306c IN_ReadControl_ +0000:38a4* IN_SetControlType_ +0000:38f2* IN_GetScanName_ +0000:397a* IN_WaitForKey_ +0000:39b0* IN_WaitForASCII_ +0000:39e6+ IN_AckBack_ +0000:3ace IN_Ack_ +0000:3b70+ IN_IsUserInput_ +0000:3c16* IN_UserInput_ +0000:3c5a IN_KeyDown_ +0000:3ca2+ IN_ClearKey_ +0000:3d1a* IN_qb_ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) -0000:47b4+ MML_CheckForEMS_ -0000:4810+ MML_SetupEMS_ -0000:49c8+ MML_ShutdownEMS_ -0000:4a34+ MM_MapEMS_ -0000:4b30* MM_MapXEMS_ -0000:4c50+ MML_CheckForXMS_ -0000:4cac* MML_SetupXMS_ -0000:4d94+ MML_ShutdownXMS_ -0000:4e22+ MML_UseSpace_ -0000:5000+ MML_ClearBlock_ -0000:5084* MM_Startup_ -0000:54b6+ MM_Shutdown_ -0000:554c MM_GetPtr_ -0000:5946+ MM_FreePtr_ -0000:5a3e* MM_SetPurge_ -0000:5b18* MM_SetLock_ -0000:5bf0+ MM_SortMem_ -0000:5dde* MM_ShowMemory_ -0000:647e* MM_DumpData_ -0000:65d8+ MM_UnusedMemory_ -0000:665c+ MM_TotalFree_ -0000:66f2* MM_Report_ -0000:70aa* MM_BombOnError_ -1a8a:1090+ _beforesort -1a8a:1094+ _aftersort -1a8a:1098+ _XMSaddr +0000:47a4+ MML_CheckForEMS_ +0000:4800+ MML_SetupEMS_ +0000:49b8+ MML_ShutdownEMS_ +0000:4a24+ MM_MapEMS_ +0000:4b20* MM_MapXEMS_ +0000:4c40+ MML_CheckForXMS_ +0000:4c9c* MML_SetupXMS_ +0000:4d84+ MML_ShutdownXMS_ +0000:4e12+ MML_UseSpace_ +0000:4ff0+ MML_ClearBlock_ +0000:5074* MM_Startup_ +0000:54a6+ MM_Shutdown_ +0000:553c MM_GetPtr_ +0000:5936+ MM_FreePtr_ +0000:5a2e* MM_SetPurge_ +0000:5b08* MM_SetLock_ +0000:5be0+ MM_SortMem_ +0000:5dce* MM_ShowMemory_ +0000:646e* MM_DumpData_ +0000:65c8+ MM_UnusedMemory_ +0000:664c+ MM_TotalFree_ +0000:66e2* MM_Report_ +0000:709a* MM_BombOnError_ +19b3:1090+ _beforesort +19b3:1094+ _aftersort +19b3:1098+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:70e0 detectcpu_ +0000:70d0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) -0000:714e* wait_ -0000:719a* filesize_ -0000:7200 printmeminfoline_ -0000:73ec US_CheckParm_ +0000:713e* wait_ +0000:718a* filesize_ +0000:71f0 printmeminfoline_ +0000:73dc US_CheckParm_ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) -0000:757e CA_OpenDebug_ -0000:75ce CA_CloseDebug_ -0000:75fc+ CA_FarRead_ -0000:767c* CA_FarWrite_ -0000:76fc* CA_ReadFile_ -0000:7778* CA_LoadFile_ -0000:7820* CAL_OptimizeNodes_ -0000:78d0* CA_Startup_ -0000:7920* CA_Shutdown_ -1a8a:10a0* _finishcachebox -1a8a:10a4* _updatecachebox -1a8a:10a8* _drawcachebox +0000:756e CA_OpenDebug_ +0000:75be CA_CloseDebug_ +0000:75ec+ CA_FarRead_ +0000:766c* CA_FarWrite_ +0000:76ec* CA_ReadFile_ +0000:7768* CA_LoadFile_ +0000:7810* CAL_OptimizeNodes_ +0000:78c0* CA_Startup_ +0000:7910* CA_Shutdown_ +19b3:10a0* _finishcachebox +19b3:10a4* _drawcachebox +19b3:10a8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) -0000:798c KITTENGETS -0000:79ec* kittenopen_ -0000:7c3a+ catread_ -0000:7cee* kittenclose_ -0000:7d0a+ mystrtoul_ -0000:7dbe+ processEscChars_ -0000:7f44+ get_line_ -0000:7fb6+ db_fetch_ -0000:804c+ db_insert_ -1a8a:04d4+ __kitten_catalog +0000:797c KITTENGETS +0000:79dc* kittenopen_ +0000:7c2a+ catread_ +0000:7cde* kittenclose_ +0000:7cfa+ mystrtoul_ +0000:7dae+ processEscChars_ +0000:7f34+ get_line_ +0000:7fa6+ db_fetch_ +0000:803c+ db_insert_ +19b3:04d4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) -0000:830c+ LargestFreeBlock_ -0000:839a+ _coreleft_ -0000:8416+ LargestFarFreeBlock_ -0000:84cc+ _farcoreleft_ -0000:857a+ LargestHugeFreeBlock_ -0000:866a* _hugecoreleft_ -0000:873a+ GetFreeSize_ -0000:8794+ GetFarFreeSize_ -0000:87de+ GetNearFreeSize_ -0000:882c* heapdump_ -0000:94ce+ heapstat_ -0000:960c+ heapstat0_ -0000:966e+ HC_OpenDebug_ -0000:96be* HC_CloseDebug_ +0000:82fc+ LargestFreeBlock_ +0000:838a+ _coreleft_ +0000:8406+ LargestFarFreeBlock_ +0000:84bc+ _farcoreleft_ +0000:856a+ LargestHugeFreeBlock_ +0000:865a* _hugecoreleft_ +0000:872a+ GetFreeSize_ +0000:8784+ GetFarFreeSize_ +0000:87ce+ GetNearFreeSize_ +0000:881c* heapdump_ +0000:94be+ heapstat_ +0000:95fc+ heapstat0_ +0000:965e+ HC_OpenDebug_ +0000:96ae* HC_CloseDebug_ Module: timer.o(/dos/z/16/src/lib/timer.c) -0000:96f0 start_timer_ -0000:981c elapsed_timer_ -0000:986e ticktock_ -0000:98da* time_in_seconds_ +0000:96e0 start_timer_ +0000:980c elapsed_timer_ +0000:985e ticktock_ +0000:98ca* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0993:0005 __STK -0993:0025 __STKOVERFLOW_ +0992:0005 __STK +0992:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0993:0042 _fmalloc_ -0993:0042 malloc_ -1a8a:04f6 ___fheap -1a8a:04f8 ___fheapRover -1a8a:04fa ___LargestSizeB4Rover +0992:0042 _fmalloc_ +0992:0042 malloc_ +19b3:04f6 ___fheap +19b3:04f8 ___fheapRover +19b3:04fa ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0993:01bf __PIA -0993:01b8* __PIS +0992:01bf __PIA +0992:01b8* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0993:01d6 printf_ +0992:01d6 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1a8a:04fc ___iob -1a8a:10b4 ___OpenStreams -1a8a:10b8 ___ClosedStreams +19b3:04fc ___iob +19b3:10b4 ___ClosedStreams +19b3:10b8 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0993:0205 fprintf_ +0992:0205 fprintf_ Module: gfx.lib(/dos/z/16/src/lib/scroll16.c) -0993:9fbe walk_ -0993:ba34+ mapScrollRight_ -0993:bd12+ mapScrollLeft_ -0993:bfc6+ mapScrollUp_ -0993:c2b4+ mapScrollDown_ -0993:c5d4 chkmap_ -0993:c764 mapGoTo_ -0993:c9cc+ mapDrawTile_ -0993:caf0+ mapDrawRow_ -0993:cc44+ mapDrawCol_ -0993:cdae+ mapDrawWRow_ -0993:cedc* mapDrawWCol_ -0993:d026 shinku_ -0993:d1ae+ animatePlayer_ +0992:9e0e walk_ +0992:b884+ mapScrollRight_ +0992:bb62+ mapScrollLeft_ +0992:be16+ mapScrollUp_ +0992:c104+ mapScrollDown_ +0992:c424 chkmap_ +0992:c5b4 mapGoTo_ +0992:c81c+ mapDrawTile_ +0992:c940+ mapDrawRow_ +0992:ca94+ mapDrawCol_ +0992:cbfe+ mapDrawWRow_ +0992:cd2c* mapDrawWCol_ +0992:ce76 shinku_ +0992:cffe+ animatePlayer_ Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0993:d77e bitmapLoadPcx_ -0993:d8da* bitmapLoadPcxTiles_ +0992:d5ce bitmapLoadPcx_ +0992:d72a* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0993:da50 planar_buf_from_bitmap_ -0993:db70+ planar_buf_alloc_ -0993:dc6a* planar_buf_free_ +0992:d8a0 planar_buf_from_bitmap_ +0992:d9c0+ planar_buf_alloc_ +0992:daba* planar_buf_free_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0993:dd46 textInit_ -1a8a:1140 _romFonts +0992:db96 textInit_ +19b3:1140 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -1771:01f4 VGAmodeX_ -1771:02ca+ modex__320x240_256__Enter_ -1771:032a+ modex__192x144_256__Enter_ -1771:038a* modexLeave_ -1771:03a2+ modexsetBaseXMode_ -1771:03e0 modexDefaultPage_ -1771:0446 modexNextPage_ -1771:0516 modexNextPageFlexibleSize_ -1771:05ea modexShowPage_ -1771:06e6* modexPanPage_ -1771:0736* modexSelectPlane_ -1771:075a modexClearRegion_ -1771:0872* oldDrawBmp_ -1771:09d8* CDrawBmp_ -1771:0b3c* modexDrawBmp_ -1771:0ba2+ modexDrawBmpRegion_ -1771:0d0c* modex_sparky4_DrawBmpRegion_ -1771:0e76* modexDrawPlanarBuf_ -1771:0e94* modexDrawSprite_ -1771:0efa+ modexDrawSpriteRegion_ -1771:1072 modexCopyPageRegion_ -1771:11dc* modexFadeOn_ -1771:120c* modexFadeOff_ -1771:123a* modexFlashOn_ -1771:1268* modexFlashOff_ -1771:1338+ modexPalSave_ -1771:138e modexNewPal_ -1771:13de* modexLoadPalFile_ -1771:14c0* modexSavePalFile_ -1771:1538* modexPalBlack_ -1771:1562* modexPalWhite_ -1771:158c+ modexPalUpdate_ -1771:1afc+ modexPalUpdate1_ -1771:1b76* modexPalUpdate0_ -1771:1bc2+ chkcolor_ -1771:1eda modexputPixel_ -1771:1f68* modexgetPixel_ -1771:1fee* modexhlin_ -1771:205a modexprint_ -1771:21f4* modexprintbig_ -1771:2370 cls_ -1771:23de modexWaitBorder_ -1a8a:0b54 _VGA +1755:01f4 VGAmodeX_ +1755:0286+ vgaGetMode_ +1755:02bc+ modexEnter_ +1755:035e* modexLeave_ +1755:0376+ modexsetBaseXMode_ +1755:03b4 modexDefaultPage_ +1755:0416 modexNextPage_ +1755:04e2 modexNextPageFlexibleSize_ +1755:05b0 modexShowPage_ +1755:06ac* modexPanPage_ +1755:06fc* modexSelectPlane_ +1755:0720 modexClearRegion_ +1755:0838* oldDrawBmp_ +1755:099e* CDrawBmp_ +1755:0b02* modexDrawBmp_ +1755:0b68+ modexDrawBmpRegion_ +1755:0cd2* modex_sparky4_DrawBmpRegion_ +1755:0e3c* modexDrawPlanarBuf_ +1755:0e5a* modexDrawSprite_ +1755:0ec0+ modexDrawSpriteRegion_ +1755:1038 modexCopyPageRegion_ +1755:11a2* modexFadeOn_ +1755:11d2* modexFadeOff_ +1755:1200* modexFlashOn_ +1755:122e* modexFlashOff_ +1755:12fe+ modexPalSave_ +1755:1354 modexNewPal_ +1755:13a4* modexLoadPalFile_ +1755:1486* modexSavePalFile_ +1755:14fe* modexPalBlack_ +1755:1528* modexPalWhite_ +1755:1552+ modexPalUpdate_ +1755:1ac2+ modexPalUpdate1_ +1755:1b3c* modexPalUpdate0_ +1755:1b88+ chkcolor_ +1755:1ea0+ modexputPixel_ +1755:1f2e* modexgetPixel_ +1755:1fb4* modexhlin_ +1755:2020 modexprint_ +1755:21ba* modexprintbig_ +1755:2336 pdump_ +1755:2396* cls_ +1755:2404 modexWaitBorder_ +19b3:0b54+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sound.c) -0993:0234 sound_ -0993:026f nosound_ -Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -1771:2454 pdump_ -1771:24b6+ colortest_ -1771:250a+ colorz_ -1771:2570+ ssd_ -1771:268e+ dingpp_ -1771:2700+ dingo_ -1771:2796+ dingas_ -1771:2844+ dingu_ -1771:28a6+ dingq_ -1771:2946* ding_ +0992:0234 sound_ +0992:026f nosound_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) -0993:027b atoi_ +0992:027b atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1a8a:0000* __nullarea -1a8a:0b74* __ovlflag -1a8a:0b75* __intno -1a8a:0b76* __ovlvec -0993:0318 _cstart_ -0993:03eb* _Not_Enough_Memory_ -0993:051d __exit_ -0993:053c __do_exit_with_msg__ -0993:0599 __GETDS +19b3:0000* __nullarea +19b3:0b68* __ovlflag +19b3:0b69* __intno +19b3:0b6a* __ovlvec +0992:0316 _cstart_ +0992:03e9* _Not_Enough_Memory_ +0992:051b __exit_ +0992:053a __do_exit_with_msg__ +0992:0597 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0993:05a4 _big_code_ -0993:05a4* CodeModelMismatch +0992:05a2 _big_code_ +0992:05a2* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1a8a:1a58 __argv -1a8a:1a5c ___argv -1a8a:1a60 __argc -1a8a:1a62 ___argc +19b3:1a58 __argv +19b3:1a5c ___argv +19b3:1a60 __argc +19b3:1a62 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) -0993:05a4 strncmp_ +0992:05a2 strncmp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) -0993:05e1 strstr_ +0992:05df strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0993:06e3+ __open_flags_ -0993:09a4+ _fsopen_ -0993:0a0b fopen_ -0993:0b2e* freopen_ +0992:06e1+ __open_flags_ +0992:09a2+ _fsopen_ +0992:0a09 fopen_ +0992:0b2c* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -0993:0bb8 fread_ +0992:0bb6 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0993:0f2f __get_errno_ptr_ -1a8a:1a64 _errno +0992:0f2d __get_errno_ptr_ +19b3:1a64 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0993:0f36* _frealloc_ -0993:0f36 realloc_ +0992:0f34* _frealloc_ +0992:0f34 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0993:1012 __PTC +0992:1010 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) -0993:1045 strncpy_ +0992:1043 strncpy_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0993:1081+ int86x_ -0993:1204 int86_ +0992:107f+ int86x_ +0992:1202 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0993:1232 __I4M -0993:1232 __U4M +0992:1230 __I4M +0992:1230 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0993:124a _dos_getvect_ +0992:1248 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0993:1255 _dos_setvect_ +0992:1253 _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0993:1262 __I4D -0993:12b1 __U4D +0992:1260 __I4D +0992:12af __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0993:1335* _heapgrow_ -0993:1335 _fheapgrow_ -0993:1336 _nheapgrow_ +0992:1333* _heapgrow_ +0992:1333 _fheapgrow_ +0992:1334 _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0993:13ae _memavl_ +0992:13ac _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0993:13f3 _nmalloc_ -1a8a:0b7a ___nheapbeg -1a8a:0b7c ___MiniHeapRover -1a8a:0b7e ___LargestSizeB4MiniHeapRover +0992:13f1 _nmalloc_ +19b3:0b6e ___nheapbeg +19b3:0b70 ___MiniHeapRover +19b3:0b72 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0993:14cd _ffree_ -0993:14cd free_ +0992:14cb _ffree_ +0992:14cb free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0993:153a _nfree_ -1a8a:1a66+ ___MiniHeapFreeRover +0992:1538 _nfree_ +19b3:1a66+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0993:1635+ _null_exit_rtn_ -0993:1635+ __null_int23_exit_ -0993:1636 exit_ -0993:1657+ _exit_ -1a8a:0b80+ ___int23_exit -1a8a:0b84 ___FPE_handler_exit +0992:1633+ _null_exit_rtn_ +0992:1633+ __null_int23_exit_ +0992:1634 exit_ +0992:1655+ _exit_ +19b3:0b74+ ___int23_exit +19b3:0b78 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0993:1673 ultoa_ -0993:1731* ltoa_ +0992:1671 ultoa_ +0992:172f* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0993:18b7 write_ +0992:18b5 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0993:1ae3 __doclose_ -0993:1c2d __shutdown_stream_ -0993:1c47 fclose_ -1a8a:1a68+ ___RmTmpFileFn +0992:1ae1 __doclose_ +0992:1c2b __shutdown_stream_ +0992:1c45 fclose_ +19b3:1a68+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0993:1cb2+ __ibm_bios_get_ticks_ -0993:1d29 clock_ +0992:1cb0+ __ibm_bios_get_ticks_ +0992:1d27 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0993:1d50 kbhit_ +0992:1d4e kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0993:1d67 ftell_ +0992:1d65 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0993:1f4d fseek_ +0992:1f4b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1a8a:0098 __IsTable +19b3:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0993:2145 tolower_ +0992:2143 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0993:2153 unlink_ +0992:2151 unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0993:2383 open_ -0993:23ba sopen_ +0992:2381 open_ +0992:23b8 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0993:23e7 close_ +0992:23e5 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0993:23ec filelength_ +0992:23ea filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0993:244a sprintf_ +0992:2448 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0993:2479 getenv_ +0992:2477 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0993:2564 __PTS +0992:2562 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0993:2595 read_ +0992:2593 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0993:26ce* __clib_strdup_ -0993:26ce strdup_ +0992:26cc* __clib_strdup_ +0992:26cc strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0993:2735 halloc_ -0993:281a hfree_ +0992:2733 halloc_ +0992:2818 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0993:2821 _heapwalk_ -0993:2821 _fheapwalk_ +0992:281f _heapwalk_ +0992:281f _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0993:2836+ __NHeapWalk_ -0993:292f _nheapwalk_ +0992:2834+ __NHeapWalk_ +0992:292d _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0993:293f stackavail_ +0992:293d stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1a8a:0b90 __8087 -1a8a:0b91 __real87 -1a8a:0b92 __dos87emucall -1a8a:0b94 __dos87real +19b3:0b84 __8087 +19b3:0b85 __real87 +19b3:0b86 __dos87emucall +19b3:0b88 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0993:294e* __exit_with_msg_ -0993:2953 __fatal_runtime_error_ +0992:294c* __exit_with_msg_ +0992:2951 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1a8a:0b96 __curbrk -1a8a:0b9e __STACKLOW -1a8a:0ba0 __STACKTOP -1a8a:0ba2 __cbyte -1a8a:0ba4 __child -1a8a:0ba6 __no87 -1a8a:0bb3 ___FPE_handler -1a8a:0b98 __psp -1a8a:0ba7 __get_ovl_stack -1a8a:0bab __restore_ovl_stack -1a8a:0baf __close_ovl_file -1a8a:0bb7 __LpCmdLine -1a8a:0bbb __LpPgmName -1a8a:0b9a __osmajor -1a8a:0b9b __osminor -1a8a:0b9c __osmode -1a8a:0b9d __HShift +19b3:0b8a __curbrk +19b3:0b92 __STACKLOW +19b3:0b94 __STACKTOP +19b3:0b96 __cbyte +19b3:0b98 __child +19b3:0b9a __no87 +19b3:0ba7 ___FPE_handler +19b3:0b8c __psp +19b3:0b9b __get_ovl_stack +19b3:0b9f __restore_ovl_stack +19b3:0ba3 __close_ovl_file +19b3:0bab __LpCmdLine +19b3:0baf __LpPgmName +19b3:0b8e __osmajor +19b3:0b8f __osminor +19b3:0b90 __osmode +19b3:0b91 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0993:2980 __MemAllocator -0993:2a4a __MemFree +0992:2970 __MemAllocator +0992:2a3a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0993:2ba7 __GrowSeg_ +0992:2b97 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0993:2d1d __AllocSeg_ +0992:2d0d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0993:2e0c __fmemneed_ +0992:2dfc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0993:2e29 __fprtf_ +0992:2e19 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0993:2f7c __InitFiles_ +0992:2f6c __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0993:31b0* fcloseall_ -0993:31b7 __full_io_exit_ +0992:31a0* fcloseall_ +0992:31a7 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -1a8a:0bc0 _fltused_ +19b3:0bb4 _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0993:31c1 __fill_buffer_ -0993:3410 fgetc_ +0992:31b1 __fill_buffer_ +0992:3400 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -0993:3557 fwrite_ +0992:3547 fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -0993:38d0 rand_ -0993:3912* srand_ -Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) -0993:3935 delay_ +0992:38c0 rand_ +0992:3902* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0993:3aad __CMain +0992:3925 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0993:3b13 __InitRtns -0993:3b13* __FInitRtns -0993:3b6e __FiniRtns -0993:3b6e* __FFiniRtns +0992:398b __InitRtns +0992:398b* __FInitRtns +0992:39e6 __FiniRtns +0992:39e6* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1a8a:0bc6 ___uselfn +19b3:0bba ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0993:3bd2 __DOSseg__ +0992:3a4a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0993:3bd3 __Init_Argv_ -0993:3c36+ _getargv_ -0993:3f66 __Fini_Argv_ +0992:3a4b __Init_Argv_ +0992:3aae+ _getargv_ +0992:3dde __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0993:3f90* __set_commode_ -1a8a:0bc8 __commode +0992:3e08* __set_commode_ +19b3:0bbc __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1a8a:0bca __fmode +19b3:0bbe __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0993:3f9c __chktty_ +0992:3e14 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0993:3ffb __freefp_ -0993:409c __purgefp_ +0992:3e73 __freefp_ +0992:3f14 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0993:40dd __allocfp_ +0992:3f55 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0993:428d __ioalloc_ +0992:4105 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0993:441b __qread_ +0992:4293 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0993:443b* _msize_ -0993:4456 _fmsize_ +0992:42b3* _msize_ +0992:42ce _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0993:4467 __HeapManager_expand_ -0993:4970 _nexpand_ +0992:42df __HeapManager_expand_ +0992:47e8 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0993:49c3 _fexpand_ -0993:49c3* _expand_ +0992:483b _fexpand_ +0992:483b* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0993:49f8 intr_ +0992:4870 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0993:4a0c segread_ +0992:4884 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0993:4af7 __LastFree_ -0993:4b71 __ExpandDGROUP_ +0992:496f __LastFree_ +0992:49e9 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0993:4c82 __nmemneed_ +0992:4afa __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1a8a:0050 ___Alphabet +19b3:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0993:4c85 __lseek_ +0992:4afd __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0993:4cbf* __dosretax -0993:4cc4* __dosret0 -0993:4ccb __set_errno_dos_ -0993:4d33* __set_errno_dos_reterr_ +0992:4b37* __dosretax +0992:4b3c* __dosret0 +0992:4b43 __set_errno_dos_ +0992:4bab* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0993:4d3e __GetIOMode_ -0993:4d73 __SetIOMode_nogrow_ -1a8a:0be0 ___NFiles -1a8a:0be2 ___init_mode -1a8a:0c0a ___io_mode +0992:4bb6 __GetIOMode_ +0992:4beb __SetIOMode_nogrow_ +19b3:0bd4 ___NFiles +19b3:0bd6 ___init_mode +19b3:0bfe ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0993:4da8 __close_ +0992:4c20 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0993:4dde __flush_ +0992:4c56 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0993:5027 fflush_ +0992:4e9f fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0993:503c tell_ +0992:4eb4 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0993:504d lseek_ +0992:4ec5 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0993:507e __doserror_ -0993:508c __doserror1_ +0992:4ef6 __doserror_ +0992:4f04 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0993:5098 _dos_open_ +0992:4f10 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0993:50be isatty_ +0992:4f36 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0993:50da* __get_doserrno_ptr_ -1a8a:1a74 __doserrno +0992:4f52* __get_doserrno_ptr_ +19b3:1a74 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1a8a:0c0e ___umaskval +19b3:0c02 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0993:50e1 _dos_creat_ -0993:5105* _dos_creatnew_ +0992:4f59 _dos_creat_ +0992:4f7d* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0993:5126+ __grow_iomode_ -0993:520d+ __shrink_iomode_ -0993:524e __SetIOMode_ +0992:4f9e+ __grow_iomode_ +0992:5085+ __shrink_iomode_ +0992:50c6 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0993:530e vsprintf_ +0992:5186 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1a8a:1a78 ___env_mask -1a8a:1a7c _environ -1a8a:1a80* __wenviron +19b3:1a78 ___env_mask +19b3:1a7c _environ +19b3:1a80* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0993:5350 _mbterm_ +0992:51c8 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0993:53a3 _mbsnextc_ +0992:521b _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0993:53fe _mbctoupper_ +0992:5276 _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0993:5421 _mbsinc_ +0992:5299 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0993:5473 __ChkTTYIOMode_ -0993:54e1* __IOMode_ +0992:52eb __ChkTTYIOMode_ +0992:5359* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0993:55b3 __HeapWalk_ +0992:542b __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -0993:57f3* _fpreset_ -0993:581e __init_8087_ -0993:5851+ __default_sigfpe_handler_ -0993:58a6 __chk8087_ +0992:566b* _fpreset_ +0992:5696 __init_8087_ +0992:56c9+ __default_sigfpe_handler_ +0992:571e __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0993:5916 __EnterWVIDEO_ -1a8a:0c2e+ ___WD_Present +0992:578e __EnterWVIDEO_ +19b3:0c22+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0993:593a* _heapenable_ -1a8a:0c30 ___heap_enabled +0992:57b2* _heapenable_ +19b3:0c24 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1a8a:0c32 __amblksiz +19b3:0c26 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0993:594b fputc_ +0992:57c3 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0993:5b20 __prtf_ +0992:5998 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) -0993:6c90 __setEFGfmt_ +0992:6afa __setEFGfmt_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0993:6cae __flushall_ -0993:6d42 flushall_ +0992:6b18 __flushall_ +0992:6bac flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0993:6d48 getche_ +0992:6bb2 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0993:6d62 __qwrite_ +0992:6bcc __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1a8a:1a84 ____Argv -1a8a:1a88 ____Argc +19b3:1a84 ____Argv +19b3:1a88 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1a8a:0cf0 __Start_XI -1a8a:0d2c __End_XI -1a8a:0d2c __Start_YI -1a8a:0d44 __End_YI +19b3:0ce4 __Start_XI +19b3:0d20 __End_XI +19b3:0d20 __Start_YI +19b3:0d38 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1a8a:1a8a ___historical_splitparms +19b3:1a8a ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0993:6dc2 _bfree_ +0992:6c2c _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0993:6de7 _bexpand_ +0992:6c51 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0993:6e40 _DoINTR_ +0992:6caa _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0993:71b9* sbrk_ -0993:71c9 __brk_ +0992:7023* sbrk_ +0992:7033 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0993:7231 fsync_ +0992:709b fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0993:7254 __setenvp_ -0993:73e0 __freeenvp_ +0992:70be __setenvp_ +0992:724a __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1a8a:0c34 ___IsDBCS +19b3:0c28 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0993:743e* _ismbblead_ -1a8a:1a90 ___MBCSIsTable +0992:72a8* _ismbblead_ +19b3:1a90 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0993:7498 __mbinit_ -1a8a:0c36 ___MBCodePage +0992:7302 __mbinit_ +19b3:0c2a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0993:7573 _mbdtoupper_ +0992:73dd _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0993:7581 toupper_ +0992:73eb toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1a8a:0c38 __8087cw +19b3:0c2c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1a8a:0c3a ___Save8087 -1a8a:0c3e ___Rest8087 +19b3:0c2e ___Save8087 +19b3:0c32 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0993:7590 __GrabFP87_ +0992:73fa __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0993:75c2* __init_8087_emu -0993:75c8 __x87id +0992:742c* __init_8087_emu +0992:7432 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0993:7625 wctomb_ +0992:748f wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0993:7670+ utoa_ -0993:7711 itoa_ +0992:74da+ utoa_ +0992:757b itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0993:775b strupr_ +0992:75c5 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1a8a:0c42 ___EFG_printf -1a8a:0c46 ___EFG_scanf +19b3:0c36 ___EFG_printf +19b3:0c3a ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0993:77a3 ulltoa_ -0993:78e0* lltoa_ +0992:760d ulltoa_ +0992:774a* lltoa_ Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -0993:7951 _EFG_Format_ +0992:77bb _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -0993:7b23 __cnvs2d_ +0992:798d __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0993:7b42* _dos_close_ -0993:7b50 _dos_commit_ +0992:79ac* _dos_close_ +0992:79ba _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0993:7b5f clearenv_ +0992:79c9 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0993:7c94 __Init_FPE_handler_ -0993:7ccd __Fini_FPE_handler_ -0993:7d04* __FPEHandler +0992:7afe __Init_FPE_handler_ +0992:7b37 __Fini_FPE_handler_ +0992:7b6e* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -0993:7f96* _SetLD64bit_ -0993:7fa1* _SetLD80bit_ -0993:7fac _LDisDouble_ +0992:7e00* _SetLD64bit_ +0992:7e0b* _SetLD80bit_ +0992:7e16 _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -0993:8111 _LDScale10x_ -0993:89e9 __LDcvt_ +0992:7f7b _LDScale10x_ +0992:8853 __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -713,30 +700,30 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -0993:91c6* __init_87_emulator +0992:9030* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -0993:99f7+ __Strtold_ -0993:9c36 strtod_ +0992:9844+ __Strtold_ +0992:9a83 strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1a8a:0cee ___FPE_int +19b3:0ce2 ___FPE_int Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -0993:9de3 __LDClass_ -0993:9e69* _FLClass_ +0992:9c30 __LDClass_ +0992:9cb6* _FLClass_ Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -0993:9e7e __ZBuf2LD +0992:9cca __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -0993:9f46* __set_EDOM_ -0993:9f52 __set_ERANGE_ -0993:9f5e* __set_EINVAL_ +0992:9d92* __set_EDOM_ +0992:9d9e __set_ERANGE_ +0992:9daa* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -1a8a:019a* ___f_infinity -1a8a:019e* ___f_posqnan -1a8a:01a2 ___d_infinity -1a8a:01aa ___d_posqnan -1a8a:01b2* ___ld_infinity -1a8a:01ba* ___ld_posqnan +19b3:019a* ___f_infinity +19b3:019e* ___f_posqnan +19b3:01a2 ___d_infinity +19b3:01aa ___d_posqnan +19b3:01b2* ___ld_infinity +19b3:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -1a8a:01c2 __HugeValue +19b3:01c2 __HugeValue +--------------------+ @@ -754,6 +741,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0002a100 (172288.) -Entry point address: 0993:0318 -Link time: 00:00.00 +Memory size: 00029390 (168848.) +Entry point address: 0992:0316 +Link time: 00:00.19 diff --git a/src/lib/16_head.h b/src/lib/16_head.h index b613dc12..87c70cf6 100755 --- a/src/lib/16_head.h +++ b/src/lib/16_head.h @@ -191,6 +191,11 @@ typedef struct typedef struct { long old_mode; //old video mode before game! +} video_t; + +typedef struct +{ + video_t video; // video settings variable byte *pee; // message for fps handle_t handle; //handles for file logging kurokku_t kurokku; //clock struct diff --git a/src/lib/bakapee.c b/src/lib/bakapee.c index a69a97e8..90e581c0 100755 --- a/src/lib/bakapee.c +++ b/src/lib/bakapee.c @@ -22,20 +22,6 @@ #include "src/lib/bakapee.h" -void pdump(page_t *pee) -{ - int mult=(QUADWH); - int palq=(mult)*TILEWH; - int palcol=0; - int palx, paly; - for(paly=0; palyold_mode; + in.h.al = gv->video.old_mode; int86(0x10, &in, &out); break; - case 1: // init the video + default: // init the video // get old video mode //in.h.ah = 0xf; //int86(0x10, &in, &out); - gv->old_mode = vgaGetMode();//out.h.al; + gv->video.old_mode = vgaGetMode();//out.h.al; // enter mode - modex__320x240_256__Enter(gv); - break; - case 2: // init the video - // get old video mode - in.h.ah = 0xf; - int86(0x10, &in, &out); - gv->old_mode = out.h.al; - // enter mode - modex__192x144_256__Enter(gv); + modexEnter(vq, gv); break; } } @@ -96,52 +87,48 @@ vgaGetMode() /* -========================= Entry Points ==========================- */ void -modex__320x240_256__Enter(global_game_variables_t *gv) +modexEnter(sword vq, global_game_variables_t *gv) { word i; dword far*ptr=(dword far*)VGA; /* used for faster screen clearing */ - - int CRTParmCount = sizeof(ModeX_320x240regs) / sizeof(ModeX_320x240regs[0]); - /* width and height */ - //TODO WWWW - + int CRTParmCount; /* common mode X initiation stuff~ */ modexsetBaseXMode(); - /* send the CRTParms */ - for(i=0; i-!5|co63q}0 zQ<37+@8jXGBnXA_m5ZCZm}(WiNy!0S^#a|x3<8M&r^1Qh`lkp{KsYIusCSiYAbbpj zv00!4U~f+^Uq9*+U~;uhoO~?7WJZM-PwS-B4~nkSm}7$1;`bWBpu!YZqkK>tke$c` zK^vzeP~rv5A))Btc1q3u= zK^_gOAX->!jab4jl={#;VHwdfYu=4kc2qZb+!_1OPI`yDK3oS0ihuQ_`u-f z{Z$|kxqvM37}RgM*Oe@%-K9`DY6%pv{5M#Zh|wKTDym=r87M~tAd3B%fCdprHFIRX z6yl8~N5)=a03l8f=aG;^s2s zd^|{S3ca&i1hg{)1*x4vl%4rib(%tNntFjk@F(cxL*b%9I&)r}1>(_%2g!@zOm&LQ zS4jlP_8T1o5g@l|_gM}mF$EVJZWKbU5`R}GD74Wh#s}xYdn71x9~iRxyf*=5sh8H_ ziw**;nj@c-3TA+!-qtKo{&4^}xV&41`}?YJg#=xSC1+3i)PDlp-eZB8bw4}2d(q!GnDE53hnRMKjAy&mgk3)?2MoiUIVsUEt-V zHqs9LGg+JW;c$(e7*pW|B&YH6MSlN0&%k?qo|!~JkCOK@VrtzIADAF152N30O+puT z97X+Wj$vKuFrEFTUpY4bfY#>eOKYbwM-^$J9XV|YKnT8{)<;`Q5lRCV`9VKO{W)n4 zj@(Rvz|4KxbkVp(Jgw>C&zrAltQjT*f0dss+ZodJ)9{GE~fR!R)$~`t`&ud1Z~4*qHz*R zKd1P3boLA1ZI$q`xIpyJIC;#M3OtVnr)`SoR8@qEk(NO5?67CPKd9&o09mg}JPE(>vr$o-y`pWQex;Pd)uHK z9x=I7+{v!8NIH&7`y}Ex@m2V@__e8IZ`$@=HCMEFN`TcJQhD->Joz1sXG%-ibm>@u zPc9vj6sNo9pyhLD;%UB;CfzCg3dC6$_eszT!y#o!_>J0r{+ig$$~c8xZV}g*ldF=- zUnfrJ5Z4&PyI@Sq7R42ZIeP&>&JansNSH0&om(f?l3b(`HeV|y*~AXb9a-Bq}59xIBK=Po2oXy_VOp;{aSGgQf!)}@PgoX+KwxPg9IdFSDJ!v za<<(7j;n-cxIvRkGED4ke0hj~U``!I%=gA_3#36E?6tC8;JZJ0zDlB!)etbI``GIx zH8rNmC>>DPXUSvZY;%MjDTW2qJqu8(Q|xf2%b_eFz_ zn6ON=$pHYOg;iv7snt>hsm(hRW(aVHe%sn{dyC}MN9SxNc$R`U>x4>}9oUN+``iki zA!)&em1UDD&aLObEOIvm?qLrQ(Pa7ym&tI9(vbIRUdaCYgWaR)z1usS+TCY@Egrjk z$b6Q$!GcD#M`f9Wf1Mb3pUsnj#GxBr1)-kWc_qwHK0;)-y^r7Vh;f8_@0q~1Z*Q^2 z8B%fC)Gn2`f#LY4D=XFjV9r|yF=1)4+9b=}y8+lv+HWSt{*9WrIpPOdDB9)hYUd9c z)6x$TXSKD1IU(G`FTo3!X>^GLcGfO*bf9=Fq^tmHsMB=9xTkk$1~f{M(`f|N-DGRd zcrc}>rHd)ckx1Ej6hyuQHN6GqK!M+eV4_UJTV;!H5&~H1RiX%6f=N-#__5!hq_^>1 zK%#A7S&zGv?`E2F-I4Ds*zNi&K2Nff0BmCaVhxAbv1@weP*Lbx$R+PjEV*o1p%lgK zY_BJuYUG}28{5Pc>`rnU9o0lR^pgW4PnRd?5)(Ojbw{AI?Rhcjl^TAU zzC0%!h}aVMwfq|GaFWA0sVI0*j-<@7RE(qfp{@?EV`2XbOf7i2V}ZIZb&q_6e|Mqt z>3)a9=rsXTBaudV!d49MjyK_Jv$N^fgFO3=avE%BT>;06{@_v<~w!;;FX4&0dnhGyUzP@QF6ee;hF%Aad zPp7lt1{2@ARIOj&HLD9jX4`%(mL`Vbt`pQs+q+9COqhgWGH_d-HfWiy78i3B^6Qn9 z!QU z&Uxz53mjiSdI@vYI&NeCIo+CD(stpyUwlU!`URq61IsZ35Q(^6_&X3s7ck?+td40U z?(Ma|FLw}lY(;LPsIkNovLY&kPGaUyu21OKWCv6a=+s=MU3iJTtFe5E_b&|T(HyP* z-n|$iztBHmByf2RK1260uGg;R!L9LgME>3*FF~>Qz)H3z*G*egd1Dd^5f4GfeKcGs zzBm800>C9d7U6Ow7NNBtLIng#me@M%OW^Q?gse~o`H0XzxwBPPmIt%_`B@k*2vDms zCPf>mx0NAPNz*L(Y@hTHlPKuq8|H!3eE~zkE0^~6oS%nZspIx1AjgaUNvlHEYX6URgqR@>u!pHanS3R zW0vDD3Nj^7+mc0W$@`iDenpSz;r-X@xa+fIvxW9s&cU=r%Ki!J!b{|%=h*nZ!yOng z%Afyk3QEPtUV#Ud4O%G@9yVdJsZc6y!tTYBt4P{TtiM;lYqbu>OenOBgGzEPE53p&rz)ufiW$nDF15IT zlL|NgEw@4}In1xNnfrNtSvxc6WC@CGJw%V%`3J#WwW!ug(k+^nM{}2dXYI?*5hV); zSAzLbzPI;*9vFn%EBR%i2>QxNQH74r3cMmXgU|c4@OFupH`uxv{AFoDXY(rgeQMI? zHwBCA)MU6H>(gm#_<~e@@XDz`EyS;=Q!A06PH&7Q!gQ5lBT!N2VO`>P^N+_zfQ#_+ zJ?!LsqP{_t+SLdXLCLm%Xi%g54KZJpDqeWl|AwVl(8q*0+z#O`YdOR9`E3hWF4H(! zui@pYVkwI@c_6Wu-9Mmc{+4Va^X4HQ6o$3vN=93U4fMb~SV4;VQSHR&M;}b_=6%aa zp{jBI0kw>aA6h z@ccGl2#^anjIhZ=Ld&!ah<)5yr#BE%&E4qpYU}8;BT~uS_z)8L-I>FLIzO@6@<225 zwtVIT21IB&x=3|HX)ZmMO$nN&x9A1J$ z>bcn!$XAHwOx+c+ud#JrbFS-u!NaZHU{X9(a+xM(*%_mHL>6+Ujus4IqB8d{MATj@ zUWGx$Qw_~WNo1}y6h7KagIoHo8IUPaT#d~W0+y+vVkk>j6jaF=_DKYNA04;VN6#6u z;*{w8Ps~PtI%(?KIZ(3^B=zCvpP0s52OpgezRj|0OIbKg_pnCOk!AIpyXLI6WYy`{ zTCBmj8FY#VU9JQCH+rQUJlY-xtlA-4?|?gxkhl?K$DN>q(9-#XY{aC-hzXbTkw5n6 zjt*5shlCaUlJ|!r4>XZJzqn#4#_h@Y=lm#IAt>4hlJfM)AC3%g{iYa<8bR%`$-cuT zJssRJa@Ipc?q<;WzM~~STg0YMEg)bOA{JW;NR$V1=JE|p{;;bW1vWBprbn5 zyqAnsmzA!rhsg^KFaOQ~w3b^zSV4J-;j)Filq^7CCPlc2rCVQ0J<#ig@X zQ-}9{(St;2RwlY3tJE>M#zhBJqeUDmIaB8M{^(iD`Wkhp=;g#+Dz{mLMLY~))ERB^ z8cmb3knYf{Dp6hv>|p^i_?!Iw2-q!hTy&q%fgB%Y5&q{vfoWqMfRp(KOlwozOExUJsByDUNbIRo`jk!X;N3aS z6Z-M6ibE#sN1Gdu#Q&NZ&cQx67LtH{fg$clpmz8KV1F^-P%NLwMJX{JOiCzcC>9~} z4*nC+!Ad0miAO*Cj45jS zG7noXE<`&SO}^gsq&eL2c}^~p^Eh3z%MTLS2B+B4O=_PaYNm8|?FuO!4mn@V_C(bs zi^m*d40gC*4BKMVlIPtna_ea(l@?|Rqulp~Ep5oM84S0DYjYZZ>`2pZZ}Y7rVgAFk zmX70JRrn%1m=y=zk6@;BQ_**{1;-|HX+naAGhA`4szL#IJsb=8;TzBs&iwjA^9s>4`ELv7{qOsZ085gRcu&#pLnF`A`4BA^xP!;*Pm zxi`g~XK4)xh>eO%9NDuo;0@2leG#e@J05rbU7#9xWI%UwzIOj1?X1uUw`%lGk5%r) z8o94fVXtcIo{8sU0^}05( zt;s)&-HxFo#DF&0Q<2N`u{J(rn8e73xsP8@ANI{M54fGU2W6nF1KL64 z21^{sF!Q@O7u^y4?gX97){iy4%9i){kPfMDBblsL*#kN5W^;OO?u) z?36vpAM%bjFGH5Hi4!iy64u)Sp z@NRiQ&xU_(Ik0B+1 zd?QYFQ=8pg@X;>jLPh989WYS1+YYyutI02*=a@12VFjv~;l zCNZWIS#m1mZz$mXcF*&b7i6|D1ap^&^pFkoP#DV!Ek{Qgz$a1sUk{f%HRc~T>-S_l z(v28#7de|>@QD3WK=3Rk_1Hr8p68tsRXuVQ5~GsU^nnRGv2}UAx2PjH`_~1#i?_|k zGO)5gEcxA&XZaeyCth_jvk~uK4EW8foiKNt2M3XA)7e_L4jj!OBT0?ZsWnXW!TDHm z-N6Is34`C^o&KPD{k=KYLrSrC1-d17y^+B&S>F9Uo)mlIarWGW=EI9t!iUGZ=dO}N ztLKWt#dPuBxZfus=3>>roRm;R!##h_S?4TKJ?AePk}=tHJ&J2oZwzJ>5Ty)Md%unG z<>`2`#5v=SwWZ3xcbCg~D$A9!Qf{j;=cLEz?_T;IPM4h%*vsYnLZeG&(_?)1Cti!4 zd+SslZ^-%9S#FmFeEmiNDu>%Nxa7j>=3C;ve&X%uq~y#vXDupR<}1mV_|hP}iT42l z>c{*=JP3aBy|(7~H@yoNr(US)a|c))Dv>47oDxhgD=%}&B>8T4@Oec2w;aFPp2{+A zGP|Spr*WOkuX4MK_E%Zw+4qg@h4XtlaRzkZIK|CRkDdwQWXIiCD>w^xRmhOYRr2(6~IuU0NqMj|&tmZXQnMkdOsem~I!_x<>^1^%75 zj$oI=-M`TNbH|^m`V|X9(M|IE_@GnwfGcVD)O)1Nx{YQlLeo1 z{agWm08PDf(DA~{;((*GTH1AT&SXm#n7E?Utzb~k`XAkNg?<8}LQaUe#x0J~bd|+u zBy#)Q0#NX5_+3T$_|kCXH?8$yVf(peDK=@VZEG^MU>erJ^w((QkGfAt>INrodN!yY zvWxeD+ARx<%|K_r?uNq)!&pp_Uvu-*nIp8(#t}^F>!Kcm+&0jhU;eDgr5B+Up|myB zO|!;nrAe5$Jl8fCGd!{pmc#h|J0_lJEh8Gu5c-9 zAMZ;Fp1y#rSKAYS9t*%I2q~jWKwoYzPx(B^BT8;bieN^_9)JMn)qaCWX6NLTh^fz^ zdX&Y9de5PKpPLHsL&uq(^zE>%f(Yc|@ZN9UIHesM$PdlyVVi~^o2IE_^-*J_ZHQ%O zXh`uxIfO@qwsv%Ls(}i$Q7z!UWW;N*K^MiqR5KoO}nAkv{26mH>Aup^o#nwBKO#h0q zZ8IdKk##sefM4N6U-vB^K}Joftr`MyC_wC;jB;@Z{;o1y+pBIVZ$ORUP-)Va0P%qg zt_%%+(4z<#zJo>7(~iDVy3Jk7yi04plx}UvE7kB%Az@<+|E4JED-JSm>27MY7~N;U zW)I4TN%=6O0K*B5U#oZv_D}Me?>>XTga{~X^t3qCPe-6c+%8x2DmEE#be4Io9P8@4 zlGd_h+X6ah=^ujJJ!%LxQ7h`zFYbn^Klm*;A-AkFb#bgKq%L7pGK=e?PLrBA{%ym+ zvG&}Rpmkb^?_}a@@Bghl@0L8Sx+N+Q{MYj|dgjpm6Lwlovr#wf0~dwJSvdYjw_l%z z+0@<~e-#cV?u;GJror4ij-}sb;l#0$N{*CcRPC`E}Y;-t{Ehs(fkaLJ|Jm0p%QvUbzV!e*+ zDa4-{mS~$pPbp5o@WLk+xwyze66259`TG5UYM`HF)nzjDT}g+_*0Xjsg(by9M1KDx zXYaaWKoQ4!!Y_7R^i^3RPNho;^vDys>_al>Qwg}b+-CoIZiDwl`=X~T&`aK?iMxRA zwRIcwp45^DGr!l&J5s9yq{K?#emrS60YSS52UB5vlChdcu)$L#aurS>6$4gtzNOnN za0{!OS-K+ZQ)dk_gB}b&drXQl^-8irBPH+At&U9Nx}TNmEYeJLG|G#WEV@@8{^A z-y)Lco5V8yI5mX`a`8mk!f$zr<-Y>SRetU-UJFx^W7(s9&y%`GT~C@}+4mlf!t&QL z3T(kBTZNp_BTMH9uD;5fuP_T-Gc}m5m+d?v<4*p~@9JHtY3g~%&qXf9eQmFR)8e12F1%IGxY7Z{p6=}nY*tTk z+Pl3=)S<@pmu=54nswTF7FxHpVJ;oI$Nk}^aomvib|P*BuKT^IEib2Yx64Pt%j2M| zZ$B_N4<(Ohb$&4{Ezl#kFX({073>a>X3P-xuDDi+uuM-(o%BPX%ha0KWC1KH7J_Mk z6u4qb?J^aE`w`x<|6>82shA2AO*)=Vpij^fiUyTaBNh8U@j#uQz>pnC=f`6Be=Z^e zj=&=cCfg8(^Pa6Dnnh-7g0fII|M;EV0&}SGJ;tvvx7>A7UCInN4nK|BqV9Btu#@i5V z8Fuz~2ZAHR$rcPE@+czEKy2;Pj7K6qb(AHyF{#s?4r89~AL z5JD&;EF2#}h-921;G+nq8PR9(X9+Qk*f@MVA%T&24xdCwW)MmE6hbN^?L0o6aDkC= z5uZsQGbma3Yyy>WDF>fRxXj3-;qwV}MnNIIh;W5bd=-CKP4< z_$C6A@va%)LTF{Qwc|Soos6z-d=H_Q(f1zzfzZ$R_zC}+@P#ojh#w*hGro@CM+svL z);NBGz-CNN;im~RjM;DaIl?^S`w#q2!Y{@G2fs)ra2ZR>_!Yu$#_AvZ8sRTv{U4r3 z*kEjK;kOApjNLu_J^|pVB}4B^P2E>e59iCT${?0z*DXpwpR_oANM+KQ5}?I~lg(8( z6jD#IiGrS0dVD1GjH`|P@B0!NRfmESECHf}XNj!DC;MLULZ_qga+}m1iC(A1-M6qc z@@F0;B!%X*I|$L6=SmE~4~!Ht14QVH43omVN3@s>rmt-(gj@U8n~{{x3Kq*TDhaLE z0ka^F9!>rD462M1Xb7x8M)9|FosjETq;^36_feLfTnCrNk9fA;Z34^(lBhc8oZ?0# zTjdliC6j)h_MtRG)Lt3trOQeMY5paPLuZV%I=DmLi10*@T*(y*AJt}>xKBdUP2sN7 ziTedZ2)`z|rSFKhS8n#;a@7~*dll`LsQawB0v`Oxzcw1oz_m)(g-(BgzVB|W0Ieg9?nwL0@>Nq| zyTk?OFZP$MCBUbB%V4u)ut=3%<}=Zss&`XYL73$Qbz^XciC%fOZ>(U%pX0YWpM!oG z`rms2oc-MH4P)GWb)mh~E&8SUJt7$2@j2K!$AY8*+br$Lb^K!1kt`*_{GWa7pq*x(CmYR>la>-{dy z_w6$c%GRPY;@%%QIskTMYvCJ535e1csIG^)4$q$~xu5eauk-p3wbNjUx5w2@!C=Jo z9F5!HJJ%I8oykFvbd2ptuX7RVQ(;fr$^oLYsIxxRASrg+$~-l?$s_mZXH?h5qEuk7 zSOGE5cb(IPiTro2N%lkW51PEf+75Nm06gsIp2Jk%kHi#$#g=x-+XPO8N3N!w^rxX+8M zv+=xJWYCMq9KLOtJdvWbQI-FqLKt9|`mnd)bL?tLe z^%xB7R$l;>AL-pWz@3unvHJRh|B=8&G^`nR3bv=&5B0o&QRg|HfenO6%raW{NeJ~k zr{A*wC2l9nzo?c)(p6DP zDe>})*LKm{8cN+yh4#1S!R=g@PTwW(*iJ25IrA-YxNcIK(M%v)HnaXSo%_)v8>cQo zYf7ugG)3BA+l#yEt~Y7{Mr~`aHUKWkPGcMdcFqlv&p^rLK122c|yL|m8(pd$$ql#{^SY|g&d-v_oWW=J05?R&Hs~dppz*FK}%dFSVTxbDcXOqPr`PIsOOr- zo!c0zk~cn8f%MfvKP_DBf$7Qs-Jcapau?+@A4Ns6O?+(r_v(psjJo}Oak8b}MGLx~ zuwY#8S+eVv*=Vw6%7*XeHpDkgW)5ahIOoXoan+0LF8&A_F<;J(O_I!U{?Y#gQ z=eY}a90~<~6%#enn$=cBPE3vaL?+#MDxBJ`M|6#RZ$3dvu#-^eX^&6(vFrphtg|+a zUDm9~I!(cA|2c;9T%(+r zc7ynvUB&IUQa^GI?_Q{W&3oJBbO4Us+lO%u?s~)WzlG|MlquwTSsyw2H&>K4CJ=(v z5qTrlFCiuSHgf_B76El)N>C;Xo+{@TMNaSqcPSqCMTmEW1VM{d$T?(-iIE*agkA;j z;ZM3^4fRLHf7ipyUPbQ6=>wL3kYt;}lHn1a_Ow`O+s5*^FPcxkz_A^CA$sQy&}n|7 zTCis!SK{lc;H|eP>q4NUtT$?tb7lwR$oiUsQeHE^QgWnM&`HWMtZlvW3QCd_ECCfe zBr=TE#eU0ok`cZ^=D&76O%LMfO)3uC>_MVLdwpL~1@9pqu^Fp~%k4oc2n*+>&jvCk z5sRiVR;Peu9V?GyZ7b*P5p||=My~{Bbxm%R ztGS8DxVX=8msK`naqW)gOMTV9QRECsKjCR>*``WqlY7cPtq$C$vz9On8I>SN}us((NG058!~*a-2&{KB!9U*x6ZG?K5%1@dK3j%WEK1AOVdG zTQ&Y!3n#D0wq(!Mt5?OtlAr6M&0Y90pb zVO_=DD~r~_UbN%WpZ(W%DR^e)K%%z4ibjSZNl8Ut)`lcL4qu88YW(B8d6lEdCY*$v z8-=zKl7p?HlDGD7Ec&VTHeA#H5DGyY^0m6vY48yfOy6R}Y+K#V{A3+i6?2Sl62UP^P+JFczWxMPA(CqJhO4C$*ShZFMQ#xPPnWhdVC@8RHw*b8LOluMA8YJ34NcA=3MiyQ42iM4IzggJ|3Rt zQhynwB~+LTKKLYQ`Gj#*0T_Bp$w+uj-^X|d#nSrh`ylp zUOrv$8AkYIk=0T>Io6}wDfkVmcXF@#)%Ni{j^xgW6jQ_M^xbj+e@sdW(>Adc-o!ud zEAASYxy}U?`nZw(vvvsgdQ-B0@(S9@+p@lSoV`sF(M!NblGFuvM0&xX|NXNg#b-I> z9A@Nqi^U>BCummi$4$2>kkH}Xlxyo&uEe5bABQ;o+@a#_yO!zumi%C5W)54j>e7D5 zaem7*&iVbLsWfd=LXKgw-l43z=RXhOe!em(x6p#4UC#;ck;wU#a^!3~jpczHNMK-R z$mmZ44*=H`Tt`f0SH9 z+?rcB0(<921a{m!yG5qJaXX&EqwmKL<&?_4QpmaXbT+@ehD?o#QOvRn^ti(|^HvGY z#~-@oN@CCnSRsR`nt2kpWq4-t^QL7HMPoi=aSgbC^R%Ondpl%`%fy;SBe zaAj-cTGNr>kB7jwrqHezmyCXR9e_CG9juFWW>#TfkINdvF$; zxaIrhaGke?2W-@)*J9S7?d2Qzm|R(fif3wB6+CXUjBNgu6U(<)#jb_|u9J^ry#cKC z0wSQjTYc1`w6XScrKEnQodJOB7_#?=FlWqr+meL%^t)jmA@|FsD<3&mWhU<*@&7muZ_>#uoC8 zusrfA_kCxuR4@!`9|1=1`R8?3x8&(S6?`gBmnHmNJ^>6_7=;oEO4YD({Yezg-bdFz`28zZPAqNkKDez*~-lF zZys>rX=~a*KtIk7`AoZWQ+hizq#yK&{I%-b8=#_70>NyfBac_>~8HA*2 zdN8$zeM)+6Z3b!^EcO))v-(*lskI#gl04|)xI)OT(U8f8sR``D2bNP{VgAa)B#{50 zT!F#B71*Uw^Hg|!M7GU98yT9sS}-BhyF!-EB!h9cf8!cHnmmzZQk0wC%jxa3T~2J$%8UFK)983Dhy_ySAu;1Qd}pp4vj>U!vh_>RX%#^?0WzU zJ~hPwi6Y9MWFG-RE|mGBu0XVvB923U1amaJ?B#wk;e3zFs~nI|+v@^@aS*FZK<}{l z=r4>Id-eOvK)3Svx~DYE+G?9q!4t;v{)=k|^ zu~lWdPT-dSs%9v;fGAEMX4BgZjo!UC(#`AJHR67z%eM8#ix>gxVsWmU1!B$Oi}5Vm z&r#s?I=%|Y2_$&hzZetP%`DMj~-ho}cipr5BU~D16da(y+C9@XgcXGeF$u{xizQp`} zdsvvgxmNBb+4o3cm;Vn zA4X5{w7%Uoprh~ze4Zx!N>R6Ky#I;e#S?Rlp{S?S zUvo)qvU6P;UQ0CYyW776vOh2i5$rF|4XtVY(?&K(?j4=WRvy;;r!(k$4yua3$3(P} z$L45Thqc=^-auZU8RB0wf@)8o-ii0{iVumm3d#H(FJkG1@c{|ddZ}tSZVBRz5kK8h z@&NC3z+vGe?sZ+WV`Koa&=X8sfPLPW>m&Q2Q1C5M zm_Od7VULg?{UcaWy`gUuzs8i5#uXaHaPZ244fHt6nG7a^21rYH()!7X*dU~ zM8zrg)oS-S_?WR@Q@$)_9*oABjC`4Pw$0kNs6JESl&5VLxJS3afZ5uwUx&&%bws%E zgP5uXL%^NL9x*R`ST|(EB*&+{JuahPFPfzeTWyhiaDCg{luX{VbeXW|eqQP%QX!Bj zo{X3|RC)|@#&kANbG41yf$!aug>llLQ=Fm)`O|t@z2Hto|9JZHgFKL9EpG0?&djTx zCNsRn?~h;J_rGEv5j$p$E&Nh@U(4>Tn8%E!Rw<6{Aa=;Qt3Zo>|KwXS-x(>bR2ZTAw~o(zOg-c^F!XkosEeR zDc_2#<&7JWn^YHhj-@3cB}LJEf+)dtNHm{7qS%?E&s#Vz!JJwaq>3w*X6AtU9kOdG z)^Hv?v*Z0if^drAjv}MzK8PJl-*}QD+A%kvOJrQ$jo|-4stYYXTnR_Vt8}-R3R=RO zlF8<=EF}xJCk~ZhrWAvGZ3nqpgCapcu3%V1iQp*Ap_3j2jAvI#Qs^*Kii=#9(O!i#`w@e?Askp55EK@ zb$|2$i42_y2OZbnCtkX}7L2a((7F3snf&B?!S}a3?U%|yv2VY}xR z%iV;<7Ph&pBP_yR0B2ro9P%|Q_4l`}D+}hTp4F)f0jVUlLP5$o8co5mQClhk=6B(< zn_1b6+zI4gHLbbAsEkD8BbU{ezj1#XLK7W!g|lw%j#0kt7b1^$NCV|ISUsB()wKU=_vZ_z>dG0-?Hsa<_yxsR)-L;PuS@*|*I)ljXO#X(g|}*VefPFm zZUTX}QX@d zt10qu=MoX7I3a&s{&BRqRK-jjfk-68*_^3ITDY3vQu{!G!Ux~rK>C^o$W!TBmZ!qB zkY{i#LvOJ@LouF`5)K5m4G&Z^I5>VP_|O|U6VVQhW2=z~v8C>M3X#z_wV;OvcOS6vXwado1eO*1Qxv-8?jXKczS3!+L$^tG zAl<#0W0Gjk>gY#WjXu@nx6^iYf4unyr)7uBpA??}SMdipRbmB?ls{h#Lz>9@6`{uy zK+-y4XJoE#Mwa;x%)6x3S*@^LRy-dZW{3Qi<<&nknBT?Q5<7RntA29MyudQO3%)Cc z_q5T!jP*_mO<6xH&VO$2?(x#lc!Kb`7ahCzXx1o?L&wzYr<&A}C7f?o{oF~AvTFJY zKn>Wtdi2Y@ir~7K-!q~Lq_u`!h)`1(^tX(oAoys}uFoLFP83&&-?8!C4LW_pgHb5@@fzT9jjgV&_aPBem6*t|E}7ZZ|Z=q z@FhSar>cZAS9Zu%n+`&t{Knkn=bI9Vv<;tD@q&TEl)18^gsYmqBQo)^v;p~687~ohgdQ}o zsm`njFoWSt9D^P@4v9ZpHw!s zd_FT2^PW6t@-gfXQcuohz5A1hQnv4_fi4X_1sJGv0Lx$dBy52Hlf;KG8_XiO+yDE- z(x+e1M-O$w+sqL=Z;%7e_Cxt@8%TjZ2Yy2KWJ|rXk6tPBwz~P)t^RBgEN+VSCw>*S z>?!S}VzPkFVa@=sBua)6xzfD z|9VyHloyn1>Lnl`FPz^R#V6R*J^^j6SUT`s@WxgRT|BAH|3Kg5d(oBDt~}OCvVt6d zB5qX~HBGfaqgJ{N-}BKI$I1$fWkE94gR_%=H$y{k?^7{51i^=CTTc;}M*A;pGEsn8 z8M&eph!tP+3EQvpBOf#Vf;774i9c~xeQK=vfo*zTR~01c+yi#^<5{;Dc@Nvzh1(BK zC(D5SLq4vFCcjll?xZ6Fa}t2t)sW#i*^c4Mn_pNi2#nAo6AM24)@=C^#6@P%LYy}Z z+nA$E#6Sn^DpJ&nF$6YP+aSyh^T%z1T#1o)D`hspzn50No5@WXJ<4ebJoob{^w(^@ z!(2{GJ^g>s5Lirx=w0kj{Y{>WCOSyA6B?M@6DKHCamdfDN4pg* zE(7^2K8dWomHf_%!b@8=Da?dGdfTMZoUT{`hKh0`F7opx&LJ^)crK(Gq4KNm%xqsD(C4w_y^_O0(C0i zuS;2iRgQj}27#zD6Wz`R)Y&=~*>qA}Eo&~thY}M>JQ$a$lK&SO3`PN8ONrBy(VQ|; zV8kpFYU92V8>#Nqnw@LtOp4)+W&we0Dh`{VHLVWqGVKaD!9Hl0wfq7l^S@8Zq%vwf z9VQM5k^Ao-ZS)Og~m%jQmbs+TQ}!9r-4$$0kURv;JxF7Ky1nc zs=MLduqMbZlJu~|>lwy(5*xWjFG)g(&M6&S#J=Z!K}=eGPh=d8e6aNW{L1oz@TIv( zI}v!tV&uVDIn0e;e;+=Woi<#Lw{ic8jbu({Wk+_b*mX`vx~(M5%6i)@_cHZyIN0R{FI25WQ2e&YvDtzN;w&$p%qmTxdTW9MvMTEoClFBS z^#cgEA{V)*hz9gh-N!0J`0H8MIPvo6g8}xh(E|wnn7No7aKJ_Wda;u6z%$tHm-?4) z+)&+tjiSYM_1eGk1!@0-7i z{8W6G-m%44w*OAPOYaN4>+@avZua+gS?|a0NY-DwLssc}>Fzc24e7d?0_I%2v~9kq zRs2=`sY94U+wHZi8>kl!QZt8%3k&>rKA-bt6C`_b{w@eyIv-Ehc1?F&1qN=bSfGjP zi@MG$6)>WO{p%JtFn(*c^|nUvWx1B?sEdj)sn(2#w~JK@e7%o!g8%1;uq{1i@Y;so zQC} zx(w4Sc4}#*w4F?1Wgb}TH*A%lvTFL?w0Dh$@Hr_R|!Nk zGBmzVFU6s%^HE}Ju6gx*)@7)Id!G*V@nw=lty>&+e+d?s^*vcG)eLZ(EX5xVxVv4u zP$6lU02>6jP|(MZYquZ+V5Q9<6*Hsi47ub%tqtG6j@c5$Xl-Sx0M=jmCHH>+#T#k| zj4nIsyDwY@Q2@BXo|^+}?$;xT9bD-dRttXaZhLH8eC?OK2)O>z6TUq9nqD^unDz%C zF1QUne?7XEKlGE(1zQ>r#C0kj1^|7RKA!7s?IYLzaZf})2T04;4*T4BR%+gDcTGB)T1e>FXV=-(+Rm+QR=seHSTQx(peO^;AQ zxIhLgiq~%aLWEk=E|J11(j~DekQ9{d7;V{SkjuaxOi%-#Jol6dzlOAqH&q4E?AR9( z0`VkN%e;(RByEKbO`z5+u5_XTwIBvQLc0P3U#{X9cQJuKfT;nAw&wsG!01|luCQ$K zf7%5n&y{jvizT84D`ixT;_#R=2-ZL}_H)a-6@xm35{>=G_w$9)*jTLs9*X;d?stR3 z0~t5!KW3Z~fnwnHvHyaUF(qwA;1$+;%P~zu1S|h93&w-P0X7d6xhzt7iZyb#x63qG zmSzkBeRGrYHrh-D6>h(N;M_UYlL*P~e~JZZ5lxi>h5sH=3l9xt`KjEt0|WU0-J3Z^ zuFCFE8 zbai2Z90gEx|0`Op2-g3fU}h57+3qnqn*LaY1~szQgujmBj>0Si2fD}uMD*!O{Wdt# zyBL%xeph-FHWD`~TaN0cglKNTf6`{F2B{LW(NfJAq|>-jvF1~gvI=Z$EuL_8a)A@u zWd!iTb6^t?<%f}w@(IZ4k{$3+>`Zr`yZpsor}B}rArfc`+E5l4&kaZq3=a|w@RIzD zKP-TG`;Y+dU|I+Y0FH}IO)Qq>9ImIM5n3f0Hg^#(d!P(d*VFn>h> zJAU4GClnw~hJBOfy7QR{0Fyd}|4r#f>(|I?2nEc7z?<@u*-9S=F|(keSBB4%|4J2q zoNo}=_llFlqEIHF#wiJXV&z!|{}@n=b{BPz5{1V4iMv)$(R^;#`Uoe**nJ`^TuG4S z00R=|7Arp+eR;(eq}T{^H`_5b4kRTNwnH@g3c617hAL%C%_cE0 zJ~a^3$^o?M_eG9wRreO`sx_rtg#eg;i*%?EDoF+38a0$pF#GKx^IXv!5?hOqbQ~0b z%?2GF-xZ5BNd~tJjVZTA==q(Gz&%t_FgRFJ{e$gb4hA-Y5)IU#@u$J4>YR6V_II=% z&s|gjb_DbSif8y;U@_7`N7y?8IkPesF2V;p+5rXt=u-MnPdZu%TSJWu!B&EQAgQSy z?TwHGTA1(=>KeerFkXBHUA4_0f-*R+2wT22xA+zC5M4XSG$aXK*p+Dr5nC2{JAaKf zJHj==_rrFxcY_B5{DV*7{}?0?u#1kBU&x;=u?SxS$(vlMjwhQ6Y{zk#j&M0KL((>x zkRA{K5Gk4VIrhaD`{3D(3mFi9mL`bU|BOf`cFX`RAtp8-;-!q7a3UrXBjS^ckVCG% z@aoOUF9{*tb20QGGGRk%9RDfWIP4{o{LyCY(N^vgo?BiHPLA%QW-p0O*wGCG$_xQ? zqX!@`t{xk(P#oJA0|_&RFr!ED9CwWkYJ)+oqXXIa10=aPxD50Ir_cI-nEmNm{!87` z8HX2i21)a4*FB?)oV`wPc_nKNSG0-&%+3Ztip9~iC+=Bc;B||UKmgSG_oBA(u^@*V@1PjgBKvI_tF zJG%kSFKcQHv(UbO4syp!L|^gp9frZgi@q;Lw&ef(j)vy{D&!NoYKx8o%|>R#@ZU3u z1x80ns&%!xAB}e0R*Pbz1JoQS=s&(+w*!XW`vOHNNwA88s4%T&@bGjti`tA%_IFLb z2^MYm74OmRP|j=%>>VBb6>d?||J_ALJGxeKv93x{Y~@0K9r6nO>&W~WexcX_seVhD zXnjtZ6ib@u>`9ObaMFE@Jnq*81_YzL;FyKsQHYKX=n|uHJ%~)|o^&}7X_-X^?pfd= z$N`8_<%bq+>~;T^dg*Qdj-Lx}>NMz+?jQ=^oOWxm1G$T87RiMw0V_8RwpQ{w!{UNc z@I!@C#na}0kH7Q*)>YVujL~k1@WI1^0kl&N1>>dr4+XkC2;_-} z>&lCv2!y%ra-aq$M!*w$h8z{agMwihSn!Pbbn9Jm6ezFtKDLo>jmhEI3) z*p9*yI_Qz!7mIfGgxHlT0^O#;imJgto5UsxfkOlZkBzl~QUD;F;C1-~rjZHG+yDT^ zm=q|!TYxHO)&63r&2UL%`=+}h?Sr71u2M7;fj33cQd*~B^u0)Fhe1W0H2vAy!2wPQZ2xS5g zE8YTj=w1uT1NCriI{%Ytfg;uu5O~H1(3nRxc58)@78eK<7y;~4g%*w$J^!064q*^F zfCPtx0c8LT000^6Pat$}1tigk7#tM8@p5%uV{~;^$@X&qMi;aeK)q-!aBr;zIPG(F za0s??2X5y?89-zNzdSH8GBY&)H8wXmIbmXB07BWfS7~bPYiw;H?Qn5&NEX-qcX)Yv z0FwZ0$OHgO4+o$R!VV1at(}uEQ9XYatz!TQmwgKc1O>DJ0(bWZBnJBk0sl`>7Isfi zR1P5E8>9g^hY;ECAO|-E&v#Hln>iGX>`VXvD((smsXSDF>{2QL?_&QJE_5bg7a$4k zJ3Fpl@h^T@B-Z{;0{;zEYz#J30soW%vlK26F9CNI;86kpB>@szAqy`^{{epyiw|pR zDkV7!45{zXS>#TLHXxQnwZZ{u~xT z3sx&B-=Gg7CLsS68X6y48K$A_M{Zt{Mai1_0Z30RR91000DlletoH0pgP@ FQ$uY8NiP5Z delta 19617 zcmW)n`#aN*`^R_Q%*=7l=d+xX^Z85%Ipi>+&?F48A!K8b8PaK;-;tCKM9FD6A4`Qq zm{WAv45`E%U*FI5y??l``yaTk>wY|+&-?Xb@X8}F z|G$m|9e_8T*JJyrvfR!{MOfad;=RxPA9;#f||rPD~{E=am;#SS%Kygp_O% z1hHOF{RjNLgH(i|PyvSYA-TUWxXkHX&_Q2N{zVYzJ8&wT6mEEm5Cw#j<46XVDMq3$ zAgmpS0f7B{d;N8xD?j2& zb>TN~1zL{Vv`u(sd7L5VT3g&kbAo_q?%{aRnB(Uw6B^XlREYFVQNSUtq$q0rMA%+1 zJ|y(W0H^~56jCG~f(ER+lh@^SyA{V8tc%Bipp9{B#26@b8C5uhOpqr*l=cR&!Hr@N zR^MnDq{vSMh>TS}nnFm50^$-$?^Kf z&JY2Da`L%k5`hR9D0?R)kn>vyQUgxrp$+WP+ZuXME(W znz5yY#h(6tBcuHW`({DPUjB#Khn3}%iMsLi?(qgic|?!MR>&!kSHc)ZWI2KCT(2xm zoDMt(YC9JX5<+jM?i6>hfDj>CmoRl-k2(mo`n+w70wd?j<4<`w&EH++;m|h(Ft{GCaF@|2R;0@PkU>Y;hmZmJKR60_0R&!CO<}}0Z0)5)<7afuN z+Y5j?{(ZQw{pr)?qjg56%bE{9Nm7>cHAlRFK^tgNP_d%kZ;SW@NIJQb2m3+)kC4Z;z`DDy4m09S;W7#GgFM72Q$Ax%9`lv&Rdk+CcWG?ML>6O zfBWC3G`qZ6fo+% zFyqh8^9w*bd7JT(qWjKsvd%$bMe!M=33qW_Fvv&cF0tQdnCcZ5K=k+r7Fp-%n#bIKN zTWHxKWqp+&EP-{#v-*H7wazcZdh`#r0`}>BJbf8X=i>xxN>2K|e#-L4(qBtSdG;kO zCL#8}I8Ir*iz>WvV^s^SM$u&B{)mOZ`0+|c-+n2ogIO5zo1H%@q4GQHYo*g$y>x4# zgmtm_?xoP?tan4vWjWb$C?2usAk>P;ks1Lcivsw*Knf-ff;Ly>1nnj3>)q+u;7@F@ zX%jQ$U9@5IHxr6S=O=&y>?lZSWC7YSGEhcw{fLyO=k_fhy3YlwK(;@CR6cwe3Q~6> zcGy1w4eeVjav;cFzZQ?QY69Jc@>S%j6bCx$5U_{BU^ zZk~IpATEy3sjG#rcEiS(FXHS+xWU^%?kBRSr~(_u$de$pCd;dJEJTZ2g@2O;_C&A8 z7Rox;r2ODroz~$-@t2Vlx+G$ms{y=>YY#N445}Ci(cws^(4|DXIN!49?hq~#U`wqu zOWnxgdH`H^$!p<fT7Xl@;uDCTWU{5QiUHI&Kp#kdedlB`ftI4r3|=j$LRkp$w!7(6ZJ;GL81QVIUh4 z88w07Q-~Tm(AX2|(qz5|FWg2KXYf*RYud&YDrmEnaD#{B)mx(YA*}M;Clj8u@&w1- zmYAa1Ws+Sk0FbO~B9qH(BF4$>e!DPZfcI&}-htOwY^hl_Z&$Z&Eq6nhSjxH}vaPkp zduqHy=46g%+hytUxCt;T3}5=L@;)w_L2(P1>cXm2_B1jbv7H70%e5sC3zlZ4%d_4IHW#@_pJibkHBRA@5$}X4LvEw1 zT?2IMlt2hEZF5`xsA{jIF`t2OH0dL7eiK8fY)m7jO*yZXA0UwG71~$2OzK%=k+%dm&p!mcq$b}TOEAs6* zY+@X9$bI z)DmIQkg#+H+-PR4{D{5g~}- zLeq5fIt-z%a0W~+VE)*_8UCB54JTg18*K3;LCJ&G&p~&?!S({LubQu`uS>EN?}Nnr z&$wAp8*a1#g+QPtq32?>h`CM}Z50qATT|81Uf_6QXg0Vj@HIL93qF;bXpWqpwUqZM+d zGAD3ZcY{a~%(x|;^n#G68mDXdFDAiVtQlMgN@R;G&}B!$cM3D)Sr`u?mbmCY4>P|V zOW6_uH&u}?xz2xU2^175kcBvvu|B(2awfvT%OUYXzgRew)KD?I`JOhWrC5zIAasRSyGEJo=5%zrF3}hFAha~jbK3`pMEX-a zu@X|$Fnr;_Q$*iM!hb}a&J;;InjG{Ely-0uUJ-QJn!X(r;XC|7yVK;qBuW>~%TQ+M zi1~Tq)y2-3!wBIz(z0~+R%{1Jruh6kqBqt0QU53zyAo|pG&vl9pZBN2^vRABOun=2 z^{Wk`SO~?3rGWwmwpoDSmPWMYPoQ$qJ9PSx<#vz5T0BP2N8*2=nlOkHHM zTx(Vsbk+Mxw&3V_$j1ETSp;lj0ou;o@zW7hh!PFU zb(qWrR*^|Leo+TDX>N0fn=*&i73Tmcr4TEMpQMCE!k9I5M(W~iCHPNOl~heYNwwQ2 z;x+u(6UBdt%WVeMtj{;>_wtwfv$xUP$&yrihyjJxh2X7P$>}7C6%WtMpn1Qt_h#fs zQbqbJxKTk=!Y!Z|_7%eG8%*RBAE;JxVp!lqL@rI^^MMbXS4;WBZTz^nm*0fdaoN-tT#c zz<_N}7uTbW;u*AVm{>`n+&ei9dhTD#l~w7I`;38cm9@ft7DVILJa4(g)mL!MzHmHZ z2JFikSuT-=mbC+4`h*5v4Jlovyo!~*ufYU?tuXFrGut5yjOcwlM`7Q0l?b$XTUOgynE&ijVzoTCYg;Ux|Sube-*fz!hVw zmY9UEu>)%ZrvUv?O6wj zSXd6F2w5_APQWbxl1qh={%vS2-q{uexQs0X}9j)zLoC9KD~)N2p2AZO^Iu@F>4)?P%@ zYr_&46o)j9sBi!{wQzE9|o%6UbER{U1E+&HcB}Y22C`cSymW&SltwN*NjD{GCk8@nm(O z7dp%1!}I8!6mNz+_^&~9C3tDy?>b24QJf8rH}8ioDC~cUm`Wav<8cLh z4|Q(SI>pHCBC~_}Tm_(6>SNF|>kSEB@4t7hnu>YH{VzfuB~)-_0ht>{5*`sYG`k$) zZStE(U+DbsfP%MY;D`)lZ6b8+}PYSOT;SfHw8K7AtFU z*0k)S)QV`bWNKjwS;8Z~a)BA0Hjv1$(4^!D$2>n9AYxKBM2mfVwo~(D*tyH>%$Lf< z^wqZ1k)Mp?Vk2}L{phe&<1gJ!i)!kN`-PITvwl_W#l%|Ioz;YzU)-Vb1jWXONS?Y( zlLUU#Y4dF5M6dmqO{4S`M`|z>MFq)wFdYgpub;}_=kKGsPw@KjH)&r07eh;!4xfzE z*Ugf4)97=7y@u6&PoM4_U$59h zAtFrYr4%kCciLO2%5_X?_fh@<4J ztKS}4-~N7|OF`@fSkguVesX3J2!ImOoV9XK&HQrw~bl|ss zk`gPx)3$z*<3gLV+Tr^+UB4YnDZVa`s7u^w1BroC>>1C@9(fb%^v8D`sGmFab1jaF zYfej*IK@(oc!S0-+hYS4%sqGwlXNqyF^jQn-dp2#y}P`$)mRmPaE@a8#*d&KhU&Si*)1zZ3^gyX4?T{a9@oX_1o(H1 zm;blhI?Wr`88XHk!AT|@bTC>U8<`uN6qXn}a&GiZiw1Z||HQ&;`W}5ZMwnLB; zCicAiX9rW!T0PrI;u8!d@lsa^tfTB{UT^oh@{RfIpZ(owoP?h+UWd9zuCep6(nDun z9a76M6QLM=qiPMRR~n@)@$GZj>J(MA-&| zD00@y7eL9z2d@Y}?hM#TC5B&HJ5;|T>AR*(#(8?!aW=n~^l9)Gkf5}pfHlc5e_wT) zz*KnGF_vK1`oeDtYTp`BlqRxfZ~=l1Th;=v8?Qh~j-p64wxw30s=0yNwxGQLSzZ~r z%R)Jj>g0madv!O14abt5pDq>3d^%$BsqVaTa2w91`nJw&GH5?JP4q*L+(X;rjA}j~ z4Tkq9nEC8|6%hK@_sDfjX|J)D3Vy6s5&d%7?UPDd2%Az#B}0>^`X(E*XTo>r4ZAwJ z_@{5MuRx5%l?c&<1}~kGb#)thr{MnQm(usBAC;+5dmF>9m= z$SXLCqxRe^T(^V=h0z$D<1mm%7VkAryGu~eIkRbO<@o&S@4=e2znlQ=lJst_5@4+1 zn*Sv_@|4uy6Tox7-OsVUkjA1gtR|@!=^fJN{iQVK1X{+Z0ge(xY`c3NL;tfFka-X> z9pNPwP*C~dLCp`$ml*6}GACzOru4xf0}v$%Yn}a$^$@nXb8(@#GcD90Bh}%S<(J`1 zk(%$;tzO9jjbKx+?2Xd$3ib?;y&n$ zp{tA@{p!NygBE4xEe~Mg#xXt3JIFhs*?wUI2Yeu=SoZvfj9;EM-o>6h^Y1Qi*HY$7 zBm`pc_mz)9(kv^0{P`|nT<6^`u5Y&XZG%A_DVx}NNu>jSr2SH`K%F?EHs{W(fc0R# zVq6b*LRq)3vPVPSNj3jRSxUz(Lzc`J25$cOE6*Xh`BS@Q0`yFV6hk~9bZ=7P{8^Vf zmBuODua&Ye0Q>bJ0FNKh;=yX;C@r|oz|}JP$@q9@5H2O6 zHCCM`+uty&mA~$9`29hE0ve(VOxk(-wz-t+imWfN9l!RN&LZOK5odJ;!2O%EpSQv_ zFZR54(|EnSp`g*`{kwaMc7NdEZ0Z(O7#ibpT<>iR+|DXAzRUwN?V@@|it<7g8^ttFOng;(-i+ntEj>frS& z2P;eDNGcH(8q31vzdl|&B07(d-e8kAH=(JCg)`zT0j-lw22rVmByh5n&s?MWumj5< z>L6MtF)KW`B(`Nmw+ z%!MhD_Kk~=^R_5qagJr}_e71tgdzv2`YCtU> zo%Z>(7od0?{^`iF4`VB5(^c$V zaQ`gAJ74!jw2&;raq^Sfo=X7e61fy8`AiBrIg+M3?O5ddf{K#~q+djez6WG{KER%J zkcEH$wxJBQ(v335=c_8BAl^ot#g4epBalO>Q;Q6@EzghMqpDFsy9;HLc0>eJin>Dr z57dDV(sGStn2BiBVeHw@J0&M4(yvZEr2QkSqPU6~Q4KJ`x~laTc^Z|(znxU#oYT2 zE1XlfDUD;g0hme8pJS_5DL>APQofOZNtc@-)6-PkKp;jN?hr2iP~Q1D&@m_pqV0lq;cc0 zv;Nu0<7=bzu)9+)B(|?T>5;ms?y~s>^Vi4e^lZ^Rafe|Yizp4pfWyhcCS^O#5EanR{?vkosz z!WBEq-ip-!5WjZ+Sazlc=Sx?>A?wXD7>78A{p+bPDQE4I2XmIE$;uQO2HOnQxT(_; zhY{%@X04z_t88mP7IOPz(5Ivk)m*8kHYpEWx;jmN*Dn@<=wI5b({wh{7%u2M!lzUT z`&`v1+rfh$xZURg(*r*>Uga2vI#Ak}a+T#&k?@-IGk>X0=8wBP-dODG*(s<0MMS6e zik+9TS8P}8$FFI+srB9FkwRHw$UUgHVQG-^`AS=i2*BQTs+&H>DTGGM7N_S!b_>$Il%BZx(HeAyhNe{}ku-wM_ z*?b)ybR4ui_Uf6^!vWtmO9Op zqqla(NqR)9fi1fQi{}oe(za9WSd{01Zr=9`TC>KlCW%D2@3*PueAJ1qZhuAJ4)6VK z0RzJe(1|TdcGsYBp_D-fXH5^}rwAceS!^NhUUCy{uLB6bYj4JZRIJHUZ32VIV1zOfs)|*mA}LA(A3$9nzzGmY*ZcYsIBWs} zCm@(e6kdRUW(s2PLIf;RSOhOh5Mzo<;Bgd!BvVQnFGG-J%E{vu2#QQ4WxNVO^@f^y zS2wq~b>DT!2Q9XsHiV$V+^37zBj_^?4Dm(;W9EJn`~iX~)65)iL9k?6S>tU8woE&F zyaU0J>Ew)eA-FQ#-0>a+Pv*fx_``%FOs}JOZ-Nig*AIV;;Li*Q#0L@ZOiFMF{x~6& z8Fm7Hk`T^}h{T^F5SUS?@zI1c%$Qhw9D&G;PrxS<&N7pd@gxG7nUac6Bb;NVXW%ml z=b2d)Je82m%%R~g5OSG$7xDQ7IaG8rd3Vw;O%v||}UnTrz{`revBm85o zZ{YcaP3G1%euuEj+yhQ%Bt!2JrSFX?Y6?g{%S4vt99oo&fgWo;ocfGW!@^-3D_Ra+ zS45s7vq?g71_DU*v00McFBwU8=7Hk~>wf^rNmdU0Aqs=Ds>6)3ZSOMFq5Z-G)rg^%4Xud?S$q7Nd zMDX~&2m0S9KZ1yCOvqDeV$_>fmp%DTS|{|$dq)-{87@P_`-I$S<^z^PNqPs)x{b1> z7T|9R`ld#7-5p2AJZPu$i!4s+>R(RG&)aRiA0^;R_jtD;KTkATfRkQ}TwOgqX!Eu$81w?^eA`qeOhAw( z;zi08&mT0hos-lHm@B^vhEQregdcZ{|0uq*3fjeTG)qk_%v^^m1C9tq(0|{2-38M8 zse2bk1U?4&DPOrWI-XJHqW>KB?yhO*7so)^rjmPeiVx&ZP8@uYvupk2e=>iv|WhX=w_7e@H8+w&k7}1lDCjzh1OWD`?4%UMP)AIHo{rP*` zZ}-nMs=A98Bv9US^#GBTP0m-Y3J_(8scnF|k1QOkx+jOI$-nwj<1`re>ZqnU7%Xx1 zqSh^Nd9cw?@=UR;VP}JMLvX69F+|`g~l$5+`W0{(8aA4B5%cr+)=il4+ zl@G$0wP4eXGL_W4v`=eQ+~KIBtK#ph7K$|3fAlNB6kmEMYLVB_9iL7^LMrOyOuN7h zpY}pbrAvK6OwTt&GakmbaYo*jWIR-wWMIX%sWt{=${8h%Iq~MpRUb5}}TUi_Mt7b*qf2}HN>r>To1G`xKW_{TfEz;EXf7? z=ut8yJmwNRZJkNocXsx(n++*>ThS(teAv3h3Q1PDsz8-f;c<@gPBl!Yp#IsUlkgCS zDcL$PyJHhvmTnQaUt;cQmC$U{++^chEuY^UBm zo~ex+xvf7q0v__9Tn!0Rp5@(FfSyd#r`#>bW&Y03yW8BCF;f~h>;f}-4J)SNZ%cJzb`1?o<$yn8T{O)0JuD8 z4JvwD+P`wGbSD~h-|&&JGirMQ+`-d}=obyI>C)Mix7?)U>i4I8m}SSyWqq7x@ZLKa zpl+)-YvxsE&Eo7tO1cqc>?R!(p#4wv#;~UsojsUKRsWs4ejYD9wogv+-)1_k`a(d@X{AzUJ~r#sgD9ez|2w-t$}1UD&5w?_8`kHo zT=Q-6GV1y$4%Wyu6 zIwDW*S#xmW;l+}a8%JMU6^+)N`8~z+VRh+gCb@FtktJm*yl&gL$PjIz{|QmN1)fP~ z?4nTv&|Px!ChI-dvt_4t`$a{!3l5^Vw+G|y-|@?F{bi^}R;98ja{lrmb*NljY#c=7 zrPzRkq@Hxbt1KuuS_~?WMN!AseJ)*mA|_>Xv^(=ifE-0FOf*TIN$IsK8ll6ERWC_gY~QGx2oR7c8>BW|+un@)-F5wr zP_JTM_Wa3J_9K+71u$9KXG0P^w+%x5NKZi(g4{11I4CW_agk1oU&CE`fZ}aPLLW)o z784=75up^g$nF;{D@{0O06G|M@L%i6UZnoeoD_Y8)Mw&>xEAtoH4(wCETzre z)8;22&hK$)UaA=uJcmP~&hzl=zlvQUM-SR-eN0rBmX~15XaWL5wLjJstDY2BN!)Bc zb3d+#U4|Z7l8sQC;2DO7-pV;X_s$@=QhC{}02EO}PrEAzKa);OkEaS<@Av}}Oh!}U zkgJHbdiYBD`?5sTZ^m&qmHAuFkDlam8e%ZdeM$6z+S{DTzz-d@Eg?7bGjzSyNj8DQ zx*yaaxs4af<+N@?dQqz~riG|K=B`|uz3EDkv@{mLy~%Bl1=_qbukZ?aJi(3Gyc*hU z<5Rgk=Ujy8sEM6`z}`ECjH9qJmohQEtGh2Ycv#nv5tgYVby1hkeYKl5@gne?UeMu%`j2=S?!5*V1Y?f4hq-K$uE>w#RcEt4ean{-239&1}6BkSEmg zyM(K!Q~qb)JwEwxP`;s!0?Prf;@${ZvEblIpsnO0-P>${!vuz@nX=xUWWgw5j=8F6~yy-Mq7PX4JxG0re&wp2>W1x?MG`GV$Np)l(-BoFqCLp+0yq!HaN zg1U5yzJm8ZNm}+ZU8n&=WyEAKGKd|LALDRBM*l5GkWtzA`NLi5@((qDoYu2VMt#3e zQ$H4dc%0noyD0m;x2)@Hg~-^k-D>+IyIiU5VCe=eo73bw7(r|bmi5uT4b&hr5#Z$h ztnmCApx7VBqYOmPfyR!T?*=8KZT!ZpTP9Z6TVhoP_^**<&Fw}pFlg_Z13A9N$>^nL zL1#}Kl})7EI5a%GMLh%=+1p9EV&hIKF7_un88+vYY`Nb_-wU@sXCm7O^ z;K@C=Cy;tpS09zTVr-Cj!0gG7?gR4Gqh?5RN4N)tvLd=md0il1nFHHi9fCXy=}Hg# zVKi%cF$ANY4OR_&=r*2?0XtWHwQV^eY1;m?a2-f-c(>C#oo&jU&gKj7C-Jp=?FwV^ z;+)RyH)Wugns(gKy&5g2^s&W#5Dg&OR(02S#kUc=?h_%l0w!+z08( zBemq*w7jyo?t_6kSLbi-9v}X}f|OMgVkB?9ZX{%|lwI$Ilu!BF_J6ry1|L5Wc0dvo zEY1>5d1G?`ZiWQ8Jo%CKcD+-gI@;#541|}-ZwxrLB>(bKoeiNTPVG^MQzCED?HWXv z(rd%YBX&*XT>nyfJwt4obl|@r(S3Qz1<0Nlh*)kr)$fiA`H25TD%|1J4R+a5`EU?s zYx6?$lUV!#stFJ5v9Qd*sy4L74}XP}S6)G!6gaWiVnyb*wzQ^zqxX5U!$B?*ICbhC~(HNwFCLcCYivTSQRx#Ww7 zl$|n5&)X^@r!G_9JBV6&zK_ap_58ua&Jew>Ws>)f?0e9i7Dg>=+<=TfIiaAk=q3|3~31qqKG@C97 z07R#2@Ap&A<^VEe9cfiJBSDDLziP_8hT6vXU%ah~5li!}RJu2%HAJJ3)ggs^CZFP4VFyetO6SkSPL=vK?D)(Y%()59=(8~vIbYvw+ zsAf|bArXVaG^g8pBphRe(J^go^7gAqenP*=9PcB8-QFjbY63`>f&j(YJ2?7@X0$p?c=CWVt?EsFZDL6>XAy&Tq{n$fO+%d10y>)qtP zdfmV5HUL2Wg54}o_g>E>-`qbuKwI^-7S+V3lkG@_U3KCZwSjKe7~1zBKpt_CxuqY$ z0W%8YN!XxetkO!Rf}83i&kD#($EP_$B3Dz)^e6>4fI1$vNnNDT2mkbQ)o8lxCe55C z=75Hbsnq1{bgiH4mTF1Aa&g!cc3BN2g(k?`!&Gf1_R$?XtZw^Y<}b^U*X93<{r>8z zXg&W=3;s*vjzjtM@vI$}GQsqd zj1>Cgm3F;cMX)&igQ!x9{*PAG0fUep3U5V_K91|IdnsrpNDS-`Mxq<9$wDtYa{T=> z>7?A*Zt;`rbl#g=$(Z4HV|NgP6Hkm+=mRFd?U20N;TPw;ZI7SM6u>(v^M8H0s&oGf zeN#-=OXej?r0G~KZqWAl(JB<~YwvmquCy&n_HXg{fPtevP`hHFF{jKc?S07z7dSGp z{vy~@G->ndK8wSYBE*>;fZGJJ-EnOV<-7r!QBr2vAU5X)YDaIbxfl>R9DL{j+YI3q z{jllP&?o}=FoOnCG>P@D?T-|lbWetS4*melcWo%i9p6GLb8erIIs+8%&eCi^BtfcY z(Or6R8qr>SRiq#g>{u(XZrIFG2UeY(dECGQ=)mj#l=_o|+agUBdB@_$iws^#B2}d_ z-F6cRGcw;pmDEoipBdtTX;>EVQ_};TdZ0#m&|H15LSHyd7TVkUx<)iIgoVgfIg&G(Yne!?JzO2+mQ3(5l9pfGUUC!Y#ee@$M40Ems1iu#aMd; ztg}dQ*)Lvej=2hJVi@?$*h;{R9$20DFR;I5$Ec*cMEpn7NJ`B&TH!`00L z7FM?JHwRm)tq)3^K3pp@`0BAvy@P~zhPKYPt8z{frw`P2>s&owe@r4ELt5wC8Mz>d z(;l@bovVKJ6fcQW88Dr1_Hv05r%AOtI#+e-lO)nI_&VQkiYdjNo8oidz;b5V5yw?t zXz{8dC2aKUHa_co`%<+WA@N`ERG&^q6@2JzSdDpn4JEkV;4`W#f>Mt}_KJlCO`ZNE z>N|C$Vkkd4Mu(g$#s=%h(Y)s8$x#0*QMqg>%w>J#pBFq``tQllW97HtuV3fq}C zzi|#ctK|1CPV&@CuR#U$CRS^*moRQ&hhNeH(nG@SLDJ5ckc@9nz~NkC(UEe0C#7 zPyP>#A6MkI2KwM3@*GeLRw?-GrW<50Sw0=A9+RRM2KuO0>u?I>-Jlg3cOps+qgAB* zhA*+(jYC@Ry-RN$h^)H3gvu>sU+2VzuV|AqQ>k6Oe(A{iv?+p08niE_R` z=5`jk@|W8DdIz|hgcWel4!kymUrXj`CFW03e*U1o1))>Xq7Ja*FLOd(PRgCV1K2D} z9lW7!@e%5h$T0&Q{|mW!1ihkdF(+Xia-ecZ$PS^tlD%o^=bxJPsU%|fT}U&BztmEK z4|#cZ=A=G*g&MV2-L&)Uxl2{5Hf1ZfM>b4Rg8ZP8KVVOiC*wvpwTK#ZWo=61=il*#W{@yaQ88BV%D@U=BSgEU6ny&ce2pc{nplCmIKSMfr(nWU$(@K8H9 zW8HmM%-eTL)9LWUMrbspQtB`#@fk=w{TE2`hJjz<@^Ar6^x4Wg(n_PB{r1<#yb+aq z7)he6-$xnk9N}W6j>iR0FeS(0GGXWUPUvSozplB-DSuodFn$x17WXhhRySm=Jg8Ks z=zSFVr=3ffU-7bBH+QNF@*VD3v?;@ELDZ!BmC&tQf8Q6M zKv0~TeB=>fm$8kAoe`x6X8)7)AO8xM8bl$tANV$kgS=jI=3A>_h+mE7@Lx6C3(cL- z6@dgOVY)`NWM!LDLunp`29@o(j@u3@zI{@rbPbfJvxh!1eHr>OqQ%qfxb{@V_<94Gk=?ELS-B zSD_Yi;p}DaYF({G@K7-eG;d68UnaQy`1k}LBcA)Hbn(WMS{VGO_Tuyi=PM%?jpVN~ zV9KMVh`+NlBQw??^&HD`3Ll=p51zYP8u~%mBHLwR#7vTXKmxhz058ZwXnM*(#2O&Sh zzwVtVH;`T$X}cfM#u-EBhg-PaOFQzgd=?5Yd%UkUW{Ca=;vpD#%Cu{g z;*R_^5q2~gbtKt$Ex)@4@`3VSeb2+Wl5=KiAJmVYOm3GAs5JQlOJ1FLAn@v9h~WS7 z*0qq4dAZIJzVN794FapU$ihIb>?W2!fEAt^Cd;kAfo;q)^kboA4k^bDPAU-U!MaB6 z9*6ZWbA#or{mPnC;or*Mggj0W8v8L;MrqAn_yLVwt#IHvSM78Ti3} zx9$eHLFj@?zF_wX3CB5=O5WUPT>`$FFRnN(7v!Nmwu={mf^h-Yww9HGuBV={`k2%j zVRXXZr?|`fIcV#Ou9SnE+9#Bi>Va`BDLpgudtR!!pQAHOVXkFonS&Ipj<>+wVuMgl-EnJ1kMZygrbd29@V*Zu^wUG zF{NqPlHyMlzZXK2ypI5w83c767V1M%lxBWCgz5I3f4#70xa0;p?rZI7(3=|0-^)ID({I&>~a*llK zSlW*`Jb$5o(Rp19VKepnTL&}JVCmeqpDUr?=24MUjiVP9BTvm)Vs!_f+@G_ViCCMm z^ZKC@nL3pXkIY?h$eW2QS@||+`R%?cBU&Ia@mi!A`nqH5{m!dUjimxq~_NjyT`=;U>6U_&4Gp7 z*6}Cwg+P^~H}VT7p>vN5UX7R4TKYL)9typQS3*F)$m}aUa3#@=GWW=+(SND-eN7R3 zF2fxcTe;uKap*;WH#Ow(d~Ps!=zP%Miit{o+wAvy2;tz>C#SjC#Ke!6dU}*T&}ARZ zmxgA+RQI0>NU~z&P2=f#G1=^E-=InLe?ArCfrm6Ml-3Jn&u_?cmH{Xqeq(7B2z^9u z`)V>Yx1uhbk7QGTJcB)UF(3B|bt>HPO*{7}pmFBgy_>YRYWJj|CHJ2758lO{%ebrh zC* zlh!yLw@#l8AQGfHdp9|l&U5ArUA6SGRe*C#G^H86wkYYkG77VGSgjFRbG*tXQ*Zru zOJ1=Rb!1Hm7Tz}LA1hk;B*6PC_*xmle(2`mKs&)Q!)s-c$KXN%e_Vu!NP_Utw|i0T z)812Mozw7{GD9ZPOT^#jeLlckgbJD?X%_jb|zQD_;Lqx`yW4))kXtC-?{XaK^oUoV{{EeLZl zETm>OT?21qEh<}n|6ms`SvOlG3uB51WL>c?P=ARLlUP=(Dg9!8;EV&cQi#*4 zSaD5 z{oNAJae$IRO$2_H^tu(4TgmQjmb%HWt3y|ylYm1sD^Ky|mIhWTQDSSZdG&nOWvGIC ztq%3^8r5sQlg_e3ftZM2ExYri(EiLs9xXWE#ryqcSm<>*-xab2#HMfBvu%%`o z(#w%+a0~+=C^c8O-;UV=%?}M_s=5GQ`Q9ad|HbVa2aHACg}XmoK){<9!Bm?7eW%ya zBOP1R8G%-QZSH%|d|acKmk79;{>c?z^gQ~|UN=R+Ksg|AxNSZ2mDE4K zAn-y1)5Y|EyOoY!GmuEeqAmYuD=K9V*AV(CVszFFY*Z}Ap#ppkiO_(N8T8Ph=}jPm zd+CtjI0yt~X7t)HT*{!9ZJGi`XZjFCXex;fVYypT0|ABUMGExLl||5>p-|vu1*xkG zVOVT?S61j|Lc!>}tRv_ULc8#m>%Et$alw#NT-WG-O;GznKn8+}_vk+TLPA>87e`?! zX(d=G$P`L&7+PDw%YYu3j0T^0t&|hL4YW5LRSWi_*e*>2&5mZS-lc9N4GtDf)_}42 z(xL(&)CTxMy8;ehx#Ae!F@ZmT0j7!D=Kvh&0cyOtu(0ylAa&eJVWQ}728z|MBjWI8 zGXgb#ioG;*%e$Zz;W|XW*T?tsh0=CdtpW#%p!>VCgTsacH+nyroS+hTV)i5d7?UGm z7DmzFUAN0*F~CDx|C3=aID^B+0mau9EGkj8My|HE%j-0jW&scRqrQ_i+DV871qr}@ z;2KQePd3Sl1>tEF*xv&GRUQGh?F{)^o7@9`17P_LQTB=I`5f#V8Oiw?C&2j}p8$pS z5tERT1|k0#k|;%e?)t>AZTURml7M;3k|UBh9jTIqHn92bkx#zEHU;K1p28pcJ9clx z4m;i0a$>v$?sHuiRVo-GXn!~5=h1@E1~Wt*RZ;Yk#D3}#?dRzcpk%jE7b$IJ(851| zTT})@s8Cc&xd)70t+VNVRR=(s4ck$4lVd;s2kFee{&aO=AcqAMO-U;jt%_U!k)IGV z64-3+F`b(JEQJOdvg?Guj&Y8{7C-~KfyhMq=}P?@IMTZeloWm|dK8-yHx(_)>cXZp zZeY^0s{^SCvuIN07^LaAVX^ZGlUWLXY&I>PICgSC6YXUL;qY@{69{rWk;w7{RKfOlY8gbDyhi$akK z2Pn4u7c)lXY6PRYnk%s^sR|w~ARovC;4zTCBgP7y&59VrqYxt$1V+QQYt{-$JvY4v z*o@IZY8fMNo#Rq!3GkK#%|;4p$LsY5I<8PbgE6fC0Xu%)cPA7eiiUlY<`gzhzmEWs zgoXc2=|}6=$Z7};2!g=7E|cp@9|wE0prTif8Iuu96@Qvj&1i?!Xd925fvG%a}FREyS01`O<-Tt;{3 zuPNLRJq1!jI0aIO{gx>X0BCX&B3d5t3i=D`iL=M{TX!DMwEzrStL+5z0u|0QUBEHY zgGW2D0y$6pig#Mv+uQy41Wb!%z~uzc%zMw5?WyJMCxn6FcEls z8eN0dA2JBwt|D9eHEk8(@IYPc$TW~AT}xnTAVgbOdF(ZfHt)hU2gBWVvxD9T1N;w9 zg#Q?pE8&%nUn|M4T;zF<0nOQ5Uz3i7t88O&Pq>ab7(?mon2-+;00=2e_MG1p9liGspUy{*g?9sti?lqoXxDHP4?W2p35>6Y@0l5PVKs3GwW*`+F)*Db98y8^% zGceRJS7Y%lfsJaYgEgbO1NZ|ZH#j#8^nU{s|Ie8H>016t-FnZ4P8SA=^DSrBS!a!k zj!t<5p=(#Mv;kSpiy4Jt(b^~OEMf2~i%39#YWnw4%Uz241e5F7Kt1RKyh6nuv{kmn ziu2)!2fYsh0A-EIK_aWR1&58xf{IU}y3T!yxVIJWVQnzv8^9p&E8LNx@7V{TihpE@ z7^#sM16{hs28xL+w}u$(g}a5F0v%z|i{V6V1_WF0i3sXI(?a?NEo{rtat4t=@-6@4V<-6Kz9 zYk}zO7zFXo-VBYFi3ltpTFux3$Li>p*olx7aO6VsfTo3@B1ye)P8VeBuXF|wzNokZ0M7}Yi~fe< z`-_&~`yt~7QPEjM?%6>KEeV-WZwLx?HDjNPZ!rOe+)*qh3{-A~y8+HGA%AKNv(UZ{ za>q+W4?FT5hQY*(zAi?#jdoVK zi(*Fus5xZNKfb=V1BTxF1VvLxVTyw=QCp($;dC~OjI>Vn?oGZD7Tfq0(cbP*&e#j= zjo*s>w~f;OZbe5s-Bxn3SAS3{79m0o^8O0z$oyk|>+AvZekGaYwS(jox#*Y>X|CT-J zZU0A~1#g<_=t+?71#g^oYq8t|i)xF>LMnijMcWNl@;YJSf~oLBLVr@?)8@y&^Z}~Y z?3sh;J9`;$bUuT8mJf{4#BT86!GeIPv<`yf()$mB-8~58MD@eU(2EG%gzj>ngC`@v zSqFYOf{<7XHV@W_0=(+D0-0Vq<*d^@xrPM&A@+{KgA(Yir#uzje*38o@=}4L>J53nVX7?mJ2WYg;g1Xy1WOfk*Wg!$b~)di3bP| zV1&DOxD0jZBZ00aivl9B=yRwJEo}rX32L$k0*DpBy8`H53x8z;e{kqi|72;%k+l<^ z5XJ{KJ_>{XwuO)u7YGyx@$6%T7LFF_EloBK!w^6K33Ltt$^aMu05aU3AarjENwEcR z{3+3Xa&=>Lb**pha{xvcXcmD;do6Hp1zR|C+jVdVa%~50&O{l2WC<*PFflSSG&TM< zH#j+AVq*Y>$tQ1DX=-cRY;7TM+HrD7Eq(BJczJr4uWZ-^002u52cQqa4h;9LLz8$> zJ%1IgV*m-4eG3Kz1+)MHcbx|$2IvR@|6eu$09{aERN)}tq__b%5PsPp2RHl$g;4oI zIldH|OaK7RDhlciJU9C6QvWIe?_w4%bSC~`7a$2cJFeefFMe1g9sW*l0u5Ab{|q)% z0h9r=6!$I=F98*Q;86i30TNpOAqy`^0e=yT5B+OuDk+y?z80f40V+55BqRSSofspM z8aIw@5iH*=Lm3(v4cieL1Pb>YTLHXMf43F`92P+f?N%!(p#KjdCLk3W8Xx~#8JWn$p ze+wB?N=@!x`?{{@)mjfuZvX>leA7X?ATS65Y82vfYkB^6{Qt=Q zZ}Wnl!ds9!?R>uEE%~YjV(tJO4$5c1#bNm!`+uMA=@KJ_G4a1|zpfDw5I`s(#oq~n z+E$4kG!GYVMSduh=fhy`A#$4;BkC3?zz0-T2m%drLxU57^&(;}bK}D<2L#*#g88J+ z=QY{$eKu$tTOYC53LLAq;c}|8wm-XcC_nv^5s8I>=&^$HpQ1qGDEuE)~fc0}@8>lx$ zRj+@`RrL-?gvD%2oBy3~wJU58r3u?iYZWxUD!AP&?Ou)g)ud;}p3rzSJW)pNWsxJOBFCUdTfrx;D+;y*mY4zZdnkXhM zt4`YO;N4>+2j$oJ0UfjuUc-=V;OEYW7GL9c4$tT-XUfCfyCg=RL`xKywV<9a6(~3O z|6WU$;LU!%R4eRY-Mnaz+2KwV-K>Ro@80(4P!e874g1geht_wSl!k=Hfd1gf@=n1Uz_Sh0PZc%;XkdGdSBg zCpixq=S2Eb$` zaC;{SD|AX5aD<_4tYA*qIWX-bKqHHKQ^Azs$|%D9uNL`7cGn%Y91B7cNlG=uUN;u-oDqJv(gr^DIS1DKC)@t(J650l zw_UsK@kL3#cEzs?f`=O_>3T~IA^KWe=DOKEOMG`Q#k7B1yX(#Pwb;?eNdGHipwDCW zD4UYLTjOtZM7D^b;2nt|;%S*Pp_^L;EZGC$j8DWd&u5|=U>4jFlO{lFO5$(Mfgq|7 zwk`l^w5==3seiYn&#GL)s%|0|5HbP5J5BXRgDZrF$mD%GaUNigcqU<`L?2l}d~-$V zI=2B+IiXi$!YU zQI=>t-M1qA2O*apUvDG&!NgVK6#a8k3YHHH)}p1P#DlgD;e|*KEjswz0R1oc&najy zyjPCb@gR;zH@BkyzSS~SbEcUHexAx3I>C$m+^Fa`uJ*76IzKqbSR62cpmtqhQepRh#cMGj!-a7Kkl{{T&LK|KRM0z=p@G3vD+ zh;1MFEZ5U{Cc@$H@HYVDM0DbW;wqAD!}jn*Nk3sP@_Ms%FQP@zFJWy+$xyKZVf{Z$ zeFdF1s3#!U1p@`2*kw1cHF){`NuzNqm)`!#tOYihX-XvpJ*-{@ZVo{OY7=4``ffLn z>+bVC+p8bKV}4#>&kE@hncyN3g^r=1N!^erIBJOZBxDmPn`u1tnkJMcG2taoCkZ zNc_+YkK^KCKssvZk&JwK%iXu)OFet$!*em%as0N!&Q*DE?$k3AdM2b}D=TfT>tDRg zYO{*;31eUuYDo~f$ELs*_iCTaS@<>y_uHj?$9#w%=d=h|ow}1x>g)9%^yslIUM=ZWK5+C0S9-hq6gP8_tM}fB?konX}^}23_Ka4;8c5A8mJ!LWU5h zpBUczPF(+ZRN}?ikmIV6`+RaIIkIXBN@x&F{SW250_kmHf`r6?a9n&A-!ALbL9=l8 zTHxYsWAK%7l=D7__4xqdb`CyvhU@zJu3%b*pDkVJfa{XWP^h^7Cn2nH6>hd~IuIAA zQVGaeSDih|JtL4wX$B&Ez5N`MXJBmVOQixGK0 zQUDB`@Q)(uo*zY=bC~%H{vy$ekIsO88WT0^9#wHPhFp#!`$J+;-@VSaHta||gNoS* zT{RuWTZj&ds3pS9y!1Z+6uA<0=UG0pMA2lFnFHz^_&ZG42#ro?EFZ`KaG%#$Wwmvp z|MaHDSyw=ZlOTzu3l9bE#~-@p+SbUhBoNQt6SF{+Q%K94y+5Xmc$FzLO~%OFG@9T- zk?jKi4O^)Mk9Guc*JhFLi^1KFc=mal-E)Va7o6SC!iK=lP$hYoo>Cqh|GUYS0o_5}Q|;@ipP((D31RoR$a1ifLb* z8f`g|V_)2}Awh1n4`I+!{sGupphuZf#*?PASHE*JY)w~SnjEpGIvcR&t7f*FFj&36 z3{p1YTtsOxumRe8@&0oGR{GFJInf$PG?whQ6|oItRnsS;g`OTjpR1u&T&&}R%~M7| z7Be={_Odo*F8|66Ofxq!58v%uIkws^s^})#eM0_uAFtw2WcsE`F*(qSTMPU;h&1i> zcbTsGvKDUmF8B=$wtx_CNAd9O!34nHF+9`4lA(C;Ar$2f$d z5OINB61M~8z??TnMj{<`w|1xcVO(xFM)Dxci1C*WJy{f?aIcMU(qfVM)%KFtUZAVB z{q@mU`Y?KmO0&Sn?q%-0=ngRaH4LpLm< z1ay8RqO~h0-7?7xLH1gM#-66RY(dD+>n+^tGPm7%rRY=a^syVqXFuSq^=4Jp@P1BT zau$8N1)w(HYMYv0G%P13DweP1BR=}oo&qdWr5W0be3}xQjI{jSea( zsEv_w(SMVB#+GCul};}pg^OE(NfjS3J`Kjg5#c8fZYgxGfgS72G*F1 z%LQY+-5}U4+Y^;d%I}Azgvg=b)A>dNd?R1YFC3gLnUj7{AjfJHl9we{+~|x5*#L$W zJo=K;fpG<{_^G23qthN7b`iTmv*k`$ZN;zS0ZVaAX4_Q&Oo%&a>a^_Sf5vYR=RiIsuC9q7s}qEDwy$ZjpVjT(qf=4N|t9NqR7__u#WVIVe6_x=7lX;B~irP{{G z8kHgctmoEO60-Gqk3^9`RQbV&vteM=O@r)o>XF_yXn(=ODTT2c=%=Nl=DJE$g#eM8 z8E;xtBV>WnPA-@cm{@I<;8ud)v3`%E0&xOz)d3$_rtbs zLWB%2>nCOq8^=oI{M*2mnR8X8GCZ#zck9G+&BNkV%umO=|1&>{cRdqxeAx)E71_3l zI+Czy&AJHso60Uu6knY<9)=GVeW4T{y?Gy+#sl01?9CJ6<;_ZF#mP>VMeM&pCB9bIs_oZ6D zRE`6kzrt--HFDtQIvWXc=E^naR9L5B;3lC*(D7cr--+x=$vpF%Uq&%}yJ#5fdMIq) zmFBlDFs`;~19PNriQk1Ebm9^1O13iWmJJ*07uEJ`vXR5I4#iD&E@9cb$6h8c;n$2M z`wz7xXgaIWD&R}+qq28@ z0bM$wc?;7`<1(qAqABc;AgflJ1=rS*6RG_Du5{s^#*h|G?%|I0S6d?|XPn5xMztzB z*E>>tPFQ1M5B*$6NIfLEKBUm2bZ2sx!a|)*DijjgCC<;!?SWO@?{CZz1jN^zvIT1j zm4c0pu6Yrhyw~*ES@u1UBlTyeO*k2Y$(D$YKPVE=nwQ`e>K@C(^Pymr=f9to%xS{2 zqH}Bmgy0+XaMzjFZeB|Xx(-*_b6ekQe?JiKjm*LK#@u`nX zE*eF99GfuUN_|(eIx1!coJ%=s->+|=0C1eQ+ut!S?GijWJ2!^zN{_(w!~Fd}tvR@J zeYyiC+Eu@vlumE3viv@`|3axG)M-zg_gMaHg2tbBv3z%(e_*}1|KR2J)a+Vikt&52 z`&|@^5OIyq{3o2*KiRd1BU<&L+?QSVB|avyPVUvUy>98^vCEN|Jb-Pm4(+*%hic+d z@k&HMX3RrY;vY&IE${<^xgdO3RNPx~>P;#X{8iX(Q4Zx9GUA$hPdG2+gU5X#8L6ZiRa)m&77W>CHt#ZYyz_C2~m*`Dr5>vDzY3qmQ zS?|LyZV{W3cDL!!x%>Ao*tofRmP`KhvFPKLb@Uq>u`cg|f?v)NP<0g9n{>wze%o@% zpqUNNn^U=4{yY2HF3ba%;r~6V;m1Lw%p99gnMb#HvWi+Pu_+1@L4r zDmLGV%1Mbv-M>6l8p&m$v$|@f&);P0UGOIqo#XwVeDtlv+Bf8YvTHTxAIQKjUyr&T zP0Yyqx(+&Jg^on7*_PS!x>@=c#tNb+Ip-!{71;ntBWq?;10Q1YD4FI`jL@8!eSZt^ zyQh<+?X;O-?lq!4BnHW7zEL3~RSfAvtw|Z?qSlS=Smp|8dRcWXMcmNea5EpuR#fiUbj?2PB39#C{WPHtjZll$6^#ivJT+LM$3O>LC}ZPuH8 zATiKPfs_n24TAMCZebr(gyAqLuEz(MyX}<)g{CRfTAkX;!RiKUc*R^jnO(dXJbdYC z31~nN8PLblxG;VeGMWdyz>W7ezZe&i7>ZI>TnzT=SM9w0;aVUBQSNzvw)^7^W1VfL z#H$_ii|H8`$eh=o%`1kN;>J9AwUYXF2mPa~qgu-W?(C zBul&Cd2i@h9Ok9`8QWzpZ~ajaVDWNe^$(-{@QLMdi`@ZyvK)9N%+}H5L_fzLl5z_` zUg!B^O;7t4oZ3jR-@Kgcj@MI8!d(nDRzNe~WQS%6k2o+a%ic=ZqEoGlHqflwwe_lv zj=hkslV`fG+DB)BH0ATiKz>!+vb*8WS};^fSn7X&%1HAZ<@8k2K#`TkEPq|f>8$Hc z+CQ(netQY4FMmn@lhCc9e_3w}6enKw`F2asV*JnUhrBxTZ=WTx`$AGZ(fm@03tz1O zVX5u-%zMqGgdd(74(KUwuKY|Rn`Ss8S|ek;>m83acQ>nf_hWK=M;Orc^9kw3tLeI`P{+*a|ej85W;#H~WGo;GY$ z%h04yUrz>XQFvPKh{|)>xZM-3y}~2ls4$JZ=aVQJ3~HM_3SC>v?rx~auC*5RI{>9Q@aj4+D8vAH@i|?mV3BC80`do1E43=-*f7+DUA15er zJM~;s3k*EaXleCoSlaAZm<&A&U}9arNbs*rp>E9)KU(U^p*St-oX`1;Q@*oIaMQjF zMWq@><(~bvTj4jv{<%!%T!JLf8hu{RvIjptRQ%9FK3ji=`S@SUz}bu|JGldGkQayG zRI{5z73E{ca~UAn#N%~|jRirH2_1Z+X@iZqbcHytB=Yem0!dK(-SVgPUDpgQDSD#!sH@aGE<54S$@F zuQ&f-|3mq--;EjenBoGmdB<>P9dbkNE*rN`m!a3MD160-7}W`IQ8f=AMQi0YWZZ(W&c z26X6B;NcX_F7{`4b*2kSBAQ6z`RSK%@C}a+>L%U~k5c$7`YK5F`BDbxoj(IA-=ty& zwTgGR2zqv+^9cHC|FmVF1&4c(*k#&HXxALA(OS-Pc)G|)qzc(}*&hU{UxAP_38B`BrDUMwfIstD=c1Cb1hQa?oQ{)Lc(9>Z#KDq21$V@K4cfUKJgSQ z4(Ps{EZsu}j<;EEh3S4jrX`L{yyN7^f^?AC4XwG+KG|}^_d2NJR9sYy$ z(Wk)Mw9o5?#J81ek%`&^q@4SC!BpVsp(coC7_qEf8g{=cuyRqh6#-8$5$X#}a@l-Z& zd3}lHKm8nh1b1dwDX6q;0XJDE*LGANVE+mv;qf{b*0D?)JkMw9kKFYW5M7I$e<=g6 zjBI>{sVNbft)}es)ap{+M|U3{H!|xLjVmu-4(^KEI#LKdbL*bIU#h4Qn_?9<%(w?y zy(32XLTTzWI6QduuwVATVGjEfrSWV3r10VYC3SvCj;QoI27Sh*|bt#K3jO4gpf;<4ef+I(!L_w}o9v*P6y)u!5kuH5 zWt0T^g6wforNiiEjBDqiVmn##EgAf#Y<|SA*9AgRob@M_5}}Wg{jXKzfvVTm)<0%E zKSI4=s=8~V}^eL1?YU4GFOC;+NJ$r z)7`C~V|y|}e%Aj8;|O4(L=~AwQ{J%#vENg|%P%J*(xcMGczN*YmoDVXvP1U5$9*u3aR zn*Z?&)~}#JwWU3J~Z{8L?;`@N|yM|^(Rv9dk)hsr2 zc-h6l4onw|Nl-G66hw*h`uiK!!|&4NIQB!aS7JARJAf_e_+emE)*00#Ho28qAeQ!C zZuf6KLszHm!mk?LNmcAuc3JLC$|s4QsEyW14|#_6p}+^Uk)P1LA8FkoXLDn$JDE7~ zs2+K7nWwq-|1I8h_?psS?tCsrHG_8qXyn77en13VTlpO@<ciuB3SjP@oNgx`!IU5D3KcB77VMf#VQVBn`!bLsNNaeEc{8svr#` zgcGKU&_u;>;#3Kmq!dn?DnpZ%!^u+>Xjny@5>vBlX@?P(4ta3`sb zv{R>XXQ)mzXBV6+)s5zU7Ux0rq$Id~TnaUn1`u&+)N~r@27t?;X40~< zab#)^jgpJYqvrolHsNkjZ_^6z;QpiDrQN%aE20+DN*>@IQXkPu%W&n?$FzzkxTn-- zwC9z$DrzI!Xj4flt-PW!uo`$yfRZEk+!W<#`3osV~oDG6UI2;p_J2ardg@uMP^WfvcsoVa6RCT^?} zXCc0y-Q?3P*z<*L+|mZZoR?Or+f2taobU~U2V>&OU9X7E4GU1e%XCP)2%oSPfP<=S zd>YCxIkLV%>%O~2J{mzQt|q7Tck%6McGiNe91#9QhGgJqefp%AWJ@O)y!rFJ{CGuC zMaLopNV39?pYHbVubA9DVQ~PvYGbi~w+z95ZlB9)0a@(1whuGka$8YN7t=uF%zLOk z+)Q&mmv49Ml+ySh!s+}I|2YciYZU@`K?2D)9FFiS3lZhdji*3P+tU7w#BM1VqIWvb zuUyIl&jH;0X_7gJk-&QrWt^WsWtaFJlLL6AgELIIoBH!i6|Rkq{mknxF0V^GB7-iR zfwYt(z0P(hpL;Bhw2SVv-=Db7@Z)pDOpCN*JG4`~_o4^P=0PdgAO%eh>qi8eX#qa3>} zaG~L~c3z-uZI`{+H(3>tz@?(+fanFche6VfAn~eBDVvFflG?>Ewmt_cOEEUaLKbrs55$$yjs==N#$Z)bV$ zMpGVTgKVO(b0NFaZ=MY|nzSBG`Zz2%_$*h{@y+RJ%y2`H=D4M(i-kt6X6ZxgH=;+T zdo(H7@w1|y7LvJ|_=nzaL{ChkG=s6@PNJa}Fgr?(rdh1$rG)l9O=GLZIMJ&~98D?3 zV}$&!ZIKx%elsJDpmS;O0Kx62mn_U9MUQQM)inG0R8;ExCExZ5&Ed0h!vVa;(Y$~! zukP1K)Oj-i8Hp6W5Rf_X880-Ub;g$-rs`4`E_wId%s9$OY8>fR2NU^vjO;IHo+84m zaaSMrg1{psefmt@iL;*?VhOS73^~IIB!^TXmtbbrh9D@IMkR;?claI`9g z^%Bf#sDspwN?tX|Kurbg8J7cBz|))VAH->)PB_&6vRDs<*`{YSUICq%+0Y|Ql{-Q6 zmOLua;{l0)qy3b7^V<1W%;52+jgg8+ja;$gC=*E?+=YE0SVD!`JJ$|r#v?kIe25B(PsyY8BV<~*O zOIz&B&oI&s(>koz1Lw;E;zN~PYP&C zcO&Pc93Z*MvQ*haNMtxpb{)18nPHA5@JiwCb-_FlZUtpP`5yqc4~X>;k7ee=O<7e%M`*Z-i| zuLiV-LO#u76oguZ3%NEi_{dOfw3y#qj3po7se|TXFUG`S<1PeqLoY{P(nW(##z;g* zUK__oC?-x~!=MRGF^J%3ZfN8MtjYP<*fOkYL6rXGHvV`;EkfM+Gtl6J$jF$G;DifA zkwYOK=Ql4WLfk3XOpA&B(n#{c`Z*?$*tnTNkBzY~UTG|CAx4ug-?agC_e72I-obXi2a|y;V6PB}z<; z@Q{q0F@xRgRxfX>L%7`TA}~cRym7fZrq<~i;)1|#GyjwofI^_%FTYFuAs(+oBWs)X zs^11?2(%FcE8V?jqQdEAGhd~x%7F2a${)66|NgUhiB!YniKuZ3oy+V+B%PZfy4*co zg+>>Xx#j;cX+uaS;tU^8g2OAMh5r%{qgRT|A3krX%yK4V*{|$AUZ6#&hJ(^ zK&m@KxMg5Ti@oD;JP+}dCErrJrP12OjL`C%;93uzox%KVZ0` zTpOyj!J#c?R%yQ#Q`q(R#wHSjPy4);0)nP|EYVbmb*Pz)(f^%auQ&4Q3^Y17V4>o7 z2mL(!pF*iO^5a5V2@|j<*e0}f#@(<>IIn-u_Nc)74$TlR9R>f6$lrPqn9Gg!u1jfI z+q28MohSU4bg#*NZ3%6RGur3(*y_2sfCg3WM|`6;B4>0v=Pua>Ms#W!x=nAqEXd~N zc8n8u>_R71j*Dbx+MA0txn@WmxuXGOd;6V9TLDaW;*faTCcw-oJ|3gE*AUz6cHp#P zcl5a-pVOk!;z`;?2oNUPvoPftbcu^9|XEDP(jxCc#ROA2P5B4Y>2zKiFaN#eIRt) z%h+lTK;6st;YFK^8FC+pGn7cZ=~_nL)M||RY}JmGIhnNCCATq^jIfWw7r>I1e#ai# z*r`@~;g4uL?qo|t382v>Vb)X;D{Mxa1zveyLO#04$?q!A9Dyi^l#Y}X;3GFNSgfL$ zL)~&liDUL$VfibELw#IWaii2GBcAIbF9fW6Z}jHqL_tTzxQ{9QH59n5phU<^?%m)e zwX2-KfR6uWl@zF~Vp&XO+Ak}Ix2T%kSFe9#&T$OxN^q@8B)cQLEIrRw-_J-jSS{uQ zEzb*|qAa|EN_7;0!o0j+E<{mBRxuIRVJ^ZSI{FbLv(94UKDD4HRQumGb77pMYrxZ! zmhOid=s#t#^xan`*O(`nmPbN2er}$Wyw|{zr0C2=(8HZu)nhpiO0C{R%Ho5^iN|y*}z-giRa( z`3W7OVnKQuT9el`%|e4Tk(aRy19#B@1JO-IMJxz>G}uqWUpLghrS>5!O6 zw3CqTQbG-9~h+Ngm2RVYpXqdG~yP=WcHKvS-tV z>Gil~JyE752;o06t#x17J}8Hx8niUIGS#dT>0ZY3%^nxh9Osaio9}BM&2JAvX1TUK z@`CUYVn9--f~2LBOAp_RYgOd;Ghd0aUm12i85OX~k#TCiKZ-BtR{qtTBYUjv-mtFc zO1y#gE!hujUBQ4T?6rCz$4cg?{+oHjhztFu=r==mtDSi$0(&qp#Rvfwdj9}K+6Cje z=B;0$`r~`LYm}_M%izY3t0Ein_;tOff~`Fx(A8G${3-9Ky(+P4OTCO3EX)G5LX2Ap zIX|d*HhSZDvPETv-**h0)1-a(y3c~{aqn+OnnMIdYVOh|{|CLDW*&SvXoewHn>a;O&Omma5&#d70_l zF}YyGJ1@!4ad6VHN5@cNPMN zOeg^smm28m=U;czVgDmPh;-bL&xguCx%k<=y!oY9Lt*i!de7%4JzrrJ(cKSj1QwM@ z4%PiAR21w%&w*}quOaW8;9@lol}wV8qt~F&@a004zq1>!&B^QE(b&KhxnV8H5D0xj zEFjPVj0OZ=Iezn8dszDVw!)-g9rP8ntp)t*TSK;p48L1HAD>W6&P5(Bf9n{O+_|Kc zJ!>yAY(2FacFT<~L3(-~~Eg)$b#ELkt zy8vN#^0wDDu{di~h(_cLUqKd%hIY@8*I!&x2Uc&3^1SLGt1S#V7o+lG+_HOWpFyrf zJ?gE0GLshZ#U+y5<{fV!ZJVpL-WhLEcpDs4mFdSDQ^c)pA#i&~g?u0P{*nBnq?O|9Uer7b+C#+U>Uj^V0Jabp2KqGuFPu zjsLZnvSOW+DfIqI{vtPr5yP{?UD?d(u0E;L#8)dwK>w}Y7URf6+gH${P>gVo&=tma9d4kLb^!OWUJe3?g z6u&0i2VH$O`H-;m0ycVe3Iwtzjn&yXTzrf?Ju;!j;dl^>LlVXhr7DO0Rn!8y{?qRt z{XkL7W1ZDP7jvP@$DCFwLl`t9>R+(Cy#HnzH_DIHfW;(gs6mY@dkp8B4q2v+y+9e> zDOOsj;9FUQi8=frgmDk3jS$Xc{0@vEpvpaUGAJeCWN~Pk_1k-_OWdIHZmkQU?3+w% zMqPbxGxAs0LI_l_umD#36PU;f*)6dDOk+hbV6L4_&I+!J>=Zd-}z4~uP>oO zzD~_{gv`&F3TO|9+?+9=I=?Y-%xOU}Byl25BU3pHdpTr9V8*6seo-lCcs4dfBNCmW z{wp69f|NGO%8!*etH>M7z3AR%^bjTG(a4K1J^bROZ=fVM+xX?p$o~K_0(o0-+~Aw% zKaRV>IQ_aipYmv8nj8$PH>q|(Q7JRd({vQm9{eWOY2#vc3%B$kMPcLx+l<5B`l%mg zz1p$Pd9I$1$?80%3g)-p^a<4Aw<^{_TE1@kM|5q^P~hLs(voOWCUU} zZxR3nU2>T8(SxPdRG4jO=*u`fC9~CsocS%S@y`%;5(`S|gU&T=$uha) zP!4=aca7Wj3_lATrq8HM3T7j@O_{m}`HdX0H<(Z+U*=n&%b9yQpegr+`DE^`YwvQ= zZ^^l7UWT`G^~7>j?IsZwXEG?4qlGOkCJU2y-cQ?Pt( zHAiWEI7jSSNe;H}$8KIdCwt?i6R0^C>EOQxW|gPx2rN2=e&s26cHdgR$lR5j zUfK73S~wx#Ix~rU_)4Sa1&P_dWG3J2H5rQbE&BDfH7*%4XpG*lUbGuqfjvJe_PXT+ zOo}z5M{b{#m2XF#*-(H5zaJBf5X!Ccbesj>DMuWq-#_hjj5mjw3bQ(ENFM@&tJyRerg>a@Rbve9wGi?{?1x>ivDJK>iO0hVb9eaJifTs zDv+|tu(>aD17u$(ysg)y8G$l*h`Xp*>n}srQFKdXaD!TPjX(G;`B9(|yg4!`e^q;9 zXYDL+&lj5e=Tm2MZFbzUzhvKi6RHu@O}J#RDWq}EBf@j%#xfqT$|@Dgk!aq!1J&{r zH`3WGXK$!yop5au$d=e8?f{9#FyJ@=+J`*;*nas^TvU}X53AyPd8jsl6lxMW(K*{f(d_}Wd3X?Aln$oRC$cHbuqN4DN8DXDbqJy zzW&hiD#_^-8*7$_NIAYbSS%Msf?fBVE4Z zAxvu~_AxM6u_lwpes`~mGIGOR_6PHf%dWL0B0Xn|d2HX>+V_h@aIofORd3A!QO8(G z>$V>^Xua~MN1&zVmu?6J>+~CYK<1ZDJz&DKRUTjX_&7K1G+rRzD#zfluO!45izu~j zgMhyW&PkdXq#gfC1do${gJk`_;9qRjmaLC4+W3Irk8sq{Rs>e;zY}vm)()rZ{Eayh zPiqSkGd*gZx8J|@H{&zIy9Oec!sF9`7w8oqj+UHb1{9&OJgxJkzmv`%Zj{ zq0$V_+cel@==~&hgJl4*b2!k2LZeYKjeX?qMX=zue>=%&>|`Z8L%%w8T+z+q;e63r8MxDeRaLVtNG3CK++(-@;bn z{UtmgYSGdF|F4MqQwH9rrtnuD>)3)k zeYuU3R^YLLwEV>H`Xiw*WS@&q)m1|JM|FtctK12A?Pw6inw2xVGuD$92bC}Oc{aIbVm?J-|4dtQl}EtU9q{DDTNDde~YdG81K?9?wJh- z_VKX@&#hFDW0?~i}nyJ=&i(45`;suI*%D#F*4T4|p zNWjnVBjci$w`IBC?>qb##7=XH!9?|^x~Ye2M%8KZ+)rutfo0wk&6Sh*bt5&S?(vRC zTfK9#-}fCNs{OfAm-c*176__Q@Vn0Y_|%zeO5<9nc*OX;f%w8^MS;zciQS-A^^HYA zyAc>E*`3D}r^>$!n$&$5!3a_3EcH8DkztQ=8{t^)|>s zH)oq&0mc8i8)bzx#^f!2$cloC5zD0~VX20QCO&`7CVS?_$CQE@3oSQE6CJmG(Yj~> zXs@j>B#Zt>WTbNu$w$;Ql^iB|T#tAGtKEPIeaiFiF!)SzFslO~rWX&PW0Pvl!0zFo z;)pe*uN5yh~AgW76Lur6B!~$t#p!yP-NqYz^aY zHgH6R$DaYej1uw_zh<_YY-HAD*tykBc#}v%Lib3{vs_8lX6>Nd`<2Hb+Hn+Z1$RK$;?(>YGPF`vxbI>64r^wF;Z^WHm*`N0819wGm-% z>yLGenzi!(9K8aYSM6(yLxXxeip-x$Exl<5^CL;R;U-+SvDl?kw?{Y^mqt8S)E`e9;?gD9zg7^8qqm(@b@whI_dR*3F{ z#W+a*Hgh{Y6E{RNjt!@>7@l9F^T~Q>TTvoK+;)nefQDySe8P---Rj3)e6>%eo{|a6;Jt>jE9$h;vC=ByM=b$p zykTh+#b5@UIq|f-j8F9nSTf#h{Hk6!-zU1jc3eMB=uhSPL}n+Y-P)epIM2<`T;O(U z%^SB;@|k_yt&2Tt(}&4$dxw+hc*AjpJi>%0<$MK{xk2{kuD650(9Djh-5k5flQ|>} zebn^sT7nUUKiBE`=9$>`yW*TFKx|~iW%Cnn&r;O%zFphr&s;l#gPG@ITwSxH5bnCQ z+&cPzJ+Q|jU&)yOU&~P~njcP_7j0oaSt{Z|J|?5ghgRURh*K2<^alZ*iJ1IZW`%l9 zqRQanY=Y@!o&viIE4OE4uOw#ZX2DKD@O9j~i>xTFNhvO7@iX)E3h@xIvKvtcrG(!K z8+6eUHg4v(YGyaig{QB%*fhI+S*UxzxB(t*DZczJpN04Hn&kN}nsQRDy&g@6D%1_}dzHa`QP;|~rDL00YG z2L}%`Gb6GAMVkT>DeM9O0uhG;0I~xkodf`Y06}&E1``l$0tsw=3tKt`Z2$rt-3R?7 z1_%NF6u};4`vU+1O(;;KI{9Brp4d+S1F>4&Ab?!~?>IFV3*T}v1;(FGtur?iA4)A( zz$ejHZ<|CcpZbn}>{2Rhe*<7tVIX#lJT~tOY5^BJx2^^+e*ah`?-nj}CWHPAHvN$S zBPQPx76BvyjY{|XBqB6-jtzeY0h9r=6#p&|DRXKnDVP3X;3}OMBa+`1Oi=+P{{a$O zAqy`^JO3IVTN{)O91Q=BJQ*4o4G|k}1PUAh>9+wVfD8YB9+5)92PIz z?MhAV4Yytb6-NI$0ZR`WG#xays$tN5)!iR_w)FkAI{@E&OdNo=lMD(tsdA?2PD{eodKu;fB+DnnTN%C&-TCK|3mbD z84M_eHZNn_dOS&c;&1ASxu2m>Kt2sB1j+9>{`2ZcjTphp9ryF@`zlUOPM8E-;1e9s z8clSixH@`Bae%>WH+md0OcIS5zIOluo`8Zv0N_0IXD{|v#V8;>Uin2tXo_X=mSRmapDK9>w#l;0z{|`9F$F$0=)2Y8!q*W?yAMwY1w?Q=@XY!HmVpxtVH>+pl+&0XwNryfFgcV1=x-<~8e&2h1Bcmr?V5mVQ^7>Kl?Dn9ouY9*JiR>WU9^v21 z{Cv~gi{Xg=2R2lmNp~r1KvQ^~=9LQ?K5=+3)0)mZiJWUMyH{AqZw`E1_?`b6VLnw! zF=K#5fZ@>@)A8JY%ZYeYbQ_V>1#&tAQ`QvUqmLXGXlQ+pbwYLSb{x_XFICnZH=PTW z!!pUwGptTP4$4E3SXRWbhX5BGn!0ENHzvFDD5auNBP3S&!iOMRReULz#G+w(>YMTO zR%R6|z|qK^eui+yw0!0flQ0wD`8M7(G9%>t--XhN>2ol!7ob=TNSUYuR*~rul$tZb z_fP0A^!CjfroSLp!Bvj5j`~p6!pj@O;SL#Yr5f~Is0&8m!%G~-AY%}*ZYYyc!Th<2 zSz)&{TIWr(#xz-RyR*jUWsJGQ@9u^3Cfu*emUO#6zG`s0GThCYup^{2C@0p;S+VT- z;9~yO#`#X?u!=L?q~7{%%<~ItPhd~73fC173emCyn*+$t?hX9g@+Qb4sm7<`vZ=tr zU8^IhQ`)Gbg^bHB2YsX%U$%u0PhWg#3UzjqMRr!*uyOw=>GMNTz?QgQ=FE8~9pwR! zZNWkSor-!Vuz>efQi4yTvoX>xSUP=_SDx zKoCdwHmi4bf7@!maQU(zO>?c4HCtejeOlA6Y(MMX@Mt%|S*Cj<8X!Ra^WeQk*9LL* zq|R2cD^g%7f-x-KC*fT$Ymz-F^Ubt7P%OrG=QDfAGySO%Ux4@e$)I?`ENPKzGjJHZ zspX1%KZY&yxOs8cmGlo|`(`!@cww_kY(>?Jj}3Q`6??E3|BXQmqlb|-eh2Ls(-}C{ zMsNJa@GOC>MN_%0Yj_-gqTd$})_K&M0_YuTD35=*W|S|?HVo((x|e*lv7-`l#!Ncu z%M=if)Y`FmlWAJbjShform3ytxCA@IZ7>3VPuQ0Ay!L?dUWrrF7R^mfLQFuHW~|E$ zAL^{}%m6P(kJXEbWNoWqnf3J~qj;lqp&B`>CW4yV0^6sAR-o`hx)9Au$MefT<>4;IIoi+$~^;p73^k>zGpc4}BBJfXZ>3baFO+;%LeNjlp2$ z1PjxN#nwps{^aI9)6x#!M-Q+7)z@GKl<|a+PDsPV6#|o5naGVo51E3ztk9tX zUIMe+Wx4ZQfI`Q+?4YNIpuMF#u#C2fW$`QG}_iz=IMy`-Bz)*t;%Jtii4Va948=j5Fm?I9ski!Bo)E5a>G;on;f1G}%x> zl$zkZywG7$lexnaapq}{^lUIn!|@}@k_#xH9K|6yt_^SszsYmuX-5SC<)wj-9zg=3 zy`^F{Q73GcW4ZmI4~=R+Dm4*-=*5(vfEmg75h?GU>F-a$Ge-R-P4#bGq@upcsMJ<` z6#D>xKbg7Aqg0h7Ss-huLS`3Dlrc|d5x+?iL-q-#71mL*#4q4<$5{kW3%Cb*>%*<^ zC4=~N24%7>z!tUuRV9Rhiq9n^tVzLdGR>K;)rfHgCc87UTMenf=Nb%|h70n`5+7*L z&ypls!@$*q#4ZTn92c{m##CevW2R4R6n-?^I$gbUM87RvFxSJ7U}58(q`|_0L2`#{ zek_---_81)K(>aQ7}I6ABhKHkDbj_Uh)nMWL8B1tq2~$wyYL2($9qaHhRdE8{t59J zvrkVu&gCyaoYn1@ll3#wq+y=dE5(>hi6LI{6iE@A4`D2A8e+9E@wXHgc`EjKc&y~9 zRycl;EmdXBS02IsOe;#f^zq6^@AV_@t)Zn)lGFHqVh7?&z}&fFy-bk#9!Mg!>u+{k z#P25AP{O&{MX<>f&jY49q~fUiCCR8UoYzt`^}BhT%6?=sCsgfTeji6~!hn0u0yxC3 zvSQtjv|TUi{@nwE+t<4xMzdcn;`{z4T}#U-Awp!^W2i-4!P3DsCG@61YZLv6F-K0x zVNiLjWaJwXCLOaa-Zag5R`7aR5lRY&QqdM55-tFQ7PT%;J7@~9!^%`{X8yb0J;4ss z)_D2-0rArIR|cliDbHB0`WS^fzaJx?B#i`cKiwkdNN{|d$6+wMQeWaEP>grKPnvkU zyZqL4&%v%`Aslu9jFA%|=Q!w9JJx$EE-z?CgvGEm>%cLWCUN^=A1?IwG}J(U_j9Zt zK~}U>@p9*b?eu>cu=0#%EK9#L!G`RLARqg{^r`VqBCF|BecUbUXggAy(r1=sycv=U-NhMUL~kj zZ3rDhfVjV8v|T>2amo4*kT~kwf{y}YzM&1myJbCWW5 zR{uW7h`a_ky#Y#izKZ93IC$!YRl^3&Bpw*^;G&Umsd{?j{*gYdKTg&lQHe%fLK5N~ z$)dnN?4jkYzzKgAc(Duq_!!u2gJ+V>FKwIxXDy$KfXzG^pJp=H^#<0zTJvJ@+&=HK zHyL8A7TcD}Km+tVf!X)hQd#)qlCUdC?7vU17{V-B+8A2$-e&sQxj5*5&gy;p`@*v8 zcd9Md{gx+Rj@tDVkUJCO*GY{<2(=blRywg?;0Z|Arkz#B+BPnI2$G-H@8^~m5vpl~ zh;fbn`kld2`qd8L<`5GYU7`c~J6IehPY<1r-fzC5D$j?Ye=55u^&@ z1A|YAUiukMc-*mLT-iQSfDlIQlsghj;2eTI{T5Rej0&w85uR7XlY!SHq$BskI6p%w zz-Ymd8YNn8j{d8t8K7UfbNW@^?Tz0VZBpoJ^f`ujUzgPMVA?L*V`*2vbrwPY#9O}? z;}|wS>b0r;J|F@D`3Dntk6>#@K{$ch+fH$PUfWzhgvII!gPjO-(CYqxc^`TGkeF>fZ?slVw-9pwe&j?o ztG{{j;-g)Op9O5Q2o!H@)0k1dv5QkZ>N6pBRR;U(6$f^Rz+caYYcSV`G&{oY4=$hE zB`_OFI%gX6?s7Ez9?x|{G-R(-T8#@rt>^jqWs?8^oJor3Vs5G`5|nI23j~)pZnAD#-R*J_+8bu3O_dt!eR0G#smpG<;q8}3 z*NVD1iGR%_8k>~sXMZI~9hK(8z6w+i6Ko}fX)P)!rHtKWTI%6(+bol&G^~lT;}?ju zF=3w=ty&nW=R#N6-Dq^%0uGm`Mp5febOaOBE;Fmy*VUWM%pc}B7#R%<0!P|OCH^%? z?lB?M2N^paNuvd!;g{*c0tG<-vYsyj^>(~d;pb0YO2ljet`O$4m;DVc_>nFU9Gjwl z6-2Uk13-7AWy`%~+H;3^dWb>)<&#Qny~Y%Rb507E;)Dy*84Wz*R8ftxhv8=f2}9|w z%YCi}qSz9PhwK*5lLLkd(3w3-^Ogt$8n;-id6ZydpF}GPD#b-pDI~7`zTdHL|MhT| zUzI3!$Y@yk2%K4z2And3ey=1@6R%-e_T3wFh7^l8WCvCAX{UR1`E;m=$%}`#3umdz zpHnls!!S0g06@Ty-k0^f0F=ORhPwsuz^h-e=dpEvz@u;E^}c(T4Hs3+D0=DNQlCPt zpDmP|_`NDe-~>zzI3+H#`fV{2-md#Q%tuV6*yg-y^pzjJ$Lq25*6RcyXKdej`_yGH2`AHPL$k9y-bn5L?d0HPl4 zRG5pPr0zS+`HH>x7lf8A??1n%D)#ygNz8VG>(y~=^-;(}vjp^>)!+xCD>j2nMly?M z>1VC7iSM!EW~3lxzdF}#dKq!V`}lr>#v#j2ZKeO-jvfh z!^V-h`l1#;^gi6<5=H<|4 z<$JEn|H+2uTfOL%fhBVh2t*fNlq&|77jDW~{!imIw%F1 zRP9@1AV=mmQk_C)W7)h!u!*8hYr6xE4 znbf@arW1D@zo9*Tcp)Tw*wnQB7PFhFZMbfQZ}-ZC%H60glktamJ3kj&2VaNQJjo#N z`fO&qx!;t|%WLTTVew9fl>;?Y#fcfp*o4i=UVs4a^bX}t4W{@06=TjW3z}d4k(bYBuMtXuk6=k7Q4te{``)8TYGb z#0pltkNaW5+`{%hoR{hur==aToCuj0%%#Akg5~Zdn@8z=@xNMm0MYkx%fQYCCiXM< zlSsD`r|7Fc)dbZ?dHVBJ(<0L;-^fhjSMJY_V>>N4w-obnoD*-4>b2%}rPCeT@Ameo z=j};D9BpLT?3iRvUPlD5l1GcxBY2122hT0obs$M#$~3m{Z@C0eW~|O0g5rO_rgOMH z3fO0JsT4|k=-P!pb+`ZMp~1}JA&%tL@#|XcXAE>EnSA_)NGC++;oMv5k&VI_P!LTU zbH8Hw%&&N**AM=oVCEmDgq)f_p<9D-YS!Y+r9JKHL9w7H_(}R0QWw?-QH@sE>Mpn< zQQ{odk4*7=5z?UJ`s=JFfi);3A7{${%AhCtOyIaC@K4@;bT$1-O1#_t;=$>c!Z?VA zS2*U|rZt>3+3h>iCO39oxS;-=$@k6UO6lTQ`y&CNv&2Q5;?}1a;Ub^OX;<(2y>Szr zt!g;y0dakN^sq^TcwZFzkH^7N+?tuJkid=;*G`tbxq!NZZ=p;d!D{R84AW`48!K=5 zK-2=BRr!Z>y0 zlGYomj>St8rwJmiqTY3g3>D4@5O*yv1ucLTjQ)@U28Qt}?Q+xr@M0(i|MqL?acPVpyTzUATI^k?y=;#B8Lpl!K zVYD|{;%0}w^c;Gx{qW!4PE?vw+%0W?H(`1HkP`Y58GYBTx8p-dZ@zFenad=oqKMGPI0SHZ;d6SyF2)PK&fWk^WVk z4bSFPU729C-`sI$a4MtbZ5g^yMkzlnB^sA*h(8mZlz~6Fj{9fmf%`jak9T=++eQ+@ zAXZ15b{lGbCIWZS+=X1||5X9;q+XKep%LH3kr zW{WfLCpPxgT`P*>83pw8!>i9fMX(r1u3_PT%i>J+FFxy!E@8d^y%NeLt-^Bv)!Z)I zdLqcHJCT2*i0sAN<$xjwBn`evx@aQcPi!fTAxI#|m-t&gJ~HRD$e=fdp-@a5iBj^I zL$`CZT{^Gff$E-${V$xMdzp$%F{p|CmWZ{2HYgXdd0HDGxE*cDFgz)5<-UHMmB4t& z2v21>5|ZvRbD8Yl_vSML(&sB;L{2g@IJC1*KTl^n2_j#zE|gqn%pR-U*Y=`5P$9Pv ze3it`AE_`t94Gk2OQa{k^gAJaHFqTS%7(F$$u9^K^j~cSPV&}=^U5k|GTjQAi+Cw@ zA(34?KXiQE<_%D+fGdKLrx@IL5i|i7364AQF${?fObFtZF)5@Di=O|Dlqck&){I5X(d1%wqAXL zgVPM)^dbV4m?XUBh-c?E+C9y_r9Qm@xxrv(X~2--cw9oP-dcd~b5UqH1YwhP zPH_LX59m$_VKG~A%W)Vxo}$)ZwL5gx1%FFDF#;RVC$Wp9X9vab(y8?M5)8%ynVsVM z8p*g@R3|53(@O)L_pl>ekF?4HbSvdiwV%W)|4P!X1_pw8Wl%COW1I^)??U3z=SQab zA|lBb1aHRLH!hcc6p*~8v3%tlP-sx%#>WEZHQ^t9rQM6KxF;?izcaQwW?&Az1RE6$ zui%SO?40qOt>28>K@UiiZf4fRst_hie1REAS#YvZn%DJ+LmCoVTns+VPEH?;^QwLH z48+Up9`XVK68B6d@KI(kf%7>RkyLPGB*_r;a`q7sq&|8Se{k2(8keu{+F+)h$tXA| z7Q1d#B_5Z!a7E)U(x) z=a^8HBN~>5E%M!@gDSndZ~Crk%xh}0S&Ac-qHqnYsQ4ni2}mw=30lc1(nre2F>UsZeX4l z?yIRtt|)6;*|KZUaLl1DZpy?VQHSSiz<&8oe+;uF;0~vHp}D%2Y`tjg;W?*XUMes$ zR57o97D0i4EwWd@C!5*b^<~-BM&bgFg~jU11X8nQaj9|DLzCUIC#a_Ik{?--hOzZp z(C9hh0pC&lSLWyJ1+x|UqVr?kJEY`LuaE97v&6P5@abE=+Dnf%8!Tw|N@+4Y;Zs%q ze}q}hl9m!kMtP#wcO7-8U$w86stBve>T0~9R!;3Rr*p;GZEpy2MKInCbhV20PEON1 zg6p%_%Du`F`J_MGPjB|k^J4V(aqLb~!xay?UDJE}SSJO{KMX%MQg%mmhk#ZMw!YOz zg?bnI?rGd^>;z8BqngKuOXmff#hr*#ClMGI*J*ydU5Grilq6p|$0%z6)i4BDscgJMp%CMyfcg5 zSnw{UvHy%l2T-zFO|-eJLZrN`bqcy)7Ol^SU^%9p>X@D#c$hzaNONIvr_lKZ3wq@z z&XhLSf2+c%rxjc@TKw*K&T?m+5$UqTxRCe$0mrZk-NHKhep5%5dCYIRLcJY@xU2!L;(3sq(vgI}-AlrX-iQ@^SQ`J{3xZ;@t&{u&#MOQ~D@GU4N)mzXNFVOnbEuodI-la(Q zrW`mGE&swVkJSsLQGQ~=zJ#!$ve+}dKY(3`TrQhk>0MxfkVE~hIH*vhrxSZ(%K=M& zI2P;3VmHS`i;5dy2$Qj^uD>6GYLU)wHv=G@imZNbe zc%*9@6%=s2PGZD4szr4DNe0Mgmj)J>oizZ*K}B((VmB=$bh+Pz&}X#IO6WS&?~ZR% zs`6IOYqWkvODM0kcCk7^_^YHErW4fa@#oe!{T%k;c~gX>lf4IL@eXzAN-+<~RSd9t zOh1&jN0-JOhyq5NhC{iXY@f3_tx6`FjOR>7T#4wb zlUfx^m-EoV=|A+Jq__PGVr1ytQ|2Qlx4Zn|zD1k@?A(?$>}X@^Nj3y{j=t>c`HZoP z+RQdQM<_eA{XpuI;V8QvFnswrdFvM~qTXu8Lo4NsA#3Z)xl_E<7_l0U z${aMzb*kQyb*JAfd}|l~$Cmb+Ymb%1y2UZSHCbJNE$8V)1}IxMW?NOCI2lo@0ol@4 z^md}1v9${w2FMlGq-K0`|M5wzI+nBM7w6f^B(W-;OJnyQ1HwM)Mjn#7x10$(7LIw& znX3FBCh#4JohmMl;U{gAi9+9+AjRSRkOf--Z&l9DNzH-mUv%@TVP>cL`z)XXLjH2*xZ}j^Q{}5`y&PQ)=g#h4!a=g(=&K(FV}_c2XPWn`2~^B=KN#& zW0HNsN|Ro}(&|&E%-(liIhCKkhvbA^*^Bzz@asBwB^e6S#!vXBX z>9HQJEz%_O*WvVrkN6Hnr^y{XF1e5_%;<7gBGNMg$c-AQ`?-IMX{dtRvk z(;ogVr~j`tkZyt>A|#4BAiVi!yY~wCP1=ve{^Zk&)Jj>=RcZ-xlFSn4+o#C}n8+R8 zS*EMFO2DZn1PXN-Jej)HeeGiIN3%{k);m(1+e_(wuE_n{mDb75hT{$~$@enUs07qB zHv$yQX~nT-&7IM)FX2Ohxjc@faeO0k-dC?xBvZ&_HF7kezN}pO)$rI?vxEov<(R@T^awdW}|w%7}`b`*ODtYfVc#n}aWvDTI1=8pBK z^`v-tV=vYEP<;Kc{MnjRZ3VmHlj9?5*3Y&sl7&tjl<$<@s#)k z?Dg6kl$(jzq}pUk3IR*3O{JuzV{Z{^Gbou^*z8&oC5Md7t<9t4-^Lcy-l5zr#NMmD zPbqqUeOUX5^0*lLr1mN0*>h}3Z7JnN8TMuEE6RVbvE{XIC>531x3yK2cki*)wKbI5 z57@fedP+khwyCz6($b3kSo?|6){gC{?WA;dV|!|QDSiFe&$R=TFBI(8TEZaZ+YolR zmP#2J#g5gEQzpJ+X|!R5lFQm(5{qsdKX$XlgC&0~QSQtMg8CPY`Sd0^!Tk?c!z8kIL3SK*DcR$;b=cm-}ze{yJxL z0$DOQI`%7p(fe5kjAnq*QQ2$~{UfV|CmNRupf@}~9CufC>zQgQ0UWzua zy@455rURzLPls2P_y^!Nh0G3)4b~RS$vMj2(dF8_Bm`bthw0|%kD6|>w>aHP!c_9Gj@gA~jIt~^= z{OIzLAgIlSGC`(Tmv*mar8lgS^0(gCWlvPy9}8zcd7{$50}-sirP{ircl=69(7BmM zSOcg3^qHTJZJLDu6~jY(d9PUwTa)cTArYWq$2>x*dbT$r{O7R!Si@4h=9VH*No(d5 z^z;{tjOm=bb^^%_wKZ_uS^Ok1E8OyD)%ArhZMRXAG! zSk`M;qN?PVlv8>AjYF2ksp%&rFRs4THPdVNCZA2e8(dYY66j|U(Pah2E6Z9OMv`Bn zg$T}9{H+?MN0buquAQ*79!je_O}=gX_6MB2!EVaUcv+4{la%#1eh8kN934BwSY+p5 zWy08h?VYrb9Wb^|7#L_xz5nZ0=M-7PHQ9}O`vz9DGrg6LCeCB16o+=M%ky#u>>yp< zp`486@$|0SGjvhNUIF7en30hGEJrPnpw$1*+~YWk{45*LU@o~-b2v%;Z)jSt<&4qS z{GrzW`p`C>7k+aORlin>G(kUeRP0l_V{bNz*81I}RFE8b5&g_fuutiVy~iZ_+;4W!XGs__KSMrxxQjx(I|$kr;i;)#;-dF0GA8~~nvR&Z zE_^>-aaPR0Kn)fzF|Cgi@G*-aBEKoG?qVT>TV9>WaWqVN)>$jqu9-UzXCwI1IDGkT_6LC^`L%yMrVN1_2VPQNNb zD({R_gCs(4R|;PL9$0095CeZr;{N(6g5=s=;}GxbsPMnxU_ub!1`?o=Tv`ooid92q zid!rP&fczoH@^rRK&vPvI9h~XlR3?}ycMt>me{4jZ+AU3{a~109duag7=20Vp@*Wf zcM{g@D)zUL@k_b))1i*o^Y2@vS3FkwKZw1oEs*{ZQM_Ue3X~DWist_-GYJlRCJOP3 z$g|op@n=kq%hX8$q6n)gJH5t@Wj?Y#VOZZ9fJ{M+K0q8r@?l1V$gH4(@^tqrgm@w| z;T3;;1@l6ZUd!@S_(jb#e`{jEW=H5$!=vxS#gjajqb>oiapz5*39)D)wDt?6>HL?a z-A*7boAZJ_%AL*j+78*pa)Y>`c(h6!05aPgrF9Vxtss$vQ&Uut$Lvw~H<(OIYbgK< zkJAQRx(lj{KyE1Oopv;E)+!lhZ!>L`P5q~zs$>0OZ2$5X#Vu=9aZe9_cK`8DgT#=N z@9&N(wJqpXRfS3Kgq_x%-tAePyhlPV>Avsy8PlsD#u>71F`}lBBBEWaKR>QW;L#dm#^X?15P{gErqH9*m@N; z#kYtjwl1X}#K+wrV3MhtU^7v4#kXumoEwb&976~%gBOU6BG3rdc&SHe|3Tpgq08o= zh0>dHsjKd8TH=7H3C#hzk`h!HFlDS+3eMBv&uOh9!D9?S)}xqGS}V+Ppv!;`4)%1U zOv(E@)i@TwlIV*i1w>8oL~p;)b)qp11*bJ1xwffiakdtW`MD@Af!3auG)=(F8x;uG z%0nmb@0~V+d&o=i3CmlxmhkWu3R0RtEb@_5m7`wklGw?cIJmw40$4~)_Z{%N-8$Pf zUSX2?O~)0)zggoftG107tJ9PXnLD-;D=BZRL7Np01QB9Mu3d^T6wgMKGpj&_b^%PI z?{Ihgd+riUeD^19JEX^x_;yxw{yD)STg4wFZU=bQzYVrY^m)RBboCg|79}xgaZ}yEz2=-B~d7YW&DH1E{d4C#RHh{3R3+Kj< zfc_lEwfg1P8KPW1C-k-;I~|zVK|HWb43ahGtIoFSGHh_cuL|AE zAn>q<+i|OJ>L0}J!toXa1N)`8ILL`kOr!IOy_ojZ$!7)Ms-&Zbm=q>c+}%w9ALFOC zP7k39)p#N3&W%R@F^)Z1OjYKoT9LNVrVD#!K*!8-Z|@KC4-KAGu<)SgHAvW(P#ERc`_ouGhd)g45^Q0c|O)(3I z|F>_^Z9H||YGg09-HScd^`gBkhuZSq5=po~@!d*6s2Sj7eTlkI_98xh#id(r$huox z`*jCDcrRvewellDVuz!~_7R-tLZj9jZ|G82TmrmVkb6x}lV*DUN9>&D!U1*iNskh@ zf5!FRCx`%EZ}_IambX-JnbR*JIUn}T5^J=%>$}tOwAi8_q8r=~=RG37=4)oXj4YUI z{)#*CL$B`w3ZCE<9a@XUsqNIy{&@oEsoDGC!Aq~wFz2@Shzj|Ksqb#| zpEsjxfp-*k1<$W|KK{A(gsvl=)HoPe^q15TAI$m}#~U>NXnI$3okiOBhV9z#SRJnm z=1<9FTce)ef3xE?3|Saqdml;Q3iit-z!Fp~Xvk{-O=OUZbVun{LTu=T=F$eK7?WQ{ zauS4f$!jsNC`qmwRrXq>zFtgYVK5GJhyDG=8S6;NgaSY#&nd|ufS;oB%@!qtpbjO@ ztESbOE=mQOO6yWmk^m4cz}vC)Y>&>U~~l9UPdjD78;J~l2lm_O5udX zWxU&o#ibx6X9P{1U#Q(Gtg!MNRL*$tz?tC29O&fgn&Hsrt~8%?QauQxGAe@dae1%zGVgcRR#VLJVrN_J_H zQ>8p%kjFWGRqVBI<=g3JnhK;09s;g&(F5#-Dv^;gXmy(shPM;FH>OfXLyB_T#z0AlRMDTywUpPz%&C8ykpJEBd%ls~`k3*m%y$&n8+ zaR}!3`+Es|0DDV%yH67Y_Pa@h0R4Sjz1-3T-e_b42X9pK6dZ3<6I#Z>!yYH=mPVoC zr0FRmn86a7w0(yjT2mZe3d2J;N*=2GjWS__>#j9%LF0OMH#e;{B5d|V&AC25r31@E z%_3d_ib)v9;rm_>M3i+--@o-hLewpPN(&{}KNY|)9Y*ak;%||7;MNWYsp06_Z znvJDQzXTK)?8x`*$@$*ep3TUjYKL|L@5!)B-W*h#oE$aCyH|th z(vKXR7z`O795!?6y!Vw*NR1);CKiu{DfBL9jsd1w_r?Go)DPdB=w0FbM|6>O>ZQxskjk4#4-vI#aeL1G}q9ig#UR^t+(7Z-6rFMC2Y0hYY1R)ODFJWUF*f{3&FZU zD3q8;PJ0L&N6X0+nAEwhydvZ8|8KEn;M8|0MOg^kzv|O19bkOO zhUaQJYvHa^6%4Q<9AUEIX=%qfd02SY_a7*%}aQg1ZK$c9VA!Gsm>;2v%(#GStqN6vzKvqwK- z)qqBqM7l=zxyG%kVVvS?ttiD)0}*_8wlLHQkzGi~o|@+-c-W*o-hb^^Ft9;I;~X)l zE02NDom?tK<^uyJN>Q)7<0q0=OF4n*NT@+zj#h}2+tyh>iq|&iW;vO8RfqX&tQ;B};efS~(_K-2UbPif&4q0I zQ^Egve-qrc;rPO?s_E9q8L=-g!7UB!BnE!RSh_a_2H4En5JP>Vwn9A0;+3S*a2vRu z-7^Gw>W?aZKGDZuDQB*xa&`3RLQSkuh*SCA0btb9cV`0gzwdxU;v#rtrACAN=ttzz zJ%Coss&M2(vs3Eet#CK2)92g6oMG1u7owiVua84M(&qpGne-_Shq4kXxM*!gKIxPz z(e5cO@>EKl%pYz#j>O^~_!5=LsNlcoqfY?GpS9l@55z*@keiinLf`GCHn6b$fibQNL$QQtK)a;rFDq2dhvsYY_hb_k;Y5YB2jR?+#6}`Tv6{tO@cW@(cfs@nbkI?O*g*m~U#p)&=fA(nDTNbA#XgsToatWQ4xPxcbr zV(IedP1@%3lm1O}X^vla+jnU`S53%tLHSH1q>4c#)w8LgSXQ3=0I{f@`$HT12m9=u zw?9OW^VmZEN>G^uJacau7KQNmy)E&2HV>2~s1y(Yw5r_olPl-Y3gT~K%bgNi_@DTB zTKXo(1>p}1|0od;0}Fjr24EGCe08Z!Y3o&AbE~1@3)a*8<$zJ|_jv>1wi!ULB z&E&r8uB&U7&DS?Vj2X_D)3j2q@07~2Hnq(C1*Bzk}|zg8}6;lfE%{! z^4mRMrZd3lyM5rLJ<(TOg`u`mgl^O89SjGS?bU(ETzKK#T=lqIDR<+7T;-{!yjRsJ zWI4-OSQ$7n-J_M)#HbLLba4Im$|li$_?(b)dhdR4^w0pP9c|$D?gH3Hc_FPjLP`&iOo* z^z@orV=$(Vo&FPrxG_Uk$-kMk$s&?8p)JUrH37?wafqHt)t~GgS%oJ*vduBwqHP0+ zHVH^>``O;?0-oGAZjOtf^<|1 z-(8R-Ear2UT>sWGG*QW zVy?B0bM|O<(eEI|Xjc3c&0QYFhmPTZQk`F7guTowJUPdhrh8yzw?P5b^4(*(*-T!t zD(6WyhIl|o(4B`E$AkOmaAT|1(%6wcgU2OX=-Ph^1s6*{(Ig78&O3q1Me%%-Cqm}ptRmQP+c>Fc-wCzO}Ld4 zJQS)d{Q8+C8F|j3qRPy}$41mEr6{z92X^a!_i}<;onh?^HnWT^ZApA(_QAlQ568c#(#1ttkzx;crKJHF>5 zM1^T@-_t~xw_0aQhUHEh_d)4?#RLQ>x|It`;v@~fxbu9c>M_GkGCi^R)}kH)5*)Ha z1%%@3PZhU2nOSfsqa&i5mA$1m16vsJ`!AXPv-h#X$O9c}Zb5g1d*<-qym)Yn#MoCpgPDu#OW-nMi-I`9(6R+eT zUU@E-b_<)Jr@P>KX_qwN{scIf72-bkN>`SHvmzgr=*oL}1SB~I+c#?kar!kc3C?Mz z8WVy4k*0qFMCm5VM$OgL+k`1e{8TF*@eBf!?EyE~UD~{3{%CCRMtpB)w z?@z`E&7%q=mgC$<%$Ol|3mhl@H9q}>*JShZu1x8bl61|bFspmtp{f@sg_`CO>b4on z>D@pPZT?GGK=7c>1c}1bDhdH|{o`v(*O@wB4$Zh(kuu|bn1&eGAml)=T8LJX3tZU? z4{A~W#-?Y$;D3OqPJNoy+P|1}FiGQIpF;IN_;Y|%a%x&Z>fLNBR$5w3ZsI}D+sJ=h zPHB?j25IM3^ssu3m!Mj-XA;&2@zDW2(+0HI#>}gpHXwD%)IwU%EQ`F16sm6>f5c z!zlt+7xZH)yc)7e)kAr{22?EDj;(DZ+a1t?39>&LMrl?;t}Q!`0)Y+DdbS8f)z&I6(5m6 zLGnxgcXcL1?@OPd3FgpP1ZDevNM3hD_yqJ$&7ja*zoIv)! z``ZFL<48BvG|;dF9vGy|B`A|VK&mWRO6+U(&RvI)xSSi7~189kF4@@yG9GBpct^Y(>_=ncC z`^;3n=wj~Vl#7o8av~ZB5FyEGo5i(2Mm3{=olU0<~Uka(Y#GvyxF%-f3kic5%Ms z0*KecO`bL3jvfu)B$&_opQM6QJRR>Wz4x?d`8zgKeI`F^NjmtnCIw$j_2vn@@#;g8 z&c`loiSGD>59x65^`o;6EM7rxm4aJIe(wmTpK(dxH)p+)>=XI_%fDumw4hH2G#_|* zr@)XKAfUIJ=LK7d~U2$SW`Q6_BlJCy%ZHC)&sG$g|08H76EGy_?@$ z8w^svCAINLvtZ{@mQ;Qi3;kqjo%ikuHDsOYw!!v{Xe)1TrM*sfSEm}-Q-RH3l!cZg zJVcUTd_u%gR0I5g?dCM`6J~!0Xf&>fNNxh& z&D^>5ds2jlBz@6?w+q~|-U}l+1vTmlW(1LM^w@rO>7_0?71o6 zk8IhWvoaEa;i>d>f*@q;+Z-ydXyuS?+FgJ{A;XB)lMn)TNl|0HcFyk4thvbX;Sm>? za@w=!$4$?&Q8l__A~z(lse_kkWx^74_>F=9bnl9An)DfA>C{hh9riv!%=aTO?!)pX zFo4bY898%l|Bzg?aCmE3wDUSQdDJvbHSOXf5d@Nk*M2@tAZr3UGiPk4Q6@I6AdUA? zchoCU!v>tOEB)2hOa0rK*E-2<>{bn|6;^)cDoc30gz%G%ea#I2WssfNsj- zQ9Fxg^%pTB%UKK0KSZycr=QvYP&UFhYQW?$OG4>@vWbo5A_uSBV#89}n@w{w=cUor z{`MVU#l-rfbh<&JPz>&DdQuTrF106WbFnjx_@<6CZF%I7D{aOIvBI6~@dZal=-%3h zEs^}JFwp_`V~a0H^XS&;n80AZ|8WeZnoe_q5_6kCtaDu6(=rjL#hpVTo^& z;J3Im%vvQgy-ccGaXi!@P`orfj-Qj@TC$PB))0mOU4%I_g6O^x?BMq?>vR{^)ki=v z&4Fj!eQ=$#$aGc%u0Q~T;0`=JT8k4f5Kw*jX_}K8^?v~&0^a>V0;HZ1tQ!EKfB>Vu zDL^o@DX|O{!2G4r`f_z+bal6Ha{#qQ7orvgNbN0fZv}5Sb9HcS2y(XvZbUj6WCEoQ zfB!HsGBY$aHaGh?IbmXB5N&2x`)O)xY;7TXaB*@-MZSG^czJpfulsBS000SP4;}yv zNLT;^2D1tSU_U;f4-O19M{Sb_^9K(yGdlrADY60+o&o>@$Ps%300Sc_*aQFo1Q!8e z1~w1^#tD7I3%1||0MP>9g9juA2m##xe-s`cWdi`R0!@Tk;5GUEOsr1;ivwC6Ab{Ro z0XQ`m{R?t2`32NZ^Q|*-6fH{JS0}WRTR}wrET4|-QYvhp17K9$VIYh=yEY4I0qqw% zt_J@vepn>$7A|!ECW8z%{gDA9w@szAqy}6NIM!ITN{)O{~QdBJQ*4o4cieL1Pb~b0k;7s zLV*7)kwXElF#ixVH+3yKF&zF?Cng&x04Lw02o?hz{}w?DRx2qu5dRM%CLk4x^$zXG z0YVt}Cz?DnHh;<#8w?y06#E%dRZ30n4Q*Zm{}o0$0Zk7YH0>QUs$sCuTzgkwxCN~6 zY@c}nqbP0#Ju2S=l7)`}-c>6TB~R_q0j3805NZ(@7Xe%10sGJ_Y5P(YeQ zrAbjlDT5nohdP3;JnMrZL6rK?+kdUzZUcWk(kQw={jjuD*U~b8j(8AoS&wyl z-`@Bws`B@YVJsPYaYJWf>!sfg1G<^Urui6)6&WF}&-+sMn|ur0D$jY%S;usOivw9m zKI^AmGKnSFJXv2kTq*SSklghqkAr6S8iG4*>t%3r+IFc3T65&FYs*@Y0?KbcFCexQ zJ`~0`&k&ZqDkv%C*D24aLSas`*TA-3(WgR#%o$;$<1z7FFW+o%X3AbfjA_K%PR2QRF$D7)4bF4%Sa&R|7!py-Ek*0HZsr9TD~E%}e=}4&w)Jz&7vlRFe+| z%8+usG0{09?vtRhY>Pq42ALBMK8WqSC`X`?zWy#g=M4T{@n-LHT!q!%%?f@}9!7{P z&#R0#(Ylf0fq3R|7W3BiG73T>{qe7avbrV-Qm_T&_MrWI6@LuvA;1a(i%9JA%!-%c~3 ze(GXM!oUl%`$0cs;4%Bp$9PYm*?zs@`fnV%(a{L~Y!zc2PkA^1^}D{_gosRQ3nwa@ zj4Qx>&tNoy%%_CS13OIhbhZSU0u0bWUOP~d8$yLE|#FSwaK%) zPX)-Q)mBs0(vsTtkDff)g~t@B^(7g6Zcid<$Kc$UB-2X=d15v9du}wgpkwCXh+yWy z%mx_uYZ?umjSYJ?91{a$JR>#bXEl3pUju7jzEjp%*;mJMyQqXBdS+$N1y%bI1 z^HUXmZhQ-&R-;FSc+GGC%;D!Hgc|u&?Nu{99z1FuI!W#2`5mch@>y+bCZ2NlABTxT zWJn!>>szKA51s;T>NL%_q;t}Z(LX=|pwB*b2Mkjj8r~o1qekiYUEeS$%Z#o@+J+80 zyFT!G8e7P8Z(L%FB*&>atQ1V}5md*!Xc;T{LODfoR)qt2gx^IwKQ5ZZeX)T0RTTIh zGeqy-!(Dd2cn!TABk<#e`wDxnF46%tbO+J3>;e;s;rmeulaD?UoRse{#H298Cm(H3 zE*1zy`AR*Ag`VOSN7Ww_9+Xj(SqjL8LOWIo9RXV++XEHCB>7tS@C$K{9WNG7ntCmZ z{(Vskp(|M(v@^vWu3!=P-?Nky_gazAWaQN{)Vw@L#7RhIxFXZ=gGjYdlGxw|3kn6N z!#TfpCOav9P4o4bF1I1dJ7kV(oda^H*Nty@acO)dmksBSSDB5l8lUf3OneJVAAvZG z)qp2@re?HfP3~wq4ArhaLY}}c6lLTd_9Ko=0~yw~w#Fd^vZn1urt5+pZ>5~J9&PcC zjF^n{b3bioW^fe9Z)@;F>#rFKfPF--j{L$9cTVE+m@uORFHISQPd>#B^xz+$^NFo}t)d71;jZ z)99VDE_;6SUP`A)%N`QLUaSDhBj6K=1heFbyG@^*Tlw49=1#yuy9&e$N%@{DoP2cH zuTxcKoA?+%$VwySNA?^ZG#Ft!{$~I`_f^*GeYM$quYSLlqz;Z+dF}KblN$2i2eZ)v1K_Aku0AAHJ^SIc1z>fM-P_?ofR!y`l_st^t*x-SzBl3nrF0)vdndsxI({$@jx4d(=K@+Wt(G#NAUeSZWwtLLAtaM!;%l8u z$jVmFtFQf*6njh$Zu4?*Pl_ThYKdhf9K8(bPr^R*+9pX5j*$D>P7K9a)08RPcCHb@ z;S0IhR|p2>R^3((hO)ljl%0p9!0NB($WYq2vaMbV_XWUt^1?4xiLdJit@8P}}m5L*LC1{P4Q3z-p^f+|esfXb72F+4iwS^w)Tf^)<;^P{>*YHdPVwb#r>{w)TsLUxRUGGsq9S(Pdpdr zQ(Nl%UJ6msd|@k_)+w@nLCiAf9BCTVTF=$)Mg`8dYwpLH{icNIBpf#w_hVr*8s5{m z?}CZ_;Ez|Dk~T87By;wN_m{k`mw2wpGidqoWUc?;>JjRPoXjnioYiz6aQ5q~T-5`@ zvL>ASYv)=!`ECxkAEh3b*MD=Hg3qc4w_*6$tbwU|B>XK_G<;y(LU5E^d&#e>n#vYUV zqK%|W)xKFvI9a4WFh^$^X$;g`c2Vy9PBs?PuuRel*eL0>m1%?Gf41enUs!hhJ^y^Q zByjPSD)AtI5UaHo6cc{F{Rq{@?AmIkC7_o|NE$C~p9>s~{o*l@wJK%e*_xzFa(rWH zMvg1Dk|TD;N`BR~bC9X0SbDUE1@Bm}t;Ir$F_~P8!d4CN*!K~xE8~T>T7o?r$5%op zN?KyVbTsY=9=OS!<{E@VC*gIdmc)`PlJAl^2e+!5pZ5O>wY%HD!`p#!^tapvMmUbz zt}h{(6l|RbPWKkSmIS&6EZie1u8paddig8^0%{cXYq$PB%};T0yW~-5Ckm&TrvBP? z_S`DZW!cuL;&UkGtWTSQE8443GeJHBH2Lg^uiKo{!W!k9+)sN9lDv(kLvjwM)ZvK{y=s9_&0iB!kgW%SYGkwyMhb`-}eYMJo+`Nh~nvCdz zr$-dbo;#E+C~IsdB^0~@K3B^hz`>9p;)9}xg&Twm>~dv9)(D~GKXeORy~riO>z#c3 zVqJBP!zh&@xO=$)9V~1}5o-23?bX3SKiYB1;F!QT5;DQ4YvimvzLz#h`D##I+C&k*nw?FFY3RxB_T0F7WXjq4*@3eA`yi_vfS`|29)G`{1 z&OC$;B{L|q7)zp-eyujuSDLoATUwlF+sQ#m^4V!{ zvsP$l^?3!;+kM&!=`Zx%dFIbn%pMnw?`hRi4pG-zCMy>1#cq-%VBzzbLcyo;Jbx%h zBPRS<BJN-^TEGO(F~+K{`~q=iLE7*H9o<1~>G&kKrL7Mn z7+las^d%Hk*}VI16BYv-!=a@Q`Rg9Pm%b=g-SykCELiN3lA)u2m938Iz}{O(-MybItZC|^5WwPR6* z&B$S}B+u@MPkp%jlJm#eo#aNXOZ+)&db*~D6zqf9P($>SXye~i?*$Sc5E?|bDZT}` zk7tDJvswFmGf95!fkU%+qpiG8U=;- zMdX@Xw|w&H}uGfCVJZNfc6 zo0-NU??ToqR=VQ3O~L2=y&jPJ+32On0b~iMEDh;Ff*f0VA ziM36Y%P*{z-c9`IzT*Feza-GcoVTe$aSaun2gPhUEa9YlZ%~!_rssu+mmQYhL3Bqw zXEb@I=1b%r_FaE0CGq@u9SX466hn7?B!`*Y%$+|Vl;T;j=#rSO{Yot1)Y2;7uXw#E z%J}QzF1p;ZwEGb-ML&Ly|3p8qbP!5RJ&1Rt7!rhrdJ}E|C9*^YByH z7@7Q_V@C2*)^DuUPois$hL+U%G@7Q^Fm>nUMy8O$fuB(Zn!{_{m-7CfHDi6bHFL@1 zXES_twT_jtzL^gIES1;Q${jDcO5f>sB=D58G4{mzyV-%+)S&w~0h_bAtL%UvPz z$w5)-kG+R|1c zzUHy)&w$0}RWjJ3eXOnLK91kBt&-&V$DKUcPv1O+qy)cIfPM`x4on}y@oD6)lS%58 zrLzdmiq;zQlkQci!8y-$gXC7)w+5eeJ>z4n-xp8IwcAVR$oWUe4a@;u!R0K`|PB@$RmAB4CG7Z zY$pda8Y{?f+izaX3aEb%b~8cy^psP`+?4~7(>1^2);V)KNOw#2W6_~HDTLG9!Fbi; zkAXfafe+UMckJgpr6uihcJ_5JpvTL{J+j*nW>5BK@ex<4luhp)g`EXa? zD>|_G0kMnDN~h0-M`eGBX%6vV*FFEh4DT>{tiH|MCY{QPp5c6**}%~Pk1o_isE+2Z z6*gLMo6q(;NTV5FQJ|LFH-@Y#Or+m4Zc|}{Ingtyv=+7U7FlUIRvQ>?OcbDEjSG{J zRDW>Dlc$s)KntluK4E|AwV4to zwNp9FCy6_JAFbiCv{97wg)07bW?T~AT{yUq@4y^G#`GbAuKlr56bCjKFkV?RF{Y+i zT(mNAD!7@FG?b5%5p&2|mKnOgcg$oaRD~DXvOlPBSa`KsUQ#o&alHT+aCs~LR#PB| zqLwe)c^MKsp}CDGzpXm@@sZf1X^+(bEA)3=XKMFfQHZUgG|?cxR`~#vhrIOT+@4sU zwQlW*WcCE^`#tGtA!@XA3#H{;vMP)gXDx3%#x7LEyuVi?vb_9|w!s|H zr3K}-8?cPo7UBtg=ZPoU_7xb?Igs=BE+a3Arzz3=IzD*jeFB;_NfNX{T0<*E&)`jp zfnQm-!3Os|&G>cKsHg#tD;`H&7ms*pUq=*uZvZ;&C-4v%UJ>ZsmpQfbXTGnD_&33Y z!h&Jj)*+86Rus`~1rQ|JXYx`S)=Gc;%<2wX5?bc*CaGUCy+dA}1I3rKNNxEkJ`j3k9drNK+mL_uk7@R;Nzj&T~ zr#l0N4@u#LX(|Dc2>tcdG|8+3=au+`pH@w*jjaU)WRDTdU^_7r+`bDG zujrsH=g&l=0=lBC1m(6Yc~6JM0>;i6kdE2t92J!`TbCI)FXi^?G!N`!_rhQuO=;g=f~9e2C`3PumHAoAoET zQk9rAVWWgE;?C3z&2FAO>fDb?pPV94wsqMkD}b_N&lpMrTl+=X#em5v<$c+4DWL53 zC@I*d=vZ?5N$Q`*UTxyq+uRDXzN9j_@ee^zv!AcYWpmHGq||xbA)Ygumi!#-Tj_nM zJnd^}O%G+u`U(C~bzjH5*5gj6l0ElqEP_{|4FbPt+2WA;%qhoTKS6`ZGUQlDr6jV> zqW!VTp;&Mve4`HB&c7!xbfzxW4hp%8L&lh`50Nb)FnI0~%)$$QiIS9Eymb_1k-S%& z-@&-cIWsEOmfP4L&Wz^QN}LIodTi^jBnrkHjB2pLi!mbIyYjK zgqU!yu$-xRF1nVK(PqX>RADG-GFkmoh$N>9TpQ?TME(|EFi%X#hOcxR)P9J}rP=x~ z>O=CT^@;G2>z`O$4$`nnVY4b*w3#ey)|r(5og>R@BJVsZv=H~Dt^cwIeYeSJ_xGUK zg6}ol<;b+~+&Mq*#5Q}rW4fBamnaJ^M2NVL&g&E{fYMRW12kIP!!7i{(p_$57>oM(8la=6So zcf?5fY1kC9vps@j*r(mf^b~!tm_)e;#MGkX_V4}kNcA~=q(ydn8)QDptZnF~^stZX zpUB$_uJH-l75??HlEXMTZsDwlB>}vks+XvXZPjM<`b#VsXtr?>j}9UEc30+sG97 zbNmHqhl~JUM-xds&rh^k%=!yCkOybIskPC=gST((^2 zCBI^|C%w`fs@$_s4?Xe1`3+Q_;}ewSC>{QR)8NYcnFL(fim1J2ZT;PB zIq_z_Lbfdn_kdHQW$$ZCVPyPdM}3Qa+$nAWyHU%%s#4t|UT6F{c=27CVZD>Yx=n=? zO7L2jfZaZKCl!y|QC25wVT3QVyTH$oea`L0W$~1pb zDgh^|dO0zlQq?o;nS-D6%F-Bh&sgs5jS_>#ud6}4=z_UUO3aU>gAt79k@L?@X6S;4 z{9t~b_p)?@2cNuk*SlMp2{pQ{vj9D?Z^8qbx_T@n^z)xvvF4iVYzdug)k{X7?ZoS# zwE-)Yg8qrorAsT6P*2|EaET1)&lLi+c~6yxQc@r1Vflj;&fOjD&?|`78fuA>gnppa za>D2w&QO4Ww+S{Ye`0XA^XVc_w6j&XPwl{jT>MbIV(*!@)zZVOqwMN0XDAw;ZDy}K zhSSFf>+6j`M|b~4?}KBa`306x&|Wl1I9)(=O-dZvB88gHeSA99nWSS2V|b`6Uvs;~8JxRO zfmZK2toV_|=ucKOSTBZGT&A&{toQxJ)S5w!_%O3Jla)ug%r?zFePxSE%%LeO{OU*1 zdXA8Ioin9*^WJ6&s4r7z@u2yl@zRY*2)7Cyr6xMnUb`>-s@`h(DQ*baKDyh?qc0Lv zr?q^dd9(Bt<2QliY+$QL)i!2+uma-w@TXU@3ZQnjHa)TbJ ztVk(jzrY&abnD&B0@#=N!?!L?6hG5P@bxn*ge0rK`My<=P}h4H%K ze6awRK(I{y5Jp1pYKB4o>}m|hE6K>b&Kd)#b(8#P-_GQ$!8B|4e3Jp|Sv36_N2=9C&V_i~d` z0#CC>tg#TBKPZEojnTR#5#5p_8!@#1idE-9`_H7(jP@eZq$y~thZDQ#81a9Yy=|aXt+Oy<~v`d zByWMK0-|nxnjbjQ{*i0sL1AdQ%80ui)Dy;*6;k^Q+~a9^u1Az^W?@?dw?)I$8xJaQ zV1T}=L2t4jgiBrY&Me+x0$rIjE8@X{!-@X!HP{T%~}DbFidc`SBPf+z}_a$hDs590yF{`fZe`t5Tq*Cz213Cf$-~ z&(>-@^Q+|9J#w?fa7vfK7m?<&tbNvh6+1 z1#4+0CGt71_HCCS_oUtg0UCaa@xQ{u5dc`pU4ci6QwSjv>cqzl!Aw^Z$?^QG1isirJL#(9;msJlp1y%0&&b%s)GQ=4 zEJpZ5vsYwPG%+SlG5%CS+yQb@@@mQ-rvbnbRRUzyfJCl=#Wd%^09Ygs0@Og1Z$N=e zz&bQ=Z>l`d0m%a9KdV8;0ddqR`LJCMcFGH;fU%E&B7wM7BpeC@xL`=B$EzebKZrNB zAEbbn?^O_Bz+tA)W+fy~Edyr9@27x$f)3)j-7KDW+XE8iod+rF$;kU*c^3t7s3Oou zd!p<4kiK-e^R_RcHK2XXN6mc|kr_OUE=kQ9bof|N%p;x(ZW1Jf?glN`fW#Etd8H5> z#v19V#nUtu7E)4Ekl;zXf>gN&cD+LxTS~hhau%O5*@s`c#xEp}<)Lt*QqnR~f-Dg% z6v4ttnV;B(3PbgA@DTu1_V1ws(Ptj&MSS#4T_NGuyXO!<5JU(hC@AP?e0c0L(B3By z5RzV{FD{uPvMYxm0N_MOLQoF!VLo$pAPuT@`=m-Ox=ND500+X;eGQC3`tpcfgkKuz>WqjTyP75-OyBIch*XSeFV+vMaQr|6z(3DNy^b#X&=708&72GSvg!qR*Qefj-lf%qpbj z{!>II#)O6v!~gMyr$(PLixh*a|F0>L_-|WVdZ0O8v{vK4{J-f0dYL&M)I#q!HwG!t z`7I1UzI1|xAt>nCDT~7bpiufNJLz4MnRY>-RJy6X=B{a>yYV8u(B5Fzjuv})kR^R$ zH-n-h9OQTRx5q(~@@sehyZ7Sn@Zb3RYzi2NMFRj7d~A2i0O0f=`+M>)zx5CQ+Y$ia z{vG}s|MIDS_}}ILfYg8N@5#ShS!lPv68P3X0;B&=fZx3W173d%z@O@6x+;35Zk?ktV&1AT5B90E0>p1PN`xI2P0qMIF>ZL1}{0 zn<7e42nkgM69R~efCRXIocDd_`F;5B5BoV;YwdOS+4tNhU(TObAos37s4o5(Kn#EY zP@o(Ff;VBii+@69H^YDo=(B#2mWyh%b-DX>nT34_1W?RCXhVwIw!h!q(#?z$#wUNi z@VE+x!y)BSgcm5FIU&=l-^0iXkHBmg)owhjP# zx_fy0)KJlINEba1?g+W}bP$e(P+N~xbU`b6o&z1Y*q2g2COKn z3B4^E`s-SuG)4OECU#~kAz-H&o^hI8FyL1qVr+B0*`Kyw;Bzo*>(*Am2B8C59L&O^ z4m|deN-mK;FKa7jtpcyBkb899tJvutDYR$FR;o!x+wM1$drs?yI(KEQlD zvM#(C)r^-_6|f(b=#gJ+<|oLp*P$go%#&ls_A#0#S<{KV2`@J}pQ4^iO{vS+$b-C( z9Bpv$dh-;6qX-H|uJhLflSV#DUtWWBCMz90@CfMZINKRh_cB3#wc8}-r5p6tYn4f- zxLGN(FQF{wolx6sX!$DDVsn!c0d;Wd>AgrSct-vi{=3U_Xl0U~8e$`>{RU3CZq0eHjp4Gbmv^tp&} zWz!Y~WNntVdIR6V`avA^lWiQZ71BGvMg$g$2vvNp*)w1#Y^?F6bl>36-+mWX36AjLv! zoHFAP+_|3xIHYvXQznaqc$!LX%7b}Pxn02eICcF4D|>wKleWc>E___>JbY_BA6Es) z$G?D$RJXU6jXA!ok_z=q>jfR#vn>N;l|t9k9)k|@s{3wM%1?7LF!a!6-+|vM~8f46#& zl_bymoi@Y^wPz#6qVB>cEy+>OIhlg!FpBy5j&j%BPS(R&e5a;F1zfR5)}=e&U`0c* zw5zh-1GUs`-Rvp|A~OIe2MvBY7VD=Ms+xGbZIW`VlLnRr+^DAbt(|LSob+s*#t0*& zINiY;W*ZzY@IXOVdO=-DPp8LFDs>ouN51Q8W>OvA#2*}_z0+y9zG-NkIa-6Y?P6YY z_wjf7Y^%-1*qFKaB%d=i2UEP_h7n?+z9IbOM~{Rq))S*p9E!Nj>h3fj1{G(gRQkEq(1pWo~-Oo zbuCd{y_%36Za1^Cfrx%nucR1kO~!GtSNRVx(~esJi7ZEvva~QS|Jdfhg;i#s1~_R|wt&%wY-| zF>~p|C*S#mI~oq7Yf%ryBlU}z8M%iW;wFHej03j0CY|}RX1T_R8&Y1c44gL}Zh=Q8 z5+;VY?=yKB90kfN{fN<(u4VlKt{ z0(2|F*K}@@IWHHKgy02vhBb5MngtVDdwh1 zr>#048_JFxS~K8T7T=9;{iegZ3-JJHL1=D67tB638wG@S8Ao+;@jC%!67SvX1&d}UpGtcOv$qfFg&*xXLKpi7v$GOZc^)2`dzAI?v?n@+m`Le9IA;2H%ngK zo5QSe%~jVQRjF*dz|ov&@N8`oX7O}z4RZgRf@WAQi5Yn$2flw@ZFA3~gO9w^d~5VY zYSKGfgLjBg$$LQJ;bc#u6U7~NA3<6&p=ic6uc3{|Yc`xnU^9bTmP+{YaeovgOiD1t?=c+3-lw#WGL*|JQk5C39bE?i9AQ*t$POdT0 zf%2r)UmgMHR)i|I!yCYxvJ6-n6?>hOBh|yrE6T28@*(~p&Dpi40r?1`&MH}!s9Y7Z zhjSPX>6R&E7SC;LYjP()O4w%15w$4%JPaJ3ejL8-KTZrD!>O(@ZQ(vsgvLuTh}taY zNxLu7+-J5VMrT``nF#5~G}hUCYmmG|`|cocjr?`an)_8TsOkZ2ni@hlxsuHT%X8;W z+^&q8knpP9D&NZP36a0hA!7OaHu)o@om;KlcOtGcOoJz5{*$VMEj?Z~&6$ygs#rmYRSH`iO6u zKKFbz$>2fl7wD0VoR{sVt)IU^XHyf3$UaSnek*>NSmtF%G!}7HPC2{T#L{i1LAnJE z)&pNa_NI_^9f{lz>#^0cWydE`JK2?(<)=Mxoh3EC^&9f#)BqWbY{u=#NS2A0Rn8+@ z$yn6`H^eM-&+NM=1B{vsTe5nofXH9VCL-$TDLz4)itg8>;5qu=bMoG_uQ;;6wUS_q z7L{vG093K+AWShHzx~j5(4==u?%HI1%<+J07oZ>HE`H=1#_H-%>9Bs3v|mYm&2ic~Rr7eQ^M{>g$TYYE=gjQg^89nb@egfj zp-dK4+Dj&&7M-Joi-Y2y2=|K;v?UUrX22lv!U5Dy{MR(Jo5%Z0;U2<};;W<|`(3=Z zqH^6>FYENfsQXgh^91@=*Q7pO_8Tr>%4g5*=cMBg-Lm4oAJCMZp!Qf5jnLq!uGylt zZR^Z&Z@6fix;x<_kNZ=w-!{vvft>qIlX!7N>!YO^$Sm)bd5!&z7kahgi+68kWI=xM z?@<9h2A#WN zd<;Em0Nv)$^@P+VbUy1 z!E~dhmbS8*l>tRjNbjaJMJx`nSS`q(hXk>3PLX; z;nVAUA?wxm5Gc~t`$}i$YjncWPqz4GAn|P$dz!ZKc$ip=^^RC&xAClmyw|ivdbpfa z9N#r0r^rNQa}~m&&OG=i+vl3>bBk6gAnqvAY?Dz!=CiEI2exXzU3r~4~gC#}c5v5sKE94O}z!0nfs zVJU5zX80y!h@M=F7vrg{O<`|czm;%`>I6?10#KF9mC?es` zRZb?9IvUBjkO?yixX}G81LRa=y>^iSa_))Vh3`$}D-$Y^!?zOmahK@O+iN@y7Z0&^-__ zB{KC6BQ(7mViggvEEE!F{29I03tGp$+L{bM%LzKWG0C^#SM&FdtU#CykuABba0{!d z%;f~_sKIs87eY0ae8HtM!xi!NWsi8=qMNE|%G+v;VP>K4!o>g*Msgty`r~y@%IVV) zvkzB~iN)Q@ke)cxv_69-AXe{U7xl^9x709ve=ILkTIjX@yN%WGL~eCcsjkyeHf0r; z8a<3HVR91FSkYPu@wCJ5s(3F;$Gwf}P-Fz3?#wz*_;T<8q1TDuj$hGzi7wHzkp+3e z?gqjs5E4&$8z-T2!!Fz4ecmEz$6+NBCO+x?NvsiEEcv}L>bkdtSl~k@KX8LbJulK5 zLoqPzEL}W^N_*$MtbW!?yM>n7_+<^XnP^Z)P3S7_HOPP2F7<&Ow)h<3@IqwFUze3I zDjr%W`qaT9rx7vJHc1cumLJHJ2|o9b^cayqq1^9I5|26iv*<|uclRfvTKuI}1sZ(p zuBn~POhJV^-;E_rXEk#)_N%;zAbOCeRjWI%5TG#PbrS=1v7@z}^A=y0x^9ZutTk zw1v%8>LBOC2U;6Mu{D#e-kWgH$#MbAPE`yr+au7h)nK`nWFz)UkX}Sk5Hz0j!q~)S z=yTtyymC9=Lw`=thG6I%f-D3{M~Q1uv6ErL)ERf5YgonD3)ak4L6#(&;6(;G@}xC1 zZ0}0HTWnm%5ldLIV+iG&0Sj}=_|1T@?RyWtxo-rV6Bx#` z)OrT}9ExFSg10cM{@|#vkdTGaPA)<8T}%AD!%q80lE|lrqqa3C*agvr{!*q-z31Dx z^eo+XC+-jTkqAiPSM6YjQ{AFb0nNCR9dt#UB*ZqWpJH-Q;EjOgrptqzgN=4P+>C2e zvU>qj;OCx2)WV4K<#8~xor)ishxKGH4+Fs{a4LHYuo$C2Sr(mB{pi0=qWuT!$o{{T zoTv1D_LU7a8HZG`$A+JMRF{!c-;ksXtKE#nT;phstTS|vUJal1uH1N=bLKPBZ)!vN z88rRPHZ=|v-Y&yv=06zz;{N9_TqPlZkMF{`^j>p~OHt?`^JXNSdP>?5KFD*S$kq^v%9C3|m+&TV~ zZW!fOTyN~|2h9Cs^0NRj9y06LV9Qj%y7}ua??q7tX844^)dne4clETzx6kJh+}JuB zqJsB#sBL-hLs>IHZIe1f*=rZR<`D%?6|H?Lq4g+BO!{$|*?*}wyKNSwbdS^aergcc z@8cDYP&iRu!(?;`hWkkvy99BlpZm(;6 zIz|H}+I|n|Dr8#L2<3~%XKv)nh+p2yi)y+Ip{nN9$X=fP@v%e$pP^5A#c+M+C31bX zU*Vtv3KVy->#O?{lTmb9STErB_MrEspj8sLzrk;P8vk_=axfw7>c^RHdOt?!ZXFk} zYCP!rhf?IZmc@^-K3_I`$o_AbR>k}p>YOwvr5#3;Oojh|AIL*VwrE}kKXMBuZue*; zQawa2xO%hK_fM4g3-iUnHN`I<%KYfzT>q1S`jg8^#%}p`>wC;bdU|O)K9crN>3Uqa zcQR^=|B;I=o`n-#iQD>{v{YID=AKah%7e~=&51DGf?R5+Al0!VV3JxFX= zclq|+x~A{Th9C$gUgxb#e$dueMH9k`H#r+RTA}Xye#{ajea;91E+ZI{CBHYsmaZxz z56PuF$mom4&T^lHCU$El%KANcSM@&qkWhsMJzvIH2b`g6)Ng#NEY&NyO`M&{`K@~f ziyikq_geQ86geuPk1yC86ph)tv36SgtT%m8Mv59T!yUXp^@$DHav6&=KJDMF@roi^ zU7Pt`_Db!J&4A+2@X)IU+G)-E-Ahb@QY=n#GrmWdEpEQ?Za80p-ZD&EVZ?`gd%(y2 z9$2LPDo@9Y`G)9Jy`b&hS^T9Pp=L|$B_(N;NbrTJh=l$EYjl-O=sd7sB7Cw#Y0)*Wh<~Gd~JMNk1|_ym0&u842R2aN=R&+a!z5 z*gc1&q0win7NLpgF_~k}>ZDEJ_hC4jkR9_;iX{vINoz(}_~_43%TvHiSAMERDpnM| zX5olV5vzlvh7f9L7?w_74q-TrQ|{X;nx;2(7tcHi7#98U?B@I+?uzVO2)tp!ItAM3 zqG@S_3 zdv{kjQlRI)xv(oA^4+KF*5#riq|^i!j_{SmOm75#>AtsQw68wr51ToQQJ({1W<_=k zX_+YnLa!a4O91>Eacx2LVyr-%+Y9AvE};T35y&$BfN2`MP^%X}eGtVPY4$eBS>x7u zVoYzPL*Ci+FgwWt9oTk;*aLXb%srrr#E&v4I6qQRv8TggCA$O0Tr}^fE3KFGb1&MC zTAFZA($);r39T2Gk!#ABf9pkro>DOg)LoHwt{M?bsAO8nnjLx(-^5w?!!mY;i00t|1hz$Q}G9JnIVqqsG^+HU$+_Ny%g8%Y7OY& zFB3QvO!rwYV$hH8(CQ99uqK3FLJc(FWEjyWPWFVF8jOZjVNO22KOpog}$hc`k3#GVYxuPFlulkw+sTR z_73q0+B;{lN>y$xpigzDEc)5rR#}1ku#;;=^+K~jC@G`0tjjE3=Yne0CwznI=^8jm zR?vT$swx*R2jJfeFjYs`4NYf35`W6NP_erkPvDYd4oj7J`iTN7eI+$!Wcp^HFpY?U z^U(tH{sOa@H91)e&(1p7FK4U_M8#OFZK!6!8tE~)!AcCWQ$>~i+kj^$Oz8?SZZ4WG zwO6pZBZ#C|HRZA|px^1f7!4KX_%8I^ityBDHW@SwY^ z0Vh(mzo4E)_$ znL$2cyN!9)qg=yFJ4*7HZa!AovT zlGMFT5!Z3s@i>KRn5o`6_+nm+Hq+QB{kzPckB>T$@7gvXy!NcbTnoBAvS54z2j}!E zma#4mr7BVli;S7;I%3CRc4C=^Q9GqM>xe+}J<5tIXO$c|^TDcY&)UKV>=2CR*`(n*i62Cy|v{oW( zcx5fk=UiL3?`J%-0$F!PZ#PSv-IPxxO9~=F^M2Zq2z|Fwy$XUX{hds{sFbMWL)1a1 zf}2|^48)ZK0n%HwXVb9fr80XPEeMjQxJ|b&vW)0T%0`&+)_A!J|3N5rkshk7NX=kB zmo~cT(aJjuurH${wl2*SKi!K#4LOezkVo3@9KMO<^Ck1L0Xf6ibVhBsqG??+RMRG` zPdjw|kT_!T*(QeHzm{p=YFa#?`4VLWux)hTl2?07W6h^0VYe(BuTfH!J~2vh#Gu0|1sZYe{s2raz(LxFJnSNg7YKL>ddB zc_Xn{QkfPF49tP}Pwu}GXI()$(fD}&$r4c^$p}PnhcY)sQeJ=M_T|kuNPV33c=Mt3 z@;(3lLeJ)nvTw#Lj{pJLsErFattPnaD_R`^REe!_*Yjeov|QP0}pR6 z`W$iYR;e@JOQ0dHa1zr_V~a8E*?0sWqx8AnpKrFAfZ( z#>2SFs=m-23kHxWUtlr{ljXM}lntgnw-<$cbH0#D^B2~S*CazZlKhaT)_ccO;o<`7 z*@q6M=u;Hh`CA+;ujqbz%8wUokdHXx4~QwZeJD7s4_QA- z2JVfuTBJoK^VN;OhK{9*u1Q+P9oin?oB?6w;h!7f2PjKGjh5TA>BP1#7h0sH!AeHC z3^KM$u#*4)QNb!^Bt}GFfbv61R0VQ^LRcIamk=ICj!=kv7afPaLI#s4;bcluL^2a_ z5T{NPqT^5KFcT9HQ)bDOL>4*;6(4VxB6&PQoS<(2?lm+rHZe6bUkW=Gj&F$ciH=!{ zjUy>8C7w(=xI;-vP5Uh!=mWm-19%gNkS8=k#1aZXON7>708H5vUJU?fZD&0iLmq%t z1D12D*I>Y`=*jHxT^{P}_AwMneRvY!Ye~Q&;fP593X3UgNI{}m5O6=FQ$c@VU4ajY zNHr5y!hR7@L-gCB6)4iU=@5^b#fu)GCPcUtqHG`q$_Gea6p-OBT7tZ@cP}g*)YC3) zu!TQ?G+4Rr^9L2N83bLjs>@f%;iIJ1hkImZA%feuK8OuOq*bxd113W_0AjthG|cdV zN}#HOn4~*Ig$o|^RNyzUl=R#>2s{ zJbbTAGZKK5XZ~}E0BZfzMXd-0cTJ3lr_bn$(V4n}Poa8uVN^+>U-t_z01%N978e;8 zb|N_hfaU%Vj0{T(NhT-7Ma1u-xBf4hK#q#|Kb)ZOe{CX3WTU8vv|ag=|3MDXX%WZW z5~AbDAr1*iq%iU>NfG^zo~~+$le_cZA3$nSG&v$9HTrnOucz)FfnB8)-NVoXVoj$R z)D>}w<=lrO(~uKsB}E&7+^Lwb%83(`jqGyWZNoBq{UMC7)@e-)&#xZT6H zs}ZJ)n_PiN(5p=h44sw!%Z7v;PY91oNRHSQ{Gt3Wm>fkRA5Tb)|J6xE7-^S$Ocymh z43VOTnTkM~=@e6A$QJ#fsS6aQLf;Y$Rr{Z5T-<+b z-Ra)@^o2Xr|Hc0UXVS0i(}%pFzuad6(Y;_{2#KN_Sr|b`Popgk^GPTEdV&AOuZty6 z;3Xdbz@gUkLA#LM!Rp&9P<1%j(y50f7Ji!}IO#3=Vyx@rw`rmHv(Y)LZ?->uUaj k_x;QLTKtD6|Kio5QU3@K{~y7Px# diff --git a/vgmtest.exe b/vgmtest.exe index a7c7e71514d7915090cc501c723d441a1a7d903e..f0de6d51e951e1691231cfced07f37abb8380eb9 100755 GIT binary patch delta 38367 zcmW(+XHb(}6HPCa&}%56_b$D+AR@ggy@OOC2`It?QbPd2-W9Q-qM-CBy{afhkt!gl z2?0dJfVAg(=es|4c6MfGf9#z*yXTzymJaKs!vN>wy--&O6as_93xVKuzW<#6ABq1g z4l;>tdF;~Wa*MhpRo#$XGJr%v$|jKS(PbU`e;({~Za5r!XZiX!2-bOoRcy-eyw%UTdk5TXH5 zhp0hh4nFP#Irry_Q`tRFxNPd3j9mQ7S%{*9&yUwn0_ zBWskznQO7GUqI&orTG1%8hKWNfw)=%*h+w`QCIx@K(uslP@up6@s(qK9|@Fxly=h* z)~nEn_Ygy!SEpvF{W(<7XZvwBNn0LBzA>I7wnyz7`7x3tzD@l@k~{aj2!EOy6+4Zo zas-&b36L2NooK%5G>F)ZK1D~)W%QMGaUTQ?fr! z3$PW75UCVe{!7Yna7d;z1@?9R*E-3d`68RQIA{lVpbZumLZEZc_p-D0IMMJGW;i$@ z%H?1_ogNbWZjU+b0Lt&InUIAqJ)rwe03}m3Yc1(d*i+eupoX|0$f+u$+`tWzS;>im z+u4vLGwYNJw%PHtQZ_fNMCasrR{qIYe?`Is^F&E9<+hk}dbce7sk`>i)MGvY?fqV% zDgOq{PN((2<8|tEY|>WQJ;>R%{oztTjssQ(hFKhrw@0IUPh zka%m?Z3@>sH;Z5_Jr%RfR>Ur+AnSKYp?;?j>8z8+t8w0FCx zLF)<`{lqoKaaF^M#1-*oak(PE;3MqUHoMq`&8~%x&SU#GKBk>&h6^}tZ!2v!-L%-z#g1Vshn<9Y~XOP5SJRv&+|1(?s4m}IPcVX|y%Ynu0GB!A- zxmvc31bet=nP`PCIL@MUinRbU&n_Or5K5j*6mlO6dlwyaorT163DL&rA6Ql5UEHSVmfqP zeY#;M%a?}&@O_EnkiNmEhVSNWfe;!cw{qx?zsZE{Ev2G)pAu&DhKQx z>eQ-59huhXCQNLk?V3U9Fudj?CvqOT(Q(5VO|2#8Ep0^c-`?2xTA4sD?=%U`8`_}M z`Q%(di5I8GZ>X3C_};&wU4D#Reb9_%GONlabB*Fij;3r%C>`hT>{)nfLuL}# zFvwu&pTb@j-f)m4VO(I$Q*{zg5A?j2Bpx34)hFo9Yo+)NlTUah>%{~#9VhYSw`elQ z7z#e5L-3B#PpG=8mR1keYA5s|Z}pe`lhCr2Baivw`+5z&ZPU1@A6oeyT{iD$qV59S z#=l8_jUUVf3->e<*xZQIn=^S3z4Myh4 za^xCAxVl{Un!AWcAKL0@cSwFtax)_>X*i#e@2BeFcJ2c8_Dynp?l^&JfOcHprT(V0 zB$rFseOVAP>T1;AV4V=Wvie;tgQx0bH#N~-gl@R2=8}XTr49h=TYpBFs)KIf`t-NW zH`po(DbTU$w?W(bwx3M>pC*St@JZkmd4W(~f%~xKxw0w?lX<0Q71xu4Lr(05CM65w z)`+-F#<80;RQBK+WjkzgjmEw6B??6wkk;FIqnu59BX60un^YbmUwCX&grT@UAQG}3 zH-6FXN(;UuaS^Zy$jJ@qn|ZM*jPLMFK(QOm-Mkx41*-Dxnr8{5aarHuL>f>yZyRq5 zR3)XeN9N#dOu3D$?JIH-u@gUD6?XYZOJT2gW-mxF#& zR19aTYyRZJYk`#`OEao$l;(p#Mr9c1>|_MzoTEY#9jJ%$jI|u~=Elx?!31!>4x2+= zY&6!iJC2YI9q5NlEnKov>KN&}s9R}tIAgtX*Gzk-u3*3YQP4f>*eX zu)hJn84Cw1Hw$||is#~*&i(9rl<1-%mFrNHl?%dB-rhG9{=kAlcA-ELGYw3c6kUt% z=i;saUYv{X7Z&aN`0DxDBpFU&G-Y!J%C3-pf!lw7+JmB{xiJD5wcjW3d&~d zlw2aNZCVm#(D)rf;Kqr5nT3yZr`mG*p41n`t zgz_$7=HWlV8gzLxC%VAs0|)1ibiPtTJ|2(&=7ikn7LY?}WmH4$Q}OQ(bscGYm3b;h1YtdlvjZ!9<8 zwq4*lC~G+v59Im$^2qD&Ln3}HZKgKGm;T1ASxhlf|2>;GzlzTGIy)%V^X|kK9e^%p zJ>Bth=Iv#)uyA~3G;XN+gm1{u5;v6W8lQ5}4VLXYi*zy;*FyV52y1Bc$i%-e&?xO% zWBC@(s{wzW3Jm^v@-R4^8l0igRK}q;Z+e1g0^@lZILBspL&@5RQgVwy|7O6Bku|;u zYL|ndN%Y3uK|s;i`uQ%HPCz}-&*zWtC2|EbZp1+gGg&z=YfyxhhQZh%y=@Xk@CC|F z4Lqh+&k=NCq5s6&@2{9QP9->`wM=-Ynz?f4$S|pBj0D+{ptS&lG@&KqT<$mgm4DQ= z*_6UI0#6{ECG;K4nkUWhL5d(Us;ad7ugIS_%O#_wF%nHuf508e20(LJzjiQJ&geQ| zoexCzCph+{4;h%aJ?w{z1bPVWJpbcNqvxEywzazu^FbYxVcdU%)2)>%xuy}GWJzn| zPlTQl4Qde`2`LtL;Hio6F-})@bCYq>AU00Grq=s86^QU;|KWGU#?eoo3a+q6qDDtB z)87Pr^508ya*QTK0-wHGDxIKPXoG$W`3gG#Wr4VEXr0%NA0u~s+>UZ@uF0~exG z69zVU(|JWbC-A4j-zOvc>9!!40UZEi)ZgdEfeL=_lNqT{T4R6Ad;Vwt&^0lU?^D(! z8HKkBCt}LqTQzz6#zeixg7Go$xnj}~GnerTIx*KE=A1DF??(*por?jZZLTdKC6yMU zG1uTLq3?mo^;_wDV-^`tM-#A|2|89q^BW zF6l>!c*m}JrV{J_b1*rNG48X-@&mW0Zr!FrS#Ax$xZqzheI~Ex*T$h&ej;D zPy383^lFz69G4s62`;*#lL-Na!QbgS~aWVEV$)i`+CHg5m!xF zuv4#X#$kJlA#EdXI;;JCCiPfSg!iPZG={D#QwE0ED}TB7D$dsGR~B@F1U=mOCwjdZ z*mgs-zTkD#nAnZ5ni@9d7dtl+!4*Cm&`DdJ`0VqraoFs|R^9-(5JA?_GIGw%%4cWf z`Nu&>K*SBsoLkl>)jQ?VW;)6`^yq;BVL2C2Ykr~XZQI?th|lHB&u*M(%|ZBleq_9sA9iS6Q#Q^XFL2m@af#lV#(6!r~@Rx!7-z z83+YIq969hVcfU6O!f# z=2lpyVhUfQEfQ6|U56U`IcB)Em9zSz14Ighoy}+;)abf=8Zf(aHTpnUtcz{*UUBwh zSC~yiBhTisFv*u;A5{61G{7{octIEQz}wHQ`7lFqYz?|bLf8v#RG-ebu;*e5sQyX! z2MMVYzMw^nwPyjY>oYlo0T_=Pbe!_p;hdySv`=9j)TKJ>!O&dq?N3y6L59p{C8ZFX zN=Xc&e_r373!;2Zg+Y)pHXyN&4dip84h#1C-i7?DGaPJ0v&u^8RH8si6c@ zs`Yh?wJ^fModc@x_fqKVCrM=(5ofKd{%+^)1~zskii!6=qah*+Kj;1Y;cQ=gRrA+g2!_0%)1DrjaRm7fvyqBdI$AYN;(5^wqbzelq z7|`gzF9%+Ah^@tMI%6U@Z$g98$8gP@+8ywx=Qt6=U?45jI^6_8lIKT+9t}I6MgjfP z_@CM-Wf`T|m7IXDF!BTQO*0ZiL6h`92(9F^f%@Xb1#MMTD&tOpy=QC#%aypy&q8fl zC^{Wn@OUsXF`5)ZNQycjq$ZJ5!Xtq>YziSFayOL}n-~huOiCoAh{x=sBGcQ%BO_7? z?=(Y1_e103lfr9M@v8(BcJagyQ>VL5g}XtJYWDzBxs zo%2g;p54?)(#eEyrzGA)QvAzPCqRg^bFdwGJ|Wpo!++I;V4JWTACag;0xS}em6{0R zcHVo=Zkjpq_ zjzfs9xP*e|5bXp)a_)FqYs!PrFCwlKf{t@V<9o`_im|}Zw-JpIw^Jt`rebN6Cll?u z$cYmEzhQJp-}NMM{pe|c`;=g1f?ZQ$tj$_@cuXTiHhNkpl%AE2g@lEUb5%{JaB8<6 z;`W>tH5r;llk(m4rlTW2+N5R15fby0#M`6jG7!vFjkgIQr-u4aZ^+*og~`XYqnaJm z2~qOWtAu1c=2gK@t`Xkht__UOz}iAsWL#wuDl`Qj8mro#2m!F3v8UrwjzAkAowZz1 zja=dMe6_g0{l`6qQ)}d}#F>ftO_@TxZrF%Td-q0QY5Cclft2`3O=SCiC?zyDzArRP zJFha!GbSm`x!2~|XY^D~?@TQ=V%i{_{1#!f=_C-t)fK~Ks#KShyu&46Bx^e5kl<&} zA@KD%K~s~Z1(4F8$Ggm>Kn68PUdcv}efSEd(%|CCq}{mG*R~N|kq(VP1W^tRPSWDf zpsQe`Ct3T34(;U9^P}H6&qN@UU%f90FN#XAk6a7oPn*X3YZoTqT8Tn#!?a5ag*IYS z;i?y2m~qBP{;5Mb6GcgWCG&#)~4qkl|4IVt|b z!y^e=DOY7XdHe*_WN|vel{TV#TJ7<%5r;P^_Q)wEF&)_>{=?6wqr+qH$Tx376C!5? z(i2h%v{Anj;(xDGB&mPhm0U;a5`{Oe9sBgR_EzM2ddzeq!Q^s*==7=2spA|FjMGnB zDr&S&6BujMeXHwpTPiu`P~nNnkiONcRDaJf3GRsx;UPz-mLek@b;c7T-tn_1^uU!@Tnms4O(*lv3u#G7ncRr>O(&F+lwfX+&?8(%j zkJQ2lX)cZ1b>U)R^;hC6p0%8*L#th0Gv2A}LnKGV%ADdK@bav9ex27e;-=MbV8Xmt z^v`KvB`xWm5jN)>T{~UDKSNm|9Gb2|Fwbcj=XWB9#_w>EzDG`Tqz-2!YFN|@W($o* z`rvhJGVXW338l!_OPc3EI1hU`g-}kro1_rB_-b?6WPTw}Rak&PY+mBAxcE+F(MO#t z*fXJTU^dY<6F}6-GgY$M0k?#`qR*F)dq@MRv8Tfxbx5!vQ%Y%&`@zGPE%?hnA{EXx zW{2@!;cbN_rPy{HR+m(uIC>{C*b#aZ?8VX0WpR7#7p{mg^?_J*H*vDdr^woG6`=-+ z1~IeqPJ=R!xkKHanHp(d_HwG}Mn%BK;kA&%V^PPT&vL17h0Z)6 zumyVegnNyMngIvonO-#i2-&@`u(c}rJ<P2~AK$;6@2YH_-d$*R%|BMg!mxOtGG1I4HdPR!jUNePyP5}$oSX)z&Is~tKnN~h! zXDnw?9e_$u|L{2!!7h3zgL$Pj$M?#6BiAT$AleYem6BIrt0}(n^OBQ{Z8z8VmPU4h zv3cEiqM6S+M~|;;2<9i)ZNDLV=c2VHodOt(dO~lZ*KTI5`=-us9j!Y8A4;p|qYXFD zC;77fn-n&5Oc7lv{bcAjv{T6)HkaGQMkUmoGlqW1Dy!nrQ4ZTVHil+N+g_)eS;1a& zqehbo>(-L^EU5<#RH@`mA2mEE0S|x~cc-rNQ@2XylmobEUbt@R*GtK)Z}2q0cS@z} zuxr7E40T=qE9BJ(nw`Q_0FGzY(VlBMo*KxR+nd%KfQjYtnx`9CarZ=>%ekB33MvW<6OkpzWXxPR?Dz0iqi#byZnT;@_3_3X{HWl+6AHZ>D z#&Zy+@ok~18qDomPIN0PTi|-MI1N=@gw@NA9?{ ze2ussrH1=2@znhuOT~VBjR{B>GGuMs&9_&N=0q!-=~CQdDdFbixaE2Z3{4U_5k0Ks z4UvMg2ZsH2sBB2bSBJ;Qe+w$z)QoiI&VkFUV7!WBR2=eX-uP!ga^y(qR&Om3*cg|| z9tMwCDl~vHWpl+2sK?n!T#w=8OKLSYCGs7PFN{(9@%I)r9BSt9A0-qY=N>V@PEmg= z33K@hnpjOZyvGcLt`Ty39vl`O;eadPo$_@A{2y8%w0(2a8yR{ckoqR*Gf)JP!He%| zDG{B%uwU;e&j;KvF+9XG^fTWv-K*J#>g|3RCERE3F}|@o&%0L&nJ<|lx*2wJy*goY zi5@pDoX^?f7#^Cla{$86OnYs&W{=Hhej&0K-3RJjw#Hi=^WhG)Rt4cUcTj2XB@MpN z(Be91j(s*Bezl^LT<<9d9OR-5NL{#s;Hfj%N~=tWkU7qMQFQ@ub@uTZkw zUzb`S#388wi!fY4Iy#=D30B$ZJZH8P~d68-L&rXMbDZQ5nks zROZA0c255~RFM-%al?)|5av5*YMf%YqUfA z9dN_d|F&qpL;UB`Gab5x6Zj09RH;(f&ga`=72&1rz5L=vFL-g2I{bxkL@@zEK_8u-q6qNeAIsbj%fH4ga zZ#(_Roas!L@$dcbk(%JWY*zycB%D~g zp@#`wS582{%DfII=l^ufr_dq1YEsW2@&X2Y(k{Eq&l7u*P*$p4gSO{8I4MlZ&8 zKg3!gbD_`fxwdGWwm$m|Mtn$UXi~}T%GzVQd*SZ1Vnbnau$B5fOf?GKT+PTi!XacK{;u4ajnknnpk4+vc@JL*4-zm8I% z`TaX?6qRjLR&`L5K2$%97Tm8K#0a&f-W6RYrBuFuM7&;L#-T5~u81zp5Bk*P5bTRf z{mB{n?c4dOo_7b8(u!q)N-t~QaoBTxSfHgoC_5fE3m{GLd?cE$K7j4JVCyLB_nC2F zzQY?wf9Q-kTHlYHRp6lksk#;!SwComyqb4aJuW}KjdGh&Qi%-B2h*lLzMX92b@Ce@ zE~{v$>3v%dL3lvIFCZ4)Q?AvfD?#)5gUPY`~hBiR8c*!ZbY+-xdu*MC)e{ncj% zuYvWjYJO`czgHt_lUmGma!Dcg4u-^o2uD9ZyDP++;EOuqWGFIgaPB}v6$OUG6u*k@ zrCVdZs;kxC{3k_uvuJtY-v!L@fA`cWzuH>vI=u z+p5rBL$#~GOd#g>mHP~o@ZW3xV-JbihHZ+9k|SEWD&$s36=SQquI7M2{*O<9E zxTx6FmN9Gjx|G0VHGD|P7cHQ>{UN&V~j6V-8A z#^%smf80H{x`J<&Fzl=g1dG^yFbgrs`X|>^=kw!gSAcV;h43)<=6pP(xkI8;ng5(? z5lTwNt5X*SKXuMRNA=U7=NB5}VK-a`nhWH2rikCA_|J9NU+SEOKOjADflpA_o*(+g z{}rGbK?`+HK3Ujr(Nr*6w9C#&YJcH_9(v><>IQ-wO#0yO_#_!@o5V5+;KfB7_l7V% z?5Tp8=1i@NW%eAfdqW6A$Z|l8D%pVV0B$i_R{w-_P?m|t%vRv!O02Nit4Ls4sitiz#qhc#{!H)a$0rB2*|S4BPm?@MPBfAeJb z)$yoyrhSe%s?Zmwu4>a(ug=>TKdgrC!RbR6ueQ|IS*iUN_BwKGd}57OA@_9rRh^Tm zXiZOts_whXhH{GCog2u9NAlG%kBzLqFwIWaS<}d9wau!O@ZfESx2NE=WlBRHV~)SlE|b)XxRH;d*JOAbT`P>pGKg63&QAe-JTz9 zhKYBreoVKL`^d%<0VbLpda)@jAUVQ8g$_z2`?j>3)j~F&yC!5j89l|B$N`eqf>~WG zjkbwtg;D4tQ`LoR1J&iZCZ)BRuHv@PW-FT?dc4!m>?@d3Sj_Gy4VpP=&2B@i0L5(d*FK8gZ0Prr!NP1WnAk5gO+<#sh9Eo%J@=>Sg-wi)M(j`TDKGjTH|_;Ps+I!lO`C|v$c9V-jqYSfsS%I)S6x)dM?%t@RqhFxsOdI2o%xV@M`gHlYwFiX=J4g$btMbxQ7!1X}#HF6VzVp=iS+ z*)e3j9+SnBlgV$M?dG3opATvVY%u@jPp>l@{yFLxJv~%gy2tNE{UYp-b2Yd%DYV5d z;Q<59>EX)Fj`6*3h2NeXe#Bu_`a-b4s^&TRH3azX<7veap&;P6#%Z8rm)wtx;p@sZ zwy4Mbw#~lR`b_%yWq}B_3b%77r%BfFGCy>K#E~)&oZ%~0_%tWs{K3XE9K1YgO`HQ8 zC7nT=Tas+m;?9F`t8Q{h`(pzNSI{cS49wm5xKE0yb-T)8_$aiVew3rA3k1_(+U%lv z4*)8jn4Nj_hvERb#Y3O!z`elh`POjM8O%CN-l9ENUJ?K3IHh{3ZfN+3J|Qqc81yEv ze%5NRE;rW0Zz($^d~(;5DXg?c|2sr{q5S3$UPgz5^#Dxh;_7IjdOlUVb*b(uJoWo$ z`?u{6B0hIOTPyhb>w_Ims_8b->{MqbSAfq#l`C=9NuR!rb?@s;~ z5y!Dciw)}@jk_1P#p~Q;dfN25%5V4H>QN(3?i)6vW7v2lS{;$-sWBuaYY}$riLJBm`(4n00}H2MureQ;B>*|*=iUP` zc)FoBYJzjGXn!Jmw<6W+HKH)p>h;-Fi~5`zI>PChNlo6PdE>TU-w`nZ{Cat?Fw1V{ zWhj&F24l9v-sS&Xg;1=Ct2a^)BfeisHTiy#WCUIy8?@b(E_p|CpU!qUhkzLJG8dDO zuMm{9>vvP_lMuI33|lEqz@3CmrMz1_?nq{12JAuLMvo`kwjGEG2KjWSDl zDJ}NXi!km36w?sZCMwrqRI`zOCH4B~)jD?Dd4+UdZDb>d7Ht0*qAg7^j~rZ*Y7>v3 zDw)uB$tT+`%abvpL;e$6uyh$1<(F7liV20(Qf{DohR|h;;sp!@OeSFwS!J-cbIM(> zQBia8c`4zP#&A?YP3B@7RmlR@5R=*^jb`cpW`!_|+v4jXZQH#5IV){Fvg(ACEjeCe zP#z=kKsgV$BV&V3RFHumlR-y^zCur6qOH5Ewt0=(ifk_8)Vk(*?#qZivs&R$`7cja znb&wm4kH1y%ja?Pg+k$Nd0Cx=m9=98rd2J*M8L)e_gVX1Zf(=6-21w@1ovaPMu4(h zVI8$Ej8${eCdWuYmBZE@O8M~)(g_iiS6gyamcDt@Phkt>al3`0K-SG+zsZP5h9rwlRioYuasEcOL(s5W#l^hJ2Eo!;082=KK!#vfSFn)1s#;aD*>8{5r=l6d z*a0Uy6rQ7s0$7woJNd?-fpr$3@mLy)yky)tO_>)lQx%_ObSsBA4GEr;l_iawt}gg> z&!S&hXWCu;CQK8);Z|tTxn(KhFQ>Xra}oLM2UVbS5Kbt3hRE%(J*tRUut7yh3E;Ns zbo1cP*e@eCQ@?WL3@16(i}2=qzWG)f^jbIYzR&jdXes42v@S$P-@G&EuWUzBNY?AJ z?2f^J`P_eq>OKGmZNq!gX0lo>;e*H1uvb)jGAA+Xr!5*~v`<4a2iowLJ|`+%6VA>G zD+fZ%6)grj$Ug2qg}t;YH8MwhRc7yGTZye+Z+i(V<@Z7b+CX zZME1dteaZB|Ix%g%M9w=BU!{5v1NW@qe9%NWeTH=yUyr$F|uR|Sv5QYthwS5iH)1t zx#<-trY+bZxw$w6nb@6*q-_;md`jOe@%LvLl13lojN3X&u=IQ8pp{!~&cyV;F$<47 zi$S$JOOxVEMoZc;r!5oWPI!DexUjA>C@gI{4er~cjjc3Q(;GhIEl+H4P#}=th{&*E zax~9pqP6O_LX#uyPwdOsBu0sa$=-YSZuF_#B0+IrzM0_LI;S;v zrCA2*M&`!FETR`?oUP{IT$i_=woB?Lg#PK+37L)qR{UMv38fQgmF%WZjD!=m1EKbT z-Wo-67bYK|9tBv}n_B}Eaa?n+s;@IB?>=!-rz6*vyB#k@18#4CeYCRdVH)T4GVnGV zthXGJk-nR15U`y+=gAa;m^K#RN!_@w|3RM0aSPkF&=A15MwYM?0$H*u>du_e0N~h= zk2K2!cX%#LDUdvu_aDK7F>l)-bLn)Hr*>Kfs#}7c6wHx?VWhh99H*vqOaqpf{Nljh zSO5{M0ibIwwGAb@{|?1NAp(uNL3J{Op+Cyv8re5n=;E&b}Oj#(e}MO!zh|$f;Xn{A)_HQILEuLWy0CwA;wXzRrnD$ zBqYB^Ur_F9zoaL!$-FK#3d+TIwS+GnbaO%h?VtM$#A%2Xi4-|LgCjX>BUoZi2zG(b z16?DCey|)TYxtUu2(jz|rL}z9W&Lxq1TKZ0xutI1c$k+8PU|3#(s{=uj}a8y2dtN7 zO_r>0?wXzF^B$d(aTi*`5+X1g4Kh-Ab4O=TVLCz+2H6NuNY!LQ!nYej<6&0I5x}W) zLn9mz(U9o?j0_Dzd>y77vy;Xf#j1xutaM$Yc4R6}c0GQt_@BPd%ta`;VgX&Ym_&#dXxDkGm3#l9#br6{%7?5)#T*tm$^q@CuEt_qwK{huRDSD+~ zIZ55MUL7@=d9!XkbGQ$!fqaH=K$DvhDSk#e%6!)>?p0H!kI!E{ko#f;g~hZ;Szqa8 z=J*-QIlufRA?|&h)lUCiX&m%h^{c>{*w(KCrMI`UMYWwrN9eFRAS}D7jYp2e_)%vP z_p_11ZP;Nt`=u=Rp`t0x{&^+RYZ_s$uun*T*RZA#(GP{@Gz<+M!?owYSyyyA{M0bg zNWj=S#EP_66k4>WVk%)3QromcU4i)dx=2fK9PQS4j!7@nIiJ5RaSxTQqo}g;I)5dk)ehU*-5y4_39$7$87nKqq%i+my1fndz|ldMj}g%2D{q z$upNWng+b~G7|Qh&wGbY(ziatk%Tx7Ad^fCS=|ZP&_B4-d%moCoenX3Ny5adI6UvI zc@BknUX43z7^C}^g8pM`oPl|Xn8c#F1hW8=<>TT=3_!-NVNT8$Asu!XByf?NrX7k= zrQVE>60QKNJ&~+4s_b+WQyNwPW=oXZ2{bS}NKEB53r$s<4JE70#jvh1KR|ru^OZn0 z2dm7Cl*%z~mQqXS=4P#Br*WZ+u%(;_ELSE4VwSGooUpJy>@B>t>2VrX1CC0xtl!-~ z76BX#94+0zi&&qjgL#D=(gf=yo*giCKr;$i=a(BNQxTj^Lu1g>Oi6*Lb}|PS^AbPj z1^zS+3=^FPVgg;5J$nbJuK5;tnik34!*zPMZa?Po#zZ>&@rPT3LjJd71`hZRF{EhgX%l)&93?>r6669or@ak#nEODr9(L+>mB`wgwy)FUKT@D6W#7ct;kwuHHJTAMXXR&+@)3DxeWc)^sdh z<7)OlXY{0;p|~#l+vpZ3W_Nu7fNNHxKRgl9tH`_SXGRlN_QCQ!NAU70KQ7vrqZ%}d zR0*@0MDW3hF2Q|QCxV81LtTW!nte z5oIONtlHuT3fp^lxO$`z>un(k3rSw{59Ru$nh$0l-HP7xr zyglvhAPA*sFNg$RHv|$S_nrfx*C2#sXK=K{dut1RF+1gXA}Q+rYwz}14|{uvMg&B| zBobT8!R=1(!`UD6XqC4A%B-!`$AG=lhZ+vFewTOA>FS7p3ic+WFiNw{! zbbT9Rz0%D{77!}LqAWJ(A^yCWC@n0Fx*(sC7C|B&fZhlR=}gElPZsgl(pP$8#}f-lQW5~tGZ#|5=@uJm`a z&JL7(ejO;7t5eva!<#;YeHpr861`R-wY7#wRVF%1in(QRlf4!);OIOeQs}j$1nWOc zxc{zQ!zAx(4Af=ae(M@m%L<9(8LiLkh?F4e{~A$#yJn&Puul^l~OrI3eHbtLlSxtO8KLkl}8wS z%U?(q+1QV}qtNw3ofE@DdKcO$);iO~F5zfWjke&?FEZx?gUiKTDRD~Ad~bI9$c;B%c z+4OdP>s!~k*veZrm3gn7ykH*PsmSo7W1Cs8$DHOu-j*vAJ`~^ z3EUBGZV0cR#D9)xFL`4o!6r|`DW0q2&AdnM&8g(!sOv(0(uAi1(ArDK3Kw+E`m+J! zZ+Lj5F|x2M62N2%7K_|Bw>qZMNwGTdQ8t^WJG<-flj{z2jSrig25u#Di9 zYp*TZz477ME?>kqPKDoeF8&Yb=XisUe6Aq>({hdDl1lWViEE$TpFD{1&KYs`O)!CV zLE4HK8509xGcq3j?>a2r>9~$TNu};U_Dd=^o!tk~qXW>Ef6oTA0u8A}ANU=q3G#%h*g;PVA>M2#25I)weQ@g_{we@Sb zo5qaA(ba$^+F1BhlyU_3ZF*kBfQr7bSp7@kj@8lvb;ywjOV@z!?nzqf^uSl)0#`Oc zO5O68UYqYpqx6!9Xwe_$8<}X;=Q^LuxeabwGu^}CkVAu^&n^T3iqWk)5y3CEMjr`B z3#t58Ub5ksEpc;`oJCb_)d?3PU7{mw9x`Rf4HZH;nF4KJ%Om8ge?6-$CWOIc^pep+ zIYPc+<>A$LG%^GU_7h%peDorn(Tu{}n1fPm)t>~1-aKIrv-c7CuEuHxaLWk+npX~y z&!@wh7RZsZkA(t#a!R@9kNap&GMp94-~ACLLLEl=7j=iz)%a_P#os>lR}b>h%89Uo z@xyF}ZuknxN7O!__(ZsdPm6fr)9vN2N0z3_mCHYWQGdGaM|A#FXS5zcY~jKWKF5qA zCEm&<4agm<;uYgSz1P$4`pYwl=6;*k$aCF{kZW>fwUANW=Z2? z8gF4no!{3QvCoe(xPAnW?sZaJ4$>Z`$)%l6gS-?W`y*@{KsV~dVRHmzI8E1w1Gq?O zTMnP6WuXdm9%K0P6?;A_(O>{?z%z@$aNoiz4;TA*|!LnytnLP-)1shl$s!=gvi>>O(=_aiG1ob3p& zu>4Me+oWcjsa&SOeYo3|aC$b3|5kX=32)6mmXZ+rTX(q;wS;fj)1X4O|BS}%Q43+!Rw}xgGMg3r&5u?@A|>IK&pr zN7;Y5wIgT)ai&>vxb~uYSeQko~@#_T*0w0qo8u1W_R*5iJk9cR=lPaOc=#g@hHuBp#m@q{hAkr`mA8XJOizJxz?wRAwx*3oT zZIL^&p4JnQ1lOWDL{xlI+E*FK-yhe@Iwfp%azlU`i1`mNZGRgH2O#nX_J%4bNa_@_ zA3cpKU2*q3A3XZXUXu>fz3DH;fE+-zpw!OqFRcn;sDl9nC5~p$2Wds8(yH=e=4!Iy zhWRfJ^T~d3I7oHyRKNwUiOH99^-T0qey+4KGz?)2MzGHL|AgI;zk4(Xj=;U044-D8 zm$E}6AwIDlXdA~tb!?-i-f@14Z=9`?I$)Kt^qB=1;{gp{h5E=Y=^-M-B3D#V=HljY zP-4HI?+q%fU`nu!5U2G@*YXq;0L%K@b0Ede|9w(i-K!=1d0x6k23t#!oDhq&JgS9A z6OxJ&%SSRm>p%BZJDxmoovzo`SM0pEGks2R*9G`!22b%Tdm>c>{mXs>fwtvx6A0$N z7Wi|{z(6BIvQqXLgt=9_`4E&PVJ_&DDh_e-;YuY4gz!%HBd{mU5`i{c&Uf&Dd*m*% zRFv_Iydzisru7+-IF7#0{rpquZ}v1EnJt<0Z-&;(zK22*D5a-J*IKmH+q2PoY9Dnw z{ya(aMI+}7M4QWjrYcMcZVQX7;zT)d^BD3W_4Zf2X)Dd=nj{2pr-hKs;F+-t%3g0Y zl*`?0tx6SgEH-O_t`@D@W+-&~&kH}2>0Q6^%mf46m|JNic zeSDp^vGb)GaZu zl?Tj7QTD5EILaGxda?T*EzZLQp(&lkb=BAFZNtJRJnqL)!1Jz|jdkt0ecmA{p3ah~ zj*LEY2A3G+*PaL(b!fTdOWqJ>MXp^&pTn)_XaPE1gkyJx-vMdUmOUGHZVwv%D(DGs zt0O-u%v%)G`vV6~JoQ0l^mM7!h&_#$#0fIys&wO!_P@RN;j|m2F@=!V#`Ssq0%$UU9;q7eHaC{$ix%&0YD+H2q z{B2DBSxn9+jE`#Zq4PwEDcBex;N`Z5)xCDb8}PV9zXOd__1^RgZs&{Uj=;9QRivOE z2i3s`{FV_TGr9Hps$WV~YPy2gJ*ZPsmA!j*{-eNg@Ezx9x+v%1sb>zIybg4Yq1-7_ zX6_W^-B~nKN|2j_jHZh0+n}c9=tL4`*qTlSP16QwBAKIGQ-3O8SEgwr zooa(`Cf}xrySM+CNaIrL7Joj@D|z;^)w^Hl=?jH#neV)PssY*>d|F^|Erris_50s6 z1PD-mZ40oS(+TX6$&u+p2V!skrmVx)c(_KGZd!=~BdNcBv*yqVE;Of!zJ0yXpul(U z+(WjzZr3#-N^L60Z1KQ+P9Ep4WJIIMpOx$TH@nL9bI?a$E&ho^UIB zB4?LyK$&Gts0>%=LY=gQla5$vvwOzo0kq%oVdnzxJ=JpPum;9}&l$oQ{j|*CM6DRS zNZX=$N=czk1J_r2^zK1`pz%ABU_Xu~VlN&!cldx7jEJ#;+GuC(ehk_#_jH#@=|LQH zk{r#K723+}qV^V3Z2G3{lvB$uhwb@Ue!YCzax!^F$SK0ghSnraGLnvT5m#XWHgVe1 zK{_QJ9|Zr$s|0b04JM@G#l!{$RI$$KkCUc3Xp^MMYfO7|16^I}oEIZlu>`dJ16T!5eyQ zQFM2WeBlT8R0oAPp~n74qu#&-L=;ZLj4n}$t(>SI6FIVXnKJKp*4QSjdk%Env7>>G zf<&EH0;furX^{nS<<(ef03Y#0X(sp)maSIY9q1qPi?ew#f|JL{61AggPGXD}fkjucA zpIhVfR@|4_@6ax3#}IEM@HJr+q(4f9bm_O-clFWAxl~}SKiB<+vg3ytz)Z>)hV6dZ zq{j$ajX%8X2O;wgBbPf2EKFIEG7KrULR)R~r5qX6W_h-w`6<6fg z-w0TQ&llE3F!md2CCacI;+yOhypevr90GnvR)<8?HRXYpOpIv)?aH&A%noayi}Gp| ziAlmfgJZ@b3Y;{il9=~Fj?N~(4V+H|C5D=WYsY`)e)PLJozR8smd>fQF+O$Z%}S-2DFWj%o6uVZT#1I)r>K0fimJ|^MqicEuhQHAwh-%f zJxI5ee>0ksLVGK>#}n?)LnRliF7Ys9?^|wxWE3<^@&Sjut@@h^b*eYUlaSA5fVq88 z<1;>>RI;b~Kvitq9-xMAKv_4Z?lvu>{4T=3T{u)ma6bI$v~ILtXWI-e2}@pg*b)Qv zfX{~Ta2L01%IO<@s4(N@nQ1za3^Rx#Bn;BO??G6;p&*{Pus8Ojmiki&KG}{HPlIzD zSK`?h%_QbHK)lB}``m{LPNb-_4!l7I6e=o~L6IF+q^QWif!UMna|X%|KVLowF|eqG zw(o*Ny^(A^ylztAm@EePWhdm3oUL8Cb1EBb+ZByTA%3Q!b~Y(KjW9@*?XjO?`U*wK z?G0SWg@f&M3ZhfA{59L8dT-Zxxf=%fC{HYHA)#q1H+B_pVWZ#IxQPj}eqeW@g+*WG ztC`c*X0)r&P{y}-{K#uel4`>e>l`3+&v)?5N07>LZ(JD04WkbZ(G=mtByKjCM zhne3)q@Bx@K_0>2qL-c@J{%$5Y0&{aj@n*@3$@$s@~yfsZPr}7kb&!Tf3WdrgO`i^;lLrcrYKfm+;le*+?Z2c5(&url`X)m?{1qLEsAR+;@a8KmGq03(m&8N z)9$@np&OS`KK_P%ydUi=Wwi8QB|W_^D;PLIxJjFaG&n#&m{{95&<7EC#=B+ zc{c9q#~}o-fjr}IO>%Jdu%nQ?hY)~~Q$9q5VMGYw7&g^`?|XbrAul7?o#w%T*hw&g zz;$!^-UL)(?4kpttR<4sFNi{M>wlNib2bV5+#3Jg;0WEhES=t`jQ}cT`Ok7(Op^A+ zw_(U{i~2kMiYZf4PQ9v;o-JHvinflpok(aq@(0OCSOyUSwPc{7*wXd=`{4HBO`dRy zT!?_{Wu{9Owy#I}iK0+Ht%W^77ol@!!7K@a97vC-HeJf+nY8y)3O z2M}1ONIoeThDFOva&~G^6_HwdaaQwatZ*f|w48R$`_#Gtj&{EKl5YmLGb{ZZUg?IE zuagCnzY1X8Id7mr6kEqLx@HRlL_V9as<`DHS35VP9`=hwHtsDIGWxOR+7Lv;jq!5Y zd{LR(FdCe{`@Y|$woUQ(n$V~g%CMq&sCkK~d*nrD*%Cqeq@W?p({}HQd6A|?4X{)s zatu3{5FY`lS>+^~41?6%pO?+|CF$nv3M0 z`;6a&K%&0K?$Y#s2P*0?KCZN~Aj`ixL=oHdizRT{>ltEt3Ch&`ngdWkXl^}t-{G~u zHaWw9C9Dd|NBS^YjmlQ|&o?2xSA@PC`c5dmuV#*;Z4W)7mzpraZS8?$?E7cyE$o#8 zuofYytg&bJR!u-Lp$G0D8Wc`c+YrAq)Zx^8MN%U7RW&B0k5|VNbxpv>N$r^J7U(ul zpdpQbGXOugr>|};eovRxWq+&3c_-HxI6TvMnlcc!gE_-0a?_GXJ7(GKE5e6(Jc$Tr z$!uJ|s%?D*%646>%><6v<0zFdla-Gn62|9=pp?Rk$!Q9 z_PhoBZjRmyJ=xQM0$&2JL0+CYK7_I)7Fv655I6!C;i}1y+>>B-2oX8QHlwjqueDbh zt#<`*V#?J%p!s;{anF>;+5CdUwUwm^fy4(X(0%pOh3zM|*wt(WjG(M<-N;&m#IN>p zgU!=Fflg`%OM(t?v<~OQgr2_uuzXqo#~g?H>h};gYwYt!T}ZoEEp|wfBy1O*(2nxB zjl$4{?fDfvk4)1&`cQD%X?_SN>IVF&$b$n@C^sudxRudz=LW<+fFo4fRhzL{JbLU0 zZxh#C8z;o50RoCQW4EXh=AD1tuIl(pxEw7+`0?Uf0Q-~iy(5tlz8P;)hE5#;nBvKp z{1gmSn=UGfyla29{|JKnhlL|YZ|oxD2!?qb;u3l#Cg59=lR^(I*UmXX$4%7h-=j?P zZNJ}<@hw+tYMLD)UV$E3O%*atI2Kha!ShL?DSfU%7L`FuSZC6)UJM;MoXJP{IT(x$T%k_1fWivKlzFN`s1*HaLLm|O23>H z;Y!+_NU0WO#O5#C4WU-^h8&(&NX+eOJ{|BDE94fy@;eQxd*U>=~~wl_KfCf%=5vqG3Ow*uZYGvC}BuO-qZ8QmbkP;U0D1E*PH)_U9N z#D0&!mQHtC`^R3`Ir6i|abGLfs}{vV`!_kpD98p2T+~=ThU0-;-xv>AYRrRc@8_7xJElW&jT?x`x?p%A#I!JC>mq4t@cL zV3sj3ZCiD!`0k6WH`40m;vIy>5sx0awSy%xKeps6JjSCp1d5gXyVgDXG`N3VdxGD8 zAqEt@;QfRTE_3K`VNY)-(qF;kn2;bfrOh9hah`jX+X`BJO0W~Dp8;9^6rRYTj+vQb zkJCzy`Ao$S*h`j!BB-QrIBZbH40RrQjEx-t$vV*IZqR z*!yDL%~Q-sY@$>!)Bxspa?!A#3_nUwHT7@YdIrCkkI>bOv`Tg4;l!XPwErG5C7iU} zI!YwI;tyf&kg?ktW9cn0*~u?=5{L~`w0zC~kn%f}Id775JB(a3(E3NYpS#j-K4nbe zNd_e#_$a)oUhjRl&p&>u;i(lDZ09c`0$T4Qqt_aBdY9>pVtQrs4@9=uGpwU<=*Shk z4Wr;|Jz&9E__u^4KYzOKIm-En88;)Q8e){f7v<`NDYA&3gidtw_lbyEdsez!|;OCp)ObZ zO;tbtJObsfKiJnBp&FvyxM^2iY-U{5X$m(9q4H-r^{qW3_E7p(s7U@OD-^Fb8hu4o z@;x(gP*d2U|MIURUn4JF0ew9NiF)$mJJvJj=-7W)!jSPoWb|Dp!}hxCe%m@yZF=9v zB4ilv6vqbU8_N(7buJCryVZW0$~HUV*>Dv4O^kN*4Pq){IEc-{ z43%f>l_&64Q*qYdB#^a01FXikRNoNK?%Mfdfz_X7cyc*Iu+P6fV+jLq`u*_gsnH2W z8y+*%=O)$6otxF@o+ly}D5(^@<*egQRlp1{WQV$@D~ zGN4i3^`CWqOcIG4&rJe$=q~k~9kl3r_eU^nj_`Zwt~qC#l+(X0RP_2NVE#@Irnoc2 zuUauIHy~@i3WGr{;8mABFF(#j+FN;?n@kr5-`i9e_d+&isg=l_(kikxPyvSAPpc zdkWV(bf@W|)20|Rdsi4**!*!A>^FkogW}+fg<-*$aU4H+1)K0NL8ZwzNCa891OB~k z#)|O$*ZEbZ1UZ5oZqjeJ`}l13L{AV-r{rrlYzzPb>FP71L+-z!Dpz!k6#kUpGw+}= zah&|+nG>WJ&$?^*`I@#TFSbZFiP?zY<7bc2toY-VYdwhU%0+$ilcl=c6P&Ma9gN$Z z{7;N~#1<1Oaa$za*C^h~aA5p+{7027!R~Jbx20BZLEm+yv6*hF zs;V6X5rd@2_J9;M<-B3h(e22862(hWlB4EC2-%(OE-N zL^wJx3~6^``8@aiF}U2Hp_|UDnGv*BhQRw>_(TxzSMh=5$6iBq*9cLYFe-ZHJ0ubo z_k#{{9oMoLqzv!!IZG?nGDNJE#lOj&Jl8R|svuo6FVx$mcLP_ynjp)?!8ODB@tDU? zQEG@3^kfiY*D}lMKakA9*GVZxK3D)pIx2trGa78bs?yTjs6c)4I`KUiJwoFt6F8AK za`_Rr7gpBtHC=E8uXcy4b9Qv!t;@3A*q_m6zHil*GU1ePFS~wJhX432?V+~Ri*>rk zVP2dzfyitP?~ND>?wdsIpRiRU^@VIh647W=n5v+DSKZ;Dd$i>jOB7g^Ue&rK?Bn=s zg@pya_v@w+bAeF^=kP$?Q`&Blu>G#KOp{=Pf)s%XNZ-rV7cLN$W_u}%CljBQZJKj> zlWwJ>o!q{}FKFDdZciW4;Cfw=Jn})(?4$(-yI8Ghp?izY`ywb+`m6Q`ZsC9~|5-*| z8oC3YwhtXim&ubV1ul)`K^wRxIbP0){Su|zt zBG~LWhi(ucf_P>l*2$5FZ2j=M_ud?P)55;o(O&5C$emySdl!?Rh3SU&gn)=q10a$^ z>-=>3>j1jr_g*X7?dx>J%4?5ywNe3zNmEAxk7r);T?RZRi$hZc&Uaf!QVw`4Atk7< z-~$$4njPI~c1JeK_*^+mdLLK2hmIh`(#*Jt-7mGF$7sPOPZ z@#AN}5%4|NkN!ybQjMV38vBAG!uN-I#az3r`k6cN(-4eZ3i4tyD5y^eu6A4m?j;6q z!U(|ga|(2RtApSKTg@12NG#fZMwvD_`Y$}W(oD1UWh=^y2pv&@~%14*b0Ub<9LGtv52AUmP8 z6#{Q)8<^vdt%HJF_0UbRCZ_Z`W@g^|wYt_N)F6Gew>KPz?(%MlAzv;Gy<(EejVT?>(bZ_n7=OS>!^-cV)JpvPI+yZ))&9ryyGep zlg&My^x!uRc7dIOf*~Ci5N35b&lf%*(jldF#6SgPj63Pqv1PKOXt|km^u_ECO$dxU zmpt~)K?QU7bSU`s4r>O)f%fyjmb4m3fol39FXi=HDcis;LT#j|*5K22N&}80nqzdv zpav3dI3V7x4fP!@?vfF;zYjc?WLFXapq}*t6sD53$_p8Qcxk@6js^9jUh?L+DQN?P zu*T?3jmbC4i_3GAb4(?79u)Fc06&o@?etdubO;C8ZfOH91{qql-GH~Ah3GeaDo~$& z;(n(TY;0*$5jl@E;AnUaw9u|>bq_o@oMBBEs|4@zZq&fBLl%hqmK`f)8HE<%sBt}N zm^C19R0B0(;JJSt-rG|myd z2ej!K0(6_XV*~o)toTEsncwLQBDTJ2U`1i0$isQuFZcv~`4Q_JO|t(Syy6u)?bdCd zF)CwHoZa7LNf|N!_~J=Oog^T_!&-ZBcmyy1BKC~e-2f9&A}HXLJ8`Rq=O2)!B{r(C z9G}b4^{?)4ql;1{V^8Ly)w23i-g`C|3)3eOqWWckrV}Y++&l^0dtzSwae}Qa3cQCu zU@85r5*dagj1xLEF-P`)s3*@St2F9(C6nEoxf-`Q(t=WFph)wdC!dr~-^=@!*j;M6 z5EraxlFUDl87wbqZAB2-+LhSbh=Fa*ri~?TH(T9(60p7!P=l)_geJY z%0z^!NcIk!`+#15xQfYXETL0iOjMi^AN1Y1^|hSrWev5*A_{IfA5{~gjw!aW2L~Nr zMA|6@4ycN1m1%`i4ykCo?-}~J7qC1@|7lT+6+ZFFLuF` zcwi!-w;u6imCZ^pOA;j%DEC-cDE#^dzMR&F&;q8qZp=+TVwc``R59VKlU+znI$~FF z275D3Ths&MoCGh|B(mP zXK=VC0A~--cljbebgOg&-GIXDlLW;nz?)2Oaf95C&3c`AIQunNgMd86b8V3_~j znnf7wMO-?5Y)$}uJUPqBr)Q=o$P9&~a zJBQ|IRObcPBWiz#JGK0k}(_X{C*oPwX+3MW7L{Br$Rmjq+87^ro%#>pEmWlb4{zJmq6Oa_h%OLk&x@fI11O$XPpkrGMBf;K6l-b)Uk3IrumZL>xseLl~1A&An zbdq{m(g5^A&TwlNQXp*l#)n4&WdCc(TG<0ms2ND1|ILmR8ib*l>03zPTv~rp1O(D~ z*HwFyIBS#+i9E_}dGB>jil?H)&W3mn9-{kPAHZz@?sY~lo@{egSg4QxK22RaSxhd6 zPsLu8+`|`I@QU^Vte&FgQVL>}{ruGc!D!(3J-pKR43uunx7t+zJ$}|8&OkqY%nRMo z>a3d35rN?pW98nz-&(TOpExxQm3@D)8jPeZ+$3^Sa{=>o8$2F3CAr*9@_ zSXF+Mh0|KAHNR1MTHPeBVL@kb+(0+at>_Rdn!sBSyk-2%* zbu(ThuJSYd%spf0DPy6YE%yj`pnY3(#_QRgy7TR-u0GB1qBos{L`=mYO2(;t2mJ&at%c&i&&aW@~ zByjnN@h^2iGV5}e&Wz;wE8*N2YAkKivmOR6dH<}m@|EzUzL7}8Eo?JN1iLe1irQosHc$1gGIrdq^Ho%KX!0^zdwdod7;Vw@ zR9A4C!+r~1K|0MYsW1JpR`VdprAeT!$R&dSv*F_o)amdtf9&tm!FhjYoz{gs^XYts zi-}ag#Go8(-F{>i!Fj-!ETFy>xLP}T`AvD8W6CI^4OufI6iqK&BF?fSPbt+RczK3#H}$jR#97oW4}s#2 z=7ZVaX=B-HOKsh4Cc&~xM0Q?R1=H8%_n$xM?!4TdSfxc(xW!FcH0SsV^OE@x>x8>f z-n#O~V7dMcrs1vNb+I<9t6W;^dOH*uzDKX*cx=5Pw{lx3qW07G%whTsT2#2i)?nRJ z2s^i3Whp&Uq%FPYOfGCxDdTm_nVpoag0k`Yt-y^lb;@HUZ(w3~p)BJqaaY-J9s{@p z?js1%Y6TG#9pRu+l^7rHaj}QaU5zJPYwaB4ZBZ2xmQ=G?$!JZ-`>t?Q>P@X&Q|vJQ z+>CFURrI%mUHwfYLwT3zn5FpGqPr&$tx1Y6^DI`Ld}k_QbU%aKzl;sfGHkBKt~1lp zG2XV#UkS1EI5SPHAGmqv2@XPP^XjOaKE^8QIvu2MJ5Ouk&}*Y?@}QuI{14`%?cGF- zm#?3t?YZtTkAz7Y4sHiV_DwGP4>>ZL7rojYU`ey z{oD-r*WcLgOYDg>pAkEpZ#W3rd_r05Xo=i6Z^9>hKZH)A^dXlbcLTTMhV8g2DJ9Rx zatkQId~!mv*)0m5Yt zCG4-uT-xB4-oB>IeZ=0|8TcXMAN>eIqO#sWl9vCDTl+i{|8G5|zn+`_)%oLzsT)@J zeFqUk?@AcTYqT91ZUc_U2?*?Hft_392>`^cb|CfqGZ@nOXL=?*Q^bKBQ#$MRW}Zgn zGqGOdgGXHJ<%d_)|M8V!f1m!mlrB^AtDttWK%*c)wZR1d=@%p5!8*cepD6HEWlb5n z%db{;rLv^jgjk&n)T2QVp-VU8q&e7qU?W^z)=!yRoNW6-N-YPg1pb2#>Z}=wie%rGB7W^hikMECJBGF(PZnKV* zxO}nrZH2nZ_Ne`1uYq^yg=f4Ff-I2oPF8RWDv=>kw{PqVTzO~g|EP_h7#^<$en|JYMq5M+bN-fCEBk%4YWvY%Bd2AqQtCx)7f!zI- zO%EX~6tL*m&$S+CQe}$}sQ#yd`Pqo`82Hc${%^#(uhFiNxeRmB&&G6O6V=*tRp<{Ep86yEdtTbcN&X> ze=Vdh$Y`6$G`>j%@n2Pu_gh-}(s<*AQDh^moseh*FdGX52PhO%#iB8rw&%JxxgPI# z8YIEf#&y-0aKbYzqfz}?WUP_^-<9*Hm`TV}PC}|X$OF~}fp#{AZmh9lkvbH^Is-4# z5faQRMR$Y-v}pCa8oKQp&Wbd`_x%b$*UWbHYYx++(6CrLUZAz%Jcu>u0`T+ABsE0$ z7~WfmyEfO;ppXA`5sC4}t+8|gL^AO~0!!60U#a7%a|wI5|DVCYBFrP{&ApXdq2|_x z-0NvGjbIc_>)*&Q9SKHBg@CW=6QSSs`j*F^a1I7ULey92g zW85*PqyLB|^Bi!-XIYP>TnWyWrV27vhfk~s)2KoW?6uhw5sBedbWR8GItz3-t-;zo z0H+)&cNth=_l07ujTdAvcjWh2TEHp2i*+mo02a_xXAY;UG{5~_hm!~;zJ?3fvp))T zN}ZK99vmL51KSXAMAf9Qj~6VGtRhyMP?WZH=V@N1_&{wspFcf{D)z5bFkR6Oa-a!A zmnx%39ZXBI|0`x^ezaQjRm^%X;SNzeeK+oxh`6W~6g3=jepKsqU876vHHN54vH6cy z;^eGAU3&E>LaO5C8=M&RZ*77^*9+vjVCwO2wJMqVyM7kTz-f^_RVObft7hRXcxvt> z$XRG|XN;u+yzY!#VoBmIigQ*#X$lvFBCd&0!R^qmYXjfjx5c#w#_6XQ&Axo18bYJE z2@#4D+gLljcTtPU|Hh}6#f@(wMilw@UdO` z*qaVA?b%`9XDjCE3)*g3NY8W;X1cyq7oWWVaG&9mP(py75a( zVFP20E?m0Z>f$qZMVYGCT7tT=t-?0Zk-t-;_OEsv3cA{(~_|`q}UsB z>g>1b7pqWvhBqAa_8P6a5i95;4#0DPY8hY|?rn&qV%rm^zq7MLe6K}j$`|qADt0Mo z@4Q++xsI@CS>Xt-=tQD$o+9AxPyAtWjU*RC_c-V1|Ge9|EHsi&L5c7{r9C()I=?AT z7n9E==seYeeKWAmUL=kYN9-$!$rr0C*C-81P+!xIFPv9tJb7t|Sd7eIBM3J1UULGZ zGxCYyVt4!zc-|e(VQ?d8Z-V!pE(#t+Hh ztcR=4h)>cQmfY#w-dE@Ve5l3=_eJejqp(*3i~*xv>-5^^i5)D$Q6wf16Oh_wbHEa` zLYWtHJ(C#QmNo`!^-*}y;DXxvB-JNrJWau3?!!-nvv`0lwr~+$vyx}Jf}8IP+%tFv zf?)kQyxJwHTCkG0`j-jI$H-o@Tp@hSD0(YRbAPaTb9M=VdiBeA^uLw9skL5(#KKjm z^x~9;Cm=V(Q;%fu++U?J*(&Dz?q8XsUQgxcSDhXlN450P)h&?cVqMd5|!zI zZPHX}U^9D|9?K%SXe1*vJ7PNsrZ)mSRliD%u$RaAzZ%zkQ|E_%RS4BKh@jeqhj`GS zL@DxYp4zo<`@stm?ytL5X4&hrKqRiE*z)z(7zSHFxR}eKA3T4oT+=0I9^#$pNEz(1 znKiAE=vxq$ziI(V!=ew@zwCJVYmkYk>ODa`D?3bsCLs14FUG zMS4|z%e>Gw_1<7#`0>T^TiNvxp5jYU^wZ_Z4}kLY&VPS(cHpOo#(us$R}7tI5D+0_ zaArTk(cl!--s;J^HdV zSaE|O>X{||gH?XDlw_F@)Gf9Z_WrJo`3@oY57u=2DFm_?9bR5h) z^odwGG@9^mIMH2(Am*B9B=8k-{E<0E!UW@^x=9f;kS_C-VbRXOz_mP&p{cJqe?+mT z1yc>^i>Wb9oM_lr*ag_Zy*~4IH?eYCRc5f){e-YA&qFFgM9Tc92)o|QDe!HkcPhh* zF_TWy>!++TekWW0D-5GGR%tj8?RHo zt%6(GDy8ouX!`An+@gA8e`%^`gdWa}Op1)eE+$qKf4I%*#cnXOagNpbJk8%h2q-wZ za^05kIkA~8lel%AWFM~!vUq9=w7!A}1wPH(I?Ip{IImupAOdOgCHU0M!xbsdgMW!G9;H+6RWt(GH}RrKc;_&S~#nlbU~LIMpv@yYdq<56r{i+#;6 z$#3zP*cQ(GJRwm?xZi|*S&mIWa)F12nw2(D)d;unq#iL=0!e@5Di;0Jr^=gX3`a4$`@%MbCI_AmKQE-0sTxHEKqO|f6uznxN%vuF@S z%4F)lHq<_^s_>NS}0H?XOk5ZoB-_!dG&cb_in~-FE~h z|L{?vO3`UGBF>_a#FRv3Y!C$ZhPMw-P!%*$I{^g`^SbN_D)5FHpOl^AcP7^Pitu}z zAAQr%P7^pjyk}?A?47hF|Lk8*ny@IwI`W)1UxGb+Q7KXK8_g*7i%H|w*#vxEOQGci z&86g6xU*Q`p0Q(nducpMl7xep7YastqYSSARe9Y!vA&n~q;T1PB2k35=ezU9ySdB- z&3xk}-?^jISPcXy{t_?Uqs-g`m)veH%md*Hdi$T6dB9pjy6=7$62;NoY2{hHfWZ8> z9)@7It|4w&kXF>Yg#EgfFCQ9Qh)|&!*cAxewuo3)GBBe+Mkml$<+vgmq#l(gRUmmy z6qZps6>Qf|7Pj=zI+mpaXYnWY?u1ej45%gb&KUpb1Ik|mH_D$zTdL!2wbRB&Smmzy zD6LT~=1h^AC%0jm{b-wog2xWx`s^Xg_N$aG+qlyj-&3ViH4-h8L2l)Ay@?hP z*Gs_zbcY~8$buwZ?sC8AWp&XHJ>!^4F=SET%rU()YL<3)0IjqY7+zyDj z!%ksiwr9LVh=NZQ1oyci0{8ULOb|z$H4T-S>mn z(ZB2^fn9_9@xPX4c8R;sq_?k1S^9efXAT*drS zArv<0@N=J%c{W;_R?DQF@Ae!sQ5uV)Ba06J2@{@{%&4> z;WiM;kKsC=_fIjjPJSW4rawPBvy?SJUyl*CqdMr=He)+~%}#h!ZvT?NvM@lH`Z2Tz zH7Y-RdAkc)mL@s}FM^%MeLJ}$<^|c!pzU+VqWj^)@v$^$`~6Gnj?^Qm*Hm)OeU(5j zC4IWUD>fiDmIo17H%G^MJVwJ^h;uC2)4`pP#8WnT(M<0tMWa-($kA}niz2PAaxnBL z?@`WUh{Abu{(_e2>-SCgqPec8oVlve_7pS*Jg+3)R`(bDgnIvRSMPax`qXHbYWqLM zoSM@?#j9O)n*)}>-mqY!yn+9NB2K2DS!3mi!f6}yA=UCqxhtg6Vp*Yrmha6E?lvPoQYZ_`{n%c$RJ2XXK4QXqd zVR%+e45oPwXvu-1L_QYC&!V>7`+JKzAY^f6Ea55`pY{vXlj^C2-ZCWbs$y6MLslpiZHbscAR;~c8f(CHmUox)D}j8pB~ zJ5T4i_(6DQ^WRxVc0&e^^|O#Vu!Q|}DP)@!HWGblQaO|nd8Tadc}5xhS@m}$1Mo?QO#IA&ju^D5!|fcEbd@;G}NJQ;2QuPk895yPw8>d z$jeT06z3OqPI~6B`tY{ZB_0AYe$|5!)%b(Pi3>(S?qU_zt0x`!4kWz#5RR?^en6BI zWu>fFA&bfMWQMwjO-M0kE=%-%1g*Az1VgqU?H|(Nv`H8Qu7Y@j>_c&=pxvlSgltI3em3fzRkDQg2okO}$&dqx8ko1WBIO|Cs zDW6=BRrr+jjQl+7MG>i(T#{A#l2k^1mG!!uR6%}|Rar%zRq51Z)Xx2d zjZB{XC%NXV>F49O$0^ao>0^WAMGJvNF3`1Mum40|wS>}nQ{s?t5dIu-GT)z3OMtT1 zz(NRLMct0GjjF|iY8CkMAi{4uN#1CsPV~;eZz)?;*BY;Y-cI}(PrIbEXcH_LQV$HI zvwyQ1Q5}qyllmwQmsZrkLO+#H12n0xQ+~!xtpPlq?hCq8+Tl{hC10(D6}MkU!?sa9k}r%-QiSH z=9Rp#8i_#k{TFrht#Q>RjjyTxx-AZe3P&6Qxl&t;xEeYyOLYxABm%08enxD?zB$CL zbl*1;d~c1`qEaRP)O?_l2ds`k=Z-#uq+1L3-IIqOe$08uUYkT?sbSC3jn_Hnq<-($ ztwTw{WTcPeHonWU%8HqHUqP#$F2;=&kan7Ap5C2 zYK3K%=YD0X5svW`rA+lEV_*;295V7Hs&t&B#1d^yCFbwtN3ioj69H4H=fy%(L^zO6 zr%`iqVXkWOzfL_$@4!oGFg5JBx|Z$cD--UouQ0<0MY_h+^I9V}7yWk81W z>7c+(yn<-<{*oCTC{60ew^Yl7>IOaV+c%yZm<2;akxP<*ogW2P8AWMMx1Uk#DNHs< zpdv0#e=L&xB+}1AN}ZD)k&bNYqC-CrNcGO0XUb4p0V1dWaXAzWG(SgRruAOAHdFwfmVJ zv0OcAcnDiEGd%ESA(pzcUF$*phWqGy$z><#4chf_gwNUIsD1M6NE)Dou{P!{D=X&- z31u;%kBbn`9o7>-;>VoS#H-H7KPZWS(2tFsqSjVyOYj77?Ao3Eu(d<+S@H**mf%!= z4E@v&Vw*%b^{NTC&F>nzFa0A^-v*4tXs%kP}4e94;6>lM~e6K7Ms3dR5KxK5tX9cLbF?*{5F1$R4#h- z$aARf#n2IxxQoCc4R|mfa`<3va_)gh;)9z>+tqUwE;7)}$tB3z>VvO;;WaIg*{`Va z2h)F~ln0N;KBhp*p={}#*7$ER`4G5qT_PMra{%{MfqAfw3hf5aZ|HIty|Vm38XS&pkSx7n;JQu$GDKwB&z;C<%{;} z7+vuGzjJ&88FtFC5X!MZ&~oyXpWtBg7Lb0FTe)ZopM_N9Qw6%tF|qr`9C3MBvn_Pq zYa8(U#tT1kxZonk1`&~dFJsD~J8=hZ-zV&%xie!DcrhccwB)QB9Y}XLyU!RCHiO^1 z0Y*vdrshcP7qY+b#LLC2WSkIIIcIPv6a|BsBq5coORKz&2)m&cbR7Qid3+J4BKO4V z-90j}4x&fFq360RUnPR{I$x)#dx0i)IT0W2M=oRWlk4wade|0)Xu2H0qpquqFV#||n#;bHRPrgg({55{0d#8(V|=RBcEa@jb^f+cL9ymg*g!`qUdd)GZR+FRKs1xG9O*>#ydWlR6m1X2Ge3MmOA_0D`X>d2q^V2bNr(xz3Ta19?I{* zZa-&V+$)wfj6GURBnE%BXgv`pB210HBqL?~+Qy@mX=M5?ISaVgf4?sbFJT4TrLaKoM6+@X{MIsMqHkuqgm##6B?{2cRy33qmG(GUEn2Y~+)i@~VLnc(0htj;=J&3Z-fa-l^*`SH z;dAy~`O`u8Gh4XN+Hs1J#`w>6WQ7>T-~`hkyyjMi;!)Q~C;Vp@!5Bre1h*jk$t{%P zQPoIW{O1d>7)7Ckupm5TYhUpwG%_6jIeI5n@n`1Q$h5pR9CKxoPefZG&=Y(~zBBVY z-ay4@dr0wpg|;8Q-<@Md_d#ZDq8_*=^H(AtI5`u3QxbeOQ~stA_`l4HH^srqnTa=# zpigmuEoVa%k#YH%O*aX&QsZF5!5&Gsc%{Uc8WmHOjGu!dcV0sSg6&k~UbCbY&M#CN zZf9gm1*t4dT0x|RL@$Ugd_e?~L>FN#L8)5{o6_O0RVAGQI{2HJCkjKnWEuliyg~lb zOyW@x(Id?(==DYEOwM$F@12p6pt76%kgG|Gr%PgXzVtecnteB+{j`*xH{HKMz>Y$+ zV^foN_z6zjHLQ(;){$QeLeT##&`_<|`K?wk1q(WcHV#?P7m%*nfebOR1QbqSSJu{I2V zusJ1XzcFL}ujtJGp=um9e9p|7eK5md7|SsBb;?@ASVGB~B(haJvS%-HW^9c$S}4`i zq~)tZLlGtsMbuNIM6#rXY)L|i^Y;D`_b>N-f37R~I}GK5KQGN$O`lJQ2L8TIFL-xf zY0fd@-#DJHb>&C`$ENU;QnO>e_~jDI>b2s&-+hDVzSKlLv0X@>-K$3R0}$bY>a{>D z^|nvj1Hv(624K(s@1vyR?99tM^ZcFM92>z1`AVD&J6|!Ow9&1^d#Wh6!)AnQtv0>C z6eK*BgwJm3_RtV8uN_gmdUF5Nok`%I&zmyZI0~sVivaM@Ak)fn?p+<5z*NxQ7M4;-j%^)Ul>zu)G0hW2MS)C$&-EO#MP8yf5{3F%J|C#xws1|pj;hv|zU#}(& z0vGiEc-mf_^uuKiK1LZW8x$$24;yrCO)&Qt`M}R~Tg6-AaJ=;h^yWR)G-KPH^D}7Z z<^@V9>b``zxPQJu$A04h$oRuE_t!EdH_ZiWRGn3CVC7XqsjsWW9Xxkq632Zx$m(Ny z-G*-E6W?E1Zl@aHn{tLdod_Y|v#HBg`ltb^48k*Gd}jiF%QD!MMSiA9NtDU1BPjj{ zLz#L~6`17Sm3B|D28sNsvL=%dYOA?zqkvrSVYpdZa)u|iMRh0X~GDJxV({=MCHcGsxUnSr4;Y2n10}zME3vtRtJf925*OyH^ zV1>8#dnm@49}`1d9ZG0`Fh55rFlr_^`|}cSf`YDw&<%;*yN8wBDRLmUMZg>x^fme; z(FRnb-EEEYty5Pz@c1Yc{rGFO-wZZ5SjN6N-3;YD4=6S7{W=Er_he2qV5Bi;#osS< z?A~i!MASLakTNVMLe2*I=dbWKCJ~tTZNvJyIg;7MAtC9czUiqxco=j({?2XYd!6|4 zxrshtrN6J&Q9OP5C~l>1C0ll42noF9*XEaT1b`|p17Fw$iXC_VTOYK3AfYg|NeGB8 zMFJ-bX6j_=?P1Fg$+)*UCx)lM+e6$>elkRrfCFY`BUnTRHv6JvM(GYT2M?=O9*? zgR?-b+2rS!pXSD@QEoQQ5&z?8m!TJ}CR(uAsN@%HQ?2%S{;JXLE z#edTreAK#_LA;nCIkQ*ylIbe z`uLkKN}4!_8YW2pSSB-h9Zs26JnxF>^BXsnFx>CgGcmS55~1`$*VX5qod2S>jd(8+ z`4dC^U2U3_+rcpZhl%Kv*QM18_OM)>EPG@#9l`iSNsWso&b#sI{Dq3HV%PXes z^NLoLku{~Uf>J)@GHciCmsJ|DQm_2k)~Ve$wddMEGvX?D|QUa79d|yD{aDw3C(26-kM>0|>xmaqNN)a@RA* zKeh>kx*1Y13I#z}>>7zoMDP{xU+4sZNEx9@;<>}T3?(!Vq9$c!;}9$kpL2qb90CeT zXoe=`nAAvHpESC=T`&=Dgvm7(9z}m?Ua^E*qU^%^-KFJjg2F_S`&2WbD(lTX@!dju zA&_(HFS9*zoZ}w$C37^Y%1}^2Hcj}-@M>JEiDK=q*-Tid^8P20qfcl6!iaSwQX@G^X0ZTwmT#p=t7mZ!cA!uz$Z2X+{wxV|*7g9GeC&*5RXfsHp&8v9un0ZnOZYKWKA#gF;k<*VfrL{Z8T)S*jbUCqW`vx$ zg(DoYxVr@gqd1w>1?)iuK%SA7Lc%4C6yj)83?s5oO+`b2bI9_Vj*`qSGIe*53PZ}z z+F13`BdSoHQg%2qj1o{C!Lw#mg*+ruuPcu>aXTNat!mHN~;F&Z}klBQf zwJow{w{i7i#h1Hi!VMLEz^PZfjj=;GWFOfSHNdGN zl5ohXLxkc>y`cv>kE|m&kwUo{u{$yR)#Uq3v#PMnjIj9Gc}soEhr$!!$5yO4-tX1GT>+#`-soP>+Ay3fAH1c+Mxh zD)yuU{`|>Hq=%BW8?W*2@Kv~8T!EWq2Gw=<@!;GsSCG@}_C(<)X)nji(Jf=Zhv?(z z>HRcD_IbYJfqI^AtV2&`!3&rsrurgQNpQc%n(7Aj;Ma*LnF{X)jdp5H1YKREk#CI( zPBxw^SPWtfzG50G0z_x#$i8unsl!{vSHGA_woj{xO-S#nc^zQCX6(?5kNiT58pSuh zqq-;g1x$S}avzqPx3G(iBb&&^8aIwh=6+aya-6d{;;i~$xA*HBIT$a%n;h{VMpWu2 zF1K42#~H1i1uWgM*MBv0Y;tsHD}K)g5*-KJwJgV+Nfzm!M&hupox?Z~Gw(rGnU4@8 z;=01(%0g#~F~TA+*ILObd2@rea_l(7DqT%sxY~fvl+tSb?R~5)lI5<#dc~HQWS=(n zC+ffbFQ9i9Fgox^yk#3G>=x2CN-PBlzaC{2gJ#vKGxf<>!yu?~K58M6@N)}FVR{U# z7~}o0>`j>cJoEJRW53emKP2v-zh$~t^ukwYRF9h|Bd;iN>ju@&ADrn`-j{7?ND}uoO>HoV~RneNhNeoqql` z2y)C{1=oeAqp6)=xo^Cp%5Sd~$8X%QapzkD|85$mY`dp-W{pOE{=A!!H(Hc$KuS)| zLm5Uh*f@nilrnMk>zu&`k2E)i%Dndws8?7JulgyzO>ATF4BA#T#Ed3dz~ER1UX6)& zQ3w7CAd4FG$ujoqzw}WA5B9(H*Q=?M^ylvEcL{)Wo53BWv_e5%~$j156#M;y@ z($~{YsFi|uJGVOUo$>w44j%#8*?d>~24pzO-Zl9&_N#L|hIC~6`epJ|JeKYlBzP3! zBb$*Kf^8ao(YiojGLW{S%ywUNb<;o$9VUuEjFZ5nSCq!?EsLsIZjnc#oGemS5J$ceVOxwGqY3U-eXprzj#zu_$-jDAfCYv7yHC*B?XmW&e z6#K!u`AJeC|2EBI_50H2F#^iKkF!{lx9_xSF-)O-(l~X^5qnZFsF!|g zd}dE8o;|$rjK6mn^S}CXmsWtg#!Q|sY_|8RvXQW{-8|a}5#_l0KI^qapv0tIvPB9- z_N^4RBfFGRq0dcVlI>rcBSoz6`9)#sR3LO^CGN!$lM5wbw!ZCp}|C3s~hpFt5XCNn=2eM!90Bb9zD}VEU2d(s=ODbt5TXm5+YE- zHa7CMceIt=TkrAV(I})kTv78KwN0|(a==v5I9fyjpBXdD;h2k)%elXQEKEg$!Wa(7 zoT)vP!uDMQC$InQ0?&{UMgzaKn+m3pZFMT?L?xF1OZ8w)QwbYyMy>zOW zNjY=w4YxR>jq5P2!3&IxVkS04;;d0xZ|bJvvqm_)S}xYzb~9JA%z@-Ybj2?ePxgT& zmJfI0h1fR9_s-JET^IusjI_}g#ugN@4b@D7L%HbYs|C0w=qB(@mm;&+!436LIi=R~ z{PEZVGBYNk*@wQQT$T1?2?410WQQN0ojuu=MTokThw-@QMK#25C&rrd7|X&M+i5aQ zW!DE0O$xQQDj`pz-{2tu(_L!snG*aN{ZYo<)0pHT_LvazH1~3Y_h%6tKgM|Ztf+~b^W%^!;9r# zJ?ihy?iFux026*eOwf#35#^7-icyEhAPw24k^5~Wi&DQDAxPK+srJbWX-(pbE%7Zr z^Z5`@l-*scF8VYkB44j_op){l9_Kx+w1U8bqAb%0&kf0IY?iC?f3YX*LqV#g)mfI%C_A(>_aVV~HzmwQ*v>TjaDHgv~Fxeeq z=2A39dutEv_rQbyrA5{)`)JOz%C$2zz87^psAFoZ#;qOJ&{;U(xO1Ccc<-dR1{>vw-JU%A7n4ilpcIl3L zhtv*WBHPrs(!vL;rjNk(S%}|f4mqJi-(_;&1jE>oOBy4v1;)xf8+oXpC1YqpeaFzx zYG(TW#!FY^484rDB(%q$*+01CZA;zPuD0-ET^;|JAKJX__Xf1o-sMyA;Fv}iiwMS= z1w|X~(&axwxF5#3zs3^@)ZHa*Xz1XnJX(e2m&$}&**n`mt~--tFX%G8^m|4NLZD3k z+!%M#rW8g{y%%evaXOC~Q}Os12%kudGFT|I71$*1?Z9{7%5%2feqG6N=h3fJ$bsl6 zJp)5PXAof8D*|t=^tb!#?qUzZ0APbKR5&a(^?-E^9WCuZ-B#FR?{-hGjeUD|xVfhu z5472B?|?Wu?R4I?RW%&}(tLgFylq_a1ZQjwl8hqg3p^&iF!;x)K(T06T z;^L8n#H8euqsIUU_0UsQy32OMN8uWp{uS52J9F!xt# zhrO2*6dnvZXNCsq&b1K9*+92ByqO&5v)Ku_t6X~yDCT3<6hQz0NJEj3g#W35i#&n2 zA?gL%?y$`b>+)?Dhqv4?D>pGZyyJ%3&~B7jG6C)X%ULB6hwDe9{l80qi8#4_w3rKN zE>UWxA3bViru`L-xxp$|Ry@qOA$vhu3bnsv2E8W&JdXbM)_Mi)uMU%t1f1qu3A78< z!`NlO*~qpBE$;sam$tH(DckOcM(+!X;MAeHAD-IPG^0-xl_j8={rg=Khm8mO-?+Sb zY5ctZiA#H{v9Q0&rSe~6?|!>oF?Z6M&*Q#Kp>IW#l^CeeQ1m!fs)vs9g1!P&XFm9_fx7VN@_Q12tZLK z&;UAwWdd>iC_;cpC&<1gVTU0MfI`rSI8F_V2Rjj(3(IX5WqIX^0DC3>8avppn^}3~ z^sQJOL|2Q=);^%RtOabqlDj7W;14?b(**!Ss(|5I1Q310!Eo%R21e>U#=I^~qI7Iu zB{fS_g26m(Z6Vcmq+{q~X|f+ipoa@<+OxN*E3;29@ifExamv@m+fLgX_7DI9eGi-~ zt*k;pwn)iQO(}}zh>QY@Aq$=}NAy1#fHBrM3c`Wa!GC1HGl;H|yxeXtno7L{9M}q& zFctLoB5E3PvaY&rsxb=o+W*A>^?ME@+t2^Skci&vu6JYIwM{Kr9TIIAjK~eTM60X8 zPDizOgy9|YOj$D7s0chI<3?vPMaho5SqEK3dw?Ox}h#7PZy&y#vr1CJae5O z7YVLhYx!1XQS(s4Fx^K@c9Yks6&XF6q+^hnX<&1>j&>Om49ZS6QNV>|BLc~6eps3S z4##@%!B))(R>?Z3jsJgd8DaVwT0sV}A$jX+n^Poys%kK$;npCE5#G!&KP?zmW9eL? zv+7UvhI7B=NNwGufb7xKU}&Y<_^r68{bRJ<%$qEOkmYQp#K}5xmo;Kn5qV`l#u+gM z@>(JI8q^#k%_^1H;b0XSgRCHlcxlbP*@}3T%xCg%ndxF3q2q;My0B-RAZ}|U`{9oq+ z&2YCq^XTxqMct8p(wJ912!nwt8L(kQW!Jyo4-T~Qk_51sKQ6p{fx%$lG6<1&6o`H& z&wt3@D@c}?i;Ek&=61X!K6-La7<~OEs7DG6;s;^~M1nRUCJ8tmrA3ZEPf-Eao_~A{ zYljl|vF`rslhlFyPHMpJ<2>TJjsoR|ME<-uwSVk;#hAqUF7>zk<#WBIr;@10naG+{ zS2pDYu+L5cw_I}*hg!#mOgLr7vav56|zBiB20m@34AaL!$VqWe6;tUr%8Yp0( zk(R5>>4nll!IrVD94Zm#To9|a#_#3Q*?eDOAP3|<_8cm z1}#oyy~QW%R^k2;pXH@Yd5aYkT}L;t-T>|z-2{!=&+0wDvb5Kzf2oWgS-&Zkhk$XW z(>~n`(=s0dWi>8~r`n^~i_iaklaO4y3Xq83yi;#rD#DA_#c|`lp;2>ge{)jg5016} ztWXi6_f!v zRdK*+J&~p6bZN#%K+HF7|;OGN-fPZ@_Ah_yI6ewfJ?ay-kE*nC0&T;KShDe7$!^$c-z{H$Z z*rs-@15J=yC)ZYK#fgvd>3!kwmuq{%TrlVNjg+)5Hu=dDp{AmP4r8&k z)gQ!;HPo-fj``p!-R(7zKIv;bva}Sd@U8j5ZC~m}5^@7Y{<01O=u<|#=eQsItY4=~ zfQ=SE>%Iew1UG!iRkWLdL|5{#vLw1q=CwH)4!3sNFh^wY2p|HCxox#tOFY!=l<(@&yd6bjnE;b0S+Gw*lDV4cxIS_-XM1N!!gm@8mH6GAD3wr? zpke!}xu;@>vBp*hpq!N_bmaB$Uqk`7tSr=~rZz5>UBrF05N&&Vm{|2ruAV&eYQu)Z zdMQP?{$fv}g1%Lv8cGbjS}br(`pIF-9D-eAURj`=NaQxRA`|GCcOiAYCzrR(DO*O` zEJMOceG!+fMJb{ODC}gt{IlXizv$(Mw8IA8H~QKcK@uchKM#H0P?6vbB7bYU)&xsY zE5Ly3+PDP#N=>V=&&pa5*Z@28zt1DfxdHfH?OxN( zZQ>u(`FjylZ=HHM#Tt^n+UqSSiv8u*F1v2gCOW)^x3Zw-afs;ZTX+k7zZE3=Y&C~c z$)ra@YUVB>1gLW&SEQB_1mh=;FnV39NxxEE?R6vRqN%H82!)OEQplVEty$WfhRHPf zMtYKUx(iYAaYe4xpO z7<6Bk?^C&-)m70Vcs@fgj7`m`>e6QA6lS#Y|CyAjMLyaA<*7?*9~a8HBetEk$o#jb^1$!&5%qg4x$e<%XR`yJZtG-vhBQv8kL*vt(^-230cafWNg!~|Lmiy^N zejni#ttg&bqvL)vJQUTev2?%b9^YvtzhrUF2{K*a3F6U(ba9OJxpX>;;Ah1;lU`-h z&Jr1aA|mK5x9fv=XH(u=gf&iMWu;0KNfq&^`Jm1TP&03nDhdjxsBpv=*L~_HrnNV% z%3=1iuyT)x;oQ~9)+Joc(Y@;ajp9)t1Ctu-Qbx%o}3{I(bBLaOwhLD;@5E)z_ z!LVK*qgBDh*R^(;QR_6>w!Jv`Uz!YjFH5D4CaUl#Yjr=hN&$O#Oq8Yc@46^@gLf+7 z(uLMdTuLcr$tzT&pda^gyFjEX*%#i#vGkhqKlx0plixB|Fbkb&%kvO6LFO^-*{w;= zUgL}{WTn3L8e5W)cDsM*MA+>H3vM*WHYU5c7@#7lw0Abo)}Ch#fwZ~gyXHLx%;5<< zqnVx!)7K}%#>|)1Zo?v<_E4|pAG!VY z3x&0KXnFHmNvp(SLG#$|k4Gte9(B^rsig$~WHRIZS>w5nU{K_~cIrAi3z)WFM-MEb z&r|AOCVd@Xh>d)DJ$zPnp9fs92SIeOKm~_fNg!||wEDIj{C46j_7sdHuA`a&_uP?B zk!5yB!O2G7K;8Hn%x4_eSZ%NU37+riVl@~_KSGku2PT`clk2L2w3ILZxSukUm#(D|%>s_{wQp=$<#M;=XwxV8^= z_WnE6<*=U^3u2%nY|~@{e*Y_b`49(3dPuo$_OpS*(&GaoZi!;Y^`PM-9_$UNmfJ3i zgv0Y=tw|e{fU;jfh5ZY#o4>Xzo0HP3H`fs}tWTMLOBSxxA^fn%#7%}y{HIHyv*ej3 zKXC6aoJ9}g*Q zEL%ub|NTsG``z!S5o6gAiaAY{r~`HL6G*de$jYnv4o)waq%#I>CK(M_h3=Kua+Rim z9gy<0zKe;AP%xswq6Y#GHKGjg({KrWL+Az8jYOR@8!NByFXr%#?`X&M=ZQ$j%e+2^ zL_pmLMY}jVp!q)Zb^8s?lg`aq41X;Pf@htqB^4o$Bo(@9OF}^SX0}w~{i#3qA6?t_ zXy!NrCqM=6h9%A|CDXXVaGbe* zuR>`7AF5?g$>XsU`lPk;iGI2jz_W;LQnXZC%i=~sDF3v8M^*wkMib)TSmor7a zBtQQ7+Wj)9m$`WwMCZCFlM^RC=cThub91@z;b)u+^XC`JgtG5(f=!PV7`Ev25qeDv z9{~6-(XqZb+(~rG2iSn9Jpe@Jm;wo~st?IPqT&Y(3j-$81`>Kc_~-pyeUb2?cHO>? zb!)#Qq3Q!t#D6s~;X}73kdXhOA`5h3b{40bfWMC5sZ01UV{CBF9f(I>4}~d6EiT4i z2PLh3pqOvmQf~d9XuC{{x5$sr|G*i_sfz8n?yzhfUuAgn`#4S0?E^MXYE>})L+y9_ zx~*FqYjK6w!`tKR8L#6$h}}Q2QwDvF%!GfZQ%eB| z(l?%U{<6zr$tZ-F>wK%7J(iT}e?v}w8A;dE{Qx+^B<`)fwzoUL1>wz7g8pPGda%H1%-wlf}l);7uS#iKJ+<;a-r-smlq; zOTH39vgIz3e=ATA#;@u(P{8z6C!a##u8W z3)E5X(NjsjYXT=03^)1}W-848oTfPBI?J1K&=1xzR=Kv$+iWK1p8=zxLSBZ+>JDEW zUM&YSS6BL13@|Na)TAE=(U0e2TG(Cx9<*HRUU@?=T4_Np^l&Pz*P8wB3kSPm|YUxth68^^L1^X6wSRH*(J>s50R^CZvBc`z`AZ5Mqg>BRQ)lRvIO1Q ziBdSzlhLFS37daX51;rk0pIzzlmER7xCcv%^6Y$Dxa<89k+{$bu&PP1lm6yfFF{{F8Twf2g5=79GD zO?qY^V8x5{)xpg4kRsbDrV>UYT>*QtIaEfcIk&UpHEAP&Q00GWmx`#$d8_b=r5tHr zeHRiD(~$@6tiF6KtBdO|b6{9$CeMnWNj2c{);w?4CPU)G(E=fMwjn8giEl z6A~(`lMF4isy~!XY8}Jrv>`jUTe`sV7ZcB@&Pb@;=T1aRZQh|oNQ&J@B3RCG&7w+$ zUC)S)_dxm(zq9`RhR1DU&@ue9+hY2}s7vLpFi#*d$wyRad?joZDQ$RcorXnR z#Q4^QfzK1|yb0lALy@n~m+tfaAl{UfTDhz&k0J|kg2l$6>St=5ji6H}WMkKB)eq?itw|X# z0XX=(duiz!70Ke7NolchiMcoaQ{io1KORL&V-im)f`A}*-{jb2OT}MFB$Qi|d!geL zziTV>MNcxR7&NSduS=WC%F1{UOb}i7#Ou0Go&PXPKEE&gCRIO%JX2&q3fPSjZg zk5-tI!KYQ@USqp|jGY}7v)w`s&aOwr8YHG_z%w*($BoreKossZ-{Yq;B99n>x|`5( zBIxK*`U~|xi32{tnZgP$u399D&mcg42{%H-{rduce0(qBkJc$rB zs&lT|HzAdg{S{Xts$v24@saihN(P%`KRW7E7=#QBJN;Tig>YkweTRI|o%e z3Wn6;=d`r6DMV6s64N6C^ln|#uO#g;il14W#bvJ&K}^g{c#|Cy8{iz?i$}qD-VlFe zh65tL{iGXk-E`9O(e7`jBV&qQi|d2C*fc(n!sY+lHH6( zhFbhfo(d_+`=1M|;bVlx%7NtP+1+Nx>tbVW(2h-0ESeJyjCaiSjgyf>hK5?HN#YNO zk7&QnkQ3um7laYydUUIM?G9j_?4;u{+ZabCOj+DXPBkRB78f6#5Q%GUCnVEj1+$ay zXVN5sT*~J}oEfpi4UQ*?*dxy+vOaU{&y`!T(%A{PCcIhN6{gt9Pp2|bpm)fGACc6l zaV^S(k>30FdcC*%(-KN5s*mDxLK4h(O{Kb-S|Jq`VEW+Q5fPq>R{7+52kWG{<{% z-|Gq;QN!7K#(3Y)%y;!P0*N58_ci#W@i7gQ=N@85zs2OaYn5FwFbIirDES)_6?r_- zy5he&DbX_~X^l1U&k}o*vm}a>YS3@2=4C(gffN|Y_Ei$@eaD(3Jw~)zPTlvI%QPLS zqsA=CXkM)EjgB(9nsnj0@o6K3y59Q0o>S&6lRa8TjutcnV zK!UDc&edMReR4xVoh3;2gv(Jk%&7tI415rO0G1O)IwBmYW*3@vJf-4TQe=1i2>hz- zX$^e4i%Wb6B@T1iKrT_|)>*&t_^RMuAIfUx@l%h2B&9$xGuv69hzp}VRz4%3`{$bS zdZMmEL?NjeE?vhpxM~$$=loMRF>o^Bk{QANrwex*I_BF%#h`|~7s+*UD)y^@hLPs5 z(VV24xUB5s=U#>-<~jm?S`g?zf++1r zd<|Av+}s1jW7|NxEgKHnLxMn6yN&p;vGF5?ke3hk6MQ}5!m8ineM2$G zTodeP@J~9i!3G$PM=g^g8l0jjh(Lf^yaib3Wq2|gZ2A5;Q*UeOH`opEm{ZrTYyf<~ zPk@I>v?%CERkq3CrJG}N`PyhO_5=Iff_-Q%m)02b_NuN$TcrerJe)PZ5B-Rw=!S>Kwhl1-;9 zr!+0P12%#J3nOhM0aowU{X0IkyA~ia#Wd0vjv%k+rf)=K?wmdP_6YBA!xw(q=;A_0 zAg7PK^-I?rnlXSRArNJ5`gdl~UYD54aQ8Dvx`EB^`A0`p=lPH{rZsJs-eqBfd}9nx zJyO!Q-obB8J*0k;?%q}p!ASyKp%ByFOaW@|PPqyt6ixHPP`~hAUg`eIHAe}YQSFiQ z6u-Epp_h7<6hmuvPz=Q+^0{U?qLWgnVLZHjeY2s+1kHULXf+q1q76`UymToxhE74$(WcPw#(fJ!nZ+WSWBfPcm z`DZY1m8b6GhNy41t(68Gn;9S&_j-X>P+;FMjiz1Mqm+4|{2Vi1ZY2NKSlo=x1AY6m zc1sj@)_8xw0iFl?0+xI%`d78Lh?=v=-aDK#6{=Tty_P6)bPD^4LLNJ^W7J3K2}@+2 z;Q+QO)>j*4EC0=xIl~|4b)X+}k<WigZ{O3BV;6yP?G9I-W{^2T|?PIq{^}phP6S2hkY=!J&&mI_q%4hPOjP239ub30%+X_V_{<|H-BQ&+6?u|&!? zMUN^hh9W6KE*it?U0wTWLJpO>tsL16>9^I7<-Wj$iSa|2FE;5OhgsXuh;`n?`j)Av-Dysvz!MH4xI z>by9GlRp4D>?)Xg6Sz;^(mpz|GQoPUJ2BS=@U_5Td}0Co4FwaamgH?%Zyi>6F>U{5 z!aC+M-8wr9LJp~S$E5I6V(&Xu3GgKSmgIL4-~UeC3k^^z+v(N$(RSKxX=bJq`*1pc z{6xoGhWON_Gpc^J+iC&xgTMA@P8(P}b(QkcnUG>lMTyQ_AsgV_u9ZLR8RfY^qZ|C= zGUnoGO5hhWa6Pwl##w~7iQialmMX2(-L@+p?bK<|=OVFGhi%{&cxiIRiFzoP%~E#m z_iRON$h23|W1#JVSbUYh&9}a;f6Py}31#*E7IdKV1W`QHV7!$X!bLw6vzZ^=A0WJw?j6=_KE<|DA;sjT|XIF zw4u@jh4`7e^cK0^Dxxz$SoQ4ZpejKIKgDC8%{cJC3eJ4)(Aa%+sD=&~A2?rN?X)Do zABS49w*Tu0m%^n+@F4^}gU}SICZ4%o9N9V4eU|USmZ1*vHtxUe5ro7JN$|mK9-rM; z1Lk^{8|UnA4v4LoI3LNTzAqSG^sG4=d4Kfy=#PAMcir3DiUdb=oSEo1?24FBnhk^y zamvzhSE&DJn%LPI;FdA<{@4t=dn#EJl4AGP;`(hOza!6eAv#rbx(`9wf5jd9RZvHj zVVBazje^xphRTlq*nQz9W&hC_4-gwskoMq~bUBHOQ?lakzkp6nA_GqAHzx4@v&~mr z-F=KlGSusT(Lt0cbJ(N&5Grjn3*9??+=4k4MAw#uqZ!t!Pim zOLb<~eC1*IoFUkdMvG993TGADD%>Uf%ozVr^hn}AF$)#LpbaJIig1xh=edYL%P89af20)+Kd4Mhq!3}|Dg4Ah4MU3ZMSC$<>Zd4{=Yl^5 z@^IZ9d30g`rbyzWQHJ%b)^oqp@B%~4H6Gsu$4d<@7@1Bi`UCTT)WB}j4F;(_K-cCr z*xbI#hra->wZ4ixgd%Gy3iEM(ncflA(Gupkb>;rYe)og;GgOy3h_DV2w&4ANiFlb3fo zEV)2u5+8Lpss3I?%ZPBF6}h?m9Sv*v!Yg7r)kAvKfYsFd{yf}JsJ7vFUm6hfbTJ(G zleAH$bL~%%%xdKCvF5kU2x>u5p1oBX`|#gck;em4iWECAL4C%{uK4!&7xgb20kgP9 zZXd||B?pBUn__muW$6B8#XG#nF+OM|qIMq{xWZ3}J7TO6I>$J77^VgX&L*gh#M5ns z@Lyi3kN-`Vo@rmQ_V|nRq`tRv!xY)xm%X{#Cs}eXFY42MRogD#m0*H=5$28MMNCHp z!ne+NjS_~;*bKeTf`?2Kiw%m4X)oG5L>-R%y;^tuqh8)6IzP%8zC%LQO^Jo}I6R)4 z3Rx-oV2VCosfb%D=kZx-YRBvfymMNkb}eFl{Bc@0cf9R)(Qz!_hR00w`vr?eFG-Jw zc3tJp1n234o$M7WF40Spbdi_Ha-ipJ@2aWQJY`QBT)=kkx98)12+IWb29#5;mq3g2 zTVkcS&cCHGzj$ominEp~yYy}?`5T}neTrQ)wj<<({FEn@2dJaFiaJZ%q^{uXEfPI+ zIaStbQ^}@0-+O%TnC9R69JYv^^~wdMyx2bY!QtvEw==v;C1@JWf7$ejHGYd`?f6ZH z;!@@QP;P2ulG!)Cr9K(i5lKu*x321%#HwsZ-k1Nm^6P3nVycxg`FMKjKZeNt#5bmv z@DL2ft8AdS8bagtAcD9BA1us)Sh;^IoBIR4_YmCEx~+mnjkl8uTJCnGb>9=P@`U4a zWc~D@++54&tlmDG^i`&TA|7&~W#I)B(G0jmK6yT+%Q27%=ek1lxXMN5MEmxe{|zdZ zU;tKiz78~qSlj4Xw$Ak&7Jsbr|yYklL*ZSGswPLeh=KVpU>5I90CCND0m0jT-AvwBb zrKsH1 zE1Dfl#jbHawi?dE?g^Oh(mUyGO17$3hrJw6Q822z-vZdqurBMW2!A!3&es92Q_z|G zV5V9C)0!?HQxxJsQYy;GxT|CK$XXr7jk;+3mY}j&fO?cy@$8vc#D}K%<>Tl~b8Gw; zWz&!eS^e~#G1t8`>PILLZPwdmcW!Pg^mc->qPb7sCOhz7e$F}mm;uSw*rZoVe6cFB zg<{o$UN!i}K(xZUG0A)75m%@am7&<4rn%XI-fF(f{=-6&l$1uEop8MC%S)E5tg~}DWd0V_CO;wEQq{hp z?Nw|L+6PS0c6UbV)QyiV%c3Jq5x{sQBhfq0k9Z|fHp8|}w|vY#Z1In6)g#S9CH#TZ zuq|IgE@(6VjEW^J1n&5?2>2Ds{#(SU-$cj_nMDDk8Q?Yy4ai;txbD_3847v*gRCUwQ}V#T2^)*0C^`!7RX!O zz-&60<*7e^DzkV+FQ)N4GM&RD+RFBR50`~KmGyErSj8dSazu*Wokm4s_tkj@Pj8in zZ_7w=velj+ir5(UmIrqlm7r6?i53iC%X);EFkR<`DtMGbXgG@MEbRfZAUYeI(gh!W z%HtHo(b?}x2%67Ds+aSpMXdh&@ho@1g+gQ%A@gRweU-KQ%7K+i0?75Sg9dh4x_Yh0q=3_gW+ zJ`IcM0*9REe>M=|kdaQ;qdA;Wn;u*JLOrWcI$_@9-c7cKU0d+Z6NS1(k$Re+wnE|P!!u3BH-M6s4XjN&?h zv)W3s)jV<$#FX%omF&*Gg{0DZRA(xYj9s+=ED;!);F)yqCN%%s7Dz>n5;OjYIGfX=-_mf5Ljn!!t` z=WAidM1|v06Y^zB2*r8<<$0PDCGpo?J^qor-YKHGWLg2*=)0cVf4y5C+xG7+<2#nx zy3}K@#+_M_*S{A@4HWHQT$3$z6YESbFo+j$Ko z|3|)?=?LAwm0?VFdw7S!*jBz}v+oUK=Rjf~%#Xm_?r}0K$d+)xrbHR8}+NqFZ&<;kH63LNMo@oc|p_)-> zr1Xv}bqb{XqG3k!4n!7GNfzI!%rGOj+E?i%oyObaqp^aNWHZRsm`ccM$2pZZ_~f{x z{lbiBay0xz@s~3lRAn72^?=NB89Zgha3zvmhD&N#1a<6&Af-Fr%JpaBzO~#;o@o!`M{S72FpLz%PBehcs_~jWpA#d|tP*;Ghl5R0s*#FJz2j<3OqW zyr8r*Vo#pKg}dmRFc4xFa;vObg^Liu;pV2`L9-ypmuuXhgP|G-sb;fJt*u#Xl)GWj z%_b7})R=5lwE^ztpAep}w=$i`g8w5en|6~IUWr;%&pl_U7`dT^#?Q$qk2ybieBzgt zh6?F_PU>b4gp0N1b=qpjnm;4NT8-ubCVc{zD0ao+?|FTK>=|+tY9em{s>(jRrztjG zKK$A_l};_A)GsgcQ=#V@va!D5?G@o~>UFs+L&F9BXuuf_G7^RI&i+U+_o-j!*_SHE?j-?JUx#*WyK?_6L|_TINgE!6u?##xt0rK zp#CnO)PaNqiR-xtG>T;}!a=;2<&?q8{+8_i!=S$yOGR7n_Yb_oppD+XQRjW-&RU88COtkn(XI1hBne3Lq%4g1wjqZ$jQg9ICrtLi2 z!R%J^Q10*Y^NorPvU|g1i4!O zx0>><)8p_*wYI^Q_)f9}=4*>u;6vcC!fLv1mu<2AJWiT+dyBFJ&?@s1Xt4i)%G(^E z!FpJBR3;M_x@(i_%N7PP&5LnF0_GPSgcLGd+|9GEqe#AxtICK3W!N|n&a7)vz;)as zj!o9yT-}gbWm3<)Rk8`vGGdE_*6ni1jJy-3VZ8v5kq?p+U?M8>Fs%leMre!E%R^1m zvx3^13a{kGb}Oi^g3^COf(9i^X2>IN9LZl#;I7HnftD^l zK?DdDJou9+hkHY>gIY%ceA@GYav}ND+{^W+Z;9B3xF8+Y0NsZ$I&uPY41d9U**0U& zEW_4g-@+B?&z_g{Ms0E9^^hkUW%+QH3J-xb#TYHfUr?xGrWO$tJ+n(l%C{lKoOGrP zvrwS&$mCEY;BnN~%ht~Yv~$$f!&&P?sK&EY$&$CXWZ(kDpU_KJx$q$?ULqC4DL$es z0m}--cFtRL`FPgXJ($rieu*Jo$iN-yDzg6d-36Ag?DM6Y)K&aYwjNjlkSVogc45KX z2O9-empThbN9sdqKbyWE3b}()(kW)$S8SaymivFyIqKs{_pF;3=9=myA#TOZrr4vm zpDfq@#I-q<+CilpW2$B}Th?CR!@m>hY+707e{X%YZuyWbT#iR3<`ka@Inp=>Zp)i# zuA!`SGg@52J{+@RveYk`J$(799x~l+j=$-@@xPxsP8p(_jMiDs4zD-YY^B6@sK69Q z$y*Cri|!7Osk64kG=2kEfETUK#i?wkqJvc){Ql%hV7Yd5_uI%oXohqI&@|P+)%YB8 z`)FRlH=5jP1vW|@< z*R;`=h7Km;Wj=5HM`j-fqA5w~IzrX4hu34@31#FbMfZt_0f&9L>v@)%43ec~c&Xxu zHFZDUcD%`Kc4NoZC9NN!DFUr?mL#?C4+bsh-s6w6dO~F~gRkWsmVaIVbGKGYUq!I< zg(FQX-3Nb39{(?}^Ci8n+BAFfnPAT8<86YcDsJzB2s%dNW03XwC`w+(JfA%AdwsRp zj~7iSduKc5D^RJC!3>^0piDgbMjL;+q~C99-?*e13I(( z9a4zoqKudZ=@{*$8S%0dx!2b~FWKRyF3*eL@X z)JmlVF>?iFkx>`M%e%9ZmxV?+a69n;E1qmJ$Rb;!rD<`49&jGeBU_mD7W_j_jmDYhQ1ab|*mJ*zgBcagm*#bou z=>jMuy9WUvwLHGE4-UC{(ptI9wTbt99x)mF{}P6_7#3W9@weWgLPin>50M5vKecN< zoR9p0`6jM!Dj<8eVZiMJwc@ib>KiD0k&>&_rgdh*V{fD2#l~fmmP@$;*KgO-WK$$8 zc<1jH0hF2MK6F1+i12&Y0!3VRJ>KLQW;;@{w?dt~teq*2$KUTu!*la+s_AktPSM$} zi&yeY9d`T+ns*8$B(YljZ>`&~4@EC~dK|lIt^W7-L*hS}_`8B>09MDsitC{JC~Dv; ziO^V-@cCMt=RuM@>GsN}V-u(5#Ofj@(c(=E4|rzZ5#U;F_XTQ*D_^1n{P7WWsk^dA zul$b+KQy7{C2S=c(@bpx0G31*G)+fv&CCtUT0(!grDSO22a@abzaqx1Sx36+>bMSX z(LDFbq>8At!JlpN5fH!Uz6hSAXdym*6cs<;b2mtuCL$C7{mc)=B8471*xT22*&66VfYE4JZ@q;H~U?qF?HP^kIO zBbJbHNnr zCw(@3$4+mpff}n37S0Cl?GrYbEA!H0;Av{d#?P@2yB*OX?ic+8B|- zI*&zy^6kk|RY68y(T6(>*-_n|D_;-fTb0iV9LxlBn@ zeo}<-y_0&?O#Dp&#jh(Yhk4t{5w6-o`Q(vaq2Yl~jFndLCx+=Ozsu*S$wQ)3i3vTM z6DzO9b4&zNFrFrGLQl|U(`*YsmoaG+oNk1ybl z^2hgH30V>-P(||s#YeyANHZ?NtCitf)*DnQzr4t@2P4x$Rc6LB*}+pnV!Cbvz1=H= zPM^ZVglv0tyL3w}hM{$@wqBd94@&Q^Lo-#J9!fnHx8^1JrRC%!dYm9-U!){g76k1_ z2ONT@OT&?1j}5u~>)hIRVF-S>p$^YzFrrdv<;nu3`*Fw%uilkkA=N97jbWRjQrzCH zzp1$imGYj-F9ikYTpg&$(UpgTC!QFfQ>OG8TYDj5x5{8Fh2zxJ^NDOYuiMc4 zlPjGfC;qje%P_KCgFj3+eAHm2?%lfRt^GiNO?(C(jL?@3k_vxC-4MUkA=STf|KR!D zn@$1ztzk4rD95h4=eGXO^`*nc6P-AR+@Cx(#s(UOH&xb~2H=J!dy+!nqvguD4Vncl z^z*U)6+`2Cft4x6HXhc>m~woI-hiX{YuF2lcCgTq;l)G_<&EXE+GxGUBI~)N@Z-0< zCr6#uxfSW$<>9Scmtl$L1LDf5Bfqi!MIrMdBfrY{pR1)HKRhGHuT>bG={K(}YATcs zJ0sH47#%##`)NL=yxn47lEma9`;wN_1jLXOD7jNqg*hqe* z;PPL&F1yLTc~E2Y{uJ>3s6(ACuDP3Wba3K{EIg`gF67_;C{nshCYdi?2@}$2T^hsR z`L=G~{p0h}{a0UMyNdMx#SXYCYK=-q9s!>Os$OVH3DVy(j(&Rl>4AZNeuDe6KR8Hc`0lw7UQySdY2&nHh zHAIkNOTjn3_r$KTGq@!pFUe`V{LWARB`)HnmRYA6260r`w>w%VLTCIHtoltVx7P=7 zHALJVl6hQ$IS6?V!lbshx}MF%Wyuv@sCd8zE0=)D1{%!e{5a&+P17C zE<@vIn-=bjD}7*?a9qcU=)_j4kvs&g)u>w(?4jls2YUO6f z`7X6WkQTm!*riUXbO?PFlg}px?CocPvsJTUMB!|&i|3{CxV+}eO}Goj?`0{}jlTMK z{w%EXDO9&8QgDRWBAZ-56uh7R*e$w?4&lC~8~*gf)!)`qAh}zkypUU%Fd2K{h(+5x zDE8K)M2MNZvAL)!mg`o`85zX?aLL7K(+iM<{(SbIi(+8PPqphMHUzG(!(8ALUC_@W z@Z5$o#BbrFV(UFFh4wn3lKQSxIi>2lv(R%<&09)JmEG`HQ-MY5kKy($-JPJ+9t3qL z*OQL#cRp^P7ALoIYft<>HpbIX|5QwhMI$SpyUMDQx?Hv^tI35Qiu(nr!&-o~m!_-e zj}>ZFqva{w@_EqFc}391tdRf3inX(la&98c4}9faX$O58`$CEr>=?a?Ofx%uy%_@f z`VaS8v~pou9l;%!LuYY`Z{9&1y;FNp=t-MSr_0?>*$)2g%lh;)D3$KZy0I}AzCpQR_n>AcSOX+w_zLTEPvD1f`?4oU z`!5)onuDOV@VhU;W14tDS3%`~NCR}p{B5S>w)$IXFFunXV25E2KZIr>gGZ(ilAA<` zOviq3i(U>WQitb`++E+*SiGl0Dl{%B^;ecG=uhT-D%;g2+*km znlq~QpnWf(v!#!`MwG#cGsey~bcv!Z;@B3<9PoH`=H0u~D-7DBT*QB)X0gPC1Wdv19`-gxz zEA1d~!5LmW-g16XApbPP(nQxgp3|^76RJoEV0lE@^{*D*y#x@N=xtAD^1|2{DO$m|yC^-aopmi>@b8yjgNWw&d4y1x&Nm8tzFt04nKH5|nToigbinxXl0d+^BQK12r!>ovAaI$-BEsWM`aPWMIjxt0^QDDcRP#| z&mGtVz5W@Ft#!>8h`Q{A)ctM`G(8Id=cMhp>JF{QU!=H-Qa}BlyLC2a48VpgNYg7|^B2N2IXQWm7ybnai0ZQ-?&v>>N&&s=# z&fQS{A^LFS%sjDR1~e3jXpx7A z2GFlR9v(I{UbW{O)H# zKjSNBoa>6YqICFLs56SInwa#8qn&p{g-nNt^+K32ny6E3v&$iECIV^)I%+4gKhhaq zBWSuta=o2$)^MWQbKs1T% z_fT!Kqa<>4!k2Wre2im)2aM)iR+p()F7~LB zcd=VPFM`=FKogCX>N4TvKCV4BOBYGmX+BC4b-Dzqf_sEIY1;OYc?OzGDS9qPD@yf` z?J0B!*V%#|F5ccg$*?Qj17Ta0v+=hN_th93$QG?}T3w!7r^HNv9tP-#W4NVEhYWk$ zkJAOg>xT243EtQeo4b!SNTOKkB=OL40!%nlrQ-zeIdZiO*5ZeLk>X=ISHI~h$DFO` z9C$?kP`F^f2=|K-;fjm#l+xma>XpEFQ3W%@6cYs?lkCqIkvLDKT>SAXeX*+hh;|N_!3A^S(n3Q#dH&zUznX zLX)otCJA2%0yBWXazy-!AaEpFW}DL$$7RX-_%t z=wyYy1^VFBrwmUxm$n1gJT8`wX$Bqvxh7q$Z_?Adv_Wn>XC zCG>%{)G2ha8Gm`D#QVImZ4Kw^@PDl;yg#S$R17D5Hba8P@emPQmI}|s9qZV+1S*Hf z-e(Zspu{%2WhU&asXonXw=6VP>MclX!y}a8L7|4Pp%UdU&TSrS+UzPuxrblebiD1Qa%i3&z|FCYKY)0?BAMjkxg_ z71X(lo&ZO5m3A@iU>(DNFy~Fp%oEL+ z^!O~~;{;%Vv8VZ10O+rbZP>SyjCTUazU$Nl_RRH{OQ_qy&G0h^9qLc9KZT4<_cR^a z!D{!ijh#105#&zg5B;vus$8aYB6>_04w(J30U~&*xh!IPTPB zgb?8BzT|5h#3hl+@v^+K(9H!(JIch5mS4>QwAub3V-gt^KqECMs`?QWz?Au8oS;!- z^~#@j#f`12pk32DV1Hx}$B-vWWnjVr82yFt3BF}m_xJcMu!A!)C&&CD8MRGf1+>9n z3HNk=9yM|*7s_lEM}FPf%_kvxBwhw`(ssjlD*HxJK)d?X<}eZ}N!UTD$SjP%UF9`L zD0YJzM88f4CSnRd+gVcLq0zK2se;ol;}Peqev*O!{u|mOO79>VA&*?84^K&;>FVp; zB_0L1!*}-NuA|_KX}fva*9xIp8Lx1xCkGB=xNCt1pi>E3D-h8IhaG`Uce?$SM=vrw zk$M(PJYVBjNA(HGej?2`r476r3NwE%alD&T9-_z)j305}m!~M|t94;nRy?N_UlrnW zoGcMz-)k=MTw19(L|MauyP{@VZNa#xJ|wv9ZG)Q;pseHmHC6F?=~fivw$4C$n#i`y zWdog+id5@x@Q^R^!DX;?eT3Mk!KF5A&@sAr`AR>^-ms&hl++k%|S9BLe@@PX` zQXXHf%RhJQEk(@s#_MIGOx4kMzagQw6RYKJtKE71ArrK8^7%f<`1amiytZHv=FqFrq2Rwf>b<1nFMQ-<%9gC>B{-gDX+c_lpdGX&Iw)LBAtm}s zW#Zm#a98*SUkgbf3ggj0cfWFzc}D(&s^~mrh%-eLCn9gA%Hy%HDrCaF&Z8fq^KKiC zCuQL>KP~OVah2>nbnfbGLjX`VUsjj-fk@r_5B9sVz`m)I5Qyg0={E>F3g$;kf?Yy= z+jI2Ry%u(+Vou)AeJ~`NyQI+z#*?8CiS23CQAOU_O(|Q$Iw2mhlqbNEJ z)#nP3ZtUuO!5bEG+OBf+>?weK{Irw~PBKxD)*DlHSp0(wdx}?Cb!BnA;9i)xQQI!d ziA6(Ni9-;6>64z63+3*fOK9-W4y#|iDMGJU4Y10&7miuZ-AV|h=yeogP#nCfevnX*hmQ~%1<)71$V4vc?cCP3ytDjo zfHEawq%2K*A2+~HmMoN!F3$$?nR15MX}u(D*XtoL%Vo0<-RWauK@yUzB((~foq0~+ zo;Y%+$I!EqB75;R?KeFfC+V}Z%KC4gkgCna-B+b=^H*F(+@Wr*0z!HDQzpNn1Z8F7 z4A4v41NXmnYkuXJKcPX=;>DEP0%#r5Rm&`ZC(#pek{Wg^BD8 z3SZ{jKILm&ej}`urzw{`;e2D$9F!D&;0>Zc;WD?@rLKi{s|8$;mA>;LqaljMG|)tu z2v-CM>pEWeEsQd|I<ocv=a*i=0;fyroJw9tItzL2F)zLaojZks0*5pBkNWOwGt+N-i zspADesqbZ|q1zM}2I%twbrAY!zz+pJ4Bmn~S2`Mwl9zFMVYiOq3Xg@~%!a%>25RES zAY(X|6wd41u6oliYhehCr)DTx0L4ggR$t}_iR33Nv*Ls;?)F0WL=?+{j_q<9I4T${ zf8fm6s-g74uj&UIia#km&7z62Gm9X^a zyB_*hqZ{MDb@}JG7djCT=~f8HT$VGhfxqCEcy+4#FXp_lC_e7#mwL{7nH$3K)zSrC zIpGRg09`5@Q<9T{(P!{TK(CjdVre0eKb~20eM^p|-NMi>S3;tXB-MYhQd2oYxl`fT zVUXwP=N?g(ryX>AvEYlnUeqininj(HzG5S4(V;t?AkFuFPC9>bP;l(;Squ$!&CFD_ zlU&!KSpT6#{CDqJvo4axu;w}^yF>h!p0tTc<5e0r&tqxO@mm-LPWC!O`7wV}&(0ae zZd`rHd*`OMT$@$rb742_g;GUtP>>araxm$1Igy+aWZj_i{;+kA!8!lc`W&+=rxVut zSz*gcM|22p<5eWQEsT6W#d;|Tr}9xjCpM=4F50IRTW(8ws~+6a{w2c`%~crnf(bk5 z=kRkBWT!D%-z`S>$2h_qOx-Xe@AGr5l-6FBeVe@fIYHfs#&|}BD-;l+EGoPwdc0dQ z7W?$bg2Ym?#aytxW+cZ@hi)V~tc!M(`W5kYfK9J92#A9>*#9=ZgY{BUr_THol#GIr?-}OaA_~|=BH_W&}i@i zXWrQ||IN+L6B$F8@I(g1yQ^jJIV|LG^@Go*b@kt%(Qk&hCP>Iz*0=RJ7s+;R_36hB&)gGhW)zQl9b?9A%)gsg z$QedTs)f`cK)JC?s5pdhZP!P!`HXDp*0X3?p_%unSpHL_lom=9nok$7zSzs@d@OOs zuYbEgy23tK8qA(10uJ>J$FCoH+Fcv3E!7Q~YV#Qy;BpQdMd~GgCRQd521cFXG5Om! zIv@F9NPi0Q{Of!Y_t!dqfhD-YLBJWq?fA1{DoUbJA~~JUfu*@uFOJ-ik$hBvhqeUH zp}Kzh6p?)_t-zE|M|JIHl2~{StIGu)1n|@u9>hBq2GMM|R={g#<`Z%_=;a{JINbhe z&ny3#6PL2TdVsrb_qDat<9YI{%Zk0obR9%Jw%p{UB|*&V!_|rW=C-ZDWXN@C3D;)V zxZ@OZ*G{_qw1=QyUHPKn&_4<=0A~1`K`xFLazk$@*K+{3w$%iP_Z`on6U`HxS_S{2 zUQvO@%Ap>)iIbZw!36!PRmIzw9MYFRbir>o)CPqe!5=d=!#BuLP~pfDqpB{2(cMea{p}YU_Kt^YX@mH^-O^TW$ zE^pb$?R6SX#3>}uR40a;7SZvJ?%50A-(kcT^}(tgPXLdmf284hut(s$BFk{5BOLddjL3WS5K9PE9xd(p>80np!e`SP5K zcX_}gqSG5INwqSLl-D1B(5rkyD~;o-{nzk645TR~38hOdr}()`c`n;%jxtmf@lT;o zpLC{i_)|2`AE!~zC$vl&eEl&E1FJmI*v6q-Ca{#NEtvZ-^RA+PtE66vo0{C664%d( zHz4I}Dc7vd?tBjM$-#$CDUjdj(j>X^dTw zR8kQ0_yFN$j}{q9kLxtv9tN4rr^%K{SOy^uTj*pOifxGL_Ij>v|9s;v>zFbqHXYMj zE&I;AMCp$5CWu6VUpb(t8=5-}&&+A=()46YreQEs1pYjV>n9fWjt7jp%*DSYA_(gd z@u@{dAjF#C-*3&?;w65~o~BE`2;qdq9(CLiI5l}|DnbrXvHQ6X1_0qW#GDvs^f%P{ z$^}!nR7L5vYeDFgU~FUI6z1vS#y%l|-8WmI4B69f>`TS-=P5R}!PV;SKASR}Z$@FX zN+jsRq=dN+%c0M!;_9)gf}96!(VcKG>L5%d&Z3kJrXz< z^#*(|QI9+9PjYvTe_LMsS5(Mo<2-y&wf4nutyXt5!O zyoTb<#MgPloDk1O11yf4m|XXBVkrTgYTrG6g4AH|NMUU;pwk^u4V&U&c zf{(phDFw-ZokzQ8K=tSt(3;~?%IW#r6OaB(WoExFq%_CTgbjB;LOk%Ky_F-~Gx8zjg1-^!Z>)Nrj(B93D=vAao^_+^6OU|dI?>IWL%r!?irW%?6t}2!qQls4{bYhvZwNIB-|QT zkb8Q?W^}l-DfGxu5FJ}(k95}g>A!BB&)bdoISVt@uKjBA)eE^H)=O5ojzD|vj~_7D z5`c-kpWc;9F_- z%Ii$}diLiv-4GiW9h}-MG@`epUz*`66_ct+U$Ku;u%d zn~a_BJjr|1Q($nj->2rT-p5!jqIVZ`xO4|b+eXUfL(i`4)m6)%GWqgJg#CHVW}M$r zCDU>Oo6qFw_*8v0P(~%_sKyb1Dn9VItf!s}De_itaPJ0Z!&=|T#R+=;=(V{>p6i&B z`J0%&$N`Yd)W7gj&9`GV-)$|-MPiULY`bhxWFAf_w~5_J=I^NjyIqU~H+ zFvKE`s^D=Qc|qg)$9M{2qd51)p2J$MI}5M&_|{hkRF$KQwU+Wa3u=WaT8ibJ=W~o~ zW;L8^^y&C(aceuR0|Gbb9A20;#Z{FTm>?(g$?+J;?xEyO+$^ zNE$g}dwBE^@<#W@JWgoORSm`S(+$go($)?foHvzJ{aiY1O9|n$g2VrQ)<$(F!`hLw zZTBV~y^q*Cu1JEaGk1@r2zU3eH6*@)?;|7%aL(!I8zX>HiCn=Vn^|V6 zHXD41r@lWB5Nggsjn8Xm_%#eInrQZtJqH34&_3o96~=NhcxL?QIWb$feHgsTeqN4j zr|M|!?Ltv^(JB~0AZ4BoH%sY9K*xm?defW=0bSW{PMtK+vdVr6BJi&;_`M zqrR#asX!Ba49S{y0Ob22l##LTmIW^~rDHf>`@5}jgikG$>CT;@ zYzcyd%`tkGfCN9#Y-kHq+e_#U%Nwz~Qx8zV=L)RAV=cqW8S6qAx5>z0v)9!7Y)X(7 zVF<2QgC5Gex*I^IO+zO*LpD<+tI>BW51YGW0X`CY^_=)7>@g;UvLe57BOEn3~S7VybCpypGnxB#&4e9+5Nc=ySK?VG+|YpW)-Ev!4JVzzQWVP zDfjD&$)2&al7i=L?q3o<#Wc9wRk)bxI4Y=dGyv2J2Tyz>XujBljYVS1C_fpCwmeTA zc_Iy9oltP|4Z}_$@kUWEr|f_Yz;Gxwi=fJ5(K9V9A;qVW`n||aPiaL_Cr(qm2UGM8 z{vu6xN41kP;^Oes@tvBthP>X5Dm~KT0U4ch5{G>%b6plY%aT|wy(D#@9uJjLm^FgN znQPC=B@MGRk-nO;#U@o0pZ!k5uJ|{qRaI)Exwg)WiwU*q(lIs%X@MA+J5Mk^@ED6H zKzH^FGB?SOGGW+9K9zQICF?e&nFk4-xzGoP{5F`t1!2MO+{)3OAi#(Ru(fa5l&P;S0lDA?LF8V*9;b%EloM}r)>o!cOd;KW84`ou7m(GpuMK2% z(xmViSye2+j%vCCgT|V48as~d)bel;0q(J?wF2AC*@&!}y`n&J||HF_S*6!Or1DbL8;YS*KZxR1w z*f%LEq|Bhm<#%rh7OHETR$ziS3Y1y0B#V_Zm!{M|lXpzyQt`eIf1o;bw}E={yyl@c zB>5dz2Gf;W%h9=`og{Lm;+xjg-yXf^>U&C`NEcw#XY)>P9U6B~T>*|`eIUihW~p08 z{oDDvs5=f-@?Xb~%H7<7f;{tm<>N%*-~Cof5;0aCQWquShPm3zlyWewG+)TTF)UgA zf&oPpP6(5bU$@q>8zsrk^hjx=`8p9tn&r(;NOC((yEg=<%-K6i`KqX~Bi7q6R5&`cvl%8JupnfNdncpfgS z0#DbK>9-kp#EmkG=W3Ne@t^{4S6LJZLTy=pJ0=`*=@L?LpM-@T0_E27%Au(gP@QFs zwKP1cgCzV{n4ec;5QU|y1s{ObC5rnM3{r9zP^ZRx=SL2nd$ho-?SY*&TE`fHjc+=+y9Y zQK1$}p+2faX;L7y!>J==;Ak{OZT_i14rIw4)Jpp~{R@IA(b==E@yk18Tz+y<2m=h@ z?PNf`;X*QXPwC?~eWL%l6LK6cepmbU9ebvvD`MUE;s(vXZmaC&Ey-s+gTh755?9fg zk!7l{JM_X;ttvbVF2KrSj7x9R*bMo!*D3vDE8C1FRc}r$DPb;jWk{2RR;!E4VF)42%=%RNmw;)on%v0RKwR*7tt$?v{9d8K5-M#5KG#bYW} zSl0N)iPUV@OxIl7cSRIM%?94XB&h*(m-GY==p;1}=*y`*GK_j-eX+g{>I;o0Yu2CAmIZJ+&+t?zNOMi zI{74Lz>ZhnA^+wh2ZR6ZvE$m!|9WyD>XEK-V`M$y8VuFxJf(B;TO^7@MYpMCca+x~ z6iUYu-%yZuiW_F;{Jap=PlT+Cchy#jq63>6aggxxg~Veo*S4EegCF)E+pIUXaUYaF zTCEZN>A5oXL)IL|vKf!3WY|+V2iNoZfF`i*glS`KvAiV!9~HwQTr+ zPg)6(SeA~VBne#WCdLAf68V0`blrc!(Yd+_J$H_;%TALv{opl*(dEGyDYJ+mE_b1Gs%w z#@l?l($T7tmh-B7o5{W{uqAN+v&x7UVw*;$;tO$h zGJi-XT+Xk40T+l9h>nS)H9R02Ge{Su=SR0(&5{C4VJ`nQic{8ZFt|e7#$Sdl*K{B5 zuBHumeCwp??@8o(fb#^a_XN@M@{XlUg$LZxBOv!TOTx|W3V3q?0dHP|8b3bevjJ({ zw@oQ)y-0!gA_YV4$HE#%lv36N$@7SItU$J~_NMnr)$~sKLyQ7g=mc~iT8GgjXnV_g z%cjAPtH`aK_2F|Rk;IvH|AB=NkE`n+cq0WHvhxajI?#8&1x$Jeqo;u`OG)W$i$40b z*Hg}$-F8-Ziz{X+rrU@|h?gvg_kI9Il`?@~2?(Bsid@kMj7c)gmQY`cJafg2q|ye4 z2R6*v1$DM70sL**kZZtV7olu}vwY>G<6{%}ND>#){&u2~!|Hg93Y(eI^QV)G_KQgV zuqk^ARpQh0>shK0US>Uaj0%T{lvRv`*)}5}72~$=7vJFUfG5qyXdGS4wyBrYsLk-Clg-&n6SXOlil8C( zCenizYjp}P4buf7Vr(Hc5_%M_Y;tMHTT71T=*7T{OEn!6R&<7_jV#@|t)L@cP-Ge6 zP&aewlBy0)w_Wh(yz2F{V5#3(qEM@17G$1Pk*sJ2F6}^vJ-0S`_??c%AvPUc|jS{KM*qTO${~to_osk`cyfE`bU%a-b|ma~XD$(l<~< z7Q*SRURPhI%!FSspF>U1#HLRg1qWw1nr||f&Mu7NO@edIhm853A3dP*F~CZE<=zF{ z*=}51f5;At-jAO!EP-QUCd`b1;rKb^LDb@Y#M2GZ+PCibJw7eLwC@LvP?XUWB;I>x ztJw7uv@V?Vr14HE1rn&!K(|I@%b7QQI>k8lI$Fs5;yF+}ARJa>XI=elMDSM%%ss}0 z{U2~guoAdJ4x7s1r%+kzmwMj*i!!`sc8m)n7mp0&puFzuX|?a^3RA* zIwysX&DTW5?D&>*fbeiXZe@K+L>w0`Pv20$ z_jS_LyAdH4@6pA+b-(h7LjgRp;)_~uP^Zq4~UScj^Wq7k5erm-8I1LG{sF&th9VHYYz+XyjH>9 zU+jDoi?adhBPG5*daf0gS2p=181=~i4J+t%3AgHy{p(}V!H@i{J&{scudgAkuGE*? zty7SZD?|-AuXmmBJqUXS1q8QpuW_5ZJ5a}j5v8+}ZMYquU$365gWUro+8<26%10?Y z&o?J`Zd;C!*=~S@?&E#oBUxciW_0oqNQNm!b+t7AP{#tIN=U@u2>lf}O_uTBE4Lf_ z3t(^uSB;wmkrV_E~Woh}{bA<=%j zM%Z_{9I@nnklbUO1<#w5(6pw*A0ugPB9D!d)r7ffE*$TsBNbe8H1?4D>~#v}R1#4} zC*C?Y+?0J1GHQSo8LET_M;~U04ON;v-!q93Z-eaBl!8nwcczUF@)O{YWECzTu(bxn zX1fDIT-|f6#selNzA`SY4YXz(C59q1{I_uIBLKo$#y*W*rcojk{7CH+=kic9R9>#x z$I9zQ{FP`qMU!pbyty_o1*R9t1B83R|+`AZJlNvX?!LmU99YS z+GezyUY%+~1$-d$$@8^zu$dD4N0$P4cv|WqIM9$n<(6p&asUtI@fJ|_TVV)g4W!k_~|UErhM92h7nn^ z-mzn1whKggCI+5lC3r2;Z4R9sr<<2(`Qzg;iG!GHINtmnV(u@gG6`sUcvQ{!xL$Kx zWwHrPVw7Mt|091oM!5M{!+Au3XXYeM^61~D4(X4*$hDkY$uCX#Ldl)d;GXc@S!RWX zCUlzp^%Xd3;TT9QXL@gfO#nQ4+E{E^R46aDh5{8lBx-o6RUF)v@_B3cOMg&GS2(fX z$aUWRA-;)1^2FjtGd4Q62M1x_teu8{rqjoJPhU3{tk8sQtr<4Sh*OPMTwl{+wDMy~QyHDc=&h^9|(H$wXHXI+oMrO1u> zSFLs)nt|m*pdDU~1v+cCjrry>VuyL)46>!h+~igV%yudUO@b=u>GK*y%REKA%L`b1 zgtKsqNI~+{*5eIdaq3R>r}|SaHMH?y19$PGPOYdp!$ooX~MYy@Paqe#FlV;IK4Og$#P(D_OyuLP3MuVy7 zwgi038na<726BBmS1(1m+scaZ2K%pF06>kSuDG6$x*mV`d5;J;`yghD=Z z>l?4eksYHbc2JoJx%qlc-Y+o`i#W&OcBeC-MySa(WZPBWMxYQLbUM*r=C-s)yKXj ziyy#`LRBgvmp^Jl!8=ds!gUR>}3nD?NgI=>C9V)@uT zCB90a@p$%}v~gIR0$_YH&c*mR*@h*OVrOkRv*vrrOn42?jGD2M2 z>ZdrT#nLr=urMN*=0KZ!KrtL5tYiIhk(%joeZ|oNncjL9dHhzvOlG8I8K1_JEP{=+xu9*zpa)&Zpj9-vqIhY_|ii= zmmO#yGTNyM8Jm~AoKkH-R<-uP3mBJjMPbRrDVnseTNk;Pw;Hc)0WeuJ*z^m~tr*3nEgiM;ntjQ~aV zODZOZfqhvlss^E+1H!9TSkshbGDP z>VP3RI*jNe)f)w${+2U^3)B$c9+p7L zmQ0qI)SQk~;a2!}zVn5ndmhuOtq~Vmr1|zI`}kf>{7b**0@h&6_Q5ucO*ypZg8k!6 z*eA`5`u&~>gqg@5Zq0EK`(r`2$y|46wFVBacMg$T&5Q2HY454W1{rs{j)+C zq+yEY(NDX@ci;JM;!f4kr=-)q8L_qaPnMW+KD|@JXi<7ib3f8x38&Ho%^9|?4eqX? z)QY2tyL*41R%)lF@|^`y)SL~J{84930P*5JbK$7PbGx#SdE-$|p@T>JCb5DfE0H5n zaze~f6lV($eIi)I4{vC*dWFlj64U0BnZEd+X1C2A{lUw?-aRC$TbTBdr!VtZECPO| zc@07CSnWbsKVR1VA{Kml={&{wOdP@3(Ft?kdOJ?d*pdYP7Kx@@6c9ITeJ@u%k%i*@&^VRmKp z%XG1Ccj$AYnwpX(c`BSCYn7^P#Mat?jfmpKYdo-LQL$$k#1CQ7j8 z#nsP6vZ&84V!@GtXrZG?ZRAxDRNFEJl_h`6CU)tzCBid<)x_}S@%+6+W2X7L5f+}= zP}EY${_6V9Lyu@D0qi0+<2=yr zm~@Sk!{K1I<}$0(=D-mR2eKJydcnHwt6T?X=>C7pEjMM@v-|2N?syL~)o1Dcsvi_O z)Sa4*69Sf{(~`IiVQIYu!7>-hiV5bIVWyv159hVubkDg}HFb==7Ik6Y zVu-K*vV?cyj8pY$I<}9#bTo#2KD@?a>=x@`#boCAhG^- zp;;n<(nHTZ-RC=Dt~QZRPHS~*GHxYA1wfuzspO7^%${WpY!^LEM{Yzv^_+_q!f+og zZc`sv^LQ%!m-@tSj&*aGx|Ss7NOrb%Xy@tqwLisL*}D3RhmC0lv_;WXpV<~|hr5mc`Cr5X$s8ZF5fn{>dL%)`s77y%p(;~;7Og#RqeAP?@|5lcGREzi;u^9HM+PLaGI|BH7|Aw z&;7?Mt7O?$XO`WbF+McuUTj;rXKwXM56NbR&8xZV8+`Ialo#IqPZ1nh*#4h9CC4o} zD*ZrSyE|Hn{oess!Uc5=RK}`~X3SyzXWzRIOS~A;cXsN)NS&HB=Gz~mWJ{ESx3m#1 z>Rn0x-?ahoEtd(Ai#p)r!0;o!ob?~5%|3t_F}OE-I)&|*vOUYS?N#&qK$1I1LPilt ze^gRcp!A3BcaW-_Ez}UGCKm=!9;NEIg6ztciE+gr5_Pco@2Q@Lmf~5TzT_;ug8T{1 zFiJ`aiK*NP7Sbr&tje?0Xa*$U?pp5NN#x}{}~dfQA{*DEru`NNfH z!2)&*oap@E(#Sa#Hz{YwrbEumVc-jNGNr3zGN*Dt$IP{k8L$RLm4n)mcG*<@)yCc>pMz6MC(JG$dOsKTVvHR;hBF_Cig==0Ld zcblNDcVNgar0Z=yoDvCx+=L^%kRhm>Txc&$2=*p7j~DO1mzj^>OCUt>rV!psI7H;8 zsF;^{h{R1vDKF^|nVYh5Uh**tT|&_V>>H5jcgh%*2#9K}8dIG>(5Tg9YH1U6Y7a4W z|Cs`6^_d1o2!^#snMTG0lUh@z8S!5Qp#{^@ieO!9!?dLwBOI?i!L&O`u&;Gso^m8O z)jBg>TnTQq?o1C)f>*6K)5n+KSL@FV2qXm61~X5eA%xV1GS7w)&eeu9&qojjeYnQ7^SjM_}*l`I0SHk(P$A>`KP zG5^6Wgo4^@%);x08?`r?w~7e2Yws}c-Xq+veZYKJOem=>WtKf6Jg$Ahd|FO;R$IZW zd`_sUeZhQLO{l4@W!BXb8fqJvP0fUs+E!-UE5hsAH_Ubhp`*5w+0{+xsqJO<^%Dka z2bs*bgm<;?nIDD-!?h#KkE4W7wPVcj2?AxZc8WPYLzu1o%$%DiEYvPCzkDTptNqUW z!6LA0mzbPo!b(H+JmUyi#$ArK?x zCtYI`UERS;doQkxi!-8${5Dsi@NAH_=1R%gX&N5Np|0i#RtqlLC=ZNEk4$I^RyX2* ztKei_srA5<=6>@j<9ieMg$=jOmBLl5{NOSYS;DHr`3Ice>^2mQAxAt;bU1^)km&q! zm>z%jU;e2(eD-|z(o>SBwhu+(=L1g)*=*XFw*92%{q@&7Kv+vvMym9$dCcVG!!29$5`{@PQAB zmPi&qR`miuV=6laOlPm$g-%j%9lPNL25HHiN3kY_x%qHP#~~ZAuDGxK)R5IF_pU@3AZa$jh5Vmo8BL z<;95T;638-d?Xn98p)Cc%(GI>>t;#q`Eypy<7L^uawt{P@67qDv;AjiJa&?#1b#MzLX3v}9R6yD&Q-yoeP|`cjD1B`0NEx5U@U|4jST42Cv zk@@*0k+v2vSe=MYpOPvQt}WZnMwqyKk&!svMX}_g*c>xCh=y63>|3xm5Ujp89xWh~ zJ*sP&TZDZpxVkT;@xv_?b8lWV52#l2wgcU03#+DIb_}D1GZ3nQuhKwLxPoL4m1*O;P~sEFi=J`^jShkDJoQ@;=Ml{fNtEcz{pbQB$N? zAFvbvOG^%0s|ok=yjx^o2=|i~^eRN(FZY%)9%(MVV$-r8qE-6MPa5QYTH|UM=fkE+ z%6@7r%H&R}ov+5UEQmXZ2}-)i1W{yE)Nh-XeE-LGeuatImQNR@Jd9ka5Hv7*i_Q-$ zY7naufQKolKiX!MPu;Md%TZ@IPE)=-oAk<*U>th=1bQU2eat*1^nmiO$9gjA;O_K7 z>s|4?_{=T2v7heU3cMw(Xvpf_&y9cKjA_U`>eA%hS-H`wk)yj0NRVo%{EMOvt}nkz zAaF?G0vzPL2WHlTt&RkhoxozhsCAry?2X!dx+MrobQ~__$E)Y0`-E>oBPfem#sylN z7jDyf<)!}B9aeu`Q*r#flN&!tODVc0v8~;o^Koir0u=PL_%`&RHL)rOGNd~KEqeB| z8(#0s0Iga>XuKLT8w(lu)xE`^oP7+1R%&* zGyw)P&&oz#Ygsv^h44%@v=+=Mb%u?k#3%E%c>8n}TyZ`G@`>(Uuz3M8yznyTq#x)e z3Y+roI{81IM!~iIvF8rc<#(P`(&AtFSI~F#%Qfl3&Rx1Y)A~jf!Ppx%5$H}+_rry3 zXu*?Pm|#JQ9DS0$_JOSlN@x?Jf1IXw=;*#0(A9|O-`C~5r~6p-h4Xtj3)1W&wgtmp zUy1Q)e=p=`^E`s9f}m5*gBYSN4n`zrUs0)pHx1=XDVQ$?+^P{bcaKQ429yolr#Cjq zzlTbHZchV1Oc-UhOZQfO5h1M@*JPc?W^6BuI3c(L4-an2zikJLdQqji5Y%h#t8k z0JCw+T5I!RY6y4*>I~$RtYzG69cXb;jT99Arzwf&ri5)XdCHv{Xn3Qno8N8{E=egI zXxtR`>Q;^K=0H05;37z)l;!Zmw3Q_3or}3XCTYKZ92m}_KV&@3Y*%RT=XcdD)=Pn= z`-DP@N7(GT)=8puva?xM#X;qW< zNkQ;*G9}dPwGzw4zz3ym75uM*S6eOPe1v*G{Ph0q)EkdTx(5^e2m=rv@jQbD~r@BHEeBh|^ zH$*i6VKFIqc)45k%<&ah!3XXle^vDmo|A&dmQku_q*ojSADn^xRmC7;CIv~$d#dq& z3Iz|vzE8}0*sxG|RyBSouM>4U{+$4~euIiHs4$`Ftch`ipy}4AYJZu2u;7q4*UFLH z!s-k|p>E^L0<0<*!S~Ax7Gb49I$>s%0cK5-bP>KS#K)y@ZBE zIuev#vgN*>{#s|kF1RHZLHIgt3y~Lh4@g(UDyIL&Bj3a7 z$1gQ?wE}6xJDmI$oEEZLEW-Cs2may}3}FWU&AL z6`kip6A9PFXC{+I5=elA5=ug^Axc$HLJKHTMWieWii%Q~sv=CNhJc9f+E7~Py{O|Dm@V!ND*BHM9I9o_aC_5?uT>k`JJlJd=>1b{RCm%ua_vGSbfd!OYxC} zFK`j~JzpC=H;|eDygWT(AKY76m}W2Z$4`Qko z(kwL3p{hq^hDo~!%2z$S;whNzAvwf@htX*u>X+|ZL+zpIXa9@|Hx~j(UIn?eGMN!c zZPh;AY<%LIS7}ifYplard5Loxm>?1_tM}IYQA-U(6i*$mo!tIWVEz8?nhuuMhUu?> z6yW4-+&mj!&xK1lrrRv<$pdw8w`?o)sy8%oc6!aua!PQlv0H{z#Xz^&+q3lhc-^-D zLLwfWb!cq!AGF#Qy?g*!avTKCGk#rl4Y>IcKa+P4vd-j`>KMjz9<+KnL_~x6rxE}aOfGhsGQ*XMQ_r+$?h#7)uFVzX`I3>=igxr> z@h`{mVA7=H^7WMLRVk>1Ayp|ds#0t$Rht;UDTgl)Kvri^9hExl)sf>k#nM!E@sm5( zCol(rWHb)Z#OvzkgtVWZde*X%1LXW%9(tZA97$AInjK1ou}$Bp&~#dP^D#jef z#HaN(Zq@Pcrh<`H6|AwrVC#0J4!|4}M6GficV6rwj1VC8odX8hOfJ}XhHI9v1dAS@ zIqlHbGzGqRIGZjp%$V=mx}8$0pm6jiaggh zfOQ*>mUP8ESy^ztjnSH!Tmwjqv#2T-bBx!}tH$z&;U%t^b(66K?csU1RpV8)y0w zg5GSd=(X+B5W(e2w%9+5@brr4NB#x1w2EMzx|Kd=z? z_)CS|c=P{6QYK1lQ{6rcU4DEmta1~njeV%WnFKmN?rT+)0a$VAMX}uMCEw6@QF!gb zZV&4$4s7vPpH&OC0uXYsEmTs-@-boC$i!KQm`MMR%o@(=@>1ks!|TsolV9O5zF@AV z`UKL5PE~)u`*#&pW1Wa>$O!(Xa8Nk(LM9qH!6yx?u%xzNk1+c{UWKY+TxvSw)O=ny z>)@r@*Y_Rd*4J4F#`DosQCYyA=w`hTjUiS2B2-o+~hyds{EXPM~65D#>2vh8h zMk*bi?_?69;T81@vqH9J^CtGj$sfN&4<2yeWf^sspu$VK+>Zx*26Pp!Rm8AoNVNVRTWR2KRLy`PD-kc_uLV&mUu%J zye~}kdZ{o?L_4EU!<^_1$(Q?;nQAy$b<8XQV zkz^`RCxD^N%Lr7o1Sr3rSPmu%=8vV{h|rp$s?p5~k^v2oiQ(-6wAtM+dq>4r;@L7^ z;y5>Nj(0EE?M=v+=3GNvYIxaFAC{-Lug3VsgW}-wFXhL}4xfR`LrW~E2$z>#Dj_`m zyTx`d+}u(sC3Rn5J~|qtsL|`VcO5k1npYCe)e-}mc1OOD@TrnxcvWWKK1G8__7*Kc zuaj4hj)gB-Z)*qJPNmV=#v}KxzHtR;OvEODpbplTJ(PdI<0ed()_sfpSZBMtY=^n3$s+EjOL_y|i?$CaLY8N=za zcV5O16AAaEU_D-)@Rdi;Bg~jZfZqrC^~S7~IsO_PDstvw_$A8~>q8iRdYAZSzwd|L|ew|Ixtwhbvf+ zR398})JdpqP#-fiDs~n_84ETgU&Z=y&sl2P0&F-nAzWtkZND~kDaB4X>XQL(LUiNK zryY7(?)<(+!Ut8mal+^@&BQTw$K=7%ns`%W&av4onXIXnRNgEj7AGy-ugKDf-)ub7 z8$7U`xtgBS{Jo|iGl)^}v(u?8**fbK;N<@t_hXFbQb^atTRv)i<@u^cbxWc*$uWEG zT{6yhwi^#(Yvu~qWW-ja;djR*|9fWI3mf7I7C9?pI9FTJ`NErm+jSM+do6 z7olh2tDT|Ie%YeT37a7c;l3k0d;1iQKaZs+bD)E|+#Js|UD+jK() zPHY7SWn|5#5f87+u}G7G0f{I6bLv}2eLvkZu*>qSJcA_owW_Y0odKrcL<>P0gQBgp zZ7bU{;yV~DqrDn<^)zYona-87Hr1RKUua z0ZxkP+UeKibYC${xPe{8lCd22M};16#?ZQ_1P6i|FI-ONeb|RLl6gfp+=hW99@~kk>ImKlaZ< z_k?G`6IOLG64NeZVsZ;<>W@gT9VNr>HoH01^IczT;J*bVYQ?_pmW^n<`?p}&WgmI6I|b*7sGD%pg4I|Rchj0$p0>{miHb_Hj?&JKu<4dp;pz%^C19Xfr7z(K zw{PT3l}3Csr2c_K5tnq<91?!8qC@nDaJW4_ohea&#K4gn5*s_deJUt*lh_ge!@5*Z z>?4GHj9OSRY#2Cl+TU9j-w${8xsS$o!yqe}^9MQY$-|LVTefmgmjJc=pjC=b@pv7f zn|%DaPBTyE`b%7! zVFer_@D5(FP~aKZCMoB)bwOcfn5}s}hO`#Ag%=T6l;2I!Pq`r0ncNAlR3<-t^85Md zm;+rOl}mT{2RabJ&0t*!ZqbW%_tOMdLiGyk0MDlTD_q@}a&2k!6~yI*eAdjB&WvKF zA7J_S32SS@V(9GKr%7TIO4Z?_(|zOZ$l1QIn)&|RaoR`_1_U<{1N;&u=hHC~Do{Q` zEw{h$-$K#ykNL?sa4?Ytl>H1j*%`PaYm$puV#~t_)a%qjZIz>yP7zUV1)aIrL!*!r zw}W-q&WZY!vbv>bOXH^OlhAZ)6&&8pWHxc5@Uf{k`>Rz+Vc;)h8=asY! zz`&``LN*j7*jvNyHQ4ibSK>T6EtOQMTK5w!E8H{`h@qHEU6#U5?#Bn6#CTs3wq`h* zriK+vj7dezg^*hIC!8vE%e+K2N5%X`t4QgE+8rV``ZQ8yD&*$8|HAMOEU>959H=Mu z+#9(RJRS??s#{#noLJMVX=B{LR~ZbDVW)!*cWd08y?G_b`_NaB7@RsWd9crmXLXwY z;eGz6d9&Yzwx6wHzqTZcr*}uIpKZ(K#qh1d&mGoH)i1JdGM4FawaO%BYR$^7 z?0nr2KNG|&Vdw?hJN|2V&D3zi%ypeney%1Frh-gXRbvFI-MC+xt704-$8jZ=ZkV!0 zZ=n;|iMt=^#@MG?DdQn?#c+qDvv<%?@>MD!2M+np84B8VdGrM7s)GDdS7vOWoOnf@ z=DVcJ5gld4=6w_0ng1o)@ohAEp6hplvj`zaF~E=Rh2)a>(}m;(+3#dM29`LZklg*- z72MVwzuQifl=c28LwnBql!~OW?(lCl1i^=rxS@p*mFbf9&SOhPr4M9vbuQ#P^^1LM zJon#b)%<(St zVPRLElGs^x&%NiY(WBX9wC)uYCqoPb3WJZAn0LX>bXeH01sh?LRzB&XTICDqM~(QSAG|! zt96kAD?6z5&m;^@^y1lPE7CDd@y+BU zx|o#R^m+O`&;cOKHON|P8(Y-Q-eDcrasy$2_&dE_o_Z*4e_}&Yop(nRni9QtU(A8n zIMmTN68P=#!QE*3u7r3CjukLt2C&=}f$5Ew9<}CMX1E9duuvwOr*B{=-(h5CV!G2@ ziUe%;2ta zUAv?A*tGAr>xfTK>;F9&O-W5l&p3GKa4rBoHKnQan_S+BW0};ln^+dfX*Ij^TqG#~ zUo9x$3*u@?TQAQoiwjbnJE-B$PZ6JGVt}EuI~2&Bz&nR?w5OsveI{>aMd(3+pL{1aFSwx^A>Sl5O9Xe51N_y(3fo;RIozfPOEpMh1iYO>d@ zms$J)yAFRL0>q=+icq>Po4QU#gNE8swH+8*`b<;}Jc~G3zT<#sqBEY#LkvN59|Qc; zTt`zwzBNddy=qZO0b7=qCA!_fNJGun+^!ioc7__7 z>J%C?5mwOBl(UE$ap2;W@y|CLYo$h3*&zm`H9{QwQp@lAaNZE{&vG z6YTF>9chm;&^NoNKCFMTuR)MesIqU)DL{Of7K>Yk(sclg9YK>nLe*Fm^4~6G!vq+>ZdXr)QeklHgN=fY7zfbA PA`I~V1A6^|x!C!CN`Y3) diff --git a/vgmtest.map b/vgmtest.map index cdf57114..3c0eec32 100755 --- a/vgmtest.map +++ b/vgmtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 23:27:51 +Created on: 15/10/15 12:30:06 Executable Image: vgmtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 10b0:0000 0000e970 +DGROUP 10af:0000 0000e970 @@ -33,28 +33,28 @@ wcpu_TEXT CODE AUTO 0000:52b0 00000058 kitten_TEXT CODE AUTO 0000:5b20 0000080a 16_hc_TEXT CODE AUTO 0000:6330 0000158b timer_TEXT CODE AUTO 0000:78c0 00000235 -_TEXT CODE AUTO 0000:7b00 000075d7 -16_snd_TEXT CODE AUTO 0000:f0e0 00000338 -vgmSnd_TEXT CODE AUTO 0f42:0000 00001525 -16_in13_DATA FAR_DATA AUTO 1095:0000 000001a4 -FAR_DATA FAR_DATA AUTO 10af:0004 00000000 -_NULL BEGDATA DGROUP 10b0:0000 00000020 -_AFTERNULL BEGDATA DGROUP 10b2:0000 00000002 -CONST DATA DGROUP 10b2:0002 00000076 -CONST2 DATA DGROUP 10b9:0008 00000102 -_DATA DATA DGROUP 10ca:0000 00000583 -XIB DATA DGROUP 1122:0004 00000000 -XI DATA DGROUP 1122:0004 00000036 -XIE DATA DGROUP 1125:000a 00000000 -YIB DATA DGROUP 1125:000a 00000000 -YI DATA DGROUP 1125:000a 00000018 -YIE DATA DGROUP 1127:0002 00000000 -STRINGS DATA DGROUP 1127:0002 00000000 -DATA DATA DGROUP 1127:0002 00000000 -_emu_init_start EMU DGROUP 1127:0002 00000000 -_emu_init_end EMU DGROUP 1127:0002 00000000 -_BSS BSS DGROUP 1128:0000 00000724 -STACK STACK DGROUP 119b:0000 0000dac0 +_TEXT CODE AUTO 0000:7b00 000075c9 +16_snd_TEXT CODE AUTO 0000:f0d0 00000338 +vgmSnd_TEXT CODE AUTO 0f41:0000 00001525 +16_in13_DATA FAR_DATA AUTO 1094:0000 000001a4 +FAR_DATA FAR_DATA AUTO 10ae:0004 00000000 +_NULL BEGDATA DGROUP 10af:0000 00000020 +_AFTERNULL BEGDATA DGROUP 10b1:0000 00000002 +CONST DATA DGROUP 10b1:0002 00000076 +CONST2 DATA DGROUP 10b8:0008 00000102 +_DATA DATA DGROUP 10c9:0000 00000583 +XIB DATA DGROUP 1121:0004 00000000 +XI DATA DGROUP 1121:0004 00000036 +XIE DATA DGROUP 1124:000a 00000000 +YIB DATA DGROUP 1124:000a 00000000 +YI DATA DGROUP 1124:000a 00000018 +YIE DATA DGROUP 1126:0002 00000000 +STRINGS DATA DGROUP 1126:0002 00000000 +DATA DATA DGROUP 1126:0002 00000000 +_emu_init_start EMU DGROUP 1126:0002 00000000 +_emu_init_end EMU DGROUP 1126:0002 00000000 +_BSS BSS DGROUP 1127:0000 00000724 +STACK STACK DGROUP 119a:0000 0000dac0 +----------------+ @@ -72,7 +72,7 @@ Module: vgmtest.o(/dos/z/16/src/vgmtest.c) 0000:003a OPL2_ReadStatus_ 0000:0054 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -10b0:079e+ _inpu +10af:079e+ _inpu 0000:0260+ INL_KeyService_ 0000:04fa+ Mouse_ 0000:0552+ IN_GetJoyAbs_ @@ -120,9 +120,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:482c+ MM_TotalFree_ 0000:48c2* MM_Report_ 0000:527a* MM_BombOnError_ -10b0:0900+ _beforesort -10b0:0904+ _aftersort -10b0:0908+ _XMSaddr +10af:0900+ _beforesort +10af:0904+ _aftersort +10af:0908+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:52b0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -140,9 +140,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:59f0* CAL_OptimizeNodes_ 0000:5aa0* CA_Startup_ 0000:5af0* CA_Shutdown_ -10b0:0910* _finishcachebox -10b0:0914* _updatecachebox -10b0:0918* _drawcachebox +10af:0910* _finishcachebox +10af:0914* _drawcachebox +10af:0918* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b5c KITTENGETS 0000:5bbc* kittenopen_ @@ -153,7 +153,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6114+ get_line_ 0000:6186+ db_fetch_ 0000:621c+ db_insert_ -10b0:0494+ __kitten_catalog +10af:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:64dc+ LargestFreeBlock_ 0000:656a+ _coreleft_ @@ -178,41 +178,41 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7b05 __STK 0000:7b25 __STKOVERFLOW_ Module: vgmsnd.lib(/dos/z/16/src/lib/16_snd.c) -0000:f0e0 opl2out_ -0000:f122* opl3out_ -0000:f15e* opl3exp_ -0000:f19a* FMReset_ -0000:f1d8* FMKeyOff_ -0000:f202* FMKeyOn_ -0000:f250* FMSetVoice_ +0000:f0d0 opl2out_ +0000:f112* opl3out_ +0000:f14e* opl3exp_ +0000:f18a* FMReset_ +0000:f1c8* FMKeyOff_ +0000:f1f2* FMKeyOn_ +0000:f240* FMSetVoice_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7b42 _fmalloc_ 0000:7b42 malloc_ -10b0:04c4 ___fheap -10b0:04c6 ___fheapRover -10b0:04c8 ___LargestSizeB4Rover +10af:04c4 ___fheap +10af:04c6 ___fheapRover +10af:04c8 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7cbf __PIA 0000:7cb8* __PIS Module: vgmsnd.lib(/dos/z/16/src/lib/vgmsnd/vgmSnd.c) -0f42:004a OpenVGMFile_ -0f42:033a FreeVGMFile_ -0f42:08f2 InitEngine_ -0f42:0ab0 DeinitEngine_ -0f42:0b18 PlayMusic_ -0f42:0b82* PlaySFX_ -0f42:0c16 StopMusic_ -0f42:0c36* StopSFX_ -0f42:0ccc* PauseMusic_ -0f42:0d28* ResumeMusic_ -0f42:1474 UpdateSoundEngine_ +0f41:004a OpenVGMFile_ +0f41:033a FreeVGMFile_ +0f41:08f2 InitEngine_ +0f41:0ab0 DeinitEngine_ +0f41:0b18 PlayMusic_ +0f41:0b82* PlaySFX_ +0f41:0c16 StopMusic_ +0f41:0c36* StopSFX_ +0f41:0ccc* PauseMusic_ +0f41:0d28* ResumeMusic_ +0f41:1474 UpdateSoundEngine_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7cd6 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -10b0:0000* __nullarea -10b0:04d4* __ovlflag -10b0:04d5* __intno -10b0:04d6* __ovlvec +10af:0000* __nullarea +10af:04d4* __ovlflag +10af:04d5* __intno +10af:04d6* __ovlvec 0000:7d06 _cstart_ 0000:7dd9* _Not_Enough_Memory_ 0000:7f0b __exit_ @@ -222,10 +222,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7f92 _big_code_ 0000:7f92* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -10b0:0b5e __argv -10b0:0b62 ___argv -10b0:0b66 __argc -10b0:0b68 ___argc +10af:0b5e __argv +10af:0b62 ___argv +10af:0b66 __argc +10af:0b68 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:7f92+ int86x_ 0000:8115 int86_ @@ -247,22 +247,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:82be _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8303 _nmalloc_ -10b0:04da ___nheapbeg -10b0:04dc ___MiniHeapRover -10b0:04de ___LargestSizeB4MiniHeapRover +10af:04da ___nheapbeg +10af:04dc ___MiniHeapRover +10af:04de ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:83dd _ffree_ 0000:83dd free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:844a _nfree_ -10b0:0b6a+ ___MiniHeapFreeRover +10af:0b6a+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:8545+ _null_exit_rtn_ 0000:8545+ __null_int23_exit_ 0000:8546 exit_ 0000:8567+ _exit_ -10b0:04e0+ ___int23_exit -10b0:04e4 ___FPE_handler_exit +10af:04e0+ ___int23_exit +10af:04e4 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:8584 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -281,7 +281,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8f2b __doclose_ 0000:9075 __shutdown_stream_ 0000:908f fclose_ -10b0:0b6c+ ___RmTmpFileFn +10af:0b6c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:90fa+ __ibm_bios_get_ticks_ 0000:9171 clock_ @@ -292,7 +292,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:9395 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -10b0:0098 __IsTable +10af:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:958d tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -327,31 +327,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9d87 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -10b0:04f0 __8087 -10b0:04f1 __real87 -10b0:04f2 __dos87emucall -10b0:04f4 __dos87real +10af:04f0 __8087 +10af:04f1 __real87 +10af:04f2 __dos87emucall +10af:04f4 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9d96* __exit_with_msg_ 0000:9d9b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -10b0:04f6 __curbrk -10b0:04fe __STACKLOW -10b0:0500 __STACKTOP -10b0:0502 __cbyte -10b0:0504 __child -10b0:0506 __no87 -10b0:0513 ___FPE_handler -10b0:04f8 __psp -10b0:0507 __get_ovl_stack -10b0:050b __restore_ovl_stack -10b0:050f __close_ovl_file -10b0:0517 __LpCmdLine -10b0:051b __LpPgmName -10b0:04fa __osmajor -10b0:04fb __osminor -10b0:04fc __osmode -10b0:04fd __HShift +10af:04f6 __curbrk +10af:04fe __STACKLOW +10af:0500 __STACKTOP +10af:0502 __cbyte +10af:0504 __child +10af:0506 __no87 +10af:0513 ___FPE_handler +10af:04f8 __psp +10af:0507 __get_ovl_stack +10af:050b __restore_ovl_stack +10af:050f __close_ovl_file +10af:0517 __LpCmdLine +10af:051b __LpPgmName +10af:04fa __osmajor +10af:04fb __osminor +10af:04fc __osmode +10af:04fd __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:9dc0 __MemAllocator 0000:9e8a __MemFree @@ -364,9 +364,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:a24f fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -10b0:0520 ___iob -10b0:0b74 ___OpenStreams -10b0:0b78 ___ClosedStreams +10af:0520 ___iob +10af:0b74 ___ClosedStreams +10af:0b78 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a5e0 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -377,7 +377,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a7f4 __FiniRtns 0000:a7f4* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -10b0:0688 ___uselfn +10af:0688 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a858 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -394,7 +394,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:aea0 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -10b0:0022 ___Alphabet +10af:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:aea3 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -404,18 +404,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:af51* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:af5c* __get_errno_ptr_ -10b0:0b80 _errno +10af:0b80 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:af63 __GetIOMode_ 0000:af98 __SetIOMode_nogrow_ -10b0:069e ___NFiles -10b0:06a0 ___init_mode -10b0:06c8 ___io_mode +10af:069e ___NFiles +10af:06a0 ___init_mode +10af:06c8 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:afcd* __set_commode_ -10b0:06cc __commode +10af:06cc __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -10b0:06ce __fmode +10af:06ce __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:afd9 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -442,9 +442,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b5e0 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b5fc* __get_doserrno_ptr_ -10b0:0b82 __doserrno +10af:0b82 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -10b0:06d0 ___umaskval +10af:06d0 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b603 _dos_creat_ 0000:b627* _dos_creatnew_ @@ -455,9 +455,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b830 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -10b0:0b86 ___env_mask -10b0:0b8a _environ -10b0:0b8e* __wenviron +10af:0b86 ___env_mask +10af:0b8a _environ +10af:0b8e* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b872 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -478,12 +478,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bdc8 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:be38 __EnterWVIDEO_ -10b0:06f0+ ___WD_Present +10af:06f0+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:be5c* _heapenable_ -10b0:06f2 ___heap_enabled +10af:06f2 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -10b0:06f4 __amblksiz +10af:06f4 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) 0000:be6d __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) @@ -501,94 +501,94 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c7cb __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -10b0:0b92 ____Argv -10b0:0b96 ____Argc +10af:0b92 ____Argv +10af:0b96 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -10b0:0724 __Start_XI -10b0:075a __End_XI -10b0:075a __Start_YI -10b0:0772 __End_YI +10af:0724 __Start_XI +10af:075a __End_XI +10af:075a __Start_YI +10af:0772 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -10b0:0b98 ___historical_splitparms +10af:0b98 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d93c _DoINTR_ +0000:d92e _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:dcb5* sbrk_ -0000:dcc5 __brk_ +0000:dca7* sbrk_ +0000:dcb7 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:dd2d __qwrite_ +0000:dd1f __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:dd8d fsync_ +0000:dd7f fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:dd92 __flushall_ -0000:de26 flushall_ +0000:dd84 __flushall_ +0000:de18 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:de2c* _frealloc_ -0000:de2c realloc_ +0000:de1e* _frealloc_ +0000:de1e realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:df26 __setenvp_ -0000:e0b2 __freeenvp_ +0000:df18 __setenvp_ +0000:e0a4 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -10b0:06f6 ___IsDBCS +10af:06f6 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e110* _ismbblead_ -10b0:0b9e ___MBCSIsTable +0000:e102* _ismbblead_ +10af:0b9e ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e16a __mbinit_ -10b0:06f8 ___MBCodePage +0000:e15c __mbinit_ +10af:06f8 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e245 _mbdtoupper_ +0000:e237 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e253 toupper_ +0000:e245 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -10b0:06fa __8087cw +10af:06fa __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -10b0:06fc ___Save8087 -10b0:0700 ___Rest8087 +10af:06fc ___Save8087 +10af:0700 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e262 __GrabFP87_ +0000:e254 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e294* __init_8087_emu -0000:e29a __x87id +0000:e286* __init_8087_emu +0000:e28c __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:e2f7 getche_ +0000:e2e9 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e311 wctomb_ +0000:e303 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e35c+ utoa_ -0000:e3fd itoa_ +0000:e34e+ utoa_ +0000:e3ef itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e447 strupr_ +0000:e439 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -10b0:0704 ___EFG_printf -10b0:0708* ___EFG_scanf +10af:0704 ___EFG_printf +10af:0708* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e48f ulltoa_ -0000:e5cc* lltoa_ +0000:e481 ulltoa_ +0000:e5be* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e63d* _dos_close_ -0000:e64b _dos_commit_ +0000:e62f* _dos_close_ +0000:e63d _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e65a* _msize_ -0000:e675 _fmsize_ +0000:e64c* _msize_ +0000:e667 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e686 __HeapManager_expand_ -0000:eb8f _nexpand_ +0000:e678 __HeapManager_expand_ +0000:eb81 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ebe2 _fexpand_ -0000:ebe2* _expand_ +0000:ebd4 _fexpand_ +0000:ebd4* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ec17 clearenv_ +0000:ec09 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ed4c __Init_FPE_handler_ -0000:ed85 __Fini_FPE_handler_ -0000:edbc* __FPEHandler +0000:ed3e __Init_FPE_handler_ +0000:ed77 __Fini_FPE_handler_ +0000:edae* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f04e _bfree_ +0000:f040 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f073 _bexpand_ +0000:f065 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -10b0:0722 ___FPE_int +10af:0722 ___FPE_int +--------------------+ @@ -604,6 +604,6 @@ vgmsnd.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001f470 (128112.) +Memory size: 0001f460 (128096.) Entry point address: 0000:7d06 -Link time: 00:00.00 +Link time: 00:00.69 -- 2.39.2