From 0d52a9a4dc31a771a7f99f0bd98bc8b16257a990 Mon Sep 17 00:00:00 2001 From: sparky4 Date: Tue, 13 Oct 2015 17:56:18 -0500 Subject: [PATCH] last committ of the day the 256x192 experiment will still continue later~ --- 16.exe | Bin 44868 -> 44880 bytes 16.map | 402 +++++++++++++++---------------- bakapi.exe | Bin 46308 -> 46301 bytes bakapi.map | 566 ++++++++++++++++++++++---------------------- exmmtest.exe | Bin 36374 -> 36384 bytes exmmtest.map | 294 +++++++++++------------ fmemtest.exe | Bin 36159 -> 36162 bytes fmemtest.map | 284 +++++++++++----------- fontgfx.exe | Bin 42066 -> 42077 bytes fontgfx.map | 384 +++++++++++++++--------------- fonttest.exe | Bin 37002 -> 37001 bytes fonttest.map | 308 ++++++++++++------------ inputest.exe | Bin 35874 -> 35867 bytes inputest.map | 294 +++++++++++------------ maptest.exe | Bin 44640 -> 44645 bytes maptest.map | 538 +++++++++++++++++++++--------------------- palettec.exe | Bin 41558 -> 41562 bytes palettec.map | 86 +++---- palettel.exe | Bin 43161 -> 43159 bytes palettel.map | 548 +++++++++++++++++++++--------------------- pcxtest.exe | Bin 29861 -> 29929 bytes pcxtest.map | 452 +++++++++++++++++------------------ pcxtest2.exe | Bin 29881 -> 29952 bytes pcxtest2.map | 452 +++++++++++++++++------------------ scroll.exe | Bin 58692 -> 58744 bytes scroll.map | 656 +++++++++++++++++++++++++-------------------------- test.exe | Bin 21373 -> 21381 bytes test.map | 348 +++++++++++++-------------- test2.exe | Bin 23120 -> 23121 bytes test2.map | 370 ++++++++++++++--------------- tesuto.exe | Bin 19486 -> 19494 bytes vgmtest.exe | Bin 40389 -> 40394 bytes vgmtest.map | 334 +++++++++++++------------- 33 files changed, 3158 insertions(+), 3158 deletions(-) diff --git a/16.exe b/16.exe index b8b018a2ddd2653e1ac7dbb8da6c3b11078187ed..25b9090ec5fa3466fdc7404484913fae45a59244 100755 GIT binary patch delta 42788 zcmW)m`#;l-`^Voq*k+rV)0~-O%301vjzc0Llta$RVZ=5%@SYqpL+b8y>nPRTNvXtG z&S#yR5=Mw>3@vHNY2VM|d;M@duD{@QU61GU>i7)p{|p7a5Boxb0SEvEZpw>9UuFL{ z{C}zZuZsgK=z2;})A&>7AGL?C7{xteVnFGrm`J>|W$V|yO(RBr@ z2KIBKsp#DsL^Bgp3uKm+t%XH1)C`D;rM`)cju8=Ed=C<-CsIYRwnUwDG+;(C12TR9 z#H5szT5DH-3il!T^Om-D@$5cWU1kN}V(Q##5?fp;T@xhSY?_?2^|Tv25|ib)tm zl|M&GY_Q<~OHC@Bg^Qgi5wTqX9OpL5x31oz+&ROQ4FUe-cEB6#Gdi+n%|p(^aY6?k zR9$NlscE{^g5zzfmz5sPb@&_uP_^3?mU-W_>@xJ<8s~4d&|vdd0hW$J23R@lv|$iK zg32}D0Z0()$=W<(W~XZHgC3VHpcvPOdYelQrVDWFfG4G;p{y~iYzX{rgjBs%m2A(_ zsL0Yh8P+qXztanQiq}TGVfh{T#Hu82TXZi?QjIf=8sFH zHTOoRRSOS_03h-wcnmxmPX*HQV+WO;qG$AW+K$drR3hz{Vq<1Aj>TvmQt`I`qZB6> z9wW`g8W12|F_9Ks#%|k2snMB0a*L(fu7vbSBsrC_r;A3K%}gD4aNAFQ=kzS?n&SS0 zJ+gZOgK`()B40n|=hCrU(k6^5!4`>($(u*QG46esFs5)6WcG0oOQya0mth<$6DC=> z&J0|W++Yfd$7R$@$!n}D^!8HGxGqbUm5tD^k(V;Q+bh~FYFT;uXTZ~DV1o8kb4nSm zkMvz)A^+7AF$Y*=AuDh-+MXo{N3eYAI4Wq|{ugn9ecX1d_uc)o~+$Zg9WIofWEbB6awwAE_2Xp+kN#WZ~h?_?ER4ZsMNo@5AD zx?Z&eq3<1I-&9qp`xX8xBe+Q{7Y$0E`fomG zal473kNSGBM-hgf-?{x5R7B`ZeC;kBCw4`a`BzaVTy$%`K_(R!fNsM#zz}@x%_y@i ze7T`*ab6@~X7h@}7cg&m-p}lo0!P#Jp>jf+n4R7hT_~cDnt^cw)IDM1PO(#XQxW_W zPM?f##y*D)eILRc`XkKwwk8s*!6*Oos|xO4@Yg7BF#%st2KHNU_9$<9b6p`>q_y{f z-cg6ze5yG6-fxhra_^w={nbWo&Y}tVb;sB__KNZqr{u4ze->8YQ^Fr#3_ib@d-H8t zdG|Di_$_)xC`?1rsez1+r;hgqzgYb(Dfex!z%(y>rNp7~Nd_*Tm5 zY#W+=7XNt!3XB|UKK3aAVKjAqp&o!f9XyxJvFFz&bH9&OlI+hg}$hZ6Y&WLJ1A3Rk%y``%< zj`}cYs)V}O7>}&$sez<(nUQmE%39o}D*w)>Y^N{;MaWK*xsgplnDe*8Su$s}Jr1lD zTjD&-P|Fq^D1cqlPWcE=lb}2>9sFPkwn1T-3DJF%*Br+x*9wJ8ukB$Qs0m>SWq{TDr`^wr$?+?fC$a46ICA5jB46qP1RvH!OLYxmB8esI!0H`X$(w z6G@ZiTP%_~hcRu5xTdl`mbGP>H4H)<8-%dw&5k9anF5;5X(v?UQ>Wd-O znC)hM2%S?!ny5bD;m9I+FIul^U{+1G(kw_e8Kz4n28p}ZvxM+0^vq$7a*wEKXN+1M?-5#b& z-_GppGfh=J|Mz@d zA)EY@vtI?GbY&j#H%`2D2lU;9<=N>auFq#NRQf?NXW@F_7v41`Sk{Eb7vQkrt5586 zxqAqK9s{cVIJC8^lyeK!sxLqFZ+f26<)ehJdXJ;P#6z_sh(}1#OXTAO{ zb#+n*RWD9(Woc%6vu3eiH-rfy=RHL8Ruma~sI4r9;E-h}dy1AfAzj^~-QLlrCJHoE z1jl^Pqw_p_*9`K4PEGa>+l<r>k~rIJ&gu>)I?ave!?y9&f5jh-EJ980goq zY6C`_=HLy}U!>|5;Y?&3F8}gvhoz?MZS#{l8tXoHH^|>>t4k)9N@?IZqR@w^4XhOVV{(`R=U8H;lycwhZzz41R8Qdbo+*mFWV(ijLGb z_UvG4m($_HCzOKf5l17PkW%*8>aDeE&$XugZSzT+Esi?G=rDGUFs)+uS|)Cjz2&GA zxRHiMkFEwbs%vf#jsOPgcYXdEXk5`l=B9Y9haaj4Q6d0)_W8%hQP%*y$I@Ibb-G!71pHH%Bco&O{yD*QjkWa^ zrcwQ)-L@iA)AuEE@F^K)ASER^g_d3vE207ckx?1=gp?CY>41lPqOAU5$`8GRsQ`J> z)C^{7B0uIs<0Q*ECpP#KY{sD0$yUef(gVqsNl=Ry=6$=ji~#WTo$L0cPp>{@!o%EUZD;IgTI101AcavgjV`_Xifnskv*%f2Z|FtM7r&_D!^ z3@ru+BTLoQHbX)V9}529_cGapvX}p|Jq9cTmb-JLnjb!3P))S!!E1XX?RItztbQ99 zU`Bqde4^IEMC!MRu|4M# zM|iF*SdBZR}#Lgr#L$3 zFCpFkT8gH)8HLtg7f;`jb{ivK^jaj_GZzUS4#@wZB$Mk&K04MOG@wL0QNiGvwE2<7 z1v>dzHOo9?{J>)Cs#bL22MTmeBrNg~Rzn7-lf+l3A5K8;)QYc-$$)G2tJj;I*Bc^LLAO3wMjmLGHYnk zsC2Da><6=UQMAfzS{8AjH&}GXcxyyu&az_);#uvw+~FMCuHKn>`a^%&fczU<3OP+H zXlB=|smiidd`guX*aE?5uqY1UE2U;R{(D1Ef-|N^bj3s*1;^su3sxkK5(KuoZraP< z_5z?w``{i0)67qANX~^kJLHm;34|4c8-8_6>~x~QgEY%r$3omIIa2z_Wey%7rl|f2 z7eshw|JhMEEhijRvfr-@W24;9b)UAqm2PD#JN>NG1ivLA2!A ztSCzVw^F@gYni9A!lei1D8d~rWvNDUv+2{{vh~&c&;#=6T3ksMr(LSz5}-F8BeaCb#p!^h~v z`RDDAw*yS)TJLX1C`RXzp${(_sscz-hcKWr5kbOcDv2`PwH=*se?!KrOA=Pg3Bs9jfHHq z3&j~BFTtrsR3?>HDm}PDSVS<{8&UO3Sx{;JxNJ_DT;(e2hcuHQL`{@S$S4j8_qKbQ zq14!pJ6}3ZEfyiQ1%--GjyX$>V#mVuTEEz|ia~I}-Er{^N3goYGpN{1PT(wlZ{Vwy7BtK5lG{jH;nk4hhm}zf7udED0y9e4kF41(<1qwLVyU#xAuf44|@obf#+~S{%5E{z(5?uR*3A1&z*)kIuSf_c6*d4mV zi#3_bluY5kbYjNKQ@#VUCqvA=?rxJK*IFUc0wpJpN;DI(2K?TexX(CeS}Vn;+YV7G zkV)Uql$03&UFPa{@euM$zbd2$E5{;>W*j&j5VfKDs|S_E1MWaWD{vmL5DOoSp-Fwq z-VrNz^Cde6pS85-yvz;78$pd!o?t_lq;eMXFG^*1{to=*cZj{GzJQs$nQh_qvr*rb za?jRP{*ux*mvC1u%>6Bbru7l6>fS?u;0+YS@J|LP8q-*2Xq?i3yFFL<@aW~O5Da8F zcO8oS%L8(^VJ`s42>fQArs8(h1fC~GQ*7N?f%v0U+oXzEviu6<5^)zGp)TU@D5DBw z+;$gIg(C^6F0(W;Bve@!L=aRx^nVe6zg`>};IB7F3g$HObY1SJFUR4^8Ri`;r=U1< zP;PHoPhI=g_HR?+yp@K`7<^v+%lusRwsH*WT-SV;X#1F%tPEUdhK9KY8D?)6%t&v? zuAqM0%PZ{?6aUe(it7KFyF9+YOaI$Gofs4VVYY#U#5-%jeE;94FgOFkXG|-CxxIP0d4NKaV`9Vd~`rxm}GQGbZ-9M7aJaWp|mwgA_ z(&)s0G1Vk&htNz0;B_?1ZimqIvre$WRER4|gJlDUoY&plJr^%ktK3Dr?UF;XbZ7YEa1;*T4)iktl1LC+K9{1_kP% zIhQZ|w{LYvov?-Zi|Czc;hxDJ%Tx~ECql>Fza8>ezUtQkzj&}j-J3Sde{2O*4Lz4N#1WscKsLCn-h}r@25W-@i>EYl+8rhUD5&=(wO8qeF(JhV;K7*A5O37hDkz z#0?IhmcFbV&VQ?pK@TRy4j;ukIObJz?RRC=h@&x}(Qnaz6rH7g(4XUC9E6T`FAfPM zJSFD`Cg3c5?Cvtj;mnT;!#MY-Qtc7>Vusq%0*s6Kc^crr&V%ZY;?8*yjrtNzJ?vQa z!9y5FoC6+WOn#4rE`ehu?v2q0haNusq zR%3ySZ$rh-r!A@oa$JNfA`wT-Eh6#yx3~Hi;6~qE%2xC`cO(?C;V)fco70QOBb!hr zUmHZBvm6MhElzIR>r_>;w-A~TLOyRfN*)~)tJRnjkDQ*PufAM%H+QDDxmzR7)LCW* zj!5^&j3$vrTx3tqacqIIdmN5yIYZ)0Vx3p5HpFql0H-dY4b9$7p5X5{+6`k&+yIt& z>iODs4JKbFz8%t(&CjYf(=jdu_w!x{8NC)V*9H-RQa~Tt*Ex*W1KL}>_5 z1`pEgA9ko8Cj8s|PN>Upkg8>x4`aN}+fvkNlA5bII!>=BKK4q* z(sL)H_bxkY5{Rb^x(F#Nql_ree+FpIkHB67#%iBRa<0+;7$WfsoxKRDL+FdYdrEsO z%!Td0e0h;aeT4!`cr@@2e`bJ>JNNKzC!HfWPAwIe_X;_4Ea`y7u>3-Rj8f|Z2s?4v6K-y!Ns8^y|#EA~lFXc_7KEd@BuxuFjsTy+LmwNR8IsA>n zOYOpJiSN|w-5UH$3^zyX7L0DIkokEhS994>ME)X^FAU7Ye0j8W_m>~`Ov?OV#tdy^ z#pX>KS4skE4?J@7wonu~UzRhY13m}Cdwy@|Q&sf+L|BA6VRTH=}h0dKPC>g^=ilpagv9*_14 z!BZBgNhcDT0dMak>#nrqR9DQ2Q?^8x~)f8Xs;#jyjN~gPd(voTDrt~z@(O#I)Vc; z()jlx<-_(9$4$M@bKla>-x!Ld_r=6a-b_!w{dfl}_Bh9tMiEy@`iWi!y5dt3Esjc1 zPRJG{yG~J#IY&1{#{*o=qjJfSoQ+IzASx0YQkh10eLFXqQk>fd? z6V>7|T+3)$AKZG?OC}!M7LT>vkwckDlj+t(jwibZSGdW9JS9#V85vV)nMquqG@y6c zFyL(J1GE&kAOq&2cZ8al9{)NsCN{+VSfC494D*`$Jw04R@b98sL)><|S~s`vtJvu? zF|se?icJe^u-E$+SfrYm1Fxr5uVQO(mLJ+3lXPN=8{2Sg=!)j^5vEX`J>}GeYu-jNDmGQ%r?3 zc2mAN>Db+vRXaDaFu0h!OmL5-b_@2? zo>SWtV71GKhJRPzh!teUb8CsVmkSiQCqAZ+paGQEcNZpN@VU`Yty!O0;7zsEc=NLf z6%k!aSD7LHQ7RIn@1rC3jnBo#c$$t-V%|s#uWx0fce&747L#N9tqlHCQr1gFeM5~P z19J`_kJHlkN|!$b`}OOJk{Bcf{h<47T3T#R;jvv`G1NBG7pD1p(aL4}4;|Qbz|oI?*P91i(_kGdj#x~&nOcQn5mjGH~JNj72MFbp`V zQ})EqA^q6N&i`^$Mu74C8NdSI_)L6JH9)^uc8|vyYIPZIxHq4LxB2KU*e6@5Yb3jCFjK_mSUx`S&4wIUI{Z$5- z65B95`)iz{CEd4}H+iBO=W`f4=4GxxB)PCH!f>gi+@`4=j%gBh8Mtgo7ENqEmjDXy3q{E_%ivODohUbZ8A1yw?pbL1YI`KBxWA<7w}+^- z1<~ku2FxuUWVUll3U=`}*3=0lmyrp6VfjDo0t!~AH9g<&VHJ=?thLLoA`Z^LR#)&_ z)@5i9k%O-WloS`l%cO01;$^Zni{eFu7XMMDeF{0;60JzP!6D9$R zYk6V&;essF8rQ11Fm6$M@|vdB-bnPv`|Hnz)Kwvb>K@dXLS8kQJpBRlZ-DjQ{K>Tf zKhbks-e(n{g?vkR*;kYVV}gZN6U!jfc?7knII#PAz{Sk)^${8E66F#T`FGQ*0XnAZ z3Wi=Au;)*1;-duk)Uzv`LWQ26S-E>0(>Yr-z%){z`dzT%%PJL^t&s5-eo{SKLDdw2 ziKMDPJO8w}3NCOBul%f9tewBA9usCY=L^jF{%TS2^d;}~#waR*ZAt{heY5*59HB^5m#0s@lxaz%@CKJcq0re1qsI;ByC47tPpMs-{Ux9mP?THEt$keJp-- z)o_T*3P;exME=Vu&N$xq1K`@+VyWw^e7f|v90L%d!u%!WUI@M7$3o(BN{)5n4gHX# zS|a3U95$^0?^3TMeir+6l%q1@MM@161P1NOd*B*(Y3D83CMOc`5~qoWfLk4Vbi3V9 zPv3~iEF*lM2X&USSOH{g{pQFH+!R?^tHTOwJBfjJd|FtMmpHR!)$NL40F2M24={gs zwzV@)t_ZwN+7{DtRu1^<_ zlYOn)u`d&xFL4q_2>(Xz$=MdcPT%9Mc`=6moBs5fEIjZaQ3d>yuQBTGSYYa0cB?Ds zKns%Kr=|>hdp>e6ty?-eMNxrF5;D8ae0!GN9Y8*;iXjx}DxU38H8g8y%As*O)le&f zCvm4kw5#b;p$4edp`we^6d)dJ!vDvS4ZQcOMAu=7?{FmDzuE0ZNCjnh(&(~Vb7Og& z%q;43GuAQ!EPp(Wy(YaZW_FVIZ(Bp{H)RjTml?^OL#M!|g{tsirN#WCN4S-~*1@ls0WYi4f=Qe3NDOqv?%AfvUbxs$1+ zLXnB8(R6>7MV8Xp_99K!KU2q)a$HBx;fcURKGom#pWmnJ8f4plQDyc-9Id<^g2%}y zfPm!pnN<|c4lIND?OpT@z>-ycVt=T8WxZk5=fvqcd<9`X;93}Q8waIGozOw z5NuTE?pG)QL9rGZVB^uA?|CDiGX~)G89JU!Kt|%Ml+W*t`O(c_$YH@N|2LXuffplY zZ8@l1MGe$0j%2>9oR-|$ZRUk@8%VHxg>Wt9LcxkjBTAWrDPKj}EBzUfxraIFx7O1= zXNFLqRa)CH{4+iqe1$}&gg$2#pd{t^G8S8g($-_t-BM~hYW7Mp`-bZ$An zuOo`6wP+}6l2Box^iRKrFT-#=eD`p?<<@cQc9V1klOq3 zS?LASj=YvrDK>a0)kZ9i4DO9`TSOJ2SqGo1GtK@g20yoB*?wD|W@TUt`cpi+nBb1LpKWIoev`luS)rQ0^6w7-yN z{cd%y!q%O?z}Cex>iy!**C*z%k9?_n2sUlBaSRJjF#9$VZh;P}ITn>Fdh#eW zTvYp8P^7T$w}JYi$*=gj4la_J+s3GHV9#%FIT7TvW$C`o6*p@>>%aaSIH7DP?&rwv zqgw6q93?Dv+1&D|zt!R{$}>+Xk;#S+MHEmbbj>Mnd^UNIq&sz-api)1mwTfoTV_-&qwGdgOTP16|AbK zKJw+R0Zt40#Vy8D6~bHBX!NAefNIye2O}Xg{@V`s?MHPwy2Thjer+ReO}dx7#BAyQ zVSmQQ?c+^Q$IU|i-33yDuZ@qlb1}oc-MxDA&#R~hS7ftMWKm>Cr$AEa zKKdJ^y{cH|-$e52rZ((+2aEgJN1$AgF;J3)OQ!M1zL=ujj)6&`|UAVR%k)yE~V20O#MI9Xe$Dj2KxL*L%faPM+ zazQ0Wdfilun?5%e=0)}Kg3+G~{cm(V`CD*~Lz0I2@K}1Dh`csyr{%5pGDzEjxMMMO zv?K3bL#{j$)v0}-C*Bjy;;Rls?Gv9l@pK{!bFs9=4gK;C+|kN5w0sv$LW;4y>QGhs zNOikZ9lp9>ig`-SDtrEbXg*)?sqx7I70h_=IpN}$yr5fx>~qXXIU-5dpRNZ4wvc}4 z@Xh2E%80but=pEB_m13EXOK63N;0}6cd2B2Bup7tSYKS&MfwF)b$5iL+db9ChM~Gj~izu+6!!dU_jO2 zHun?cIa!`&No0Si{DoVsvW~H%%n2#wxUBP*7fIL;TE~b%1!UpVoXqVZ zplQYO6UoBqN8m-*@y-K0Gln8ie~e*`{89R%Wq1DVpVx$7qF7kEpoJbo@cJ?cJ93`m z3;bdHdiE=+WB=*t!BD6~o3HJ4GWbDgMFx>Ww8)E;jL_8H3=&6Xp7ZSrqE2$>_D}XyS^CtwJEEALUyxkrj)4 zTYD6uV%M|BYDZ~^l=!Mv>QCl-MtfZdbA=XqvvEgxio$E@`Jkxq$J(k zo=bL-3VN9Q(WrxCOn3^{FavMDPM zD!fn9h1fJ&0Vb}q!$Oe!C9}P=-o`taT8W*dn~ z=M7tQRL<}sK|3%uAOaFV{4{ZfxeAg-Nf1P?SxRyt$S}q$xk3O4L?}&3nz) zzj5jLRapAhk8XX<_hLS_KpM_Vb=MqmKdx_9$8y~@Ikxywu3|CKDP{P}Q2UnYc+RXq z#1>cu~rDXg%k9#>I8!noi56&SO)o3Te+xpLN3GY{j&fY6;-~(swOSt5YXUisw1R zby#uA470$&lrnuyd$EV4#By$Ki>%m|mbm2||Fg+@PIEED6PHMe(m;m`i=IdFRSYgo z8m^cty`~1a8kD}rx%QCl+G5~(9&VJW0!at8kEz0}N_9D?_5^&*ziZGB!G+)c=&Rkf z^uanRuGp+fcJAJR*GbBn)QV2J!^Frl^Hy|gd!{3{u3h?N7eg?6XEKgRKUq44@Wlv^ z$cFqDW@y0RGQ*+J#0n$^OqqT^S;pe zW@v1_@H;?vA`7pjd%#yNrQ5Dtq*{yqp;_Ily(?aSjeB~=vZ;JGrk(AXG3DHLpK&a1=G;qgq#BYptu}0K@+4=}mSo^K z=VwPu!&>3Ze&A_NU>*e5^wflw+ooo|jHlZe;B8#2P%2`iJlyx)&WNbCX)(FH+zvnI zM=ZWv{Biy7FgGgYu&Z`y5nf;N`t_|~9g(E(jY$L`uzwAup}Kr0(euhcqm%@YDpYug zcq#Y4ZDg~Fgx)Cf&C#nqvLP-`1SkMB<*GQa8Ce-$3j(cA6@K=M_s}3`mCLy^DvFTB zR;eKrXfu?7pv{SFTIti}DAn1Z^iiH(>ZbF*ekhw_)raK+>Z%cXh4&lrO46ln?zXM! z@*$PGIs?yD>)5prA%KOu(6dt2*F!?m(bo^|b*i+V%=WJ`Pm!*1n{kY5%q$6iT^#mM zu4xS%ETO-KRau3@f3qM>5!tUxTVSC(a{r!z-|G_LAWdFztn7x=Jrcd~8QNDKO9{tN zC-GT5OUE6_wAw_5B-5TD7FJCh+ zQkRl=E?heMFF>lXlmlLwENEuu;05_ybu~~XLVlbM{b*>A!+<}J(Q^HV`34fK&*MPM z;0KI%E%L7?8809B%nRf(ryiwhx+`uh6o;?sAQr-SrB5WRPZx<5k2}Tp!?AdBEpL@! zlPPbfFMeHXWJ;%~gzR;GEpsR1b6OYqryl;YBht4;5HI`bYwt%#OwQ<1`4&+r9rT+O zhOf^lE5Sf7Tk<+RI#cvv#10nllS%) zEto`#b3rOGDl##0AT{>zR$+*9oZ?l@2QS`O2a5MxC}~ZgOkp&jVd)wW%WDa^npxOP zik;RJq#rwafYI}XX_cER5etIE+&FPa%J40%*(a#TJXc}^74i5#r_1(*#`HBM9Q$yB zK?2FN#i_t$N?DC{bA=~jmFA}UV#-TJH-(~_J?}KsZ>L*^t}&+k=j8yq+5%;2JMJ?A zK;`DM82Fc&p;WPf zK^o(}K?t`2e``wM`gwShrvoo-a#6B0eDS~JwLGV=Al0P%sGKwukf(JK5k#o5@GZXD zP4^eGpLm`g2R8$y(4M+La&woz5`_gB1W?oJKvIHN9KSIL-$YkBgTb$DtexP#&_ABApncW+)J&HUOqsgwYA_1w0`DO|xwLeHO9kOoHbcqJ(0 zx3V+CJl43pCl?p)H@$#j59$iw|D6&RAuBjoletXe=XQkp7flzTIz0MH4pk7iy5oH+ z+*>SAR%6h47QGT1VPe}M?|k`YpP&R93R}2zl&?w$fx*~ zlp4ho!g~%B4@zBeY^*LR;Elce4yYCnz5h^u$JEUCXSUGbKJU;#a%BT+_D$~)XQh1p z*h^7#wCNqGIERN!?yz)L%QpE_CIrB|*}!Szhc^=4|%c%zN;}xn6*ISs2gdjh3R(mNq>MZtx6Q&8_ zDlpacU(d|%&33J$ZUE_*>+XnkmcBmDqOZfXH#fFTPFuo38_+a&*<{U*zMAEpvh;_t z3W1(|Mscphw{fKVz&34 zxu!*P%6blU(ur?=GH<#eBGGB4zRj3j_Q z{bzv5%kI=|7|-%qPZcCrd8fvNUd)!>ck>fV!%B%Hk#aj<1aikav0X)QlV6)8G<8|~ z*x3HFq;>wV$0cX9dnW@8aD)|S#2yJ@hw*-wTWmD{XgV8^pZ;Fy*wc@{-*9QaPwy3x z{$KFdeX5vZFE4jYWXNOSdrTrbApvKUipov6E?;i)KlTlMd~^h%7&7XUzZ%-PS9JAh z>xJBq2f#t=8JWv6=e`u^@^1IyRt`wMTXWP%CF(BGyzs-b*aH`m25vV70BkJetVCe- zI_-+5+cJV}5GqHr|0H!Xm^lM+q!}SC-h=Yw^QpJb2D9=Bfe;g^qDWWhgTixKT&AUb zWeQ=QEQ-7LMAmq}*GHnE^7EJg>T>*H)SYXYk!cXjqu4-bXIzbn{gVcaq)%*;G5|4D zBHu=cvoD2uiU@9ge*5eF$cJ43%bAo%srRMccKM8|q(}r&e#b;6lP$+%6reH(K>0J- zz(IdER{$z=lo5cFiUb(OT5)Ko;;S=q8>i6qmIoEkiKG+$uTtXtUhkTk^mB6qUKj!d z+ZW=aXvBlbE^+(X{+Q)Ik+h_r6nnH^vR86rSR_5^$2-F5+Y5QOl1{xg%htwL2D4wRWU!0m zKX7Da2{5QYrdh-EYM4WS;IdlEImj6EMbAz;6{(uu$D@6rRtGgmMC8gnfJ6jae!*`4 z8P(`FZM*zsQ>z|uLa8~u55$2_}#~_b67T^gy3Oe%rGvqz9T42wHyXh3`{Mw+6do;?GhOhiD_*Btl5d>TL zaa!g`g{*1b>+W`t-y<9GQO&eP#nfr~oz9E=72BK3YVOPM$#gxks)eG{h6F9(R2~kA zCoAU9OuN{3yNW#+%SYXT6uhimT@dFPi$SDXi!Ctbhml&keD>wmT_O6usr(;GGorqslmuO%aEm!G8x1^2?X*?$}`72?XX6E~^Tky)mWQ#lO25IEldDh{F~M?VV>TZYnPP zncaQ`S~N?zX6G+dmRlJpUDsQ$73g_RGE<84M9M4@D-;@im6>QIP3Mo^r4Gm(tuyO( z!@fK-YbcjANZq+$*jm}Hv}p1$iXm)Twxan*bPD&>i_n18jo5r-{*L0E{+@AED5hB_T)UT ziEC36yT|UJSEH&@Y{yDlNG?sIMj3JOCh_7gI-+WixXz(7Ep?sFYR%GO>XqP1u_h{X zYM_Eya56kr;qzYRTwrYqudphf4NmY(26J~pnA0+;EOTWkfvnaO5_h{kc)mGXj9;Ek zvOcxUzk{8-e`=Bc)Nz&&xaQAn|7pOcN}=Pw4fRkV$`a%!gSVm$rU}Z26YuMq|OQO9jX9+cNcUx z<(RKYi=HDB;3S@LU?5=*KViEeRIrgawS8~c7ClT>+z4KmJIEHkssAX zcx&iiXE{vI1$sb_p<`xVhDnarfjao$Spaz}s*u!4BpjIA%+Sj&|D!jHl9tCn$(m1E za-n3oh>;6{1907U#lK7=$g;-=wPyPXWVz7cJeLs-m65!(;q(Qt_jBlY{#?SE?(nF! z-~~ApThg2NbP!tFCzX=dGq|h%Evn$Xv(0Mdf3B({tUA~G}ysxQp$iJoX#kjqHcTSU+d5bbde|D zS*uRD+WIud^+^l(-$C)HtfmM$Bk~CUdQ)}lE)4)fY^uJiuXV-sO9s(JVOz9|Wy(6l zniO+m9ng@7v{n>HLRqe!)fHQ@txhr7)iN=6A&I#%S^)7zcxi^?VF_UGjX5lI4$JHS zfQT^<^neBI+ylv70exp7PYoP(DMZzL$32toP|mOd078Ewpk>ePS~O4VRX;5I@uR%=>QO3Ot5h@3-L+SB+cDMi`J-WsUv zt#KTZgp9ji|HS)#-sgRu?~^2=)Gg+kq0jc+oiKvY+hHm1ec|MI5h6%j_`uI5>Qgzt zPZ@Di-b3^hA=jk?y4uSE?|-L0h<_J@k0l+paUM3}q3#XMZu#CV zEIns+ulgH)7mIKG%?0GSJmz5ggc8H!V+mHj)U1@0tlOaOq&OANrPHyeN-mhH5?mFz zm-CX!%~uo7Cz4Bg+X(Vj3Ivb5^O%GKWrBTNxD;8#Kwn=)^FUo)Q&kZ_COCUJIT2z- zl4_LV%ok9Uj-_>gb3A7pHl8$M#eI7y_vab_jXY>++U#gyh4E)~aZ#4_rl?}^yR!LW zJ9#kh3^%b*#btS(?;4EuY2@`P&;qH841P>9qhZL|AY)d$QoqqiB3f9e@mnliax9y2?XSUKrt;2hX{CQSkUt0zs?>IV-y?$6t`2C`Q zG|O%-=yY^4O}RuheVRgrJ%jO7vn_uAr`TRux$aV{t}`WD%GUaqO>6K z9L8~^J$S}9HjCc`N^#77K1|x@KTK_YZfgs#`VZ}7j?P-wt%AigygWTaWA~Kah7n}z zVa?P#P5jDj#Y=FdkHO}@E3-^^XAmBU9Z&##UOOmXH;Maa z7>;Bj+O>EEa#SnRgI|RV3%JH@HgmC4=hS>_Yn`wm-bHYbU4Yi6Fq3wT643C9_Y43l}}dAJ=LfXv+#&&Q0z6YF15UMH~Sx_u+RsitOtKH_*-vWISv|rjGrpx!ng+ z7z*mlM%DvT_(6|D0zRSz0b%<+&F!}k3=7Gd5$)K-5DdZMCGtJu%r>k~U`QdUJwPl| z7s;Bs*A;n2G?nPs#CC|aRmtA%18HXuFh~%W0FI|L<&Wt?E%=!9VM{eD=k2BvwhpIt z@z8&f>&}|Fzq82-U&QHX7ilh4=JYLEVjd9O2f`j~83QmY=PSmtnzH*gcJttIA<0@qhIZ) zejC4)O(0en`WGw!lYza8OC#UEH>j=y$Mhg)fI24!c9?_q;JP?o=H>Yy6=DgKTJwm^ zQXzqM=X$A|w!(MdoYc%-t*q=ro$fZE-N&r5MBZZ+Ly>IjM%Q$Vq=xxoZV*fJhOL%BK&;(?WQGB})%B1A zo(GVvj^|Slq$Bb7s5oFOYo}fage-}9fG`ipnwh7(^Qr-P@Z?RoTFJZGc#%K9+D|$) zSsfwl(c{()URYb-Kf&i!s+Cg}Yp`uDO>0qlzwagZJooW4tBiS!MZ-zHB-lpDzK^GR zWc8zo*m;^#C!#l3rzS^e-Ij5-Ahi=%xvbMn5q^*Ginz6{6Lz05Mp;+>heNnEEkEzt zqA`c3Q`W<)G?x(|(N4tNUovn7%w}i+E2ga_4NdW!SaCrj4;tUnKoS4Oa(|V*+xeBV zeU_ohtXVs@&FI#ocg#(NzPP!e(P-#g#90pfO009>VCK5j#0M zN(&I>dI%OW6qyxexw}n-=ZVBzQvcyLH?YUxV)aPX@*lo+Vs?6~tf=BtVyIQRlA_HmX3;ip>T8S_Regin}?-1n8RYm%ioP zQsOY3+JS@Rqt5PI$U8pn64~hCnlMPkx^cdJb%@8+Kv;kpeDZMT;qVo0x904zlZ12G08jOjpXuOi=t_J3G$!tUBc99@SfW)JKz^Rnpjrm zb)^d9d+4QL_RdTT?0fMIzH9E&%EPCVTXxPfg8J3=UTp}Fg62G@fyrxn_4jtfG|4mV z@3>2m;J0pE<&1<*U&@*0OGXpn$%f;sMPz*hQm_-5m)+f)z7s8(#cd<_Z>C@3L}T9< zr98AkN*2{8a8rLob?OvX@yX2$)1q=CZsD?v>J|Kev-=A0{xG|OQb05z)3wF&lWVaJd(l?ZR z%&I>Q|DYJ@Wpj)xs$j7NVygc2lu3UT*R~KTU;a9}QS{38Ui%6Ta8YW4FZPMh`~yuiWmzPEg}9zbZkcYld~NeN=2R|QY4dQmHpxRh{!=p#|8b1 zWoHU!rM5+))kwqCbkJDX1U%%aVqjBYQ@b+fQ`0JLq`~x*iUb+@?g~fH?hq5TrN=k_ zdy2V%obdre#rz8ln-=v4%ztg@rzQmX8nFC3x}l+mq*N;N%^d^Ig+YXuhiWYI>3acV>g&bNgB20HbmKTSi^O!9kDc z)IRF7;bmo1BYDqz89gVE#PE5J7g)ewX|FU)=MM4}&!3m-V@|ju9JGasAra)+iW>p= zR0_WCI|8QVv8Gr4WD8b6sPJV>>2q3r?Pl!AU*YG6X|Haj@FTR>wu15Sh}KPhJ8O%J zSv*;GTPPeB&870+R%Bsb}8g3ufxg=f6*hVmNa8n!TWfUw;3R zBEuMlrqrIgE&gez)%&pfT}5kh2)Sp{1DgZL{-R>F4Nn9t4I>TYI&WKZC_z6Pc6k30 zPAjE1l4@B%P+VL;IOaDPWtmAUg!dM-+wO^5B$Vp%pV)J^R*X=v%#yV8O>R^+Z)Fy_ zUPF;})*b0fjaOk1)Curxzb|CO(Xw_`=e=U)u%0A=K!(Jpt6f zc{^#T*+3&UV0UVF;$PyTdI%mUhLbp8hyv&+NZM5{9)FZ3`mGX4$Ptxb_1S2ut$@}~ z>s0ce{9S()M4Mk3Q5qOx8Vx4OlCee;j9d?Tk{#bvEh1VHL8? zaeyvX#G}A2v%2Y7uDYy!M+{7*UZoII;G|a)fZH(`BH}QHH2&f-^s%b5Q^62R$#<)~ z`SBlv=%XHPNg@z_cQVnQY_iHuv4-AvIc!X{ezL2KKgb+F`=GH zoo8fvxOs<+ca71K1v2&x8AQRK0gNIpR{?Uu>zYp;dK+PG=+w-^oG@sou$W?BP6sqg zf!W#YVN;XQIROYx(-p$$iJ2PocBdfP)__|Eohf;K8umi>Zm5h3a)QkxG z?rD-&f;DpQR|?Lx|GBiuq(jGh?h=Rg9_Z>krrs$#{QieD=$cyz zp>ZSdI)f!#DAB@Z@@cI$fvkPYwa?taUmHi+>=Png7XAjbwVcBv z1pzY*U)!((G>dj%MjkU5Bg07hf(G-+e1I{_lG=7Y3Z_|}gM9N`#@DU5Or^FBnTM>S?WiaU4A@Zsz#a6TW81_kNbU*tl7_Ra zMFLD`;ml9D@_>374K9=UXPQ^& zk>+PZwlujP#TTanDkva0Hw2${Ahgp>I38Vn=0nj(cYe!c+k;^_Zv98Mf+^qY$+5F9 z|L$%wB3L3Ciw&ZZ`{pA=7YCfY*^@PVqL>l)Dq~oVUGRzZ>${48IHfEQ&qcAjTqNhA zVrPA54!!bBNi@1!CaJmi#kkaTkDVYA>eQa(33HXy<_L#oSFG#}m`!BIzYxJb8joM0 zlkvT9bTRw!Si_$FA&DA2ezkI{=$=O|IWA$$VFvuk)Qv$0C`;bQ0`Yfp=iqig?Am!a z5Qk6;y8*u~#}(SHw2Mx>Go~>>M(=5T>pKMpls@60)A@xFc1lmw+|Qbbv~cDed;IM6 zk7JNG!RmAlZcN&M@YOEX4je)BX@L!-u2ub@pY9IX)j{l(6gi@>575xnzlErvcN?%& z=d(YgmN|-Ec;d;y>Hy8JAm?%I;i`$`mDQ8>!YN}3t%VVerv1tGo8nLaBk07Crs|ia zQO&TM3X6|_n_3g*^+&!xc?hz|%ReuC;G3TLn@;4G3ggS!lC1!?dnDV5PhTur&GZ0{ zJd5GDy?SH>C++$drnl&EkIl}r{rxunv@B6)GzO~X(L>}v3VmT$q1c|1w6^%(L>Ut7 z$jI~pEK!uBdp8|MMRNfBg@Gt*{4WZOdrQ16qZ5`+-3Z9Q?#V)#Up(UE7&qB`vZzkN z0Zte%i6gyq=e?U*HpxS+^YecM$}+uf2XTY!U#LZWA0`Xn9fR?-Jglk>ZQsot`Z30Rv8x3}W&XnAO8A#<$VdOI- zuT%&wsP(I{KG%3s%kO0XiADO4u_CPpXRRyL{n5*z6|KjR$Nf(%19Sc^6xAY_PM!tqA_*TQpmEW0 zA3q>hQH75`xoVhK2E!j6T$SJMykoPTVZvkGV?0=@DR7a|vPjRBsnxN(NzEBE-glSI z%C{TYL)m?+mkOF~%5JyZ3OnS24VwpEmZJfm)`N))G_PB{t_DI9y>jZR%T*9Rk_f@- zJ?*2guwNJ}`cD2s~r%^I-! z;mEs3$IO^@O!-h$S-^|24H5CpUmvTtdDOd_(Lt8RP^FPXBUXl%;goS@F_#qt7rL2cl2M(G?BNh zw9z#7Zb$xOi;b`nAbEZqy^1 zHtizF-A&(}*`TIGbGm!ORlq}Ie=yK<5228ChbwZ9N9W9J0y8ePMlbxc*AB^2i&GF( zu$!PlpKKo_b#RoTPC~AjDRh#mU(3XvZG!!t>@}M`r80urVpit~r;RI{n8aS8W})pU zDz7$ORjzG;+@O-WJIQ#=THiHAsxpWV)8JhpO5E!e?EM9jJd$i6v0iYL`>bvAom6yZ-M`Hm)07t zk8C_Z6f8{6aQ?OMFj+Ddk_`TVCdt}a3oRO4n~-c*65e*+8W!w;AvU->{>E7Omo~g! ziMz~R33EW)<=Rq4Bc!@8!_Sym4B9ZOAvT3Pr+Ftzy25DYp35gdGNwAv6)vixBX2__#U5MqpCC6YRB9Z42 zF(WPsX;U7^=w){DeJcvwld_S_Qquk>Aepn{oNMhrUmtq%ihEXFYy(oqJgOL|W^3!D z&v`yu8w`m%i81-x_jw`q;jnIu#DPdw8m^ue#Cs7c&2l_xu)dcZDGx}p79iz!MzW`@ z7gO$b@U7zRkuScSqR>l%p|Q6~aaPulRevpX`F0wf$q4nx4kcq=8?f?{2Nba0T7D<~X+~f6tqs8Hd!0uYKlS9DOZqv}6puN)lXZtlSu2(tHXEqnOttAW2-9&|N7$hb!6%kRnSK&$1`!9HWTUXOp=OoJ1gy z(3V`FL(GfOt#F*-9Ib#kZ8ic35zKa(bCHwu3juvRj0G9~Gj5Y*^bG~;x_grZ3PJ9~ zzfU0V1zV{9FUnWx>a)_x|AezkLzYb#j!6z%cydcM1i#PtJ)vcFdBlJy^Bu+8$$zs8 z9gxsNHqNaMpIk^d{@;W0j&=>nK9O|A5dP$+_7`aIS2x@qB_VKh9L$=rlGZ-l?tgj$ zczd#b%LzHo8;ewUSq!;f1E|bs_B%W<@$fPi66`JA=Xedb<_k%o{Lq*3RqHY}Tk&Qe z2x6|n_&+|SnM^Q?Q=Qr4qDCF<&nz6DVK-LD`fOMULGss%3fML8PM@Zw;zF!z`{5-S zi({BDU&rwd@V``V;k?N9^N%7=#(f%Pet3Qmz)mu5$x)t(WE78HJKyWV$@P<=ws;hmyQ_@;RXTJ}}$tr_s2y*q;fpM<{RbAq7onW3xkilDRHN55I{g zX9s1mK|P&D^`II1Ut{ZdG*{YXhd-GjU{35vW*;1wd6zxL6rg^YHWQj42~v;O6r25! zOC(b`vDCd4Kn_b|b!Gp^`9n1#@EmjKQha{~r}QPwK$jIAcBFlT-ikci;?{L4R`m(CM5SH8$|Jj0BCL0F!@ugQIB=9v=ZFjqz$EjDpHTe|S$ zV8;#w9p9pi#4g7anFfmh!;AcbLx8qLZ-Rw@u|?y-M#3`n70I_3ObYLs3?tfQuIpYD z{fy|A;p>Q!C^ZsRnBC}`vBD}*TTot>6v^YMbASN$m2g8FRlr% zh_DuUKEzHvOu&^+ShIl++>nVEjjt*V0`QNYiFLS5#Kk%X_cirel*IGX@U^@N>6aGi zPy_WGgZeUV>Ph)Ty=!D<#YoSYF+&cEb0EL}vfWg)@%7j;xmqr(BNcGUH4&N<*o`?V zr|{OAGw8&z=maDn>>0-${O!NzHAba6#78r!5R11Mpr?LtiKAT6nNS(>Dc{^WcAnhJ zGT59kni6Sje>m#GZd^vVHa=8`_nW)Qb7BlA`$f&!E#GW*h7Mm(NV$l(6;d~MY=~`gWcn3zipO_NyWuR3fNsB5j2N3=eA?0j&wb%?u4|~<4E6HT$ z&kXVM8$J956)@GuYy^YC?uCGu<$Y4?j6$|6MO?jQ$Aj#4LOl09V_RT2cLe|RXG?D2 zO-AvtuPIH%XT=O##*&~uYwsh4p_cxE8>Ev8^{^i&$@Cp$H#A)WZ^85B70Sw< zZ6%9Ufp;)3VW`8Wuo7>aycUjaE+9K>920G-eHv_L&e$&ZWTg}VGX={W59(P~m;*iboDyCw2<3h5HCe|*al=XB})EK7{2D7-!XPh8DK8YZJ4|B4A_AyOr`Dt z*uT9#P@T$@YbA;@y*8Q$b|df1{TG<- z?WE^0CHRxv_m4`pHS(r4xyim$bYdtCshH{NVxtwzXMxL$@Y>OtOc^{S>c>}2<#KSG zfx3WrrJ6se=enXC_T`7K+dP*^J_k4Ec)?%IK5L*8{CGZNWsV%ujnh1H0FA}i@akLa z@q?x1hmpuh0}|1Z4e!xFOc@94V!1?rz7s#&iIDpoQ;jsKx3*~w({kClK1mes{I#Da z;(y6@;gGr~P0@I9!hm}%@;JQY9l~gHC6mR|E980AitYDqjc*I6qB4oC%Au@2SP7+8 zghFdxAPX;FAqP*8sMBI6-u2oN$E@E!c@)ZO5h+F%v`4i%(v|q%i;;C}iO@sc#U}5`ti`J8N$gIDL z&|}k0FkHvy6o)oCf))rfSRYAt>s-j{#rpikojOk4BP;Z?Z8#M&icdV_X&=N*fXG4d zT-3Ci`gYUN)*uU?QVVLuk_wWq6sL{jO#MYVH!6+x_rY=CoBDJ3x*i{CKZE= ze|jP17MNrIT%ckpp!a^h@eXMaCgl~6m^t9Zv1c{IJ&PULJA$n#fUFHr!5QI_KW+{+ z*#Iax+C9J&_{pm+hZG0qr%vIFy2hj(^gyR$sezybgik_PQ=R1%2AJ>XU4t=_Ne>vB zVhAZb{A+T6C(gvFo_vMV@ki&j!9S;d1mh)8&3xWK083j3ncouxqL-P!B!TRX>o2P%TzKomh^aGoVwSAa+M}G7K0=E z!b9o?U}-xhdIk{4`8cS zI5Wwc4z&*;9$Dyq=%$ZXr6fMobL!kUj;dw=QP?B$si3aPipp4vK}H}BP`=t10cXzL zea!6-z1P`K7Xoi90)&xmKu{mL(*G3O%b}Mruvm)Rq~A^oHXb1ZOcva<4Mn1?8Vlq# zLJdl`waR<1Z2Zh_m56eElJ{h~7bzjr)oHtP*J&rXNjQ`|$Qm)Q(Bqu{^u3*`;~Y8( zf&7NygAp8@=@1O?43^_3k3cI9A^@mgf=|MPNYL+%Lw5M@zrxpP;-`|Bi8e9VeL0t^ zSA8*_qFr-*VDbr#X#6t=p@iR`!4aF;VcU%`Ai#3XSE>6;$nU98&f-&QmCqMQ%6|}+ z$DIy=t1%FENMDU4pzb3tI%Nt{se0xKL9+!;de1>`SACUm(bc_;2b(wY;w<=6bdS#K z*v;lo#Irn1@VBg9y5YXWJG32#-*p*0c{ewDs12U;jJ$6KZ|HTC^Ww?{`+@@UbE2S^ z7w!d?;2Ut(VglA$3mn$5kMd_x)BsSo^5aU7ZN`POxn3u0)TRIzpLf{enj- z{>;!geWGyMZW<%UEa_*18Ch$Hj_z2|l8#VH(Iu*K#gfFI7k~e5L!kL{Xj+W9h?Bzd ze)}jnsg18u+T3F%6m0IX(ED!Cy;G>cq=g44#qROAvqK$g!n`o+F6@Oc2-pr0>K5VF zQhCpFC=Pi)m42iD^t9*5g5)b&*6rhE+c{{FSgz# z^Z)HGe$5wi#K{x#c`s@^O%e%!KFFTT6IW7AA@cM0^CWg$=Cp+DvKo217NI?QGT>b+ zgiHD2$SBLcI|*uj{N_nu&r9#Do`XZeOZ~|1=O;u+lD2JNm;CO?VQo{8_U%=7GUh{0 zmqD|Nge@{54NGFf3!lVx13!-L^^Aw*B7N9R7KipFV!yf|DCQ39vv}rVsJQ+}S049s zN@q3qc3W4V-3SmQtHEdeAUw*gF_jC!aRlzZ)pgQMKf8ph+TXFTXsKNE(3_81a&9fG;KYB=Tg>6w+tCLq$jg^UcN7%cDyi!K@-?$N=(NY2>i!v5gt4NSzT<-eu{T>dLAzovI}jH4>-NK3e#cl!6AN7Ik_1@lk@=`UHt8$MY{~qujD%6g2n!zJiUrA}c43>+O|BoaBg_36*|L#Cn>O-)u#+!@>?*bN#XLA87bXcvu@PJL5uO)%ATy z1%imru$dNT`|_=JO_o@uGw(1JXiw)#cccyWuDwqTcn}07V~l(4GiOti`j0s}*u1~5Is?8|za-~h$d5Op3mo<9ojj=)pu zmHL%GCJeR7cFYjcHQ)AUeQdNb%ZTkJofhQ#SvcqanPy5#c=TK;IM%w8Vk`T(R9gHQ zwJjX?k2A3_Jv*upNAd!c@{}k1OrMZ##d?=e$SNO?WR214;N8CMB=$F$?#C<%s7p}l9m+ag)$-5wO^tM2^T0Q`K znY8lytx3Hx&NTJ2g5W2kFkGZx>x@Dh*;Vv-5K1o&MfO9fevUzenUO!!;Nd($$}?J{ z+!ZsR=+9BjL(#ng0sae!cwNCbxDTY4*Ayf-*=o$o81sS5jfFn;8D5QUYC%p z-ZCMoGXvktDib9d8MVNY#SLPKc#>tpx&hpW9XR%MW#>6?r4H0rE#P-fpP!5fIy|R` zGxr~w7xx&9!JmBP`~iWkVtK)gyyHmX!^p9mZk~G4V<&tg1uDA73ZQk!Mya0GIkXWp ziD?ic5pL)fOF+EUm#Bij^bylWkihS@uSFmyg42_4TN%O$9l`Z zuChFoXS-l}5<)BWV$eYHAKN$uIDWM1)7VOwX6IV;PNx#z@n2C{`z4H%uGMh(i+Bkq zU!0;!nsTkB^247dZ?3&uk8=G_`Q@zSSLLtauZ1Vy&?OUN-hZ{L0ZH8y5wY8CA!tT_ z6oIJ31Pnj#Gx{W@EAdpPK7HFvrK~wnI(l6>2#_!JGNT{xnR5W>O@1WBDMgcbyT^Y{ zB?svJpt3NQn#LHGzh)%O{0V5rTOK}F#EdHpgcUq_nvLhma8LU+wy&cj2%ok_q8Us3 zI~_nGMqyN4(NMZ!{pPu~T`Es*%L9|DMfIt8>A zmZV;IK~#;IDu z=ztu$kS}sQmt;}MS75DoK_qLh7vE>S|H7bk3$jCmUm&|%^DJ1ihAkS8TW{WC1Aj-6 zF?XU!x^Jj?Om>U6j@p0BIuCAI*o90RYD#PuF@q<#eggXQzzCKLi}m9Ke!0QO-sFVu zwRhI|fKjOi>zzqZL0$}$=dhV=Fr29DB`iJZ-d`^wmgg`1-OGdkUzgEHQWYMVFn{0Z zGolqjR_M%fw0Xee`an?=x?mH}wzHiEUCFI=3RR7Y1$Iciy_8or;RUvrSRn$aydr5xEbQ1Y zCK%y;053>m?OMO}Ah~3Llq$XzIXZ$z(pluai=C#jwl5I+^9ysw$H)`^YWLHb7v z)gy!72#Za0?LCBrsljH_Bi)Fs2`iDi_ml=~0P?@&`R5q`!11bHNW|sE2l0g(?L*L$ z>SF~T8M(u~wU(|bPS9tdL^naT4$c#@(aJY$>ISxwZzF~Pg`J5u0 z^t)+qRB^|8Y}#MA?33H7F1@laA}8RQ>E4mmK3gw-brYC7B;R;7P^rF>UerA4y~daH z8ua-lz(}809h!Ca4y9i13V^?fAfO5)B?AIAegc(>QFR(X9a3R}K7kj$9b)#?^<;9I0nGo?nj^k%mzWjHz z1jz5WZ*<6g4yO><;eYz@bM}BE7{{%A7VFhY=A^u%a8gBPfH-nL19kYA-n*2<;fneD z`Ovq1Tgh`aiDWQwNJo8fQ$O@P=BiVJ1kAk4>!{@;#~O$`NO1HJ@4fJD7-;kzXC zfS~HWAULoS5dTjA?-aUvbz!g39OX56Osv*;&~{SF$^X*RhZZtwW3F$xT1H```IFC( zKJ`7e4&o*7nBUy|Tp>Yi90#f%#skq>9M>>VB@@|_22h#hWgKt&t=9=&B|?z2gs#XJ zm{`eHa%0@cVhJ9c`6L1E7YPqq-=pbDy-+$HY%x;2aQE3hOwF~1{R$M`v+s8OT(d~o zLZvFD>~I~rSJr5-5`%*4PU9t`wc27od$4n`+2o0Cx@@yy$yn2eI{uex<~{1{&>cw) z*@St$;6yI|Pa)|6#X*h0eshG%r$`~AT2o;%vRIrzbO5-hEjI$M#weQu_071sp)fbl z0GaaY^>nN>gs0W^dy}V1Fo(K*`QH{&)X745Vhu*6?-gp>+Zv`(TN%o(S z79$gR^Z3|G0)YX`r?(-*mi2d&98B@Y7w-TPY?HUdWcUxyE{s@H7DH76!_* zH>qa%nc(uC7y1_>Iht@`S_6J@9gEd{R^oZC6;JuMrrdjWx~t9c;dvQsQ(5?bU?Rwm z*MGxI0yf651D4^iYbps`26^Ock=2;k%a@5u@-09*|5=q5e^`zvY zXeYEwMCYQx9#I;=G?Tr`n~)29{);FANO@unF^bb6L8;!&qm3YYW@*>JAXJ$B@)g}F z0#Y$9-4X1xC$*!3g*aJ`M?3X5zL>~;bxqd7uHI(H`L9f(P1+N2I8F~{2eOcAJAAUvy7!OD>>Z8u$FW>onG&_g~ELN=?30$SWDiAcU@3RJBcx8JvkFAG_^7t%Lrg^8Xj|IO{;)0Zj)ZA*WE zC3|W(@c!H5Q?f=VCG}`ZV5aj@B}ae-aa$4rhjpV0$GgaV1XN&1n5}bY?}UHB`+6+Y z6?tX0VKwqI9%Yixd&++-=yL!IiQ(lVzV-u3rFfZp2s25}eqT_>tn%2^Z`>M82a>Jl z11~Q%AH{4xB7W=cNIvvzmCgFj0#751KxxTb)LmG0Wy=Ebu~$u6sm~`UE!XDi37!T_ zRuxbRO3vdW6kUtqKXY5M`;2u>&ZzaDB8V-IB+Bswu2kw_FR5pW=TzysIYXyi=Q809 z&;}>;STVa&ND*-ThST9#u=oU@SMkKm*B@GId4}o`#7P%Nx-=+nTx^Xzac@@dp16PY z5a$m$&;`W+zD$^^5pd`W+-5!>ac_G0-u9%bJQ?1wa0$aJ%k42ep$|qxs8W5hh&Q<7UsUy_gle?tH|elr zGXi4p1PTW6+1<5`1@rN?xBhJ7!k24=H1D)|&a3KesfeGXWek?sR#ve7t20w=B>I{Y zT=Y*o>r!Bt(Sij#H0yJEV+76a>XQ@Wwn$uWMQ}t5D&ob{A0*p-{s*5KONfhVV%vU# zmzH!S*^0goBOrE9BF&-#cq%UX;luXM}pANTRj?Hkg0rhW5 z9tw}nKpRrwBuq9}%od4!E6z28T_@*NpuC?;9M%V@Zpd~oo=dupzJKodb#=EYawJge zLF#kaw2tv19p~Ue)FW9S?s8Q^L56Q z8#XrQ!hUvkf8xBr7bTyenJK+73V^)-+&=|4zVYD;)jOyuZ;VBT((1o_CQZq4A!vY$ z;P&te{`8S;*Sc*PzjHELmANNJYt}w+tG_iuvxAl}%s1uh+XX=%@cw^C;3q9ShK~wF z(16CEf4J-uoXCIfp3O2U1nX@Rg$ZNi+A=tUMUI}p1nQd^i+*69rL$}$;aE>>IcbF z5*y}33@YzVTj~n8ZS*H0{S!;v8#P?Kd7m&#(V$x#e^?iKY4#Os$n|U*qy!~n-Eac` zo}<>2_q*P94x<}~fYSiX-)ln~8-rAuX)4%u4s?pVaC7PFKLgjoj>7cF&a-m}qB`Cx zXT+(lZAR^wMd2h`H}A5jKBvy*bevcsVV?b%&UVh5DcNabexanV5J1n03)_d&yFni= zMFptLiH|W?C;8^iV_VdL*XML~jgw^D665^A1j$ninX0LQhp}J9{a*GdFEDK^dAqPr z5ogIaY}bY{z?!WJQr%L$mbN$V5)L)xF+m(X-oM~IDgO1Oki^5U-}|fAzn{Pe2rp+J zg@4x7I}GY{ZUU$ z0w{yx`J6D6#?x_!e*n|ad>e|RGEgX$@Q|#KOSmU95@FuhoLzqVr8U>7t$LMm;GsJ; z&=K3ft@kDi4sslYyXxMzW3BtkQj6U(2A62c;apbbssD+0TNnE`;Eh!$NvFjnC2it8 z*cvitL>`~?Gq8GWb`AO5l0Es~;{aqU(?E8Y0Dbp|avXy2e9ovvxj7zBs}8C$x=MDu zYO{2`M7k)O^2jRnzc+Mg8(A~J)$+PX-g^5yakg<(lC-f?(!PhWJ;|*`Zb6r%SovKV zv8he8jBNot+GpNPq2QxIQG6?2r3)&82{(nW>@h2Cvnvn8jAKYnM#YBy-lC$i6g>&1 zOFQ!<>^mzNVSIsjLcTs!XrcC|DfydJy4HM|24ZY8za+ZKBE=(`v=OSLm*GMLGDqS) zjeUp*e8$eC;fRc?VGG!$cBWTrj5n=6v8aal7fKT=O2lJL(Zw(AI+u)cH}}n zd`!)oQ{5`Dev*xnP}wGm8cS70OP4r(ckeOySJ7!u7XF9%W>z4^>YH0Ed4zKZ34v^% zgd7!5f~)`exqe!WLgwD$yKX|giZ$oet%aFQ=d2KJ-w`yL*V)4P_%?%KB6Ynt#8&wF zH}ekWFX{hA=Jgp$TL}m3(wsjrX0Za)uHfQB3x`4gS~Hh-14>*5W{VfkL$r5JbSa37 z82qg!tK4Zan6H`^u1Y8WM%BE7WP0SNQJQbXr5~cRFFwTuNAKn1Z>V1Xm_0?2zEytl z1TM23*`0r!T?(-`qvXHcaiNOybE*F#J?Fx6x~i~Cc(p<3FAZFH=iF?rq6zAzp7VG~ zuaEPbuR8NGqP^L+HZSL{&z+Do^Ae<2eJJw7f=_dK2w#Ab;v%_GNov^|xFjI;52U;! zu&==Ob8C7F2!yMK+cA5WcB?ZBi49Y5R#p^Jemx1I@>VXeg#JLhn8&j){dH-C8;n?? z;QN9tct+MD!|Jjg-$g^HRD*_RsQfMiR2|ut?7f$;f9JnuA&9!v$ymtcEIamEanMGq zOl@y4Qlj=4H(4j($ZhZyf{}ArBe;9NiYWg!?{+VrF0HTld2E1wOES?ee86Ce6mO0f z>+Oh3{+2&Ah1GzuBf{HRv8L?Y;*xaexuU1Kw!i+&Fx{rRlx1yhiCDugm-t7R^n};S zql=C)*Or-DXH?ZeXV}HJp|kH+><>3;UOwRO#L>*iWENya^UwpDM+jCXNm9u5!d@as z8QE+lObiJ7if0IiSNzxgk`Ar~@<2mnauqnFEeg>ck2H?k?&RVgB$!G4D}pmj_;LWy zJ@K#9j76l0XN`QDTKR|#E!kmyQH%;!Bbyc^#}h_evWU2LzSJ0RbDO)I3WqNb{w{<* zqAdnwnqT;herGGY7KAWaW*0~G6{qc=KCqFhE(|=_;&;G zV4OD32o|WmLaFZ+65#fV?Ksa+l@hTTe;Crsf+M>5sh{9|R>$;VJisEwOkuJD*(OQR z*otUfAB?;}6~5p6L$W$17tUjY^h8uSZZmyWFp8Y>jw^c5l@h**3-QFP%(_UY;A~RG zIf;W*U*aFL@AXPr0^g0wo>k`{*+)*@vMwAgK9``%vFMOnYJ?GqNG~m4C90v;wtgt zykduvRJ2@~{-Oj~O-LB^a+OapgysMNirRRzFR#d-iuDBbj)70HlLCz+62nt2os_~> zulii;Te$XSFc<_I_TIeR)&B0T)Zcoo{U+nvnf35Jxt9*c2qV``#+UX3_mL=Yut^F= zivxCcMYm068@Tcv=#I<0dH2&z!2~1spNEk|Xb#MBjt4^KoNhxriO2HZ1HmB2D-kmg zfZ*bFvj(esS9ntE*V)6MFCFP#?*dQnd63j>QMq< zU5n+|G0&qHX2*|K_z(O98sv;4|B3Sl?90h5k!Eey{=1VU-geeJI2Kz>6TUK}vj9=L zs2AdkoYwX*s(L#)JH5}N>VG1NIMP&WAI)|8MBL|Ed1sIR3f&y4J4N4W2Z5gcjuZeI|*lLeUsnhNReK({GBSvUP{g0=Gsp2YT0WBrS$V8 z+svXYWvinV&n@}h-&4X{-ZN8$2yv_~!>O}`iKi>MZrLuakKUc6c#3^DW+e+*fA@p_ z*3Fm7$mj^Yml=eYsLn!8KF@^rOGCYPe_i6%N@`htmyc!K|3irucs;P~{Hrv6xwF4q z>e{74$wfCFPV+u&T#Emc7Qs}DtLQF}0S(ar`oVm{A8Thp4G1kp*$Uc$mX}H?6o%Ez zJrvM73A4*c;?CZ|RV~U*2Egwk;$aFu+nL?6PaGJ)OMG40ya;`x z#LZNOst{G_Y7C+}QG>3@(9$OA&~+Jl`a}b|A;ah(@etjZaoB`-gl@_pne96+Ef|(o zL~FVY!`6;?ly1*B=0H47cVsv@6J6-83^y{-o$kT#^a6?AbRWhEU!ot~pAis9459}! zLPCjQ^plM62x25XiV+<{jHSmh;!hD1=!uM^WMT?Em64WCJWW5t$jBsS(Ln|!o0vn- zW#r`(3+QJV)IwqroyI6GA(qn5G0Mt`=jj!U3m1u%^h=D(SBO{X*BIAt5O30NF{-MG zU=6*Nal4LKPrt)xxJzuL-(%cwB0ix1$7pUL(&-Nwk6MXs^mazaV`3-0i}9qJ*h7EH z=1P38^txn^BZSEw+(^U%$Y!0E0&@qfm`=aC5}M=h%SjRyEfmr>$H zYFC}Z-c}ipVjrVg-G+rZMNKP5-K$LQn@uE2^oD;HRPHs7M*!|dQq}jslW{(<+;qfH}QPJMIdg5Wx+v+OxAF7mD~P^bY8a{e0vvYf}y^% zWI6ZO&A3jolb)7U%`nSr`$|j*+#B4}AQkRBjeDcJE&mb1oi3Qz3)pHDyZ`dtELvR0LN6uXx|Y zRRe5WPxa>){JsPc$wu!R)>TS{U{Xz)c^|hE=1=yPGO~Wlr{x#MGeqg=qNq7vH{3Vb-3q)6RVx#x6 z)!$vBqyI8yO94nu!o8iSVkr zEU-@^2?R2}o(8~+1ot-?)oDo0f)u0);6C^loxS@z4WXrb0^EdOyX-CgNeJ;VEqIL8 zn>7C*uK1r?uM_jjxTKJQq|T*bm{EJ!C$nt9B@W2BHXgfojm@09CXs)wAZH6FAFXr% z*!;+X{$XF6-a*9lLfdE2UHP zZq5T4RFbV0O_}18x9L$lPdzN~Zj)A8_(EP93Mgw;tt&fC&z7W&7pfVZhi+>P7LQJh zjsbK2RiIjj6Sk=XI;^|@KCV6YjQl987s592%#oA_8+J`B8HdL?;-AmCi z+Yl%vE&MFu#firdw6VYe1QhW_?tY-DpaL=KS)cMg>V8;$oGgmoke$tUUDg zDK~P7*D>pnd13tk5IOiCSd8ueSL+(Y^Ek#r%!d!R9y549Mz5juYQ4KkyFeoil5$>y zCgL)nyUR2%#wFn0iR=AG-1RD!VCD6fxPx$@9BrI-@7*0T=3tRw|0u1!Pg{2=P1h%S zPwC$kovqe62Z}Gl+_oKNQ)wLVWtWYe#S4HH8@I&N>DIUcW zDsxKd#R5fL$`3F1T5%42zI0|Yx&9~h>>6``!wD}KSaB#WBYv>$o-INZ_TpFeoRLZa zPJL>xMV~4=*m?vHBZc{VXP9;Fdr}4o{d^<6e7kuWQo|njWWRhfRYGefgedExH|Auy zCta|&{P1gXZ}kW8Ffbg@qd6${wj&-cA7^RgqY%YZFGOfR9HP##ZqbHLJ$7|DE2k-G z1+F{_-wx%gx;;^L;JjRpO%w-kI~08Pfe{TlLxvab7J|j-%Vi&uV)4TWrXe^~Z5eN< zXGJ!gxs*i0iT+?p+t6nCjtXh~X&Tub8G}7D_9XJNI3Tr1%Y>~E1aTL&<<8V#F32C- z%Bk#FrUa64`z^xjpQGRX$F@FNXgS2sQyrh6t=_I6+kxPP{5T!hN2oF_caE z3=csrOXWyG>6$Tx0(mTK#h{s2b(A z>ZC>hnAMS{QH-1-JW?3O@@d2n)2Hw#8FfQXZ?88->JX!^HNzMQYT)RNRL?Z+u zQEi#fm%19ItLu9sMJB^-5EhVQ|v5hlij({6>85 zeKk;z^_t*%{S2p%>gBK?%Rjf=+<~nZ@7utEk>e5=G>7sHMR_+SKLSMFpnQCW*O1xV zkCZ-mToB`8OXq@==tpxMX@?5=?h%TE`}6bFPAPvF^t&i zcNVv1^3yIgKQ408{E%ec!STZvGdul6inl+}{u)mk>KpG`+8b|gCaM+-3;iop1Or4$ z+eGG@Hp`H;&^qQON4gGq*Z3TgFSb&SJ+CdzzkBQ-n*C>Y zJSmRa7KdSNkGuasFn?pApOynvwzKE4F#Vb~Sn?3lRoW>S3(x~M=!d5VB(y*X^u#kQlotpudQF)#8g5_OmqxGV&Li-32>$r>HnfLW zDe>WoL=BUt>+eN>U;Fm#y>A>adu`7Yk-^D*N#f>YagVTABTSlX(uAYh3SVd@bAALE zWseNo@crFPM{SL4Nf5?|IsO7|M|^TdfEp25y%Yy%vDCoBH~+>!(qazi zR%4kiBHACm*>ec>x!^351-Um~xIk*c$fgC&7)~KAQGb`=y+-KmQJK^r z0MmR5h{s@>+CV-l6j%cr9Wnb(nb0A~iSgrjM5qcbSiz?(A76@p0fBHTJ{UzhJ<;|4Q*ME3yJC z%Uih&r0L=Iw{?-6?3(7-$>eWGEd5+dS0z*{oNPZ5&}QAqk=YF&L-fqX>^{I$uX)o9 z`aZy$M?aCDY_|Y^xvS6iQ8NYI_SSO$NGETU9NXsad_R zW)4Hvn!jvz_QTj*GqBL+E`c(3^A_0o5$0*JXVqeIQ`*jnJSJbbQIQ?llD{%l{RzPi zzg#n!NrDK7=FE2Rqs1SuH}1nA6)c^P{zmimSqt>CA{$#ZF;WKRbwj`-uuud~oby(Y zc%%B|1~?He>5jQ_7F)W(dZT|5ds3{IEDKPk;BnRIe|IjGxpWXXGc!c=&gN^&}_t%G6F)Jg9;r3UD zprLTz7pQ;_B70PSwN(hb1VXKTYdFryZs>ah@%7_z69h3`;BEeFfs-b`xE?PS zZU{(~v$LdrP;$x`r?5;I%DGQi74Rdq(c1v)xE zE73p7djn|8LGr=Alac2|?;;vNHK^#wvcx7lersM3T%3bhlU@Ag+*d-G5VdG6TVSYv zNqj7pYrcAdjaaCjY`>GTA>~`WJq^!YgslY^na@*6uFfA}R_$}sLWbByYRvNHqhgrA zBl`i3QuvDrUj8Zns#49co)u2w*Y6o@^P&QQ&Zg4uZRoKXu3ZkhXmy~`PCb^VnST}Y zvk~0DZ|lR{H1@TQ_d0^!E8EezMBWhFE6$9_Jr}&vkSkivmbBh__Lfw@4dm}MWVPs0 z=Ks5phNrZ$YK5*g6%E+)Qyn8mCudtsib7umAztTSWetHCvK*7e}FSO)c*{|1* z0HV5pw+U~|F>_H(GskX4vdD05ng@|@f8lmnsCGoTxrWS44PCF=}#dS zQf^RU60%z4e>%-46>`Kg3;rvaT*9fj1*Oiyj>H^i2U8S>quu%?>ccxXvpGzkTtW(# zhTKzx89wvdnBtivZD!v?ms|*wd)(B;^Bs=a9CSIK^J$^b90G3DSrslzo?8rt3~6UlEA1w+!5dPU2}q%NS)K3Lq0M*5 z$-@irmG;3mR~FLQpBK#MgY~CLeJa5Vvj_Rq-sNY^9-a>RMfF@+G!JG^W^)I#xk=N! z!ED*V*~En-a07^)DP>`QHcZxCz{CzR;2~fY@hr{&G+k0wbcNh*h)sEmZ#gL${oIc+)3jI z%0^@zvJ{?av3vgel@HL6dPQ@dEBx(=1PWz92c2ZXx{)Gwis>FI691xy#S>3WM-%(! z);Y5&v`Z40U)A5OV%Tt3Qe&MN^%rsZnzKCFp+b_s2d%g(1J`=Gt({V4UF?3xsQ_}} zEYj5cSRi=Bj~i3!ng@5o>A7#fU0dn=tcBxYvjqX{$?ADT-*k0NXm!!Y3X+Csjr(RUa?tjy+jh}DKX1#| z-0qj5fdzhTv~MrRQ42mYrTN&ZxwoC~2EC;O!PpVXZ8B8p7dG(?sFB) zV`Eg^g`?FsNrw*VRpW!YXZWZhl-*S@)ez1xO@X~YnObN6*#lq+otl@G3KxCkeob(W z`xND$ZMp7kC1HbtThD|mFc5~Vum4&FR@HHy$CsH^-WWU7Nxl#>#O|!@9O}Nu=RtXg zcmL(?Xw;Xuj}A}{YJISsp7E&&YX_Fi9;o9~#Us4KC4ptvM3WIE9vetq^|R-#_RpB&WX@gWN%TGh<7nlJ}%jHx7j%7&ow zX+Um9VdF5IJ8Fj-?m)`jjEI|yN25dE{2Kmzrr05VI;~8w5Tyzc9_WAULy_;F{iS>( z1eX%La1Ck&LSlDlWdqS*pNBiH?d2KPD$CY4fYq+T%Ijb5zU%)Z))ml=cBpkSXOocJ zMJ&n@XgJy1e01fdin^MDiWrXTxM(LQ_ANuY=o|`CdaLkM%|L36A?!?F3u+9WiJCti zl%vldSzOo<&sU0&dj&ML050|)klW^6P(J_1^P zla&$z3*j}$+V_?unx3w{xkjpRwn?cifx|4v0b~s_|cmRs|Z%dX-@i{vEI< z-eAJ60Wk%(X3gRra2&0C6%h#$=*WlAM<}PRid~)^b%#k6(TqNB$?`dplX+O02kYwi|zva^K(SKt`@4EsnRA-$&fg2M9 z4Qu^lzt;=Y#=b{fz9)Lu{-KMFsE^ZK$hC~hD2EnINc5Qc&AF5WA=ryhg}M1pil49Pga zyB`CnGy01nF(~Eszapb&4kvtsTR7^2YQaQ|i?p|yj6mrf-WYEA5zA{yqR(SE(QY?h z!o>&1qCNrZSMWa3#gq{>7iS*C@)s8_kIJ0Ka!r_*zQ1{s4>=8+X{TygL5$b4rc;$j zIeFrli^qTRb12a{eNTSDY?ePi8brI_=Fu9*k~`}SuHg!DOubJ^GC;xaY+-Wo!W6Ja;d>x8-=JcQ2F zPVmYe=Gz2To}!`^@=JCFyP6zFGN#>H@aQAYGv)f#HkeT&zy+8ymp1x=>Ey%kbm+x~ zvx&?EZG`UX-cM+xQ!t+Yx7=mytb4#FDEjMNA-f)b z^M2x^3oaA!EB5C{w!>CN+}VmZ+x+;B*HnHPc%vzFym>?d2J+Nk!UIsR32M?3_njn< zXupRE>GEoAdA^(N42_J;<*fxEkJexi#qv*FJoCR`x87a;x3uKFH>K$qY9}&+RQP%S z^NN1A=<(U~qfrtbk0AKVZ#%@?N7R)lY%UHmoQs6z-Q7CMP%En1J--|2xIa1$^2jR`i>3-LbxeHEs&~k4=SqU?7HKRW122i2-J!q)g!%fQu!-1 zYw=(g$=-_3GT^_}f1#T9c!rJn5*A*z!hcw9OcwOfdd#gkZ3~>zf<;cB>Vjb>t(5hG zvlZ4L`}1ib!rI0znXF1PElF|jpanr}e^c%ya5j1X2&|xY`j5b^m6f7gj8>7q;d+t* zjkoytolS@29*)&i{0Q4=^)0s*_gxJ2A84@mzG%wQ#<;^hp#@rg5aRaZ1I98&2KHbJ z(qD1&il2Vaah&~C){0#jSNxM3#fRCS5lDFLld;77xi2B5d@RA*gm)pwzTZqbh>f^n zg=Blp$_`e9xO_b;cw{A+Q+awRhEsZrJMOz4tAb77VfRF}z-SAR-b0NgJ($QOr9PgVqU-+ZU;e1_tLP@9 z7f_y`U(qbkc?s-mSSMu4>iR5d(aL+*A=jb(`Xac~QSe}ZMlr`K1HEe| z9sFEu%t9up8wF^2aB*3=P-m@oHR%Z|jn2mW1OKW5iB?QJ$);rrW!&`?1AYl~TRrA< zzTcX&5?JQ+b)s3(+0$tdd4$yzSVj_sEh|)rX;<&Ik#b)Q*h>I?Rj$Dg=?#9eeN$$k z&rX+_<{wKc3s9^T26;Ob9Q~-+Vhf?K9s5N|ejwJ!Pz^%JBJO?_NL^@j&0JLAe*tzBllzA!8^iAje1l8T`n6=>&$TsORt| zHB!Wzd$F-k%<4qX1HznE#uETZyO^0XE~gH~$6M;Xg17Ito}F2^%P&f4ci(qQyjC&h z;{;OUy0pHPY6gs4Ol^V{xB3NI_7~o(j78k(fqj{%S)YM#x3H{B>zlh0%-{j`cR5uj zZll)4-GNl_c$ZpLS24#e3@iGvMn@;81nG;177=nM;|>1xlRbwQ8?Lte0$8s5Kxi$? zlO6t1eX4rgiD#deQO*Bu_EL7Own7e=3K16lC393goW%4mzUd(JSGDmh&E?eI@kxDs|1$St$7RMcReE)8_LdY_;YmF)w8q&!wdBQFR$p${k+rN5+>spamzI#t%-X5-@rfjHflqc}~M+i;Q*tGNlA*{iQltYcam zrs2aosWwk-XVLpHFx&G%eO(3SK7F8%V}%yROI0J%!ZfgO+8^~R1mOBv)YphJ7Z~#x zIS3Z`Xeyq9`;i+?4xR;&S8BE&I6@i^4PVhYaqYJC2P;1x)qLNE`Ly$&&dKDA^|;O# z1sqb3Pq z<)2QQLEmW4?vB*?K2vcfTs&RwtJxrOLkrYXcUI%bT6!e6Dx`3)+hFit@DG|&#u6&O zO0t14P&npw(bb(4%%J)+=iV##)uI8QMIcP0@hZm~%GHCUR`t}84ZjH#HTGm11Yt4E zzNsANxbniA>m8gB(&5r8aPIUdVF{6!)Dtv&B980l^1~B52xLl*naut@B}>TwpH_G| zC22vCI#l`ZR>BzSRk6r1G&EV1k){>6U}A9 zJ?Jj%zhKQ1<-#9@Q5;?MXg(|iX($R}CA4*P_Zd_MhDHaq)YnbWT&Do;2TAkk$r0}A z1P(6{m>3dvk`o>o6>Y2(00Nv7r_+K{f?{JhL=8J%Bw2=YM@V$701QRi)`oUbf!HMG;^g{E`qj4?T;Ojo67<+nn4S9^WzSO z$BvkSSR#UkzEB(xo4Rz;)cxS zVt`7~lmf>fz`m~}8vcsEyC3%u)?y0y<4?j8^-hh(ImATiMU0+w2o2Ut9|fax@rGRo zVf89koed*&>t(NM+l8Ava7ltmjY@d(85(-Q9Q0lF97i1->}~X{MlBoy^8=zZVOM38 zBFOccS3OK4%<8kRW}X?;^@5p=m7p}Y^3IBgf;18=x-beY40Dp+#(C}A4X^q;MHJW9 zb#lv~mtcr`XeUSL?-J~H{l?X`*@(&dv8!(jA`fzxD2?vL?daeF7UJ5^d6+hoy9$R-~ za0Z3q*CF|%%Fg*k%CJxmtD6Y6T|AmCG{+2%}GMNg%mb zk#Gc11)T{+9YCT>A@B1b)n{M;)JFN#dt^96r*MJL3s1_9hrq=CC~Ik{DBQ=!$$)}) z5~Ci%_Os;C)-sg+EqO4omV!D2f^(J0c3-*+A=)vbyDQb=f%CxIP&%h?G0__fZE8p6-Cf-<>nudKo&u8kvy) zh(D$RI&{`Utk%;ZwM;1!RTy|a{~Uy@1_})njW8kg$XoyT#r3508rxEaxtEP1#Vq79 oA=lw(cs4+0ib5e<+weRsOtdr-Kw%)|6vX~u0Z>v!&V&B{0XL{1?f?J) delta 42907 zcmW)Hc{tSF|NeXSF~%6%*cn2yh3rOI2ZbhtvSd#&h?${<8EeKMdWt?;rFz=*Q1&Ut zC`+p)n(UQi3>wmqZNB|}=Z|x}{&=11T-UkoKknCk-=}W^{BiP&F zgTcTx5x5snfW41#_|0Kbkd_n#A`yNhtHLPyaWu#kB=-a`^#cKB;)78XyxAVS`GTpL zB|@~{%Ff&zEVcw}Ol_=or$KG-New9qVo4hyI)>5|V;B=H#=DRX<0+?;#W8kx-Bc7{ zo~RC_{RHp{Nl6pQc!^0lQcBXvwwS=Oo)A#=Ke`})I$5rS$4-KF!#|E9!SX&87fMFO z)qO}NZU9+1xmF_8EP?_o4Vf7h=FQvUX0a=PVc$ZMS`sZQoqxJEgMggHm(W&+#rlkI z7EPs4%-)4ru;vanLfee9ftlUZEIqECv-d?bK+!p`G&?r4!~Un?+ueDb4Jw3x1<=JQ z(SKHdbXqlvCV&;_w*dl(aweCIPiLv$r}rQgfFjJ;Yh=zV;sqL}*&kK%s^lFDeHmzk z-jR{Cu9TnX;fbw=Eg<7PhP!l{(6iiU`0L?6Qm@gAW4DindcP3~}r>#QgB5 zVpfJDz&}+X)ov73QM>!F7>ETFm*^*suf&$?)Bx$CwsBT2=PYH32MWc6?jOelCH&~f)Lq!?q=_Z#w9P#p#)qYRg zern4T?RHhDA%esjMf^{nx!unqidX?F-43jf z3Vuf29#m6%I0|J){{nJ=%q(q!**j$+v8RY!wzjfZB~*4-6Zqs9yAjhQ?C3oE;Cx!; z5mef%FiejNLW0H&1j`tYFMMPUn>JuYZ&yqfx))o9&DxcUUNfoS?PA(XCak${+!#|m zxgIwBO1-!-Kt^$tSmYFf`Dw|5u?{~4p9og!zKdu+r%Z?EnInN7P!Gxvy|XQD;UF;+ zm8n_Oa|Ad=M7O^QEQIP6aA5=mu}q;WD5ncdM!T`$-C*n)>ddk5j{LaMEVgs-7%K4> zx=2y;Jrw!PVVL=;D&8jB^4ZzEE~t1UPfUg9WDX}Bva`TI@jatl9Zr;XCASYo(s~ym z?@G@O=dz^pMxV;IkPGFu3lM^QHUrE}NY5Lfk~=7tj|Y5rpo^5@PZ%Yii5Q=KOl!Wa z_%r*4mlq-mqw!RH&;;qYm%rWo<=VS2<8K(|FBgZQ&G!dawvZs&{^lEH>K~fI_N4{2 znUZp<=w}Tw`B~d-431&R;Sgm=7G~Erz3kCNcZoCDBn@V-zeN*?X*_d!tPpuu z6u%2b!J4(r(J+R@pICGqWRUw~@W|F`*36o?28LDr=T|(`FXE3$)%;1Q7QM9I(qy0N zrpViM5=2-_4e-8lq}JzAqSyNk0Ws&cGq%1~lIz?gR$O;fo=#kbSByG+U&)wTUWJN& zzWF*~H2rj@YibX73eTHa-V&uCs0!?#%V`&mnsbOW!4U>eBpzKsE|b%yE5s`iwQ66B zCN8~GUol#K$9gHqek>PDZ@95x19sWUnpXk)9cp?u-^}K+6^kLuQQXQ;|47u2&c2*m zD<*y|8gPBPQ0=-5oZO$jST2?jYjE;y^5 zEgmzV1+?Ii^2I;4;AW(tEL46CQpHdti}EF>I;AKs>aP|u4-)`~`QgvCuaJ{0wjS8i#sQr!*(Yp*A2t^`&zhyu`RwvknHw6l+) zr9aX$4my02&2h6&VcVDO=jC;oFL8Cn8bo3ijH|y#@{L!RshH$A6VLDCS6qbgz z9L>$>JufT27G;HzH3!>UvcWmPnuO{QbTmckzS*+@x)p~DUX>KzLq9ih?61*RioM+Y z*lPwVB|i)=l*PF)ak&U1dDS%)kk`4-%FGye46XrnOHOnn-Fvg>Kjg(v1v;T0V$|l@ zV8F_T`|RX~9&;ZXDi0w?Lgy8tqJ`zh04`P<0!Q=|N3kZ|!q=BNJ9c2|Q+M3{^W#E? z-C?>Kx~>&r-A+Lc5sc~;2}b!x(BEO;#zQc8@Bx!-$9XaOI8O2IS1}=jGC>HXjED$! zlwmJylWU6hDuJG=p+OXmh+U9fp#gG(P2-5zhuT9=b2}sRUJF_J9 zNb4)Fby-Ne6G59=M-rFG%uAYau0pP^XKY!>4NITzUgw)#T@~~T4q}#kR@gQF{ZH&P zi`W)CCxlE=4dvAqTAcQB1jXdFA_fs`R+c-!QzXsysqQ!EL#X)nx7YmD|Jt&5-;&rwHr zuzTo=XnyRLm_QK}r#1c)iWEgs{tV3v7$k_8;FJO-5NXfCRlOp{V`&wPhUCrT5~j#D zDcpY8rH>fdOX*6z^rj+s3OVz;*Zq%y`C4UVar-}-{MMVs|H~XvU5ttNT1%c*fX^)k zOsLmt{(jL^px^vy>0p!^s3H{p$4G*=6Kp$HYc;j8;4=YBL>`WV9TNq7oxSP;3C_^^ z$^wi7J~ds)*#{07@ldzJpb)NLXB&#Oa-Pp0Om2z__xPATy&*C_zR?cOc_fz|sogZ7KDbWMT9?3d(>=2A}cr*ljq*wKsKym8RP18U{+?u7>M|f;a2xQzz@LTq{ z8FeyWT^yRKNeHIsdkM!YzHB#c&gbWYl9&j-$AXw|E!XV;mUoui+nphwe3m*^E~})Y z^fFyT>_!h@EBl~$4zms>uEaT!Zf35XDD9>Hh~81@n%q5(`pI0q7q*Pd_2zS@+AL4j z#mw35w9~Ry0)pG7forW_!A%ZOCL)VFkauf*Z(HWQ?K8Q$>$`gHYz+U19R=&-*C~Dc z&s#rV_VNd|7y^!qv&m@NDSlUmSi$`kkRhoxlIzRZcE z+(){}%Qp^+L6k1!QNzZ0Cnpq+wO0u(jxY&ai#1zgf({&{s#Yf671=fKK(*pXmum2SYxPaGFZ0rbh~ zyRhwv5`YUOWjg`Tjl%1ukAtbGCc1izT9B`{m~`AV5r{rfkdl7c?cUH9;FlZ`6*FLe z`mAe=T_!1o;ukgP7LlCl)fA6SN=q_NOd>BOQBz}%QGiANh)C?#$)wY%fJcp@e7s>| zsQ%%NWPoU94!LIr{=Di#CCjHd$NS-eZNWCi){Uo90~Ux@;BrfgBYTd~O@R7y*W61Q zu1z|J0>Qx1pTH5Ic`FDA1UwTPV*-L3C^fNqqrf2@py}XIz&q(9Z~$o7ug=Z_++B_a z4d45(DFJXw`xp)EgA+!8l;Km!4e>Q6PsGO^4~}(eNQi7N-wl`m#$vz-Fa)##g>66% zkO8Ctp8uf`4gbS*)jW-A_z&l+to`kqt>M7D!R&Nvgb+lw-=36YcAy!H!h)W~!Zgn* zO2`u73pJ*71KDcb$VTOY{y-JGQRh;tmJ|6nq1NW*_Ui)_$(+3nq&_xEtRd^RljD^n zO^>hrjc>&Ry9eikg1Ql!8kxbtM~?)3*!9fHv}wQK89gGp2T1GALQX!o&!Cu&>Cms< zjZoj!wcbC|-_JB1>c8-q!$c@#bH_aSCnaAb%!8WO4!A~(inG*wZBJAxna*nH6+#!V z1&FZ>wiF1r5Ohomp;rKnO)Xbhdrb>T(Rw}46VfSG&AxJlIG_n*Di#%<2S86ao3-kj z4-j+_ElSKoqwx;pV-=fx_bjpVBfC=}m;Z;0DJ$8UXIOx&)x!X-B;%81gnzc*G60k7 z8)bx}X~iNqxW_Cs?^OH=y|Z}!$s!D`M|<(np)u1RM?M9#oB890g*}8b@$LS|49X)$ z;_xA*oV@>`>t~;fy^T5|j2?e794>>;>(j;7V7=xIu)%D!ys4D)v)M0OPCFl~8J4wO z#T17v$}1-f_`zjopUc=OZ`Pp{a@SjS_N7Ql7CtW&M^Bs5Yy6#m7RxzRdmc~d44hRd zJxy9*m_FbV$U&p8{n-s z)Va{Y64i{sM3a)WN!U+j8XsAZg!Nv^(<+=5TPS1DgcjLu$naNS(s>>&zsifa5DYbp19CsXgRzS zl5U<}Jo&IQ=_Y!EDs=tXjeWZL7w4Om9sj$?kxbq>_fhi`^50B=VW?KAGM!g+b4Bjn zfY3&ET5hx$4d9?>v*k!vuPBpZ(}$#~vC=hWzV8gBne%VVdi|&6nHS;F;OLQj?t4GI z9{*@r=0!|23O|hlo%2ORI|@5D-L#VF+JqFj|Dep=gq&e5X2u3{=}Kw5>nDZWuiR0~ z<&%%)D-@+0s^NRTG8Glw41JPR2beuetH1jBDz862YYcmR^^0g%N)u@CH8N;){f=>y zoi$dG)gGV^38)q6*dlkE^=9Y^Cys#u<~kP_y%>$LCh_1b^kT&tIdZX-HBMiTg@?0J z*I(!}SZz8eXO|=EinDrKwbDyqK&xfPx1qR3l78ZUD>x}mqaR#UE=OXy#)&My1vh3c z%B7*5D3Y*#nriRz|wQGdf!+KXqT{%~HYm z>=y2@_UX2Vua(H99%Lfua#j7)V+Jd5$%S9cs)0kbp9^n(VZQ`P54Lz6mXhfA2b)x3 zJV3#fK6%Dtrkwo(Yj+Ep{a&BVl)BmU4fOR#FIs1X8*&89dD#C-kb9hW794m7+3)c6 zIeqra_StLa%~DlzI-B}`U6fIga+fMt&k=)DUYA-2zXv@AHlV-;-8fDBxsA=J_De5=nZFW^!D%=b_GUN4&T)WFIydLQ-{;6z5^Zj zUJS^@1={qEr?dk@??R}tr9nZZswOzxuuXm~4&!n87Xx?h09%f6&YLZhbxZJMT_K&s z-uouIpJ|N`q(8Gj?eFOc?%Z16HWTr!OXcRF{0m?CyyWSa|1q?KS9DB?E)mxEkni4U8VZ8~K?u6#g1l2G-F)Y^amhq!u#b0y3iw25c zsLfjc@&iu#2#;@>~J zn!Y!q#DR|=T`JD@#}4!(Xn7rV6Lm#i z;I$DL8-7t|8k55UKiT0N(120#xqhdb8Rl9v^bz51Rw6qrS;UcEm;>dFuAKwebV!fp z(4Gqiu!^rO&8~Z}9y<)e&O7c!f)t7Qf8`voIyM3v^)?&^d(9Jz&%!xxi-5-8i*EzL zIw6baXYq&rfB-gByU}_+VE*kBm`BSzM(9}eM%z_FQ)xNOrJQdH{2{N1~BO7}k=1G0nCEJnn|F-?=j2UmKc659oj#6OAy^QabJ6 zJ;X8UL6fXKoG~9@bym|^$9~-O`&jA`ZIp8c&s-aqGu0|`ah&`KqVp)AT902xgxk&G z&{nb>cQMqlFV5Cc8CHV|ndo5ghKAzr^_?WFt@b#JT^nq?k2d4Z2Al59O3iYz>2OaQ zD`9YA*`dvm@K*fDxDV`~?1&F-o%|d|a-`32X!a)8FJ}&{nl>WDG5Ze1ow4#;kT{ua zDU8w?aeHyjHPfB$VcRLXYmeERS>_~K;fuB`7I?)w5TnA`u=(V9YrgTkP|zi?Anq@8 z`9X|cYvyydAO~+fAI}ps3z6KjCHrWNTi^Ot)=HJdpz{H52x>~u6l0ySNgwaJLt!^k5=Ps0z4bx(kn+ULj9M6 z;0tL4`KOsW+;>XY6k~Xo+1IEi#L}tSQJp~XUg=FYXhd$@uodTYz`A4ylNU{AX-FP= zE$MO=TRK#Ylb4KcTVa6OeNB$^K{oz$zdNhP*eesvv^XiLTh?T!NtKp4lO^jklK8KK zR1q;Ej$U1L)si4t^|qnV1gGlN3T}63?gKHVs}1~%B+x{q{TGo~Sx1#tH(&>NulJix zj%5oPuv4m4yn$@qX1YnFoH5&9wWuwLbuj8`!Y%VSkvjj(Qk{qB(MFt=7&V(5La@Ch z-j_DjJZ}Xz{3G$Cfhr2mIZ?hA3HQR z4qa7Uu5ob6Mv=v@1Y5JjobAT$4^mTE+gPm^&A8mE9yn2{1fOIXj9*t1nqKkD`-d*P zxU7PytOd=LQREIG!#dwQgBDzn`oW`I(-I0UyCH3qKzf-S%!ys2c zHLgtpEgMx?mJ>~mi=kn6fK&Zr^l3}7wK!H@jr$2c<~J(m_gIL3h%08EFrDUB@zQT&qtSjFZKP^za=Do+`D4 zZJ%v?710hLXl~2A83&C>h!H0qr^MltV)0w4Nz|067@0}+=$KT>iIKzzNWp|lO3d*s zcuabmN=$Sr{*6hv(ybTf_YI1wC^099w|FU@E^!MHiP3vo0Mj0e3zw4UP&_%JF4?ms z6}x5X>g`k^ojMvrITaOu0Gp9WNjP0`5)gZPA9JOWlU<#XPTS#KlE?|siK9Cydy~mK z@$D+EhrLNA{bp7N5Y)uvnAlI5S1t5an@)^HQj-glm_U%XpO_p&cF;|rqB0J6H|Dy@ zGpZ?lYGk+AS&B*4Sm|o~Fgf7^(QAdfEdc)f3hRiGaj{--$CR;rp$Cjz)AW z4jt9Ut}*-_-|c%eRYB)|Ma`$$#1R_ce>G2eIb+6yD@^+9fi za!=0UO-yz_p`^1Dd{TgnUgJLhqY@=D{h*VOmgbJ5Pvii)}ltYY0JVj}22WJl9ggH6e2F+_zL5=-Js&?)Eg z5nF>j|4DYKm)wcZt;*>)ZRqZ{dYnFG$2$=d?ai7$LKuy=*s!+WV@*bWx2&*CNoFSXf9D#!U2^%T(&?cyf8D%ZWZaK% z=J0^Ypq2US*XEr!x{~A07gu?8sb6`-^oxp=y81o}?WH;$6YXhcml(}`6L#(WR_bgQ zEn}G+li#;u^i4%SB6$X+g6IptA6h&`-JeRAeQ?weSD5gFp_CVxc8{78qg)_mp!GeG zTW)4Xs@kvWdr_uj|~s0lbJ zrIa&Z1b;|&KAhMmijJkpUAhx7XTg#{KZza;>KQO1Sx~Qk{Hv^LbFId#?$ZN_@V}tC zFBL(0Y;R*)?tk#IQJtjS?NF&-BV=-baaqWRzQu5l!Pq09$2m-hZbxot3+UF#Lp6$q z7AUv%hGyB?JvYFujN-Sd4(~R!Qg*R;$XHh)KIAp%cA`>l#y8G{J!`%ecuDfLgf9kO9GW-SkWzBIJznEMhRuai>cx)l zj-#fj$Li~d2yq}Ye_2d0Pnkbh?<7rkXWp0<*Vj2qZrC%+g<@&?oLF*{LKw|y+v&KN zB4v+R%#>!q9`QeA=4?PSA(H)#H|F>BxV%P8KHy6M1q=5?VtW0H#TV^OIhl(f>Q-=3 zPuq990~tlzeZ_4a;u6lSo)_4IIYk=-%+BI`1N!WmCa$=gjPN~>_w%8B>B^Y4#QUAB zd@)hXDt8sLF|b^_38DP`A%wQ zrbnsRs5s(=Qr>_3w|#OqfkA;wY&UlzMu_NPIMUTRBF$6}+!lhBdH08$Mr3Nlt)PnF zCvo40W+eBp3(%df7%FI=xRw94nA{AQeXpRFqr?8|Un@vpFYK+ywl;`?k3NzCQCp0S zTB_`08O#DjtL8?=(V~VcZtOu;7(D(kO9cXcw`&hpo{-B8?R?u3xU9L^gWeMJwIjL#s8#L(OOjx}hN zOcsjV;rAKnKldS2!=u-DYVKi22btX}s^7Fn+$ZT{s$RBARuE?W(oSP^plj_rQM2`1 zWKYCbJi5Oa$m%P&{+GyW1?DQHODanASU3i)Vpa26l$FRjfKt7YH3|Q22xj8UJS<=C zREKkD@LjIoz!D4A){91n^46y4k!Q|#*+++Ga1jTyw#9tNxzROW27hqs(<)JP=%I=l zeYGc#=I)q}c8TTf+)GQ9*lM1!f|xn;I`W)%3KV!ws~Dp@Za`9Dr|FMY%DHbZM%uA8_p-_d+G^-2pOuF* zoGgGJdXqWf6r?rf%v-rn6#WIjvY#_6om<+n0TDk!7*Pfmkm{#^uXc6s^6@ zYm~7z7-woA4~KV{wcmc_u0obmsxK(}?_~zjbK7@~&|;{`E$L@lUBKc2>pY5|jLz?c zbFK2@oxkMvDIM1zzJ+~>;n0;JKh{>nG-s>GmhjT1u~AuTosB=}Q&z)^GrH(bV9-T~ zI>AQY*yWMvWs!}Ma82hqmOHvcn(ZMYg>E&<;1Yk2C44s)BljF ziISBo9}p_5RJpr1Bz@POcH5eRt}Dga{M^rcoim_z(87xn-dEgb`op2arnXeKlp3p; zg8i-3Ebj<5J%;I$OHNlpdaihk40H^SD1yhGUlPo3%*wba{!gAsQ~dl&dLvrm^;bR9 zs;QntnnV_=Hl@gd9?rZ;s(FuJ5K~a#CM2%PlK4-(mOS3rDr`?foYdB-S{u_brB9}o z3+Iq3R~5GGUXUsl)o(`~Vij8BsK<6IAc`a(K$G#+5x8EZvU%qM@a_4-noO@h`9TTx zET0+n6f3O?-FN=V(M+X}hPUJH;<-NSMl-e(12j-x_d1F0xJx$mqi8hD5@cD&Iu@lL zRy*tTvgp>FR!VUtnjmkfFI0B=5^W!REKt!ucuMjs`fK^fo1IllntCOn4o}}?qx6rz zXGz|zEE$fc&%*4-WGI0_mT=u6=Ij*VFh=s8Hyi2I4fEEO{=}l2Tkp-BX=dT~zZt9c zneiFGfBI`qM-pcj?%D^m2X@#BsV~97zjy+T*}N&Vyv05oAnVA9s4W^=(u-Db1G z??A^VY+qB_wMw>ac~r(9|Nl5Qt2C(@@eUokYU#h`!!_$Auua#d(FT_&(l}cYmnzA^ z=9xFlgk@V^uIHUd7C(BZ7A7|SEzB>J`z@(CkN&07^wJ8!6z1G#B>v5{Y+-S3p7QFX z`_AMW6NbAd0>)G&C45OHuPN53i#)fwdE1LOO>QQ;L73qic>?%Lp~5$0xwXl7!i8-U zx&d6=5%&n*E;$4Ol-xXGO6$siU+Ie;w--)vKK#t~b8EOk_jL1COua}rN-FX3a}F&X9zE4`b*QW?u?!bkW;wK=VX^TYg`$6*WK zd=I0QW7_imI|p}mGyB$bO+_nIj(yM`|2|mv;euG>2cM4@e{(fF6zL~nb2@%3Bm0uD#0_P1 z8`@`$3AtyGLzM~NcGzoJ^3Z+Ty#zYAA~V6%n6%~}67!$uecJtajzUjM^&Bf5^_|lz zcItbbrFu+yjb_*a2r(c=U&9U628CxA=oXi%Uv}_ z2B@|^Bj*HOpP|}I8%y}N$d-;epk@ho$nXg7R5U8OEPWVTRC9%sXMUtS=->OuLC*RF zl2vZ=b7yv1XTIG}FkM|3q*(g&{5nTf?sgJYLH>;Pj{m2w=-jN8Cn@tHUB`&A(-~GV z1jq+u&k2S8e9^5Z^z=cXZMngU&}aGcaM>lRSBF~78E_!+1jEK@{$~kiPgUHKo~VN! zADEgfq(#G;4-7ym%GjhSVD*>buhEwWHKzs?!4R%L=2{v3L$QdsLPt;|MlYp>BtK0f zgo>Nh0$Yv|YJue^jR(&@72K`UkOQT}tK4FE_ zmTJjbC8&y1`nC%>#bIMTr}nm4S$CTH*_rP`%fI+s)KBsrF5ae%RT|>HA+b*)c(qnh zPSY-Sru4^#kyYrijTl7ZmE&Mr`_g?$JJo`j2ye=DFo%C&}^ux)OR)GE?31aqcjN<$TJ#oK9MQ&GW`FW52>*zm&HA&)iMAnR-tbvBp6{xfI$&1} z+Wvyu5H zwf+~k2K`Vc^LEvcaLei%nqj`2vZC>7j}LbL=Zw}JQM}uK4Myg&gdVx4BYey1@-O=y zT=cu}5p?QG$!9bPCpxCz_*?W-3j&o3xep$$LKs04{fs+&EVV`+mU<6uzZF}ZM2$n@ zP*1gHkMUn^7jD+lU)KfO7Hsht8)d2f&!Oj29iL~X?yb+NVM7l*wyVkgcgnU+^E)&y zM0QUuIC5VnzZ}FDk-+?R@SD6J3jocDxNtSq1N!}Ps@?ZX6dUnBRI9c=^P)GDL!%6z z3sArs%m0=Hdj_SYRo+TQVj+ivvj27B})YuviMtw}!WQScTgJ{7J8{t>j;+JKM&!Nk=Ndf>FD{nMa^) z|Jrh?yNgmAouN!Qy8@O}J+I4}>d1Y^8(jr!b%SZjXG>D-Xf0Kx=8cc{xM0n&jdFJ2 zH_;_u=-{^YCZswowml&?C5jeBhUW)ov~{)E?S-8jPCbs@C$JQR^NR};HtK;keLE}g zi?$UlEl6ALPAdLX+wNQ?OxqB54$)>1@eFYzA|JtwFhW%Pt&twFj$hi6JCOFxN09cx zQ%J%`i-^Y%yIO)#R*$c}<22eDAN>YF@h*w4p1OCS8ZK0igppor>(L zLb3U(fXrDbAX#bQ0X$X#wiIuRSOZ@#tkQ=>91}@M;DIp!JaI(=*yL9C)5$+a0v2N~HR?|59sb^`|y-|91zQQe^+2QuDTY zhrW#03%sSQn%f1tY|Y)l?goW57s7RE96T5}=`OlhZAU7V(r_gCA}h|85N|c#tBFg} zsb_H=V_z&4hdoyg^)+0hpBpHqJ%`sMhDrR!LBh{vJ}=?GLk{Nrfx-@SVYWeS2Vz;7 ztyB9{di&BmD*|?Wo=2X+Z}hYs7%~{wCGN=tT2ROrp0T>JDn;qZaNveiQP1ncH~3xK zkN-dvFnrcKYS^NcMWLp)YMtnO*Z+X?BUTE)QPa7yOvg2B-l#NPqgGClOaq@ZuE=8S zO9Eysd{B%zAD<@evc zj?P&dyvi-S3O&Sk>s#1*{xnBr@_^kqaXl*infMFGtT#yW)u^*K5|$qDzILv18SIIR z2DYMOBB{rLl_8>R-|be@i0osd6ntdFN_<3eOu*J{oOA4qvbKTIo00%2#z zhE_$Y7RdYR$r{WzVDyXqj!6y$&65xBv0+-@>dZMpr+eVJv5vtXZwhvNLq=%HAY0Yp z2LFC{y6qrI+g8CeA3Z5~Qre7zjc{pF)nKjPSQfKN@E?=GmEw{cB60n`ce7fWsZt@g z)~x;b3JZXJzG^K6vkC{0g(_dxI4(hSnG{FYI7stO<_Bo-zC%tbEmAvkN#tut2a{zg zI-7pc&dE6R4p*i6p3{D^f%UzTNwCR?W&=2c_}@0pRw&05vZ75P;j*`NWS>I;N8ML( zl6w}|S#SKrbiet5tCs7=6nS=MgPy|XFoap{sU`+rr}a!G-K!Rq&r z_z)yRYlkiLtSbth>n)YzNqJ@+TP_qs=|V*)u!xl9o?U`DB-4@ z#nAcUb^yWR(L^Tq$DgyIIs3%e@ZId-tW3Gfk>{=(IW>A<3;yZwVwWDuKjj>K3Q~&H zx`>2Xi*=(-lE6TS?weE-;9k^Pe?)>`+~L!j?@+e^v+$-6q>q=_kL2X<0eCslCw<&a zLQLx(JySc0RgqO1GXHiALP{jzYKq^j`c{Hct2(0-bhK_RAbH` z)?Vwm<)|*0N50W82NgJuCSyV1&2u?>@9fT@?yp-9VKvTd=XnFFr|j-_IOPx>+I|~~ z35y`!^+m+bES_cRB5SRzaKuaXEnJr3XVc{)A4 z&c31LQrj&>UD~p%G$kNw<-JX^b{s6Asut%_T)0r&HhYDyru)sZakgkTy{qFTClHz9 za7^4-Qx7@$7ToUAN29uH7Yw^Hm^j3MsHh9k`4hT5%hA_*| zI-G55>V4@^W&1E2bt*7GPIT@>tK53=jkWE&x0=>Y^@G#uA{lK-(mNr-7w@oq`hLd2HlG=JE2f*}ESlQWRys4>7t&V~S3nBq?;rR#2RATFe{T4h(W9T^r=y`dVd-=ZH32G#zT3RP#o*9fBrUOkyhRA1 z>??FZ8cN(>bU#%9lL@M^2Lbl-<9dI86G+g{2->w>-;>UJfgFQwDj`>IT4fRvQ?Z1I z0!7~tDtlL);D2-c_dwrN`%V!`P(oOzq@oBZpK7J(8Bzj98oD1LA=54?ILM~~U4-=J znlG)w!#Ir<-;OhPTZB$kM@G`3x4rQ9c1%*8>cAw=xTMG1d^0hDMm76MlH}`&$I}o< z#3)}~F1DSDLi2CS!mP)svMA&iK0=IC^f|@f+y*ssTOjJY`zgMDqw^bEaWTs`cn}Y| zuxGo-V$NW?tR=kucD|f?^^-fD= zlpu90@2@Q|@(TU63tIGR9|1*KlsI8^@chAb!AIH4*zB@b90tlnRFypk6ER*Mm9H(g zMXnZ<8kN0xvix!%1$)or@J46snV;%AiT=$d#0tM zYc~W#PX`-L9?McL=Y8>62|2Z29Q(xdVt6p;zJkveX!*;v3;BAjw|XJVmt5ZUts#>= zBo?k8z*4`V<)ahoZzcHy&1lC6sri6)s&2pMq7$n*1QzP>$u%tKw&NF)x(ULY{$BCW zwX$1UL0?$707oww?JKU1x9{)RA!1r7j#lINpNZnTkK`qPA3T6JCe{r_t1rgkkoWJU zb0Sil9}dOTfn&*P4u-9gkWrr)RRB1kLX3lhW10g!_u<@p7kv-<5(8WqQePe>UAqTO z?eeiwQj1;3$`nbImY{ZVj@yvjO-I*jQ_@Y&nD87&N@Q&KIKmJXJ*f? z>lDN__1Ejvuj;i5ovq>F3Y_~vK~i7a(W^YIKC`>ruLYZ&7Od0l=8s&1C{lXH(m{O^ zQoHki_W^P__uY;Uw>$sW%^ni8C@Yv)3VxZ57c<|~ zD!x7?c&2m~0+*IpzRKoR79KHuI6i=*M5V1ENL?^V8{Hd;0YK6R{WR%&A(>~ z9ZaAyx>35n_EJZFdMK2HEg#><%vQe>4$HF}A4wmMlaDal-A(Tl^uUyc5N78&X4v$1 z5>F$R?T#;&X{;_nQ}v17>dK@{DXRaMvk*is5uTSmbDCEo2<1>RHXoT&u)x z7?3OzWhp6j6oJ%R6qI+Mf(yq;f}eK5ssd{kj}HD}#k6N)NyLNBI&7u3fbjpaek-&N zR4(-1ncZO01FAksGk_>ESytBSxc*fCtos~gZwO=Oho1u=p+()|UfFgNryjFWDkaYJ zcEl&iimPOE7e2GiyBex-$B<=z?>474gPg#R9W1qib#Yjlx3N z@yMizqJ63?0*e;{zB0nJ9+wsHuHWg0E*v-S>~?#GZ`duL_`4S*h!;B88}wQEgh{<* zjGrbh0#|h<*hOK>mrDc68Uu>rK9v1}1qFxV%0Cbi4n2IcalF{d|5M`UJiA6Mnb6<3 z=I=Cm(r30!8n>udC1VsqWBUy^V|{No33D;8n8E-}jQ1PPk&^EH<(~etKlBMylhk}uiem2c>j3At@P_|-Ir{%$9uIWWUCKu zTCw%&2iZIs^P%dy;p_i-DWsCYx44o8KW6ETyq+;_1>f2?oQnzzZw5gF#aZAUrj=;1 z3)r(r2etgE>)B1SX1>RJc(O-~b{2;f)S71*kz=_C*n{R%v|4bDs-38WLm-LTOwwXi z&~e~|mytDP$81sQQl)wkJJzVtEgdTGm?AZGN^8l`@Yg4rfuu)opt_hIB=Hz zJWa`VW@>q3uYpR;#}B1~<->YU$7}St<%z%%tVM+}BAFJp-sGZ=JPD-6TNz*?pvJp5DtL-8`3B;@aaRy$-{yGDAA&Uj^sLV{PHI@CZf0{I#|Y z1EeDuKi_u85c%D;=W7~PtRyyInX!&Ev0!d2e-Ei3qK$-8KbR$38+T-@gLEX*NKW|< za5Se!j)T0eSn~I+r5Li;{|4Y%(AuW}K6O6yxKJF3jA`y@2a>o5=9|pF(I>9^SS+&j z`Z`}3E9rN}B3i%h@h(zYJCnFVQcjo5Y$D4M7!}_>mwsgH1nx53p~TReWauKsvgIEa zqvbOtSpE$2-hM?k_j8S3&iQ$~M7UN9-FeU6lFx<+pdyw%+X_)Waoo9kimE_kxdv!cacs1OJfv`-# z67T2!D9(GKWucB>v6>t8=KR4TIWsCFd(*meNF`+4J^c^gA3oprc)c0ZO5VmNaPIu#kdr^-64PWL&B|W4ltNz= z`Js;qKs0uEv9p8&ZsI`;7V6cO=vnB;PStnQdU`EB@Vuqw-+X|(kSmuzCjuXp7>~2o zT(MSFr9g>Zgamb;(>?JgtkN?za2`H#pHqaKEt1CnBPLt-5^+k_$~f=;Fp^1X+c?LB zC^?R{p@D(Aj@Gu8j)n?=jdSyLaly?>q^L$FWTQHP=$UJWRgB38y{ZodHl6MyjFaP6kc0@<8Qkbyqo2r07% zVyapF0m$l+Fa<5r#6a{pZrqe08haG7$Yqj1fNy4h<*+qOp`;tR&j@9E8V5UV&+P2r zfe(3ZT8NYNW@}+_FA`7BQF}NQH(@x%CKv^Ot5s92qYTbb9nzuwt|6P{-6}n9eUgao zkl3ewR2|576vYio?Z=GxUqnp>c;?=%WjJ&2JRW6CNQ1&o4|WwIV(HPo*~XW_UeF9^ za2Y|9zjYN2QaL-*JG10z0C=T~JTlilEk)#=F5u-{f=Pe+4E{9e^ucJ#OYv>D8xkzb zwPjCNDDp)4QIM~ZBm4<#Y^k?Iww9th5VW^Csr)h~OPV$6?b&0dCM+S8?jW323)nOL z#!1@^tp&)ls|+kOjZ&a}XW+0iMgLF)&h4Yx?<%WOvI}WBucky9iky`&PRXNlPVcJf zL^-fukkm6&n%<_jly8bo8+6;I`r7wkfpu%Kqs3#u2J&0x?9x~2)l#=rU^WhaLF>V7=nJC37X8qJ< z<^jN$H$C+zA0fwc?8IR@`UNG2PXjsj7;}DizWS29c+6XVf*m{OvrON{7xPXE`{p4? zqAzH>6ndm_LrKq#h+Tj&6*v>`)d@J1Q0!aonvuQIRFDmy3LlTJR{MI_M@7!|gGac zpiRFI{&LV#7u;??sNKXC0KfY-D`yo;gnbo!^Cj3M zqL?d(1?oH62RlGxxB%KT#ogR3TfSi}HZv4pf+rvl>(zLLGUZr^yr%r711rL$j$ed- zN6^GJ9^7|DSStz6G8BGKs(aZ9Y?<3Hk&{5%rH`9A?-^~HM5UBl6;08+w;&4seUl?3J@Yp=yf)@afz7VQY7OlqSH(`M6*=;dUEK>B$IKtvX z&SDSgeGt%_U!CGnVV!FO4XG#Y*+=V7$B4Vs$_5r7`?S~h_(c|RxKs9qK3kvyXVtSq zdp9);Y-{*PyadbEt3n@Om0CIHl)CEfFUA)|snQX>`MPy^V&CjChR)J0Vpob$V zxzVDVc!61LJ4u@Gm911Cyz_-yoyM5eV68b|J(=A)^Eos0SJ#j)a^n3Ljx`hkV);Do za?i~qN(YcT#dweqJ#?~S)p|Bkh6xYw0Z8+FE$!bpt8SuF4+XkPy;qrNO3Af$L?E?V} zq?-*A>Pw|tA6&9LX4n7fLZVzgv?M$yi}7l=#3|@ZBpph`>pmYyIAFDYwU)hqou4hT zj6Of@-^5K*o5B98;LM0%c(*^p$}a|@5I5M&2q-K@#?O0<#8u7ok>=5pKvGq@^kV$& zE^pmL%U+!?EZo;JvM8ll6uUAW17a>sSY9d9H}!d~_IIa*_mjAwA2rd{zZaesOF9M2 zv#4+NcqK1T%`A}S&&3{{36BAYhR-^(swgls($70KSICIRk*Pb6b_7dj9c73oPIyhj zE`z&0cf4Z3d;~XCY2vdw9kYPZWc(_F2Q{Em$+{5H+s=tA-8+ehYxsWJH{uVZpco0J2A z^Lxth{z&`63P3C_C!l8z(6pZflCoSyN8Mfj1GjC-v&^`VVq5XwGBE`?I3=*4WTIiI z>o(R=#@@CELc~L}?Pl1ZR7m!BfULi}t`bivX_u=v7cQdj{8&dx%0^MW{bKhrNvXPW zZNM<%LhF$-aUL$7MdtVW{E`3u6xFY*Mt4#ZeheStY$!pA%CuCr^H!?s9p~OZCGqE& z4bjI48Xq(N+1QeKL_h0Qn+bdM<9s1Ut!OW-=W)Vho}Q%1tbks-uKbVb^vhdI^un2Y zd2d42w@T>pT<1F9KB4mv489ooOdPtxdp^4OFm3sG`0JM-=doW(O<&-$w!{cZT32=J zr?v_|F_8c>xvBMg55*R?9x-`2AHJpN9Qk(IR-~84v8)q=5W9HfBi^=Ed=JD)jR{m~ zGu{nyXVleIg|=wB32AVl& zR62XvI_2uf#eL1r`E-NIGw0_OI&Z}05H^P?S&9?t@PhDb%CoJ-G_{hhs@f&~%9%Ur zavKm+_J$Ji{YOJU6b|YY{Lk4XM8s__kgfQ zVZFZfog27tVoje_{XyGFbo!`vI_owdXG)(McsZu+R&a4=MjLG{--O2e$6keU4e^Bc}L2qt>t@mP%r2m06GY*8`*r zD1P4&Qvup_oZX9W^?Y$p{Y*!+ zLjL;}Pn6_^e9mjHf%c96{>e`!G9=9@k&S&+s(-i_KZ-+Od4G=w%dC`2wR=j6knXn_1?y?8y{NkJum zpZDT82ajq2pbdx9UdX5=@D;#_>dX1gsn0IAaQntaUa#4o!==tA94Zf^61rhivsfpK zpIY0WmOS49>?7!K;-qc~a9AB~caGr-&?9~Ej&rCJdjC|*QqM6C#?=(3&U?1VrK3C; zV>pPf$MB9*g<(964hhL&kP$2FYWSVPq0p=nZ_kpHB}ls$-f`D-l|xLkQFH%#KuflI zI&#d(Sv)LWD&*?Nh5fc1E$v5GL_$jJ3@@lr8xWATtxn) zr(ybY!{~QCBs@SqV+p_B|ewhhv<0$v4A*<a+nP);aa_$M3j2Btu(&-=Su7-dn!j2+%d1i(6gY4d81%a+5p?vO9>-WX8GhyB zh~CDI{$(&pPnDKA(jG4e8&K-C7%uSOfhHeU_hJ-0@F+^{%$LY2I=d#nV`(B?C0M#w z(azy?gi)sBB)xCT4F9`XoP4;1Z2iiX@+Iqu4Z4qsuD1`QzY%H%F4S1y3iBFvp%>~GxiZ2E zj32h9HfDQNdFG-3_b;73`a*P=yw|wSFZ^Yzg~PdcybJATxKGVHI8=t2`z0<8D?@w8 z`@{~53Bw5@?2sU8xR@#gIAc{FNJCzK%B>kxn|7gy)-cZUL-iIdzhxgLCTR_q=^<#m zAxd1%=dzQ3>62AE(iHBq57T3gWrIQCMSz0y{pUDMw#u>xqP>J+vaE!Lc>9`G;j99;YnMhX2yxFH0=T z0927caDE6jb6;$`8|NBZcV=kwhL!qygBAYg$mqxbv_9Y@Tmm`XtC426Kg@T8-{8TG zFw(asV*I>6GOd*DC4v=LWegwT6pgWeep460kt##5d?fq)QZ;XNd)osG=%uHsQnB3% zDQ&&aC*|gP>_w4K7g~xB%tQ7VG76eo#oT>oK9!sJTmtpe6Bu>eVNj_Q?|Mb=(fKf{U-dJ6Je(Xmyrwq1Q6S zZ*@s;!x8v3|8`i${o3y(?@!z9g22buN}Yw##yrr!jWm;s0``o2GkAcp^a=lOc0VA;Z)gS2uN@WeD>A%F!Ut~8U+Y-f zx#|rVABiKr=UUA&h7)#z`m);f5fdAWoS>b+KkX}&1nqaVi9CaUl|#kU{$H19%h|9jN?LF>I#UtK8u!*e!nn>s zD(2D;aTsx|EM}76CHNtyGERUp6BslEBAZnbuR^LIhv^NdSp3yH3ev+!>ypCk-J!1~ zu3K?Ad{O^#aV7rb(Jr~6$M{nHwFLWa38BqD7K&#d?V0h`q$DZwmNV(Hsp^*!;sp#z{z{q;$S|+^;g_h(%i5BVI8?7eWs&WL zA*R&V&n4idrC-`7V#J|d4I_fk{=ZKe#6hr-3)2Bae3f1Q3KHnK4TwC0Ij`|sbaF|T zYT6-j?X%ZMVMZ_cvqB8G^fK)+>HFKW(>7I2+EKR9sQiDygN_@npMiOQmr5HD5SF{I zeyO~_D$uml!#@y+E`91BM0`FhsK6^68&+FLbKACE&o&jX=`k6o&=K8lUoKJLkI~J& zA(&TdvgIXDE-W6|MP2$DFBjU@noGOzyJwdVHcV>2cmyb19S_DY3HxdYmKo~G6dutE z`3wmRT$R9G{D>XW6A$cWkKZaZHJRcns+b{+iZ62mG0kT<%84leqRwjqKbIoI0wY&i zyV)Rq8`|3=d1{W$Rq(~x-+^kd*Fplm(m2GjVLPKT4MDR&`ZR8NBi&6xH_MT!#!{_$ zEi?WKU}_o3Z|*3sT(T{1LZPFyZ(ceMCVkw)kxuRsZ@uT4Cyfn&spE*p!23YZmuDZ0 z5S|Go+!jl}nz@Lv&{Pl}OxlsGLl@Aa7AKHF&1yP*FE^(&VVX4$pEY{UWFhAmuxx{7Dh)OTEWwlq#Zr8C9qTIP!pcAmxB5cf#=MQG#haG)g1gM5nbc(K$qKdDl0D|py>bMGaGT-yby;JR*gpIW%BJ2%ftkgA{3A3O9V zSdov40^SrQsh4a{4@h=$#h`9MF#wpP+l+*0Co)#o7h#6i}o0874qGWJ{laMlD%m?Z(6u{t?DlTGLyb|tnG z{>rN#ZGyEX?H3nK&jGskgv?A$#AFq|Z}AWm?JX;puiTTRWvgzzar-6O2}nTjcYgb8 zJrJb&>KrqHlgf0$QTU#3J6|nwxjXKRH&pZx|J>?(g&|sPsqtSi9pQMk((;AGi@B31 zqX2=j)>i^PAmyj$W+{D0+TuCZk{2=BTJt*HDVH>S<2o&n+BI++(sjc5{sQ>b(%LHQ z&fYNB6doR8i%yFxNAZ_#4aV^UE#d}w;@_t>*==UcbKF0pV=ysWt)5OW#OCbE-s@R* zgM;F+rMu%TG{1NGU8N7UDrX@lzZSF>!827Fk`j{2SARoZLP+C-XWrbVv{?U(Jp_Z@8O!s!WO3HbJD2 z{*1$zxtnHiTlNjcD=SxxMw}_}$O&t@NocmVfe?a@!XE8qVp$9=Z+b)r?<>O|c51Y8 zzA9fTa5H){t&oLD9bru(iiH&o=K7t=Nh z+(tkky2H3g*Qh{GuS#K#$z26=IoATf9c6_p7@V30#xwey86<_!GoI5Wg&U6q?u<>7 zNTdgW5Jel;bf|a`srQTg*-rVH#v%a!AfnZPYg*n;DzzQbg=H{)Pkpo=ku)4u_@*XE z54+C86Wl{L$&czHPA6qQ)O(cHsSTh?NM@;I3r|-&u2SLeuGytzA}}Q$;x^36%wcfC zsf;P`w07Jt7oq2vc#QW8ONc=|Fvph=bg*se?Mu=XE3DWPc*ScY)R_kSXdqXo*>&EW z>Brd?<-N=!{Ggg@%Bm~NxXYO|Hm2n~&AIUm&73#jz;Hxs*%hWb+(SsGFWSnN7GHjl zjZnc$bEzXW*HQsKWsw|W82=43l} z-Y=!+wQ;%;w5KQ}lnJXa~OkIwn;mJ0p5{LWhYswHX z`_!89#8-@ZonXhsos%CaeGpu_U-1vpxWm(Pc9Y{JGUJt&$ue$mgNh=RBVG{(&2^W5~!gT zk6~pTKc)X48~h59HR4GXDX5}KyC{2n`AYyQj^vaK@ysi{k z*yJId`t5k&?A~(l8R5}#UrVyz15n0oWVi=%Yik$!Dm*xQ@JcS+J=xQkvS~LX@Wonx z-bt(^e9%a9#3Fm2&EbdxO9k!KW4Pd2$HE7)fUGTBaZhhgz=Ka780sOf7pB|?qgI3q z;=;|`Mm!gHeEYeASYl@34c571PqsJ`94NrMFU1j-wuPcXohEbzxay(~&N0v47 zBU}2$HQ^#GtgQVpg25(ndLbZI-wioNaTCWw8qn1~#6FD$y*n!EC#jvpbG>W%WcYGr&BBQ z(YYxQEuQSvDyx%v>x3VDATk)@Dp(`JF3MV^2e0_~|r2y&5-V3C zN{>1)H`+PRKI~XX>k_4s^rpa*`HuJDN{XD5GPTbkCYr6DNYWQI^;iL5#r4~Fpa0}F zOL+zu^t0yJd~mPW>d~GR5g;-GW=in%2&zg0Kfhbpu#m0n$!puL(`lDK`0$`8IedQ3 z&?R@!J-M-uT2`nW&po?qIqC{gsqh{XE`l&8`|N=3!Q(|Hin!1XsMOgHg7U`L-Zt1p zw1O3tNPZ~8L4tD`$FR2;VG=yNL*irnc)xp=Zg(W^5i-}*=9TSR{s-M_%~d5NTZ%m^Rn zDkx7q5?_3YXck7G&}O%fzqixF+cbVKe$bL6!PYB#?%@3eYM0vqGfX|vR2f5W42_@5VZ9$yqQ1J(2}>`x|z%{VUdME|r$lK*;W zIJCkRFr8^mk4iWQY6#45B-JyKPVPm6{6Sw#% znN0@vu`@OxWLpJ=XT>rGRg2*-!<@<>P)9pud8Yg^MJYe442M%g{)X*B41W{Kq|1Df z#3QT;4!}xkBMlUICcr5(6T*54xFQ9BIuM=?U+U@1-R2QZ+xj;*0E#&?Q~syGr(Ov_ zRQ2*QTLFHmy~rjcfQ2a-r`HatMh;n)T$~9OdE-JR_yKKvqsY3plz1 zN_+6li1+3D*!8>4sA&mGUBQl&3~k8j-1Of)c=m1$Y&3 zeIlGAT@8o6E$DJ0uzIX_f_1>wpLLpGb8h4DEaq+0l=FT7Ss;*rHZsVUy>8zI2*N!z zmcp=l_x{*ccp&O>gNJ=V>yID(gB?2B9m5Fx$454kb&@!K{(wAoL!W`&w;Z z+%x4?h^^!0V*pYDL)dJ)`JqFS-fS_r5=2z06I z0U$jtnKMJSVuWD&!I?cEsLyWaq6C_d3nTntL66SNOnKP_SH{7*uBY zTgZC30LErS3d6lT!X^HoFbzcs21SfqJQTX~O1YL=0YZ<>uDix>$n;2Qp#$&~fnd4C zGlP>Q#r3oH&Adlg|3Gta^2iz@r+ZeiJc3L*azRkdW5xPU$lt#Z2DETNj2cHWaPj{9 zhejMt$r5UjCq16)0$X@@_TC(LNY_m;Z5II9aeAKITBl63@`jif=l62qhH;$+lwX!N zdLH!zK$V2Foh-2ul92(pD8aQAM}Q)Mw`pqQJh#7DgM z@-7;x<7MnHOPM$p2mVANz8gIBXt!XpJ0J6$)0%T|rQGCa7Z+-Bn_Xo_KA=mV9qGcz zJ);97_!%8tZT2G|h+?D=CCVtKG9!r(A#e!4k>AztnUvcldTWX5FMmi2c#P7HK9Si6 z2LNOim{pLBpmhtB2VbBE#*QdngTT;uqo2j-@bF3Chhbu96|)n{V?o|%03}hcBb2`j zRQQh(du(J^kPBB$v($>!gN+y@Kx$02xoulUL7~-&EtAO5r&;O=&y}DmY6ch-haT0{ z;pF`%J2K%9`8L)PK75ZJex?WO_ZZQAm5W!-XuQ$$lb^N0Cg7tZv0W$iJQ(Wzm$Y^siLlb#xj_$Akr&X z+a{rABY6ZwSLMWZsy!*82SF~yp(PFb^hK1fH?(sjcFw)%l(`Gr8;`W+dOLsXtGcj3 zJoC%zX5g|cfZJ-3C`9>Q!lbEjsD+Hnouen!Ax`3zve_SK;@Hi6^Y0^{Q~R^KFtJ*X zt_E0SSFJMGi>CO1c1UurqFPX*joYkZ*y$A7t+fcu$9LF6d8j&{fEv zDg+)2wri5+)C-MwOSdzOi~^dcgd{GGuzT>Rn|JIg!P5Gr7q(x{ueeflJ*d-xtvy&wq8^cniSwh|Rs zW5q|)AquAHbC*I|sTzoXvlT!+66OfLS<3|j9LqY%!|CujIRG@W(EJZmI2r~&i4G)9 zsrJ_o(FQy2bmEe;bhi7+L$=H2+1(9<)2D=rI~IbdW}XvC4^->Jnr!HHfZJp8`RAWf zItnpfufoam@uSKu1YeLq!HuM>sn?2cEd$GmfaW1Z$RIp|Y8e=k*t6 zn7PY5T^txHb%)(CcEJ3}W(vb3X2PG$du7RQo5=4!Dw#F5GwQL|0LW*FWbO0uEb^B> z07dCbTL^WOlj$IiJ+S3o`;qygbKrSq@%@4+4duS!G)_PQ^?p7e(HciFkJ=x4^_Do) zWYA`m@qS3M^=%=>>6ObOdgxKO@~j2M!&IqI`O-bBSD0gq-O3rbSs#p_sYs#niF*OB zFb<0dWl!9!8z$NmQmAZvFXzoE!c`6 z#eDP8)MOfCwl=05{b@ZCb3btIukt_B2JafGrk|ij3{=+`t3yN{633|ULV?h_xsRj# zWpi9(H8HHgSP{|S_$9;}J<)c!KSWT(3~_(jWM7cs9e4yc*X9=&b=*+Q`zb9iX)Lv? zT{21+p1eygk{mTQhOMVc8#dyxlU7NFjqni8L|QfT8E}@_2&$75ITvF<3_*k*Ea`jx zQ+^iDdk?(CMi06n4D@S#Vf)5@2?S}RbzWe%z_g^piGcee-IYaw&_|H(XEtnPYv}rGK)r75V2?=G@kERlb=%U zkd@L;jyrD;J)&wcj)w@eOBhpz2Yzb)#EcBmSwqFrXH|tQoFSAH6Fmx?hdO@_E5CyC?R>bVVg+k!XF!%62CM zi06GcN_*$ObdI$}gZUG zT#GNd#e~Hqa>4J3cmWK!lw)npsJ!y9&ozVe-h;yae`_cgFFwg{d--VByl@Kqeky3K zs7-{I28dWs-VS;xZmHZ@Wm1bfm39T2mKZ02Veo1^r>X4$Ftd$8f{O{c|h1Y0|rN zkbgH=GsBRc(f}28;T0BWDoaK7O7pvEFNKPW?AYk=NR*0;JbyFHf!88T z&JVs`l*!%^@ZKW0laZ>GrPnl_!C7pg-KCtKY2Ii>pK^$L46@uj+-B%_{y=NV5TxbM z@VCq+8^Xqq{ ztW+x=u{q8pvqBg+*_3YLF~NDs%YvE6Cig18%%s2m-uvlQo?NVI9*5L?`8~n>roh6} z@%WZ?`+>5jjX}qt9M%YFPcZd8tE?Z`*vMKIV}brb&WFbW2Ln)%7pS z%9)jINe3{?si0HMU~3cB9F(}7JE<224GRrF7Ffi|Y2Mc~ImYz*)_we6%h_U#1sd7t zw;A53wQcm?R7{uhe~asqf4DSqU&O-;MTXBe0WvRd!Uk~_-!5DJdDm3p)t2G6CZzEy zJn%XRZg5f%P@im3M{I&Dm4Lpqf;9jdX-@&#Wj_?kQc>E#$Ass_21p2KD;&s)X)?(z zElSA)rj}yx5yZoY=>|XF@Trh_6`gB6lFkjbIO!dhOi5Gc8^GA379cK%9Kz%})5d&w z_!{6@+G(6~peY132vI$qevtc)0*=HeoGm~%6S+y9?@46JTn_M3{}uyvP%_t>!sTH( z^YC<`O;At^dj|9I@D(Gw=`ZgL$|6^JA4Bvi<`V=DQ|5yke zbC1;SCHgLVJMkDay7JA%NxBNAz(h6fHc;gk=Hy0r$m-M;o zxiPP7Jn?Np&&V)ZiN6l`z@f)K8bA`n~7%=%dBxrcqcB+ozK9_JZdD1BjzA}Tp8`3 z45jrFI~y-w0ded^Ay}l!MSIpdWf$ZOS^8T4N_2$vPWmDRr6;jfh;-(vszDR{<=fNZm8E&m|&Jv|D1vbiKn>$!F|H+$hXKlWbstLTP-m=)b(&cr$S zL@B&r0ZUkGd!49Qa3KUEPX^jqh9qjgp*2G!t9PJ|ovEh2;ZN7v7g|8#;j=(b7)a@% z=^b_;cm`8Y+#j@ryxeMcR4wo_LA$QlTDqXT+C%f#jMp}`+aes>SCDPSR)jwU<3WK( zg66bkVArUzKy3`KIxT6}ut0+7w;JDnF$=HM4pbI~6YJ23!t(;{AlP}gQ*~uSw*S(u zF6kboA<#@NdHCcl;|m+#Cqc}@>~`g5w0@ceVMtoW{R~2=8E}x{*~ifbDv(FIBtLL)FPHbLP1X0hz|Q;A9WS2gVG;&LsQ{B}N8^c#mLNs4`;T(T zGJ1J)bA-yPgWTMAm~#{P0?QJt!IDX&=KInuoC{g;yL8TLdP_yGeOwg)-9o!}zi zRKgedNBqX1rMIT*pA)Ab4$C=1FM6Is3gy-;Pd%T5Y|Fh+j;snFafmc#+)=i)S%sDh zk+5Dls(WQqZvr|giMXkdNPf5ah^fk;5AkSd zjz{JE`sykA7}m7AaN@*7=;Rn1L>9~qc@+q7NE`OW?1>ZH{sdY%tXOlNy~f0wy&Fkg z6uy|Sav1ky3%~ibJN3X=Tm zBKHvk{&X*)vox>hU{kK{;-0J)IxxP_u(J%X3;Q;v9n=*=07+_bP z&t#J;6$B|maBW+euWs@bY-XX2bmb9Bpjf%bpoqc+o-Xf{_~aRvr!0RGtAlo*K5TOyizL3qAno z4(vVtR9V`b8fNjXv+3&5UeRdtk*?%lL;``2AyH{rMzMYF{N1i<@9jOt6NI4Vs?^q) zsLV<=hu`Zy?WA0CIsGaOTXP)*FsRq~)&Q)*gRE`^$_)<{0a4LD>XcyhLRDV*R#INME41k*-|!o#ND#PzF%M1a0pc zS%-mBKAt4$IZb^MfjcX}99T{UzZ0zC1J;y4{T_pPJtTmYGVtK9mg8fPc^@Pm47~92 zDJQntvM3%01B^I@**VD8c0glvhZ(`2NS(+T#ywTk(jV=2T(f4B>UQ27i@9(P^i4g% z+xY=Bs2M&*;LXj5`*ouxfp~$#Q*-2*THGGq&VU5mNK@=Shq`)~=j*wMt4*dd!>0uA z8D%KG&y<|y!Jq1*)yl=Bvh@$}YF+Uo?4Q9|Ag$KXA$=MzPREVF`s#3ox3h{L9vr6< zWYm^Viu=)x`_!54r<^aMqai;ESc8o956?j6H$GDQd>O5^>=@`9Vp9lV#)|J80#J9} zB&rH{K5I(|wWZ)&aW3#^{^L>SwU7LQZ@@fnIib9)*D7J_MWG+Dwsn6;@X_9qhebdd z4=OZ|n|!>CyNZ>ySy@kkKu_9|HX`%w7^KcH+ToKkB5etbK(v{_S&nRzgwG86)1!oT zu@Exk5Yl7P6LLUo;~%XGa*~F{%;YaR+iTxtUZrKE8EIClAi zN<+@cZ(F;03>bp6R4Dm-?fl@z$N*K-3~aX$2U>c$GC=%7n)BFJ79ZJ8e2Tzpfvjip zT=Y8T9yu&q7EkNd6-X`{=$5Qxk(0Z{IgfvqaNOp6^tV~#q@^q-&gUur3@xi0;PH_f zqkczvVwSZkw4jM@*TU&6Ji*KLi)rj?_|=>HOd*|Qnm_)%BUCFHF17d5d9N54#etCRdzeq5yMb6oD> z*XW%h1T*%@ju5X1!f@HQCf3QD)EsHk;mfj7kO0+_^He~S5APd`>ksu zc@z#B>=bs&YARaq&X44oq0+JnKF~Hk6O9R3X@~sFG0d;1ClEQ!Ay=HP|vPSLLU1aYC~#eJa|~~A+MJIv`ZS9 zsAwTT-l04!k>mQS>M1>*-6w*V0POs%dRTyu9Qg}q0PnE2GP^1dHg|I)XCaX2Q6l7Uki=6_ z&bu6B4RIRY!Cr^5{p88A=WWDUtxMK2Q#P{a)$MzV7vI(!4&&DqT1a+@d0#D9KOv>p z7j2eiYQNC;IeFC3vBNMCO4s=-2T&yvKu=y!W~UAR8HnXyBnc``9q&@kk}&*Bq^n1_ zep_ss70+t;s#gDAz!oV+lxYJ6hi4t=<(|hp@~qj_LHM-i4ds$z<%4gWKkoGsp_+vj z=lnYYwMm69w%sYx!jf7%b1={p9V;UDG^XOFB09d0?={vfpHHKdvPsuZ{aWs?=N7Q3 z#ky$s40No)N2jQrnrQ(EJgD_Ful2kkbNi5gPdnIEN(WA2|AL!`65irQ@Fc zFFG$R(6inVF)K@+Tm<6($fBfFC=L4%yfB)^hlYK9V@Hiq%J!4WX^3T&NorT z7E8?0|Admx8+ldhYTJoPGthMzr&4$PcK5ih-w}gUXzBSuW-r?RZ9W1%k!If*)gU3!rg| zvuJEfml!{?w&Bfx8ju*?wvzCJUO0lQug4zlVE}{up4)^Ob#5%fCT!QV&WhDXu6CR; ziB3^7A$f3#6KzveOsw%XO`gbf3eVlP??l`4EKJ(<-D123#BvGteL^W{7j}M$ z&2(FkHDFnEJNwcWl|q(nK}>gZn+=*gSORye!wIs*r9QPS@+RMCA2WON^n*9VI1UrZ zM;D8TlwxTPgsj~P79IcwdOkN0Xdb%PqJeC0-@s%&suT3D(yITL2s3F)oBj5DYlR>Pgo z@2Fv%O45|IJ-M@}q6(F(IdVzmf{bR2xMq2kQ1y9I%r=xy$o&{M1?INbL<fgAX zpXYg*C=N~Q12Tb-mV9w_p%-3jECH>$0CBQ*DBMj80TC~+5)bY^QX0mNXCa@vpE~XR zk6)Ofrxp<NAOc+C}Ep2WDzR{QXu?{sep3oi32&RyPm`Ft}ZKY76IpV zk^xm_x^+Np@MVF^-2T=!YxQE@HZd;E=WkhJwEj$;cj-!(csTc2{PuBNpVq0ukC5mO z=YQWSaUjl}>Al{Sw|oQba~Saa(DjfhydNtvf5ha}!X55?PO4=4J@XF!1m?6~u07fr z#@Ut9finlkme93H`lJ9=#RPBT<~P%`djtWE#N$x}MV@_uJ|N)g9}7Z4wpFg<^@1Ku z94u_+|Ax-{5$ZpVM&50^PJ??7ZpRg^9=49jk9IN()6Dun zE!ezacwyI&8&;hnJ({u0pP(oAC}H;eu5Em_8YUuBJ6c0GwfX)JUE+`W137v3Yp{E= z;YI!(>f;;>%3pRAkRQ3brK;uH$l+~%a|N2E~ zFJ0!2J@&2?oYRE=70t{~8czzWJ>o~mD^E`u_e)Om#lzGWR&BYphGXXYyYZ|~TeJiG zZ+$naS6@ZWxA_+0?q9tXYkA@xEnK1ad6qI&1p{EprlYp3>;*Jnj4)+OcnwC5FD_dc ztoVA5U&|FVOp4)7{U=nmC(m>cxR2EOtMFaO*7iM(^#H~r)CqZEX+gW#VY_X$V-5ys z9mgw#s?u}BBE2Yf#LS7_7@WY+KD86~|7vuZIWLX8RjZgmr|z}^PEro!{a>b8Z0a{~ zcOQF%tGm*ycRmHiQJhIGOjjz)&7I`I^kjK?lYE%I zEI)rz05gykbea^*Ji|I0LJDPuvBD!rk<4?fsAy6QGnN$>PfB2(XI)4nB{7p(DO3`T znaWB_CuJ}*Sy>lJbY?ayhe66^=CSfGkqVfFtfFGlW#$!D$yL%d=5KGqu+=`Hge>wQ0IfXQZk7$kjU4zWIcCJi%3SfgX4 zapnYT@(XE-`IR;OZO?cAo%Q1(WhlxjbfgEEchaxUK!jCn$`)wvvA7H4Hdeaqi#&i8}`!9Dy=-X z&(@F1ttF(IzR|fn=6-+W1>MRTN;^5ES7;&pMzw8e3>S&7U|e;&Q?XSryVos}ZrE#z zXN`-E3YLc8pM{mbjO8Paib%NKHyBmI=u;~SEQn3b`zwd}=eY=>c7jh_+zbq2gp_{g zFz(2lqkRjOWrUg9Q5|M8O$w$v?VW?UUM<~AedQrEpwiX)+|*j`im5o++pW6{DI34< z2@G~tP0rk1s!}*~xl$2ref}viRHDbvTnYGPW3-EyGdJ3)@pRl?u6@* zz*yUmb((au(WdG{fw{F9@8Jv3DUNBZJ2&lUwpe8Tq{*ZkKF1k`Ipu)VOyXPn;I50@-Ui5;JmRVpTSTntLeab_~1$oR_=SRo0VB(R1U$c16;K zKOOeDhqbp3=cU7BWi^yxVR38Txpewr>;CKc!`Uz865v2tPf?FDc`6|j(a+^Klul@tA1$11lc*7++ZNg#I562B1xCtZq}XTcsT ze?eyXsr3B*FAuiiJwK@2Wo|*~kQO8PLWf1;5eQQ{8maJNEM9Y-KHi$?l=sJhFsK+; zqmOKIYj7|8>af2H#lP{=svht-NPU=VzJtxP%;EVS#>dkBd0?$DfHfZr`Y8O4O}&kwX1(E(5SdKD%DQq zhnk#*opVR;e!Y1y*Q#B)qCdwH0_Hw@C9cK(DWxw-k3kSFSiHwQx2b?+FZVDf4K2@f z-fgfK)k`&(M7;HRUVcc=+X-dj3dDc87fRWc9E-wnvvFX?e3GQIiPI1{6Hz1hF&WVy z=)fK(3*xu3cITU7ua<+5~X_3Ut@c3(f8QtsasW;T>7e61iRob0%6GB!c& zE4S#>AFu>l&RvZ$G0poX)nU!R9fJf9*ReO*PlrKsmj==Xhsw)H*TgAI`4IxJG-kx= zY!08{uBmO!yor`|PFRc6&F0Vj596(lSy)``<7q9A+NVCMBU9$I>gG6S)YC;QGcGib zEGgWNx4Asf2fM~c>sS@4gER&Cx$tbo*J;e+7tS9dRsdj2BmKyOgeD)YjFcD^r{@?4 zDbypq&NA{R>S+z1otgxVTvYp>k_}&m^9++Z-$p=7yXm_;b}cn1gCjomFsG5Vm$V@YHZ&Evex;%Fv^!JK(_nkR!Sd`HS-)BK5|h zAb1O(0nYQD20B_7;jYWdAP>0}qWrSAP8m~xb?S$_=AMcZBHI|+?oTwWe^`zU3|)fN zw5WRUuXXclls(BBbFkqGgbDoD%yq;aE?AewbyXJ=vxDJ;!JcF=d6Xvb!kM95l1&KP zbU|g%J$AjDzwRJkJLBq;93=cD6l2!vMaUh4+Pp+^KQXoq(zrTI)V0u1G1lLHrPtf@c}wa~h?+XJv}^30l>W+udlyRKW^>qM^cKO!H5eF*2q--ICyF z1MOVHp@2r>yvRP1X7IB^=-|&j7enl8&yCFJnP4Bv_=)RJKqw3JJYocO{3?2H6>bhL z;5X8f)T!2d5NY1>9qsVp7`Ii6?E3uJ`otuu$&L5Q8ePe2S#g3e>7qD7$O!oT64h1< zXIb;d`z-+2bASYvidB1rvp3~L&{liM7b2Urh;$9e5=6^#nOPv(9=dxHMdFCW;d7Cw z2_m1mKQ}huU`nKKXkZS~kWS>9qzU;;#0LOG>;Vnp>pcRz>IESC!>|hM^_c_Oj>!Gm zNFx~;A`Lj{>#nc|D+}<{4VB2N7NmRO{3AkzPRMEaQ-^~?c+ygF4^nVQymxR4=7gAX z!D%xGDGe;ZV5qjLitAaXbrrZE*hq=k@;881@m^05;iqlTv6q6V%2Lr&oJhcZVd(`R zTyrV!2#kD?DPB~b{LbUc>8IPej1fc**E!f6Cjx9=oeOh}gXwpdEvl48fMJU_;hl8rFRVP=9n}t&E0XMQm zOdZ(WZsH!QBY&t9!4hSZ`|*XC>A#$bGz-oPIi(uP>SoX)%dh)Bb}K}D{2jjy{g zLGM33es^PNKwIcBlxks6r1+uQ>qo}NUL}(oa~}`Lym|HJ<1oAFy}h*cocSSb&f^94 z`(WGPJ}&r`*j_a4qt~uC@xcTF5elXFKX*2DxpDx}f9POpWd{NodxD2bwuw+(sE|&z zys5sb^d$S*ibZVd;+iB(U%xvqc0Fj(;;<=9StvUroMLD?#{ZQj&D*Zm2!ftnu;@qa z6?8=>@c^ED9`P?ZL0r6>ZKGgzSHQbZ{VY1BmUG73O8!Na)VeX-@W+*zgdON2@z80tHj%~FX&gYEhI6EScwDc?}-n# zv=EP>6k$27X~I$l;glLu(7Mzur>BIEe&_7q)RRUdq1XIK?zTb8)#XoZpB};Vk>H1> znMTa@vh*$!KuZpIq%IbA%}h%(?RK4FjbTycPF&0TIEO1OQC>r_qxt*Dp4s z^n1q@H9O;+Xo9zZvB(rTzdz*x-B%9%OU|mx8=nhz>{$hIX0m@C_$Q`~(6= zRmgyjHYcMK_p5-$S7%Q{@Iufx<3ivRF>_u>Y?Yp1`NPCN$~4(e!8&8y|FC-UO;pC~ z>Em-5``4mT{o^wdB?A6G7jDli#tzI(=lM^PwM5VRPvLOK{#;4wpPJmaS|L3C1MlBH zo|@+0y=c-i>2I?bH6=0AFZ+nWWe|Cc>MxE>=DH@L;2$k^dMCm1utY!L*ie>D)aE}M zRtbqE_UxJ2ApL5qgRfo@vj2tgX+IsJY&a5lFK^Nv#dff=8KU`$vw>In_1*Hl@UG7) zQvJ`aW>|`!x9gk;l4j3aNJQS-O#c>+AT6!%{pXsRc#+5KWJT8YgSEYqU|B- zeVJ~sfn-7s!ewNmBFT<@L6k4#e%Gy894u1c<)y|K((kgxs;0|4QxLNI11M3t4Eyl) z?5xMBVV7qb!DgYTEpOMm>9ZhpEBz%ny)Kc=fxrzWa$$ZMs%nY-sBuP321n(FcLQhXO|o-Uow^lCqEQrma>yohzt`HDqUWp_{PnHB*P zqp;#6{?`t&tdNt=_ljaQGZTnX5ea6R#<5(#&@x2{ny>^J=XXW%+(p!<==1UNUas*r zmmZuD;?_WCS}%{nW|Q%oGjmlRO|DmQrJG#`U%!eb618NzWB53AaaQNzD1qZ5FEu$g zd1TMSxtRvb2~eEu%Zpgf>YTgfBI4AX$$f3VRqioG-yu22baRPkeVAv`h}`E#Oydgp zbMI5gUtyQaQN{z;jyqG2aHmz8mm^Lgc_}L}p@n;VZ8L&F zQ{_K0f;iJIPUF{YCWOE^946r6keL(D^8R3P*Y}_`*>|ZmFNQH-0h!qz zx_eaI{m)%Y#qwQgMmg21j`6ek6?%pu{WTw7mhirkCQjociry+Dso!cR081iY;(0}8; z#y-aY9&_jAV2V63JP(E>p>w8`aODd2UYejGPp79pyD%0Lp!jPw?zHxvY`u(|ulkQ1 zBo@=ppChu>O3lEkQuZd}H9Vh+Yg){MI(Hm>M})RXjn{vUFpdLXq9J3e)Lj6KYKzuX zlH{N}WLKdR)$Y~q@i*Os>>Kpa7D4@K;)IH?~;P zR@+xQz;Wgg{7YH&KgmvuZk%=SW7K<}PojS+KgdPJ$yb-yM$!n!$|OM8!)POmTUZ`z z88}xK8rQn$o=leMdKxLVn?7?2C9{xKK;(78gatQ4k;NgOdB|Vt+QPr&kCeS*@&?wJ zI!`n!q|i0ODL;F2(JL|S{fW**z@@9sK*_#45!)pWh~HWaPvl8DZ*m1uubQ|tomp_W zin{4#34W)2C-T2>=0H*97Yp!rC~IhZSFEbn5M#F2Jv#r1mkx~Xilsnm)rz-Z)_I01 zF`tk=(9{(MJ}EYPfN*JxD`U3YE*%^>AMv#lBNw=;-0@{Gi98!M}+3Mc)D=ZRhBn0<~y$5hjm6c@|@+^ zk+;lDP-!_+oFhP~r5k>*<8ye1$~^^t6(JdweLd$~!&z)8^3=NaosZYgxtxyCH%SON zfF34ZT)#idp{0unVG zC$;E?6`xVl*eCkFrtDReeML9XQodBDT-{wan#O`pCAi=GApU)Q@lWOlm(Du)-<&Pp z#q_+Mnnlifq_N{o*{Wrj(3F9UXH8_|BN(i@zv>v1rR`%L1Zj$-=k~zD8VOOPiXN$0 z-4a^R#eZKeMIIQH|A-84qNYsMLLMh`+JuoaXYfOn@L+U#VcaIqKerp>An5at*Jv$! z?%zJy>kdW#^pt4-4&DK{7j_~Fig?T*?Fa%RU~{53%;(?vcM-r!ON6z7yy2CI1Khs< zCX!F~Bp*P&)4iP`y%`r5(Yp_m-_YWRSd32d{O%-J%}Xug#Tfu-D!1vDGjt)MH)XD3 zt#6h-5a4bv(yS6V@YPuT6zZcH8LyWj5g*DShdwWGLYo}t{be6he8(R`&vw4uwM?$@ zO^cC0+Q*EjA?(&C8pH2^0tbc{`?Nol?q+tO>X{iW&-3%UVJ@n;w%2G2&g`+BP=gQc zT9?Tw^%sOf1@!HyEuM2#7Gt|`Q!6s-u|Jm&|M(u`AXV&$PNn%!_#&H7a-7p%V%r{q= zHU8(1p)Iv8s&-M9X7XS!$8ZJ~Iv#8iMQHTX-@<^hkRa$YeGB(BjNbL2&`Y`5CVe255e8MNn;!PFa% z_XMAZQ}#tmj=k0v(YaR8Y_7ZY-6!_Z!6u(A7b;$~?^WB0KY*OJy6dZ{_~7??c=j7T zn{|SJtW)OtLf)}^&Y4(Ld~NV_+R-xmFZcCMns4D`CW@0J1j3Z#>Wm}`ghWvl9bq#Z zk9twwFdp?^Dpp@O$5rr=Mg#{Q13=}nDfXHwB?{3g0PlM7+bKsQ-Rjkvd%RRX0_fi3 z1K0?Ju=jAk3!d5^FxG~K@Poqp{Wdhv9)>V0FEe_}ZYTYzx8 zw#+{#)(Nk5(310v2-&;4$a;SFWIfkq!ZOh_?zOIspl5^r8N94J>3p2b*#q(2dg(oj zaZO9y= zUc0qspCX=k29Q5(rnm?5gU;9}#=Qm9Wfb)YjStV9j9dl;N~Jk^XR-_Gam!nC?=K%AX z1SRmt?fqxV{=A$M66d)>%$@xn!J6KSh+)_>T*8bP=AF(acG}EzgKY~hP}%kYE&BU1ZESVn@xJV@4ET04LYw>VsSeq`IGyGI z&!T57|jw*oTmMZ^)BZ(lxWCJSMx*XXG&)A7pa$)Q|wV+S{cO03KX1 z#Xm}k1-3<6<%LZ1d#(nRlTbhC|J@VfMW+u36$qW1F)2gT7naE$?T9>qt-ILv5QX=y zZUuqUT(==c*7O#mShEsEH9G4`TZc@M>WJ#=`WS3%qu!kshBhp#dYtgY#8wYy;Zrxk ztI0zdh{r|sy*kUK`)wtwwTtmA(+X;yf%3C{K&ojo5p9fo4wSbZL^l7Hl8v;oJ{ntd zDw~=YM`1+z!3)2`oc*AcX8;V$nxt*XjUQRaNhfFtJ=0r`p&np}=bl(QVK?^-VuZJ| z7s>BOxpPFWV|Vaj+aCz+X4Zz3$f!o7tP1>o?=+VFh40U;#M|Mp^xFd0S1kRaggiJO z7LIeJKghUsIB2mIiBhPX;Y4fx3Bcq>a*8Xz6a@s#EyoP6+N zhhriClG%@85H<3SYsB1L&hg&mGXSVq4ZM8AgGe;&F+zwUMD`-8#5_xuq%GSs#F?sNgpy<%GqBW~?zLQSvR z!LD+KiIB_}<(kfkP8Y*vcOM7%VO;D#;HVY;Rya@uU`=)|!?c(xJH8q*VMj%3!YgaT zLSz=>iNWAdOay;A!eH4iGLmR{EC`#l6fHx@v`y3M9^${jX_3a4_uqp7E8kQ=^9AgxK9%!Ie3gHK-VWu}RYmTBC<}Wn;IB1wP z?HHHp)dZ_eSRzy9ih~+Gxrf&e7Nj>yFgenQ{$<#X-K75U{qJ}vxw}Xo@+~+`9#vON zvwulOnXYg;dY?A)6hiWUn+;zv%zp1<0OQvzcG`9CbfpMlsOo5ML zr7$n~@f|NbG3lZps}&B>wljsn9?xk>(6`Du>x#5M&7E7;UGG1gx1*4d`&i@ zE*=ca^{Vkzyhw1%!j?W4-26rzWlVGmKAh%Q9ja>YibC+G8CF4Q9>wTRF$!$*NV|Ah z?gHc=Wa9UN-ls*++vj--b0LlQZO?%nC$0g%9~HsntDs|AR zC*t)iy$cA%x%1Pkc`3uCfjid4e!(95my$41v#xlJy7~R%;!T}OF1-VL_;SZn)&{Mc@qujmv1vh=XCBYXPz7p(d>XGc>n`VQF9 z>0UWCu>PYj&vD92=BghWn7YXyaX)1`dNDqGd7ovFx3Z|bJ&p>dAsz-#60JI>jMz4JZL*Nm8Bo0d+ULO}!o+`TL4f$4~y8XQ#Lo@pww(=tLT$Fgg47GSnRa zOPRZ#e%ddD+AaS3vj=~mVOr`pqaF{Re`N!4Hqu1dCVo8M!^#|29FYcR??(iWR@iR} zin^c0!0{d|RKXa>iyKsn{4hEKo!K8|sNIJ@@)C_B8vk_mXebbVifOmp>N55ama7oP zK|$)nI6Rz3NejY@Ywy?5h4c>S8ywV9H=4q5oudLGw`0!t#-6EDCqle{Z*)M=>0sz= zNT{Kb58we^NbryI3lAghYG?wg01@Z}CXT4bTB=PP*P;R$fV8-b2_z@4;H9XltTO7Y zMs4x4bbwB|IvqVpPE3xVnmSqt|A1_c*&aV6o6-#=H9+PRvlDiQk2DxliLL<1>r34y zrMY*i3IxOPNva+^WH@%%XvhRIH8ZzxcD0h=&9ml)*;{q*SlWN%b{S!GvhYkkJD%DmJ!vopjSl-5-mq&nGYI_nw_n%bo% z>m?TGFv0YOJhb;-m0v`QK?8@gL+~W9?C^$A)12KiZ(Z_WuH8NESZT~4h_r)4v4Y!! z;9t9yCEcmPal7I7x>TpZG+zMC+wIuj1-RgU zaDWNtmjVIsja&%A7?AM+2(iCR)%3t&2|*szSAc|R<GpC7Cm15mGHdU(7yoD)-iTNWW{=8j4 zTt=rb;$EtdOhlKosH5Y*WCY$$gD1l0$KN(dGu@q}{i`*whaJL8KCPejFI%2G536iZ zMjEL`fJK>?mS9e5f?GW~Y*-bFdWTO;S5mjRCT?JY+u&@lXoM{6CIZud094!e!Bb!x bhzzY?SSb{Z8(4-FBKIB^0N51g*LVL9|6Gg7 diff --git a/16.map b/16.map index 4c91107a..d20b1720 100755 --- a/16.map +++ b/16.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: 16.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 136d:0000 0000f230 +DGROUP 136e:0000 0000f230 @@ -35,29 +35,29 @@ wcpu_TEXT CODE AUTO 0000:65e0 00000058 kitten_TEXT CODE AUTO 0000:6e50 0000080a 16_hc_TEXT CODE AUTO 0000:7660 0000158b timer_TEXT CODE AUTO 0000:8bf0 00000235 -_TEXT CODE AUTO 08e3:0000 00007bbb -modex16_TEXT CODE AUTO 08e3:7bc0 00002406 -bitmap_TEXT CODE AUTO 08e3:9fd0 00000605 -16text_TEXT CODE AUTO 08e3:a5e0 0000010d -16_in13_DATA FAR_DATA AUTO 1352:0000 000001a4 -FAR_DATA FAR_DATA AUTO 136c:0004 00000000 -_NULL BEGDATA DGROUP 136d:0000 00000020 -_AFTERNULL BEGDATA DGROUP 136f:0000 00000002 -CONST DATA DGROUP 136f:0002 00000076 -CONST2 DATA DGROUP 1376:0008 00000102 -_DATA DATA DGROUP 1387:0000 000005b1 -XIB DATA DGROUP 13e2:0002 00000000 -XI DATA DGROUP 13e2:0002 00000036 -XIE DATA DGROUP 13e5:0008 00000000 -YIB DATA DGROUP 13e5:0008 00000000 -YI DATA DGROUP 13e5:0008 00000018 -YIE DATA DGROUP 13e7:0000 00000000 -STRINGS DATA DGROUP 13e7:0000 00000000 -DATA DATA DGROUP 13e7:0000 00000000 -_emu_init_start EMU DGROUP 13e7:0000 00000000 -_emu_init_end EMU DGROUP 13e7:0000 00000000 -_BSS BSS DGROUP 13e7:0000 00000fc2 -STACK STACK DGROUP 14e4:0000 0000dac0 +_TEXT CODE AUTO 08e3:0000 00007bc9 +modex16_TEXT CODE AUTO 08e3:7bd0 00002406 +bitmap_TEXT CODE AUTO 08e3:9fe0 00000605 +16text_TEXT CODE AUTO 08e3:a5f0 0000010d +16_in13_DATA FAR_DATA AUTO 1353:0000 000001a4 +FAR_DATA FAR_DATA AUTO 136d:0004 00000000 +_NULL BEGDATA DGROUP 136e:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1370:0000 00000002 +CONST DATA DGROUP 1370:0002 00000076 +CONST2 DATA DGROUP 1377:0008 00000102 +_DATA DATA DGROUP 1388:0000 000005b1 +XIB DATA DGROUP 13e3:0002 00000000 +XI DATA DGROUP 13e3:0002 00000036 +XIE DATA DGROUP 13e6:0008 00000000 +YIB DATA DGROUP 13e6:0008 00000000 +YI DATA DGROUP 13e6:0008 00000018 +YIE DATA DGROUP 13e8:0000 00000000 +STRINGS DATA DGROUP 13e8:0000 00000000 +DATA DATA DGROUP 13e8:0000 00000000 +_emu_init_start EMU DGROUP 13e8:0000 00000000 +_emu_init_end EMU DGROUP 13e8:0000 00000000 +_BSS BSS DGROUP 13e8:0000 00000fc2 +STACK STACK DGROUP 14e5:0000 0000dac0 +----------------+ @@ -71,12 +71,12 @@ Address Symbol ======= ====== Module: 16.o(/dos/z/16/src/16.c) -136d:07a0+ _player -136d:08a0+ _gvar -136d:08c8+ _cpus -136d:08cc* _gpal -136d:08d0+ _dpal -136d:08d4+ _engi_stat +136e:07a0+ _player +136e:08a0+ _gvar +136e:08c8+ _cpus +136e:08cc* _gpal +136e:08d0+ _dpal +136e:08d4+ _engi_stat 0000:0108 main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) 0000:0358+ jsoneq_ @@ -86,7 +86,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:0f02 jsmn_parse_ 0000:13fe jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -136d:08fe+ _inpu +136e:08fe+ _inpu 0000:1590+ INL_KeyService_ 0000:182a+ Mouse_ 0000:1882+ IN_GetJoyAbs_ @@ -134,9 +134,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:5b5c+ MM_TotalFree_ 0000:5bf2* MM_Report_ 0000:65aa* MM_BombOnError_ -136d:0a60+ _beforesort -136d:0a64+ _aftersort -136d:0a68+ _XMSaddr +136e:0a60+ _beforesort +136e:0a64+ _aftersort +136e:0a68+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:65e0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -154,9 +154,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6d20* CAL_OptimizeNodes_ 0000:6dd0* CA_Startup_ 0000:6e20* CA_Shutdown_ -136d:0a70* _finishcachebox -136d:0a74* _drawcachebox -136d:0a78* _updatecachebox +136e:0a70* _finishcachebox +136e:0a74* _updatecachebox +136e:0a78* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6e8c KITTENGETS 0000:6eec* kittenopen_ @@ -167,7 +167,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:7444+ get_line_ 0000:74b6+ db_fetch_ 0000:754c+ db_insert_ -136d:04a4+ __kitten_catalog +136e:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:780c+ LargestFreeBlock_ 0000:789a+ _coreleft_ @@ -192,56 +192,56 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 08e3:0005 __STK 08e3:0025 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -08e3:7db4 VGAmodeX_ -08e3:7e8a+ modex__320x240_256__Enter_ -08e3:7eea+ modex__256x192_256__Enter_ -08e3:7f4a* modexLeave_ -08e3:7f62+ modexsetBaseXMode_ -08e3:7fa0* modexDefaultPage_ -08e3:8006* modexNextPage_ -08e3:80d6* modexNextPageFlexibleSize_ -08e3:81aa* modexShowPage_ -08e3:82a6* modexPanPage_ -08e3:82f6* modexSelectPlane_ -08e3:831a+ modexClearRegion_ -08e3:8432* oldDrawBmp_ -08e3:8598* CDrawBmp_ -08e3:86fc* modexDrawBmp_ -08e3:8762+ modexDrawBmpRegion_ -08e3:88cc* modex_sparky4_DrawBmpRegion_ -08e3:8a36* modexDrawPlanarBuf_ -08e3:8a54* modexDrawSprite_ -08e3:8aba+ modexDrawSpriteRegion_ -08e3:8c32* modexCopyPageRegion_ -08e3:8d9c modexFadeOn_ -08e3:8dcc modexFadeOff_ -08e3:8dfa* modexFlashOn_ -08e3:8e28* modexFlashOff_ -08e3:8ef8 modexPalSave_ -08e3:8f4e modexNewPal_ -08e3:8f9e* modexLoadPalFile_ -08e3:9080* modexSavePalFile_ -08e3:90f8 modexPalBlack_ -08e3:9122* modexPalWhite_ -08e3:914c+ modexPalUpdate_ -08e3:96bc+ modexPalUpdate1_ -08e3:9736* modexPalUpdate0_ -08e3:9782+ chkcolor_ -08e3:9a9a+ modexputPixel_ -08e3:9b28* modexgetPixel_ -08e3:9bae* modexhlin_ -08e3:9c1a* modexprint_ -08e3:9db4* modexprintbig_ -08e3:9f30* cls_ -08e3:9f9e+ modexWaitBorder_ -136d:04d4+ _VGA +08e3:7dc4 VGAmodeX_ +08e3:7e9a+ modex__320x240_256__Enter_ +08e3:7efa+ modex__256x192_256__Enter_ +08e3:7f5a* modexLeave_ +08e3:7f72+ modexsetBaseXMode_ +08e3:7fb0* modexDefaultPage_ +08e3:8016* modexNextPage_ +08e3:80e6* modexNextPageFlexibleSize_ +08e3:81ba* modexShowPage_ +08e3:82b6* modexPanPage_ +08e3:8306* modexSelectPlane_ +08e3:832a+ modexClearRegion_ +08e3:8442* oldDrawBmp_ +08e3:85a8* CDrawBmp_ +08e3:870c* modexDrawBmp_ +08e3:8772+ modexDrawBmpRegion_ +08e3:88dc* modex_sparky4_DrawBmpRegion_ +08e3:8a46* modexDrawPlanarBuf_ +08e3:8a64* modexDrawSprite_ +08e3:8aca+ modexDrawSpriteRegion_ +08e3:8c42* modexCopyPageRegion_ +08e3:8dac modexFadeOn_ +08e3:8ddc modexFadeOff_ +08e3:8e0a* modexFlashOn_ +08e3:8e38* modexFlashOff_ +08e3:8f08 modexPalSave_ +08e3:8f5e modexNewPal_ +08e3:8fae* modexLoadPalFile_ +08e3:9090* modexSavePalFile_ +08e3:9108 modexPalBlack_ +08e3:9132* modexPalWhite_ +08e3:915c+ modexPalUpdate_ +08e3:96cc+ modexPalUpdate1_ +08e3:9746* modexPalUpdate0_ +08e3:9792+ chkcolor_ +08e3:9aaa+ modexputPixel_ +08e3:9b38* modexgetPixel_ +08e3:9bbe* modexhlin_ +08e3:9c2a* modexprint_ +08e3:9dc4* modexprintbig_ +08e3:9f40* cls_ +08e3:9fae+ modexWaitBorder_ +136e:04d4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 08e3:0042 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -136d:0000* __nullarea -136d:04e8* __ovlflag -136d:04e9* __intno -136d:04ea* __ovlvec +136e:0000* __nullarea +136e:04e8* __ovlflag +136e:04e9* __intno +136e:04ea* __ovlvec 08e3:0072 _cstart_ 08e3:0145* _Not_Enough_Memory_ 08e3:0277 __exit_ @@ -251,10 +251,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 08e3:02fe _big_code_ 08e3:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -136d:1384 __argv -136d:1388 ___argv -136d:138c __argc -136d:138e ___argc +136e:1384 __argv +136e:1388 ___argv +136e:138c __argc +136e:138e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 08e3:0305 __PIA 08e3:02fe* __PIS @@ -263,35 +263,35 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 08e3:0359 _fmalloc_ 08e3:0359 malloc_ -136d:04ee ___fheap -136d:04f0 ___fheapRover -136d:04f2 ___LargestSizeB4Rover +136e:04ee ___fheap +136e:04f0 ___fheapRover +136e:04f2 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -08e3:a30e bitmapLoadPcx_ -08e3:a46a* bitmapLoadPcxTiles_ +08e3:a31e bitmapLoadPcx_ +08e3:a47a* bitmapLoadPcxTiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) 08e3:04ce strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) 08e3:05d0 atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -08e3:066b+ __open_flags_ -08e3:092c+ _fsopen_ -08e3:0993 fopen_ -08e3:0ab6* freopen_ +08e3:066c+ __open_flags_ +08e3:092d+ _fsopen_ +08e3:0994 fopen_ +08e3:0ab7* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -08e3:0b40 fread_ +08e3:0b41 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -08e3:0eb7 __get_errno_ptr_ -136d:1410 _errno +08e3:0eb8 __get_errno_ptr_ +136e:1410 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -136d:0504 ___iob -136d:1412 ___ClosedStreams -136d:1416 ___OpenStreams +136e:0504 ___iob +136e:1412 ___OpenStreams +136e:1416 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -08e3:0ebe fprintf_ +08e3:0ebf fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -08e3:0eed* _frealloc_ -08e3:0eed realloc_ +08e3:0eee* _frealloc_ +08e3:0eee realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 08e3:0fca __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) @@ -317,22 +317,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 08e3:1366 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 08e3:13ab _nmalloc_ -136d:066c ___nheapbeg -136d:066e ___MiniHeapRover -136d:0670 ___LargestSizeB4MiniHeapRover +136e:066c ___nheapbeg +136e:066e ___MiniHeapRover +136e:0670 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 08e3:1485 _ffree_ 08e3:1485 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 08e3:14f2 _nfree_ -136d:141a+ ___MiniHeapFreeRover +136e:141a+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 08e3:15ed+ _null_exit_rtn_ 08e3:15ed+ __null_int23_exit_ 08e3:15ee exit_ 08e3:160f+ _exit_ -136d:0672+ ___int23_exit -136d:0676 ___FPE_handler_exit +136e:0672+ ___int23_exit +136e:0676 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) 08e3:162b ultoa_ 08e3:16e9* ltoa_ @@ -342,7 +342,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 08e3:1a9b __doclose_ 08e3:1be5 __shutdown_stream_ 08e3:1bff fclose_ -136d:141c+ ___RmTmpFileFn +136e:141c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 08e3:1c6a+ __ibm_bios_get_ticks_ 08e3:1ce1 clock_ @@ -353,7 +353,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 08e3:1f05 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -136d:0098 __IsTable +136e:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 08e3:20fd tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -388,31 +388,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 08e3:28f7 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -136d:0682 __8087 -136d:0683 __real87 -136d:0684 __dos87emucall -136d:0686 __dos87real +136e:0682 __8087 +136e:0683 __real87 +136e:0684 __dos87emucall +136e:0686 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 08e3:2906* __exit_with_msg_ 08e3:290b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -136d:0688 __curbrk -136d:0690 __STACKLOW -136d:0692 __STACKTOP -136d:0694 __cbyte -136d:0696 __child -136d:0698 __no87 -136d:06a5 ___FPE_handler -136d:068a __psp -136d:0699 __get_ovl_stack -136d:069d __restore_ovl_stack -136d:06a1 __close_ovl_file -136d:06a9 __LpCmdLine -136d:06ad __LpPgmName -136d:068c __osmajor -136d:068d __osminor -136d:068e __osmode -136d:068f __HShift +136e:0688 __curbrk +136e:0690 __STACKLOW +136e:0692 __STACKTOP +136e:0694 __cbyte +136e:0696 __child +136e:0698 __no87 +136e:06a5 ___FPE_handler +136e:068a __psp +136e:0699 __get_ovl_stack +136e:069d __restore_ovl_stack +136e:06a1 __close_ovl_file +136e:06a9 __LpCmdLine +136e:06ad __LpPgmName +136e:068c __osmajor +136e:068d __osminor +136e:068e __osmode +136e:068f __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 08e3:292a __fill_buffer_ 08e3:2b79 fgetc_ @@ -422,8 +422,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 08e3:3039 rand_ 08e3:307b* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08e3:a656* textInit_ -136d:1424 _romFonts +08e3:a666* textInit_ +136e:1424 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 08e3:30b8 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -434,7 +434,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 08e3:32cc __FiniRtns 08e3:32cc* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -136d:06b6 ___uselfn +136e:06b6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 08e3:3330 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -452,9 +452,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 08e3:3b7c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 08e3:3b7f* __set_commode_ -136d:06b8 __commode +136e:06b8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -136d:06ba __fmode +136e:06ba __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 08e3:3b8b __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -490,7 +490,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 08e3:4ab6 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -136d:0050 ___Alphabet +136e:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 08e3:4ab9 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -501,9 +501,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 08e3:4b72 __GetIOMode_ 08e3:4ba7 __SetIOMode_nogrow_ -136d:06d0 ___NFiles -136d:06d2 ___init_mode -136d:06fa ___io_mode +136e:06d0 ___NFiles +136e:06d2 ___init_mode +136e:06fa ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 08e3:4bdc __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -523,9 +523,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 08e3:4ef2 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 08e3:4f0e* __get_doserrno_ptr_ -136d:1440 __doserrno +136e:1440 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -136d:06fe ___umaskval +136e:06fe ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 08e3:4f15 _dos_creat_ 08e3:4f39* _dos_creatnew_ @@ -536,9 +536,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 08e3:5142 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -136d:1444 ___env_mask -136d:1448 _environ -136d:144c* __wenviron +136e:1444 ___env_mask +136e:1448 _environ +136e:144c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 08e3:5184 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -559,7 +559,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 08e3:56da __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 08e3:574a __EnterWVIDEO_ -136d:071e+ ___WD_Present +136e:071e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 08e3:576e __flushall_ 08e3:5802 flushall_ @@ -572,80 +572,80 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 08e3:5a57 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -136d:1450 ____Argv -136d:1454 ____Argc +136e:1450 ____Argv +136e:1454 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -136d:0720 __amblksiz +136e:0720 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -136d:0752 __Start_XI -136d:0788 __End_XI -136d:0788 __Start_YI -136d:07a0 __End_YI +136e:0752 __Start_XI +136e:0788 __End_XI +136e:0788 __Start_YI +136e:07a0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -136d:1456 ___historical_splitparms +136e:1456 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -08e3:6bba* _heapenable_ -136d:0722 ___heap_enabled +08e3:6bc8* _heapenable_ +136e:0722 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -08e3:6bcb _bfree_ +08e3:6bd9 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -08e3:6bf0 _bexpand_ +08e3:6bfe _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -08e3:6c4a _DoINTR_ +08e3:6c58 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -08e3:6fc3* sbrk_ -08e3:6fd3 __brk_ +08e3:6fd1* sbrk_ +08e3:6fe1 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -08e3:703b fsync_ +08e3:7049 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -08e3:705e __setenvp_ -08e3:71ea __freeenvp_ +08e3:706c __setenvp_ +08e3:71f8 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -136d:0724 ___IsDBCS +136e:0724 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -08e3:7248* _ismbblead_ -136d:145c ___MBCSIsTable +08e3:7256* _ismbblead_ +136e:145c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -08e3:72a2 __mbinit_ -136d:0726 ___MBCodePage +08e3:72b0 __mbinit_ +136e:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -08e3:737d _mbdtoupper_ +08e3:738b _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -08e3:738b toupper_ +08e3:7399 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -136d:0728 __8087cw +136e:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -136d:072a ___Save8087 -136d:072e ___Rest8087 +136e:072a ___Save8087 +136e:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -08e3:739a __GrabFP87_ +08e3:73a8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -08e3:73cc* __init_8087_emu -08e3:73d2 __x87id +08e3:73da* __init_8087_emu +08e3:73e0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -08e3:742f wctomb_ +08e3:743d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -08e3:747a+ utoa_ -08e3:751b itoa_ +08e3:7488+ utoa_ +08e3:7529 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -08e3:7565 strupr_ +08e3:7573 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -136d:0732 ___EFG_printf -136d:0736* ___EFG_scanf +136e:0732 ___EFG_printf +136e:0736* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -08e3:75ad ulltoa_ -08e3:76ea* lltoa_ +08e3:75bb ulltoa_ +08e3:76f8* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -08e3:775b* _dos_close_ -08e3:7769 _dos_commit_ +08e3:7769* _dos_close_ +08e3:7777 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -08e3:7778 clearenv_ +08e3:7786 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -08e3:78ae __Init_FPE_handler_ -08e3:78e7 __Fini_FPE_handler_ -08e3:791e* __FPEHandler +08e3:78bc __Init_FPE_handler_ +08e3:78f5 __Fini_FPE_handler_ +08e3:792c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -136d:0750 ___FPE_int +136e:0750 ___FPE_int +--------------------+ @@ -661,6 +661,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022900 (141568.) +Memory size: 00022910 (141584.) Entry point address: 08e3:0072 -Link time: 00:00.75 +Link time: 00:00.00 diff --git a/bakapi.exe b/bakapi.exe index 337357cb87c8db0e9949f15c8bd6ba4b2d93e206..bb55eda02639cf84a75e2d8abba7bf51595d2828 100755 GIT binary patch delta 41697 zcmW)GX*iUR_x>~cm>G<1?2I-0QuZzDP|6;%WwI87m_aGeXpk|8wC~bhd?@>rWn?KS zRI(&%G6qQ+vd!=N|DPA0)fBO|BC+~ z3jfPUz#ZFY64V@B!(3B(QcExBMj(KqA%rxhsCE0#gDnGkyf{AP=Y{_&L_|c8$|%BX zVSxRSeyHb=SD=b83?>A9aO3{9u}?0y4?v#31iFJDfQG;&ff|)eG}ap>?uPEsD*>k= zo23yDU$FRMB7#9$t|!7)h}(r>udbWbjz5P01q0Vd?_UrqtS1>>znnHAxZas&meWex zloF(_v)w6;zA5q=bx1a0i8p1L;K5w)+H-v@P1{SP0h{DCc6|-QSbT#d=r*m3ImW}G z0l%K=Y#VYFc5NLWzl!5)AN>^+fYUTJIo|tB1W_Zs3QA)~9*9zS?xPBN#W*Jbk>8FbYxYYQ)dIdIesS8? z_fJkcdj)GGFH(IYT;vN=Mi0c{6YJA8xFcX(6;aSc1eTZ!fq@*9@~|K@I5_BV;KvAJS1r|5gHwqTntAY;6joeLs&-Ox8gzoaOf}nXn2^X1+h`Us66Bw& z&1tUOqGxVNqhQ>j2*HpMF3Yy=$W|EFRWPo;VMQY(U!wdh7&%AmG^CqA!p&#G03<_t zg=!OVd}kN^24Lf`om^rQlvc>#LnDn1z?|9>$6jj^xyR2Hq(-WyJ@Za5`gu6czvZf5 z79+FU=2Y4nj-N9189jmKEmOw0=2T<#N+6+^M2^$@s%!U$`LFd)#*V;TEx~Iubl1iE z(1pDi?&NmXR)(bl%GX=|hDfm45=Pc+z_;G)x^*Q|`)~Bt;o$dTT9K0S{=04^W5G>8 zWbT#VD99qMGT}w_!$>}pYPds*X}=jDhyEmQbcVwWTfVCiJhC(wq+@=Ov>?|YrA2Cvg+LdSQy;Eu3My9xd-!_!c>j=RwX5E5-*}U{X0Ik1u za)A|>`zSQ4<8K&}i8{CPPO-L?!%cniP;w||tGQc75mWK2Ic~g|w7Qqjq0|b&s<0C5 z!dAw+SAChwiRfkH0jvmOM8G!d6Yi^t9kXG}LB`F#8ozC5-UpCxg2H=tDL6Gbetqg* zdV1L!m24nx1nGzrh`>`2EI5U{yr@@Ouz^EVVw5Rjx@=cGK)j*27MHaTw!F40Z=#Yf z+iD9|1o-BBieAbRbhJ?nktO@<^jEslY#>8ysJ!@WHm)AcMuulAtQ3fUS{YiglFt@d zp``j3WWgI4nc&6$f-X%=*D+UTw{(@nh58LsMyU$xj7@YZ>_$&VYCV5-ZCcKE7!8;E z(-x-~TOOhmxHh!N)fK?`yeDjQeZaCo8s^9Ea>K+Vu29_-oGN@Mb!2$iJ}~au zhFk=Dg{&RIIc(2=veSU#a?6W2){xCqGFwCj%JBn*G1({g5ZqSW96dr$R;xYB-SLZ< zd04PhABo1t(oFp<_Lzs{yq%HzxS-7ONnU_>#8^j~(MJ zI?TqWSUBc~Rc7DZqk7ver2F0WZOh-(zn0?$N0>uHBz{zGzQP&92Fwj7$1H|4J04js zUj&vTA3q>WEFc?#D;n=nuhYk+ibmP3u%+@El=u{rP&e|K)fv69xxam%ELya|ega@i zCobfp)RznLpkpSidL?U?NTkK^micZZ1$?sS8J6VtuAj z_Pg4*vRsmcL~?R;a(vDUG0{VeK}Y81Ci8c((HG~hwye(4Ape!)&n2%&i-Ps#?M#Bp zv^0A&Ro6paFu?3y`_s>bzI_k@V+X&x!OzuB9)o;(7goD&>NY9UNUt!1gIX|uez^Ma z*2G_LHQCEYpuiAwgK%J}KqPn53(109SXx{^`eKPCzY+Z$$r9N})2d=gt9N7Ez{ApW zn%f7YbC;2WH5c6)-Ik}pyCH#$PV@g(3CPw_UnJjM!Yl63Ngu5WwN2Yhi8P$UEiA$; z6?@xqU7|cOo!>tZ@F!!ytAF}bu!;Nq9TIuH4I;iQ=ipY3VZwvnA{K+5SNJ9w+NcS8 zbP*13M2n|*5{9o`5A8oUlcIwhF}M<17G5qsoOD$D_Rt%M!Y3#w&Om`IKd6Y^+?t4+ zEySZtKh3Chzm>V`n2EJri$`+xT>2*LmNgqT*SEZR)#l8(@@C~|<7|P(o2nh=-77Ks zr|QAE$@4omqt6-0r87>||Jg0(B<$eYlE)B0li&H6V?WR!0DZG1k(<^i7{)E_>AHY( zsh0Wp?B&@TDp+t0!q|YKPR{|19oR4ciG$yERK+z9e#5UKXtLqjeaMov(Pl+ts@V^q zjrdjsV&HTfJ9|Q=TqIajfyWkw6vK`hj0jr{<`N(SZhxu>qVDWs3DJY>Vlks~d61q^ z%(mNo_BYdB0MGs_lsd{*Yr`YjLQj7GCO;SiKi%>)N( z98%LI7A)UE!udl^Rq!i75QHbi@6mYkb;}V-S?1 zvdTmip=tZ@hDlI>Uf;9I-}lI9^-ev=XZ``Q4o;f7HcSr7PlgGMbfT}_O?W2#M+N?@ zPyw7X|076UnP+({$LtiJnd9v6F3=ENwfj0JdPl6hu67Aa`=m;4{AoJK*3aTyTic91 zr)P;?vg}I0dNG$2m*&p)@1+z7Vmn8H*W+kNO;gw?li$1Z&vpq^1dBvcOCO!K2#} zuMadsM-XhJx_YAsaSGa9*;nB&Lh^WYKbF}aruOACBUP_)r$c&}2;U({b%}M89yl+IP&Ma6iL(lOAGXgduzjg5D?E3(?ddTd# z6{2^(=ka`a(#vyEnJEP$oMt@v2UXB8&4#!M6=v^e6nbmna)ZGqBr`?Q5Pcw6C4A;K zc?Pwb@Y2=frz0oa)gtb!S^5e8L6vTOwOD+vSiNl1XwXue3zXUxT>9c8G>&6R83@r{aGIRJD1;KX2 zkgN|5ZDMH?--=pg*_EM3?HQ#adSpWL>2@w%KFNa+T7Q<~JHeQTj;DKL%XCm)SHOnWt2RsoDNl*d z;G>j6r&Q{@Cs6Dca9dptHMveJ95Mc+8u{txC*<1pTGni<;2wf{R7g?fvMp%ic{PRw z?#Pn)7LmC(kfKqm#hehGS#f>7Ak9W{xKf?mi$f<97@aax)s@|(i9m{afFRc{+gDCR zywm%+S?h}b2H_%w0J>EvRW}(jQN__P#dXfFOUM3EE=Y&(Xp@t2lB_ct`FxEP!w?KfFcQ9bI1N;>05 z(cKxEI4WLBr8yo4U+9Ie?pCNJ~Y_yM?FNS6Aqy#e%Z~v8+3b$tMsHkK# z5Xyv#kp)IY_KC-XLHiAhval}p7LW&!28Mo4Lg7~O}7-}q0kQhx&Nu(u5 z(4*MXkx`o|)ME)`7?_wqOpc1lL`J2L%SA<|5TgvLWHQO|@re1Zw=r<41sl z2e*$n(Bj6^*nh$5*Td5aiVb}5o{^lioacPJ}HjswO1-^WWz@m0lynq z*+cOik(w+?x_)SC)vy6!TL6+%$;aZ!N9Byf$~Jvt6Vnbl6281d#btdX2(?F=#YfQI z;U`xm^viLvXrskl)vuB^j3g#h7e`!c0$p?^Dl>=%28NZ?bauQ)GH~XtexF~`tGI2OCk=L`YIWUM%s+s%gg&y=0x3|FDIM3irQemm&MfwMAW&=jm-$$Eu{H+)rW21-ccC5nP9&sLOm$8kM64BP@*^{(JdW zlu~-Ed>zp$>2ek&_)E$l20*+2bYdb0strEX?S8l0|F%+6tjW3KW#OHRSDC@SM-_yL zUSQjSnVP7`Qsac@kv$p)1*3Wk1JTB}vz_B1di_L(ftCU_bYcrX`Z^y%7>VZ#0^&5v(<;5dqPDL$noXd?6l8 zi$`xn{CF5Oib?597}B?^xi5u`D)t{Smdem*=aN%vK;nWefDUpib%&j*_8v?oib-Yj zWoQ?quIk`JZXQceI~*U_ruWey?~40r^lNeP7y{THeY#Lp&S>IRjbF^=;wqoblw+qJ z5fo6s;St+3;D~x(mEA@9NA2_aRrI6uD>=}C#N<{vdp(}UzWPH6e22)ZsU8=}#q$F~ z2DrvZ@KZ#$o}1SI?K3SZdx~X-O)(pDbw{eWJ&yAdCR@KTs`2xz(8Cs5h2j(bP$>QW z$4h2EE-~DY(N_=Sp35+mG;pCBy^!P3io6D0@23u(d&C`2L7V6%?-Ol%RgxFASwrX> z&cv*?Df;Wjat){roCM~|BhebRosdx1T<$6;`0l_(0^4W+a9a;54h?^IL0FKrPz8AT z;J+Z3j$Byqd%S5X10AbF%m>Z0sWtY3-Wbdb?MMwN0u>-&=B@~Ab|-rd)i{U>T$#7$ z1T~HkSZhA>^0GiwFfYK4Qf(OZ&AaTA$Aqm#GRH(&2<{FVU~IxOVc7n<+Y8{HZl`ys z@h4niAaC&@Ur_UU>2*szBXbEt+YT=1ZkEz^KU1*XSJ><(D01Yl!!`2|!b>}1`OXkV zCv+6&f=um#;+JW&M?4t?n*+@D!dxA2Ze5vBc$tFoanDgM)>%TU!b?A}1i2&u>$0OkKjzby4KR>T-Q+{4u74{8&`hJ# zALp>wRCw9uW4S(pH`OAD{L9kZzsm$Ui*~o2efQCPbI}=(2G^HthS;~ zz9357rvQQk#pPwA6~$#YuhYc}lm6@Sn5`-VZ`Dk>P{YH^Uc}3@Rl&sT;?d%R)i>qj zh=k*fAC5mdGHz%?r)DE}zO}GIjK1XYjvi76}T={g0|bN!8ZwjP!DY4rYL=Lv5F=x z79&EfKwk_xQ&+GsqLf-VLP4g|1WPTBB6A}^brT$4#LPLJy76fms|VRqVEz$vg*un{ z2%L$9L8OkQ05wN}^ZH&~a;~G(jGQJ;`3GBpJg9He=D!>mkR9$EektGOj-i7MkopoS z8v%oCzC5nrj07LJllr%Jx2{xr)@M9Dxev?6(3S*8cpRR7v-Pg<0Tj1T$K$gjaC1?EF4vDEye#C)PEECU{IE0& zq~Z#vM?jHAsoMUYuB}5@Z}`^QZ_D;H12dl+I_?EdznM)h^at$^>mkLTiCs)R`A!uo zi`P0FXrMK=gl?%UC>q)qM}km&;sSG$ zk;dGD{!oa}qj*h~l3%dc)=ZnMGy82J!43^BIiP?Y!u{8iGsltBv`U z9IW*p|7?Nh<96-Qh9@2GCa!ZOPFmyx7>w^RbynQ z*onmcK1X+m|z9puz7%_g(^w&xqey7Y4S6^_uatwL4h0X-kRXS!gXzF#Ou~e=7ypY#3zg&_neerF)!*1Lk<{bRyyk5-4vHZ_^o8~rd0Pk6hH0k zTcX|wZe0fSLFh+>0Cc~XY?Er6h`dj=rohWbxr?gqX!%AL$~06UjO3alo72_9E&Ttb zU$MN-y;_~oyrP+omO%t#4568a;mu!nacG7GDRqu;ri9fti*}-!uaQl|_396;y@IMh zQ`2ONlC7Fj8;j+4e^Vhl!SM1DR`Jlt<|1hGFMNI0Xet;TpUqE>NR2>#$$fREnX46U z=UbyrITHb*{;Vv#3M@B+2x#MI;e4|-M`tze^(EuyUHh&)jR$?9zW zP6P4j9;%K@BD*+xs1ywqm}#+2R+aQiM%4wqEEh7v&c~nGsmD8X_r&v`!cv?Bl}ivw zqOR!8n}M4e0#UD9NH(x+3r(k?JIgR^t~?-?Aq*tREIvU-OCf%zSfU!BxAM!hVB{lw zAt5604S;^XDg;PJp*YI`*a>{`6+D&Nt>~3OPx^N zGc-h<(@NTMyykBD0dtfVeDO9z7eW0*46?_$4F%ees zH{3it^Hpn6Yh=hW;~(6wrBejboZ+{`%52&Tsd$?PzjWoO-7uPSO0>KAMaGwkPa3I4 znb=|sYv81xxcB3(bR-}oyim}6=*c{{bEoy6sltN?p?LS%C0~=H`N}HQuleV$0d+QJ zQay9?KABp|_?%G)Ur9n*7)-5z>icB1(%mD~;CE^24~wNnblDIb{Gz+g!YJ>i;gV$9 zoc|p2>G(}mu^rj@g0R&#jVtqWMRCFw%K3+8zx4{DP;{i6X0rp_>$uI*)!h+_S}|?#PKWnuF$wRCoLThow{4Sv|gNUv(V%9PUtFfd2@l@NGkXi8!mF zM10?--CXjR=*yz8>PI!}*`(-2mg2)=v;o>4e?g7T2^ciZ7rR>2o_GNWXqRMGdUJ~K_OX9%xr(wQoj%>D_m$&%m>A1rSc7Q%wMtL;d|c*WDE_0-(+PD zT{?Y><)EMKrmEidiLLIfQe1Ye446TqBhgt01sWZZ2LAT^5RDY%Y6=iBvQCcAvZqC! zbnTtP00rTc5VXMKfUlQ>pBu1t0CezhHFzJQ_bguF_#32n?ibwj6&O8)=SBG69q4mi zO4*NA$ba=8u3*5RYXhP7i!9c2S6J^(5_5r7eOC>j@)n3ar*=bBMj@?uh|tzD!|r|y zgSNjqgf(9`yCZoJNH}5DcG-a>V!QcQm#;eze{XO7kaa_QRfv0tb3XBw_if*q(0O?h zHO~>PnE$r8wJ?MvN+BF<;nmHE-SKOvn%Pmw5Xl`p-n~`}X`)j`%0WJ_t>JN~+xqC- z^NaHjn_ob2hcuVrk23iAs4_Or=;eamI|%qVbWtK9uZ3b0LfxD1^$tBRv?O-oO&-F( zLIeVISQ}}|77Br3$|iP! zdM05)cgX3Nj$Ciqx zV$fU-6EvFb!QIN+5$3cu!j=rF`960>H@72VSM-KfV6NTSJ0|3LL+|eQRX?-$sobsU za(~af`!(mLuT}a$re+fxJmaz9u`FCsNsh!`%w&q+zRsFekz2G8zPp(t07p7-CpzJz zKgu>3YWC8x8=mKOZSa_%FQFaWIwtIer1@ozX$XE!D`MAWOCWEJsV5{~x$^bRUcBSO zOFU1HE4y_63I05!B4pn(hVKgM=I}5hm7ETUj~q5C%dw!l~HB$%`O*XfQ)_6HVu?)d3)+%2Q4 zrr-U51{}Rz_nv&663*Q~B^E)_AO2Mg zjz>#AcFt5|nt`Lz<%A3_N$|jwESc%)PSk%qQXN^obT4)Yoy3NclOforQU1`5=o$sv z=S^5qkEnQg0HQBPx{DNQxD>KaKydN#@oe-V1vvp`lZj809*Vv1^cYe|6b?xE8%d^+ z%tj(*pc3BFXET9AzW-CGp%O84KM^r9KsQv2#y~;Y+Otxd8JI@1Lo%2+o0Gn^iP1i< zbjHVgTwH(``T)V|h0qWN`FN~T$hNL8a%qiBi~pTqi}9hjQ(lLXQ{#Ve6M#usI0piK zW46a)x3%+LGe)-lwnr2>`J&!JLX^HymhEyvVkEXS0c~ZLvXw(Tlp${>2R#1|=4@eR z4z|&mcl)Xb9(DS%`d20v5oHw7WyppW^AnJMS;_o7WO$g_t!+(3DO$c;rA=8?={Dtt zw@J|-R|U#X;lQ;al|EyR`_z^gy^+XhaCyw}i@2M<@!QkeOF}sfnxbGCDt5#?_GCd> z-AN1HT*)||_7SF=t#;#MyUU)gFR47RQC26!l=mlMj49tE9sX{L_tGc>iBuL^VVe$V zhNuOP4Pek>%@aRVd(h9Z76SuT%weg0fKI@bpWh)1ADGLw25>Qr2#r5>w2@zKQbl1a zF?*S374L^5a;(Ni(g$ND$;O6V?YwS;%omjLxmII*dauw6@`_c=Qi;;q5*$p?A{|te z^~w~c`AufPP}wA8disQ?Qcj{b!|z=kd9CglQC__1_>D{t?({Sv^@^4 z@M`D%3NGWlHfMoQ#!qIf^(8z!(o@xeozom1`=8j~;DPId5Y_vNo2`BaW3d0?`i8MV_X2w34lmmd>-4?wSQUPQJUK^Nf@_Qk6?_X@xpcO+ zQ`xcbmkE5@C@j@? zaj*fu|K9j=wg__>lnPX~KG<^@YT2c8c%Sd)UA1Kz``szQ0qD=6l21M=B1hr(e~Y*o zq$adzis;s!xDy3!v8dW)eyD!FNtm*4(O~1mh#yW=R-1hc$3N2#QryMP8@l$zyXwJ4 zz=8ZmN*|Pi_>(s`I@JA54C6D@)4ES8wdWIrTUZT=WXki?z)oOK8VG6p7uapcHfMgo ztM3cNlLge4XIUG6~dcVMXfeksm)>0`*E?*_%}x@N{(1sMGF^e zlGWB}k&SI~$fyDZMXG;+OyEr^`uF|J8UMP(xx9+lhHrC50}O2lb3!7CWg;)OEUEU~ zW^|`9klO-gB6!zRY%DUCc=vEK4>J~cmG;vF|8-wx`)|Dlsu(7I78XsW9x4}Uu$y!Ip*xVlUWk&hoSj(Nw9_#T z{X!{@BHVP~R!71BlegsOrD2*eY~#oy{CzQq`@hS(??p;B6?hF6=FcG4h_AY$ay+=} zRp1$&&F1AO^)IHss3N1Lx?lJJoqg9e9G)ie9wj z-s@QPPLz-|B8w#E-|CP>!c@gw@Q;O;_LBa{RFN-o0@Etml(>)*+@;=+?gNnk3?Bw?;D{rSlW^!gM z*EHV`S@8D_P;s+{16wNTg35H{Nk;leIuI!Bh7r6Uay9i8iV3tV^x=kIIf3HWyb#lCgZ=&F0@aa3X}5+WZ;Rg(o}D zh)1JA89~rV^2t%=Nd)cQ$?OtMUonefA?ogv&eyA?wjaOL%dC^YeWvR(A|=A%x3JyK zQCMMnp<5w1dI+w>0ssnzg&;wuMA~`Cyw0_A_8OIW@Ps$8oX4oK4Ob{z2B6#jZS|=} zwI-@+mbIRRpFdi^qN!Ol2O>FeEW}LYTU!fY&OtF-dOX=gvTH&#JoMHERTiJ~Fmr+iF6yG=`+K{Y;~6uZ8gYQdhECckp4|lr?s%nRcMao2Yah8 zcA7n`Q9-P?WlIW$lN%lP*cA=yqJS&W?71eG?9az8S|O#{O`xw4NZPnDp0IiYeK!1g zmin$U_;6p9bD~A#X&$<8`M8U|nscY-pCwBBX3pIh3tPU?rU!_a4&zDQLO5VUj+(!={zWT8JkwhR63xd=gDXR8Ib)ewmAsc$kX@COnvw&@lFy8GX^4_6zO zL1VXf8b}DK@aB4rIDr=0^+~3bx0}tVl2X1v*+{_P2{SeqgwquC$F`O8HO7(a3{W8W zMs*KR`r58P7Iz|?;fCokTZ^9IyUgu1A^6yZek`K-{b~=y7dkTDu9Jj?t}k_>O1{O}<>7I1 zVGoob!J#6kO2;ta8mJnwIvW%qq@1h&^E@=(9zdo>B<@g%PKHjpx?1%uKD4a_eZOOr zs6)F<3_6HjKDUfh4!zz;I+=XPoKDno`mIg$J?S#8qetAA5CI@_1(p^T`n!#c7Iz!y z0NX^5LkA8J>l9LSI}%VGi;+=o`4Py|BOZykF?=HJo|A5lvDEj6!2c|Un|pya_Rc*i zM!P6|`r9m1DY06!K#8w}fPE9A73m92k4tGHSfBb|&O;pJmsRDFG|pa@ULk*{Rkz!^ zKUrAbv*At_w9*Mnkx-FrV~splfb7s<>>UBuULk!1HY5d<9nL(hJ5;kj(Y9c`XsfFw z3&=kh?7S%0sv?4aDhp|XhNePEeNDTuY^I&<7!$b{fj#aZ_;X*U_32a3ntCHs&3pAk zLY`*5ipNKr4Ontuk0K&5|L;-DG<*(4Ye?*?OLUuhTCEzdVfQB3bxKw z*W}>!r*T9x3B2%PJzU5pVT*>!`XShnUqd*^+dc22fg7%M8BNbgBvi$H;n0oWe5RQL zfwLIbzS^<{{QXL#;xBrO2u^qCv3!FK#dP-l@ICUgx6a73@%Q;haXl!IlKbpZ@}> z`PPVsVD4Er!7EjQua{53$KW?jqt#G(+qi!+pmGpvW$U@boy<+v2il0QkGIE#W-iM#sg&EO3+4sRACv$YRi3QiP<<>?d{9GyL{L3!ry+9P)~# zht_@YT0fX=*};y1jXV0idL2`xUKiAo&Oq0uO5P#MzGlF>1L4p5Gm>gdpMEv-ThO1w=T6LZn|+mi-Q75rM4;2%sU_Ad_im&(|kggBI5 zu*-sImP+n50q5iXpj`&dcN49xMf6kCFuDn^$8wpXyy<10)R??|+EbdP;6;o+1u_7iBRMvd&;CgjK( zGqx=}1yE_`W zas5J*zJs~+KcdN5sd(cd^C*@p25G&4-4KxKY*FGB@l3go za#s@$#W>6D>YO`FIt_DkvCjCB6eN&n-YcfIQ(c@(k!-d%UEVo6%{;-I5zO;HJYw|; zJ2Zi}c%^tsP>isnchJUnBv(T)_@eb|fCib*Y_Izt*%*};n}fU~d$s%D*J}#B!u{(e z;PRV;TYbI#jSd_e1lTfJ8@@?Y*-Ci|XV$)xtWrx8S+W~EULLkx%=@3fuyq5Tq7@k+ zi2qxBeU!4**uumCE0<(us3;}mOo5hL?pSSC`>};7~NkUdECpc+A zdN%&}ihER99DUe+_d*(6I#;W0zw&ol6%pqXVs=#{3-Gb^T;e&fxLtu3!n<>h@0Nj8 zQl+2XPQCZ|rn^gQ#KkiR)<{dGb$Uh0yHC!TXl|OMt)u!&+nD{mT<#fxDfeeR80+(l zXVG+}L!iV&z9-|&Uu>9<3?WS?-kEuxDH=Me@BxhxE|~1&O1+A0ea3QbYGVB>PS`vD z=@(xxOmD+~nuT@xz6}jSecxbw1+2ebsk3gEXY{zao9H<)H6rhRKBDl2IbN{!iRrOb zG#cN9iI*5S?$rOJPOA_q*z?v5w3bdr?OZt5Z?+Vd!3T(e9dlSj5Ur@1z|U7G0v`O6 z6IM0v%v1Dlr#0R+*LVJJtLQWBop_)2UYU1af>mbog-z_K%{zX*k3uIgAcj_2by_<8 z%h}0mMhd{#Ye_+c1#xMe*M11AxYqf7jWNae9{FDXntq+ap{xmBpVOY&EU$as8pe=4 zH^C=w|5Cb+a2XqdOfOGNL#se=4$@xV#9-wPZEb7szEhXjx1o)w-5+c=D6kv^ku+J$ z@i(bb{;{=XcUx8Z6L2@cpb7|$^mC5qud%RP*u1mdkZ9C*$jpUq(xfGXAhNSops8cJ zEgHAK?`+4`rc3nM7wRjV5$n};sn=GO?X01ts44J9pRiE8>Q7pJ^6tj$fl{o)ne)Xv zjV!K{;LXGy-9mDYte>M_PGed7=XKeE4xPTxXZ&>k4gM$y<$_#ePB|5AO_`9Y{5a3z zhy@eQ6fK^Gv8Q=6>GzuY8RH{_OmW^AI>$o9E5`nW{o&6V8|PayfXDZsH_hSl_v9A& zZ-@u;sx*9RmaiD-*Eo(-`v>~p!R0ff54AcbI_~gEo3Xn9g$`^!eRLM2IO}5AbJ05r zS0_gmRX3(|?WvwQR){0L3k?J%uV(A{xm|+)y%(^vllr&tG6!>?jdmf+;m8ML^VeKT zmz-XLNOCP}{Thi6RB=LUeIG5?i9I1UI43COp*}nitWR!PXG#bnpIO#!Yp@l)1vG;d z`y}qSuNUXh?~i^%MDB#^e!~6AZywr`_Gp3Fi>NF4@I{BuzD1$Wi9Ok9)wH%-33L+A z{}s45A8u2Ywswp@>%hLB2#94T9q|6@^JNS~NJ9IV&kvx0t25s z6%#!=SE$!%w_5Z-{}tRSt()s6KJ}7}AJB$&vVUeiPJizeAK)vj=ylvl`?`w+>d;27&v1tZ zuKRG#L;$YN$)W9VfGhmR{3>$-R1eKr@_&f|egg*wMZ6BwypxJXeqUP*fL?t?TE$Y< zCKq)%oKCfjt8xjXgp7_w;vFv%8m}uV54nwgk}+c1k@0)5oUT_71+GAHpL?-SEFsSi z&NDn>^@IgRLl@-DC@fidK4-8I#ZRs`HRylgvFoIqjIm6w0)G=M4%Ycsgu);WV3 z-U358u*|mM>wWvRC7b(riae9}E=)7zc7oOTP2 z%hg1aDV>gm+6^zc1^H~FpI+ul>vN*jJ8H4g<`qM{%{G5yzQ09!i6E@t{YB`P*01)r zmy*Se3y?Gry-q#C1`FV~O-uv}R@UB+9diBTP&0*GJ{8%a@LwB`aH(|zvOw0230)oG zqhP+BjvHENi?1n5q6Et+Z%B~r%wl+|cr|>-xjHVjkF(W(-o*a7Que4??$BECS>-v@ z!iD0?am(Rr)CyC(`hqQ9W3m%!)m>}{H>s**7%+-!)uVuf5sqdVt5)Rqw4|I`KUVCO zAD1lfKWa3f*$d4P-1k#98BxHn7zM&*0VQ~o>szW9zZDd0$`)mp79S|r$4|{Y+({Z_ zTrl@ee~QS-;>jj?Y-~#SvkqnibfS*GqQ^k&O{=|HU*-rtqkNrHB!N%pfjY%Atzv_m*7#CkA zga~{1R=&hZUKY)#2C$JC2lU?`^LdXfxl|?L9)e#K{_qFBXHR;ds_5Kr?)~BhjV#K{ z7jDqvNP@7fH%I#O&4l6O;wtpqQq`c30`D_^w;>m8Adn{R{7(KlX7H)s<0rmdb8MtaTELLjn$d`$1om1qyE03Nj zou~VgS;#~gAS5dduZiHbfAQUwG4b`I0PF4?L)Cl$l~kR#W%UuPlqr6?;wGnRD1X6l>`I9V%%3d4qdgP90>doV%F5 zbq&&fd`zq{RQfm8zLw>G4(y3k`=~8D(Z?IHhucfbKE75We$MP#=&vG9nn=Tqv}=}A zUr*z;1DiHdgr3HLK7ZTU$kJQKVhWA3-~JT4-QxSR<6d~x=mDrgqIN5?INlx9?`!<- zo>s%g?ABe-&<~gpqDB0gr8|ImD^i@n!*G!tbqcPd+E`m$ex8@r5aqr5mgn^1Ytp|km(Gt@<~Dy6%e&2BMop&|elXihi>uMR3~{N*UdMnsDU6r^@W)SE7gMH8 zH_jS4F&5rIfgamD3&M!rENH*j}B5SK?_)Cq`V zfJCU}u7Ogo12G`kvRL=|(^uzS0zNw?N5y(W>bGz0R<~htZec}w+Y5K-ABgnmb@1U6 z$m@6XcQCgXO=!q5$P)DV0jtkwpYn2(kQIW^@gE5N6zCndIe#m5F^cDu!z=vNUSqc` z6T2JRZh$e8AZ0db?|pp1Qd-Xw zFUuEKG=qJo&!6$Ml0icH=3M@*WQH&cSLa!0plzaWE-a9qJ-oi(>-n+iJvt1U1v{!LU!52N;Yt)}DzpN$4$iX zVNVQ|fF=BpGd~uhzv)k-}YGL!MI!S8YjVJlF z6YfN{c2gGJq#ECDFSZyg3AjX3%E{d53b#w0iSR^d{qm2#@y`@XYWJ>J(eJz;t?)ZB z5LJ!14_r3$zZb)pHN8_80v-R|bMZ;HssxBJZ_ajZY&vyatE#^tS%V}FfW$Ks^@{*B z;{v!9$#cmb&^#Om<+a*h99oGzHM*j^PyQI03KnqQf{kY#l`8|)>W8BuWLSP;tZ zJ&nbY7mc~~OC;|q)Cxqe*en)t^(6Y=Ci+`j14m>}fDHFj1(22jd7o={_W zv7`L*`RZvgft3*iK=N|jx<#-%GM2r-IUZ!QkoQ9JE)Yn9cf+UHI)uC!y())A?y)MU z<8CWJ(jdmu@Hp?$d`?k7`4B_xIM9pmeLm!;(Bu`#49PAbu$+ZhAwI zO@ON#1f4ilNUYLM3@+7^A9eyRuyEd6HJ4bVx>d6}PqY7sdB-Uhm_R7yjwy z!0x3ymp+p@*Ef&8TX5Gt|J0x=4@sbFkDF&ln5<63bsMe~hURQ5Kol|5awHEi-{=d#eO=8wAZY3nTS9sX!QIYG1!fH2$uCY8c8&ViPV zGHT5N(ELOEJvJ;3pul_|3$+>R27_vbPoe4LbT|~OCc(<7-phdJniuq=vPeg zbOvq~fABOSEjxrMFhp4?eEuKi?ge0^X})Z(Q!)cwuK#3?zUlr(6$e<)Y6lA0hy9di zd&D$%ovi$}!_LFDAVyaNSj`-iWlHb(isHt|Z6J1)jsA6+T>w1zLFYhUc+wikp3ZAG z)7TNKtW^QF0U_N=v|O~#MwGVpInaX)Qc5?6_rC^yq7l=})3@m%5qeuuzH9H;h(TW} znUhp&u$B1t3@tCfRxzjMP=cw!j{T{KfbM|n%yO(d+PFxt&}`1Qow|fhVC4NK4cUv{ zd(FptBW`Be?j?46@4aW6u~)0L5X1^q^{AhDRX<}GqurBy7CmmTagfSYI$_M_ zBLVjk_cD5FOW5Ob5QYjnYj8cBkq8mFm%fIeZ0e^BGGakKi>2lft#+a8N9ahm$cLg2 z&)C$LYWwT4|5$Se;X>0UxopQITWftQ4VuO|(R<3};|l!IP)}zhuuAN*yI4@#c%N=G zYAKy8|4~-s(wY@slFlCIlQ4D~zcfhV5s;Md*U)-V)4VINOtQpjU)maL5k{#A>}mm5~Yk({t1&5*Z;80L29Y7bnHpDg+u_o4EHtG<;>u-Dk}^A=+ynX74h zIWVyZJ!O+-;z|jnJ#4;CRg67)3>U;4twVMK zTRYl`^gist{G_Juvrl`;SpinlI8NTEw2(FFWxc*cOltp^3{$m8wIS$Q^P z^a+YzMq#wVL5Y_Fo;0)F$tA%A6#2!%lD`OYz10b}h?>iDn!K0H9@(@r*Sdd&X=%MH zMs+$%QT3{EIO1i)KK>_NJ{!;be#8}?IU;)Zv{8pIA)9=c!WcNu-p{sTImiIDEZ{3T z{9Lhi+@hAh#$14hav5ik9PSI@t3C_FFm7dQL2?h+uz<*Wso)(1?^B?O=SuEqIM0?}NDMxL(A-TNQIGZitu87^?fMTeME5Qk0SKgKac!$ddN2gl6F%vvT} zorz0=8($!h{hFPHdktgEeZJTQ3os790hoHmj_S{hPZ2wQ+LO(G=n}sJZ*-DPw24c- zMhWB&uAi#6N0RpJmsHc%mYAJlZ5i!AW@V8&%9}=h$VsYL?jN$3mh@$cg-G*^raxji zXJ?0FUOlj7E>=tW#Ui;g-glO6I9h<)9no$Cr)ZbAoxI6Qeg7h*TUEZ4uTv@u~S z1Z%OD%+2a^>>A0-xymQY1Zr%%KSer2>g0C$`g;q0W@gAXx)$&lBrI7MJygCq8e0po zXw_vnDsNw4xUw@|>U=uIaiQ0qB6*>cE2Vpm6pUa@vM4!AxL&rXd5CZS?K_#+miATY ztr_MYq3;SNzshAzYV+C-s>})cgIngYsfSmPK%yp!0nWJfFp8rhn{N;*aL14!!;r?>x@aAusUy_d>kM3BW?!QK6A*_n*&EW$$o3bOd``+y%B%OlW0_#DR zY(4=&ez&lSgXWptg){lH=Nfo26G#quU3$zHXudV19Gk3$k+Va z10Bq+?Ajl)3(RkdYx2w`%VQ_28+sh@ZP}86PD0D*OLKXb*czwp#GVd+wS}fPbByC5f#p@l|{wLLNd=~&u5bMbNeh~T-e#u zH&b&385V4{Zszn>{V;{YmKp{Q)Q_s%Yb{8kRp_Lh^vo@t47wh#dW|xZO1}-goCLqFGNpn3hg$Y0&PtErEI7L%^ z+Ou0Bp)?QAg2Vt+*GYLIJhCP++=cZ;Z>wgYMM7QPGQIt3FQf*4jEbvXfHt4x_30J_ zIrb(QZOl9bFc=2=3CSD`O4xM{a)N;^N7b{t=5ZG0MSz?cfiIg3?7pO0tPibz+OF*O8n!k-1Nqt$p`bqa*~`WA-=0b%63LC=@gD$)e`NOwQj#w*U-6*{N zrl&(qLK4V%U8y6n9S}aUh9`7wFcE7u#INPR8*bE6vij}6o}z)E9%g0clhVt|&h+V3 zgf2!Ef_t$|x*|sKC*uru2W5)PW~L2#k7TH=oOYM1B1s1y8}1$PwJX2y4du8YYr0Ke z+~YI0g2!vIdUkFxlvu{zEh0XH;rH~*_UzAJlsw9Y?i2$y?X+F|Hp86AwoJ~q>oIQ5 z(C(BD^M@8Obe3!VgIynv{+;c6dr+)?&%kq?ojeH1SS`HzT%C%Bev*EHjcVD>T+{5Z z)Q2gH-eDu##Ya6X4t+Sax9<-O*!S&d&$P#<1vhsDhrrxk3^a?D?8-f$n+VyMVH7NY za$(>6q-j#;t=X!DOKfrRKQM;r;j=zmwe{niFRq1orl2oyeG7#^Vj8PgD%|>Qb~TJ) zlS@j;-!WNUOXV~%@Ye*3?exX1YpJ45**&ilC9|Akvj<*L-j0Q^gxbH8z*`d#nd zvDM0WqJf=gryDyQ=o@!EJZyJw_-`)s;j(jWOJe;v77%(`>-k~HqV4KkKIKv&`bR2^edeAi!sM`l z)%9j`yZTuZ5KvsV_$likMav)T+ed&4y26`dzp90)<9^a#ZfiDYd4b+&v_F+Y`F2jN zK{_IvS#Y1(-P3RKg)ELQw;V-4bcye~gTe#l8b}k2a2DJe_?OOOSkx0kdcK5mS|5ko zzFSZX+9q5 z3RF>@=OvV;@Q}FUmQ!{a(d^I30tL592FX9c^ap4KMArB=Z51`t*&%8BE0Iu~MCW-0T2hK5=4FOF zTM2*mysb0ko^bvszui&oFXj`*MiA$_VocXZrc_d>Nee0Qy@d%aA@gTih9lDa1xTvr zbyT@@sZrtQzuDa}P4`(c?uV~|wh4}vp_p3R^XsxEDFgHY6Jo?kGY}-vQ)Saz0rE&W z!OxtVMB8LOHSv$SFt6gPcd>v0wzz0!63%(C&*Zd4Otm~r?dJ~jQZlC*yk*tI2BDwV zYhq`$HcDbeKoWXyOkyeyE57gPEV^Uqdp~|LPO&5S964&Xwiu}n`S*#l4+JHc+a3Za z*lmDJj!jw@2-yb-g%#N1=F^7b~0+-lxt`aI#6A!l> zTrT@P{b_gZXxFWnK=Lp8n_HsEH*cwn8#?|3CPIAb-k-S$p$i4ZZG<-~LchNcA306x zyK~%KLoO&hfX{Uh7H(sc^u`P#XlMaZvw|!mOd#dAD)@bQ0A*Jl^DeYRr1G_tD^mq_ zg7_*UK-vB=sIZm*LCD#;$`BML(KXyF6B=KOd3ps}C)y0zK@8Ii1nwaQoh{n${&tOd zPUnEMg#X}0Y(4qCReIJKsFZb6rI(b&?g2qSfYDE~Ei4XTk7w=m^lb}E@+Xd6&)1Q* zh9~+I!sq$la?Q-8D^GLiHor+an{nmxpC$;1xh+j}NLb+VRQ7%tl+}*7EhjEB(a4-eU+b6a#VGSi88aII zX47qq$GLz{=3Z*$U}K;W>G?CHAavy^pzf-Oa;+QB+3jx4d3cvXHru^=lMqU+RYIeD zvKz4$zWG^t76|4+MO(sIRFWozSJFEWvg!hY=@36?c-7=+uL-DTdb5)ZVaA0UGZ^-N z;%#k%kCqFR0p8JB6Be}J#2l1D zh^>4~MyI9qtW9TG1J8DEKS9FZAumr<@22hHBxO&Ce35Qoa4G0Qw^PFLwp=5cEvu?; z(EcjZhUZCW-!D%AkCEAEC35OrMkvc68^Tl1MJT-DQ8q)F7hh~0v?V};9`D_cYn5UP zp%GmyXLUdWiS#Hn&^{6#-ey

c`R8gnouK8;j`u(c_L>lpZxJbQ0AnpUZ%k1n0l* z$OLKl@1Jcg0$z|e%g>y-Q^o;Yb7>Vqb_1nyz9)h6Ma@=H-2fu8>g*jIYM^i|! z;vx|^v!r4K6@^U2a_0$gBki)(4Pk4Jy&PaQeS=s;ooz63P6cbrojuSj zND>vV8T}>@sZky+cYIFsyP61@=OF9-mAfYt5h-I2JRYyPD$!*hU0LVMG9|3Ah+I;# zkjCVK)`0x6V~dlbYrq{*mhw7>xNN%-M_7!8-N`SYnfkUYi;!GIHMb^oZt*0N6bxNVg%za62hJD_F^Dc%>sMFKsY(=Z{I@j( z`3D~Uoyy_{s=ptyh#qu+6CV%gE07uTUYlQhLf`!6N8f&6NH?Xy$)s$TFlTA-f6pVW^GFnY3g8mf|) z8#h2ZV35(~A1bD=NhMQ!1vm~tvWRgUf)tF=RG)0UeFx|)B`_KU&-8p1_PVLSc!UG1 zjxd-au9|Q_o_!Y)@k!Mn)yT!f%e!zJWhY|};6`@vBy6%BoR6f-#g)S4ZJMnzHtAP2 z63tLgcSTozWcha{x846Xjl89$dmgEK*8EeFV;IK7txUGCwQ=t-E+kPl>rtBG%;;(z zS5j1MNK9j7mGO26EE0Il2BF(mZolDc)fu2g=lGnY1%0zHG^styKos<4A7o|o5i?P` zUvhJ=!!UmD3rJT^8)251gMVs^y`IGI+~mLfDE=Wsn2md;gAw@5<(i%Jm zu2!-nHE!gOMW>Z)v%=`vFN#pY$F|M)OuB$|p$RoCg{K@(ERM0GLs7R9IdtnxR?J6S zMKvs4waYaPE^l8xK^xpK{n?3?s&IX-`*?nkOR6BS*LJ7mq)-pwDVwC*J_j=(7rxtH z9{)zsq3q6s!KP4wXgKFjZt!~~5S7Nsw<|=#fcu5KE9PNPVKvA%i2RV9z?R-=szfxN zS#nMh6}s0WLxMLc)%9;EY<1KCuv<=r`xL1U8Z zrY~Vr)CI{htJ10R4XrJKP3UP@R&L$Z zmjlcNUe+{TcLx$2qz$34!|M7cNztFRJvnXne?ftOCmAXb!`v(!RB9lU|6Qat(6T{i0{vFw*JCBNq2ScI#)9g0ppjt*)*U7 zT~C+oApBQl{)F)G`5DiBuh7uNLo92solrfw8yM1s$u-Nunj}B}_xsc(Avxf_kpWKv zgdtCe-vZamllZJB#Zv-^qnzHv#e4*1AOLjj+c6@rl%kla(kO~b`&;xXR%$@}wMIq| zCVEPyG{8CMN zW}~GGY}-<5*SZ)W+A2%(p5NT#FyHoQjwmEs-P$n$cJO^Syz)%ZZD*r^PBHT0xzJIWPWL^D!bP8 zmO^YL0W?ki^-ht!Qp@$8-E_q5U$6&=JeelV1#r9j@OG{QV6l%N_)CyaG^3n*^5tt* zD<3e`qe)h;Qu!0yrMnd|#sUoEY9MP*oYnN3q^kY192d z&@0V?`S2^5K*3Du+o_o5`CkFX+ms-S{Oiy8bvCL81WB$M(YM`_1XsB^{fo366agzZ zxkIc%*eBzlkun!F`l%gZey>|G$`7kPn!N0eJjdeM=^bMt`|D-Krk~tD`})ou)crWz zEtIm?uMSC~(-kjUL`%##=Sm+zr1D;EQdiTc7N)*=7i5^r?eRxy-%fE^>ai%wGWRSS zQV={xC8f0ph-g8z{Bvdcd&{N4**kVm3PW=LOjf8=xjMV4hzeo@W|aR}Lk}M-1+d>8 zhx80-kJ_D6s_Q!FKmr^ELs$RSJarnamskYjB|0pYYXIuWtMYn(&xLW0>++B*KZLxYo;eeVdHB5w)w07)-h4-<^zuGm$OJyG z+N8t&7A3z;0xSUHrvS((YV&)-syKMpDq+DqvB@C-RG-5Ez5 z{RGN~JqGl)KjREqfM_-A$|%d(^PA?;_BRO%pKHL5a~ zbDNU|U!(TIe=sZKam;nfo%-txZ!UCH?3moCFPZflbpAY& zAS7{fN-pn`_a+xbFq^+SSGRAM5+zR$jPR;5j9!uq05j*!L+Aq_x8fmIh#vt1`l#YB z$Ho11DVQ<R|JAl!L_7a~=VYJ!CM~9C=+$93ZLY;h&~& z8(rG?J`G~zXLOwM8gLpjA0mE~nsb38%ConsyUbR87tY|-Ht8adN-d6GkYtu=*59Uf zK|KBx`3;|Xn}DqOimwls&6+h{euzG!V&*XgS6AVV9g&N3}GoDLC^v%?r`1u^CNo?dS**dabFa&!bwPFqtQ9KY=0 zcPdaE?@FTnI<{gbW?s18v42)rwevFG{v1eLT%smQsP8e{TIY~6j0k@eiQx&IKp#7A z^!LFGT6<%|ns9z*@#}*kQa)zQ`b^=1b+FsV2u1Q`|1`|XFU5{rjw{`e-up6Y7G6D< z(ycAc^E`ur+9z$q?tjasB7jN$-U~C6D;Z5G>6=ufF(TKwYe^t|73(vi{T-|C)66Nr z5q)u5&IsYQoJOn3oLtuX_FL?m%^E`PcRs^jQIx%3lggMw<5 zNokwgtK|9%eF?twPSMLk<;9o86KfMi9Xtx)Ar zVPnNe5-LPJ;ld9D2(bRCj5n-@NokM@QkxX(mKF0K6@tAci`2NgvfnYGKHjISZ7N*K zIqUnu7UU09eqXhxmR`N*z4E*x`fO{=Spq7N1x-Jm5_~TWz|NNoDPAz6s;WQUYxarA zgRmq1(TYTV5ufvly73HCK+iO4p{Fuom}(Lo-oK?T?*6rWmFFb8>}FvE+gzxT11Og} z`~e~XY^vEr4U2s$bIT$UE2L2#VsFY$@zmV*bNTecQ%&hIpxa>Mu~epQP9|DV-52)f z$M>p!Pmz*oYe{8BMp=4>$RUFFyMrCiibkBW!*DCeC(kUi}1L(FV_JZ-ri|u`>{xv0K z{_-~2?1APgNaB;~MsCosFu?a`4XIS^%0_NcLEAj0?)h)DP(zT?0LYZ`8`RLjfRDOU0KO>FG&MLY?A*-NIt>ymh4)?S$Vq_vc|*M} zs*TM>7`>Gt#de4=Pq+e~NQB9(0{eK+E{=YFosr!as;T6@HU;1(Fk22Z5z zX)I>iC=w@6w_fe=#1Hca+scL`|ork zWxSV!Dm}rE^X%4tZ1ZL-PgM8#bcZl0Ff9uV9xz7LhN1h%s+vtCp#5_qp4M6Hw@HLm zF`4ACzFf@*-OM>#<0bfqS!t<@<^&|-D*Wmp1I=a%^Q5gtpmWXNPx_5)4%$pKtXU+1 zsNfMq&2fK6f%n&I9#@|(-M+j^$H0;8=;?23!wVa46@s!kY?o$1EBIB~7t%MRX{BO+ z?9LO!7Y$3@xyz0a@a6&_-6C=^MmJAPUq3{L`#%BSx1b^RZT5uog3~y2f0CTeki_0I zPcljG&%-!KM}AY4*qLJfzz#2=UUz74FO};y87C@R$6fZBmy}*nRfAA#V!ucv*&eEx ztuR;ENtaR8C+FsN5V?Y1Nc1Y7D*x3J+M(W>tJbeT9)z)crw(k)shVB$xWKo0R!=s(7>N zLm=Co5(sBzw&?T!8#b5_bcg2o|D#bAA;RET7bz;{WDDi}_Y zQ-iweiCr{H8}LukW11`$Y7u5}X0n(Dw`E5r${IT+dbq_rce}6DAEa)kO{lbd76aJd zVwUhdnV;j2>?ekT>@#%|dTIEHrU_e1-C=P@8L@D&L9)~y(}fwH>E&u=_zt(yNHhH; zBNELM@lP~GyC21QtVIzwl;tvhx&WD@Ng}O2M+B^~Gl@9zI>H-kL46a#tXSqSwk39j z`kxDRF$}EEy8TG zs=<(tn>kPdH%LT;2?J3K6n_Pi`G% z4VtRU2!i6nKLdN1u_~`mL1+3D(?t#bl6qBt{}FhGjV~tuoh3$s)CIeeh{_ zt@!O}7ht4pRDUFZF}(3e6bL&3?^~a)FMcIied(#19f7$Dk}Z=PZk~g&$RUatZPFc8 zHzK?Ejzk%{l9B$jB4S?GE6G%o{q2}sn*|_o2}?{f6teHpw7nV(x?NZwl(gWzw||)t zCk)oe9XxJ_WX~2C1ub?SAB+sfN=2Tmh}rYQ(Xx74_Uoe#ba<2sp`MWOdCN?b>yQ z1!ia+=6MY8tE&*D$@3%;FHw|jWv?=_8HB>|;W0D;A&{!~90!n3y6y^T09bsP&s{KO z{pz8U_aPu<+_R}pgVbs`c>wR23fi#_rcvi4vcBfqoyC;fF=r>0stGA1(}K})Rj`Y> zPEj}5sL$I1bBn^o#2@^vzjF2c4IZprLe*qfTyzlpg9%%=Y^Z8K{%pA=RJeHxF4^EJ zHZ%*x7+MR7#@Pr@jFRBQU4d~9j1wOU?Gd5W%qM&d4NzsAr>j*j+f3gJ8DzoW{eqNn z*pSspeW>mB!X~urdnXiW)3BydARJckBl^%dJW<8i6(aJ;W`)P37Qq5#o%Ft_5)-mi?AkL0>IA{++aVX6oE+ztt7eT(p`a@8Hm1Ju`f zeee5IzPlEV_4!(b0>}dVrKB%LFH?gQo1_MmF|9Vq!D|v( z|11`8*5K7J$^R;ARG!9x`Pc)6d`t1mtxC!&GV);FCMmf3fQSne8v|LZ<8=&E>Y_6g zMDU(*peYVLd}83Cam2aP$>EYRHQEibLv7bAMy8=7Z@o8f^>x29ivCNV7Th;Jkr^{5 zzvuk?y0L3=`zF1cd_Zy52H(p`MwW2G1y1Pd9p482V0;6*VW2OHpJ%9gy^w6?8!`#V zw5To0B{mKC8@DH^1cQE5J3mP-K9fX$v-ddUsun`stEzxgo~H-PbHT6!vf*M@Pz2bD zaGU>3r;W17slu*A1OPs1au-!Z-I|-4E7r`E;lAhl*qdtvGMSrBnJW&-6d)~^fdn_L zxza_Zxd0B;jO^p~%>$rQ0!}GqIm86Ty#ipI);U1c{eSx_gg9RFz2^Q1C6svpr)?V3 zZd;6Yde^5pUcR9Jz=54_fomDkIFtVYjDK&4&M*2gJ*e8p^9iNqc~t*w-|2`UcrYo{ zA#Z%9^d2(0sb#r1|ou$T$Q+d4uG35DJim`digWF^W&^YTb>6)<}p|H>^)>6Iw za?!qB=>=A(QHV~y)qM9QD2aEQasCo3xmB44&)(Hk)7KWM{uZWkIk@LS59K>A7HI)=QtyL!ES6vHfnl!!|O1dw6;=LzuCVvB$Z$ zj;m*dh((KXexl#bD+l1@Bp87#UwKLRNGF7Rd(PiP=|P~IgX5Y-;**c{`#g8ZzTrR0 z(<*s>sDu6-%Pve^Af@7;n1*2s%CpiJ!qTefrSPiBMRkM*fqD8P3Nd`(OP)o)yS!Jq zogl_+&$t>jF`7p-L5iIX+__Q2NJwcut2`f1acrtR(76hUefaGO3{uXylCXPl?dGNh z_1&+mTT*r*2xYS&w)H{28($zWw*SDxefD1lKHwVpvq~|Wq@aQ5zt+;vB}FAaiJ>2g zRiPpZpqh4;x&gD9kq^ZXnwproyAXh6PZ-wkI=Q{_H|ju0xgv-lS~!DZl?W=;$3P05 z7IOhT-F5xCm=5kBmY!mVMF!|xjS(?_UCAGe2v5)5|L+h`5PKkTo|>C`seC#^TQAUw z6X7TJsFeht@neT_3z4G=++VPR;<=?-!DdcV5c6aiVK(5fdXq#j%~PNMM&@9@;h*R4 zCJ#tvWHlvZjVue9tXxSHt}p?Xr-`cq5=zA&|7J;*#;*;x+G@AJmB-YTyQyU(YL785 z){|0CJ@Hc~0leh`ju;%^hoBy9Du|u!53x-r{RkRXUUakbyQqt>hE_Ir1K|Au&0!vp zF~`^QSO~^K{qxtrVKFt`on6^$R}n$3?gqRauy7Fk`@hoYJVJZ_LI-UY2+0;Beb@YP zetN1qYG6I9EL+zZfW8Rt@pVaCb8(pg(Ncua*s)n#>?`S_2-^=%&_PbtfMW4^z&^=K z+}%|x*lBq5GY5M%qwnE`{5ORaJuP=U#JNvb9S@(lbEWDX*UB;TZLQ=EUJ^Gd77n_B zjAGuHa;MBoSV3z%)|c4HKxY>A!V3lJFqK*zAMGby9YT^Aeh=t--vR`I`sUviLBKH} z$P4H&46efYf@>JTt-|vHIn0YvA)#OJ4fA7EurCCL1%*_Oy$~K25mga;AwDc2sUr14 zdRRs_w~zWhopA&7UVa{-0KzNMl$3EQcvYI3I!*(xNz*!k)5h!2boFrhcmtZD5zZKI zLNhhPnd2>JmR2}xybaCP4tElNie~SCbHqE*oLz9PcsH862hJ1kMWT88;C%5onx8)| z03S#T3dV)tLup~Bap8D8E#eF=5`UH!6^)C*$I{~BaS8bUXy+1f1U!+Jl#ENkpQl~8 zh)cz%(b7pcGCqTrnT5NA&!%1eH&BA7(DJCbe0%||@CvR7f0cHv7hYSzMl4?0oRCcqBXbR zTJdeP_72=j{3}{#7p@!ML+kCs_2UO|g8xKY{fzs9|4REt`i`UH8MGfv+!}tJw(%3UiQl6A`i@|a*?5w}yRsiy z=K}Chs*@%e3CKatnT$}z9scWERWtMm?Is9ewLC8&VQ z*o0g@=wujJvdBiETKOq*10v~J&(83=i=L}kXe4S8Ge;3XRo)-3~EgBXHT}8{Tt&GatguU8N?_F(&%Yrs2$P)6>g7_VN zGTOuI{;_y+kvXD`NG6%z#bPA0YdERP4V9UfmVO9a=0itOa>`rT&WB2M+lz~uHDrmL zf3Ogve!*RfU%J&4y|4LJV~9&XAeRlxeoa2GGUBpRJtCp^sK3Y;`samSdw(wbS^JE_ zD4u7zO9=HMiY{9TC(JpO)S^0@$_J-;B?Y5I{nY=I<|R-7k|fP$t;!iR({0J~=~sT5 z)?B6jqN|`Vv>K{g{4PbIe_JX>pIJSGnRA#6)+*@}Ne#A7}A|rxDVwbXJ<5;EhpGxT>rM@}4R1aJ3epy}!Jsz}Cy43ua z1nHg!t-vqNmM%)~fbtAS?{z@xIAosP>doszUGL$#qh#@{Ou+km$Rx(~vu>=lP{=bM zv`XU+|C_K}<4f6T7t<(%IWnLMK)#)!f|*-E(&#KjgSh-%<8}VHNb8KJ=x^5-d2Xp;j+26%dpT2pbLObDNDV= zKf)Xb?Q&%nWwV7i&1Q>v!G6cMTvX|YYiDa=PkKBb!MlA>R%-2(Y3st`=E)b>(2C(J9m@~B zSrKA0=a9agND1#$F+UrwN06%Qhv#aLaut`|2g+Q{j4rblm<`0%Dr z53OK~LjLn?bo~ugU9{#KvW6R>`~tR$nE$}l#lXL7m?v@%kDPS#4{D2EQm!Lj@OCkg zWvQxyak+}?^-NGEIjO7IfD4RhGf>jobdgT|BZuk$lo^iEnk( z&NN4WMk;L6j`jPyk*14BoOcX{k~9w#fQoxhL$m-PRIiQ`V5-{nAa>0HISAvn^F_mP z4+Bh(0MXg!pFs5W{NWnbVVGne4Un>_zw&Fh^!F|2YZ~;Rj5#ey8qdcC8?y{_yBP6o zB|l18%72?`idht)b-@wq&tqurAxb2xtkzI3pi)g$06cw0?DYUS z2PE_5PXfhnIRUrefLDK=TwSQAQ;hWhYK9!M8o7Uj0UVz<2Pxx*TBZY&JTzD@} zEk$vN1neXE#!>I(HUq3Ar&bS)I#NCw>9?OTtbg}$=3xqE*xu0uwe#_+B9D0k#&t$P z@y*3~ON_Qj|1ZTeJf000?-?P*H*WL3n3E>(Uy3F?&JCFG8L;9T`S}D4!Q}9lB0tZ$ z229G#p5oe3GA1Rdu=mLb1pV|m|GKUMIe?Qw;+;%BV`3bGG2NL^)aptK!;JXBWsT@h z6m1CUK`MH2DkMKuwTU`-*g6ST596Z+EgTygMK37m(%K@G*)dT<_<-gWxbDs|*qRTR zKhjj`;!R`zb-G8Kybovw5;KT1(Dndo#LguoecG)T(`ke4W!Z``jEta3NfHKg1d;&L zGQnUDRx^JzRo%&W3S>0Rfa;h+Df*W1pFxLu)~#y5hTys+7k_8W~2<~-6Y}h`cl!b=U#rIqwr~t zcc|faF-;VmN^zH@AXoW$6x{sZo+K0y63eUI?5$Ay(NbZH?SdTW4h85b@c@r(;}l_x zM%Rj{j3)_Xxs<{~9{)c&fiYj(f+M8=; z?=87D6|QmZy|?U?+-qdqTe{LxzY0y2kU|uqrNxbGg;duF$=8g}&%fv2bKd9i95!Q$KziK1<2Vqz~nDTO4@%#z{MF%h5;o1q>Eyq3R0nE@!xr2+9lk@9%?Oxfof z2wk~>Ld#e`z*H@}j&<_gkd40D5}+w)^$*52F&-k1hMZRMof0Ox&5o@c97NB&663vq zqe_)eZ>+rl(+Cd$4jxi0LrU66C{8`kRQ}26;ezw+^pZXBw4q))>C{fzWhlK*#P@e` z#dS()O#&j{@}%$1$srr3hCqgoN+;+hYsI+Pn+^dY6ZguM$5`2a5R8(@+UkLg*3`7b zyR9)}w+V|qRRu;hg$6s5^fU?}uPdEo&mu7C0$YsYu`@ z3DdL1K`%|&NqLd47~T%XJW7wksU1xGJKy`Mu8@1M0O2i#uj)?`PT)S4z1tG)XY~9Q z=AE{MAnW6W^UN1=uUC4CPvyR%Oh)oTUsBocDwnSd*B#U81WUlBJyr#kOL~s-R%|0!(iM9I)v9UTuf){1Q5Mrxvd3 zHru41VQ3GZLtHVOfyEJxj}ihjpS=92k4V&iPv!d(TXq@0{ownqC$RpxNzt#=nO+ZJ z7{Abr-eLJ-&iMk@=zc&kzi+LJfByH=LboOdEa=a@{^-C2Odu~FtN#p>hDkC3pBBX9 z_cfD*{Z3+~)DfTa-wDY1Q`fsZ)L6ts*2Vm%k*+*BR#3||fm36x*MxRX4YK~3eLXa3 z#@H&6&Dls*-DBMflqgjSHI<6cr@z)JAa4x7v@Su?CJo4%u`?(_X__qSV|&f?&`}@- ziWf)A$me-?T$$~Cx|0j!Zf}izPAVQt!fpH>Nkbr;|0BWXt3rOB$ekv^)sXOn^qwYn zY3ged7_3!Ao*4E-cMv-PH8_n{E&P?T-i;Zv^x`Wu2Zkg>Mw=YyaC)_U$Qh@LyQv}+Nhl>dgpvkK+# za9k^6evv>xVT;Pi80B!r?fe_7wc@fe2=h5xD=>j^R;5-^XK+1r$7Ws=gHlCmt1N) zRD0}l=R5S1Im0&41`ND(`u;p4a`)%`f8Q@;*ZoLTT>4Md#2TDG@l)IK#^FOWE13A! zumApMAY`a#`HZVJ16<2%)lvQY8l^G|dw)hIGZetpCj&M%P52bT-I|~Q!MR4&W5J5W zPd;0Uf3GfFr+Vr#>1x;0{Q?ksUZ~{ch9js}GEw6-5ALnW&XJJ#2wQQru>&#aL{s|& zawZ#S=F=sI13}8F$68g)!aG$6F_!f@?ka2@l?7Q@G6188_$g}ZhBpT2hf5|1xWaaq z!;<2woHeDxqT^oTZFzTdf(feRqux0b=K)%t7s+i|6GtbBDbfa1d1(nR+1`SE|4B;p zyz_865+LKML+g^eXQ@ncU*DMI9sxvRmw-v((h*G42PQ^i_{Cx|PXWo2$Vwfb@0Xp# zgBZlbqB0RF#Y(-wbg@N!Wu@6#1D}JuMjj{ya}F zfT<1LeYupRznubjKEWt!U#0rd;-kN`C?a^_157xNX$#A6pt+nd=#_n>0r;0BRTO;| z;g_}qCP%eV8xD)5L*dhQpzoK)e+y5X?OD#sorTXpftzGa%Y!W+S zTDxj>ntQ*yn%nebSk9H+WOWO0DybI1UJ3D)&{U0w$1O$=NO~eWqp%KA+>4cTA>8r@ zk#^XVC9tWE<2gDCXEw%Ke!4Gfa|EmObaho}U6DZgEpck}uap<2VDh}c-#;5*pYMC+ z^K|OlROP5^H6}il0w=H8Sa~lY8@@eZ;SdK;-SyeKxtD{o6S>lUTD+G4KIF&D)n7Xiy< z9q_K8#`;rFGZ*%iaG7R<26!C&#Y6CMdRsBF`)znK9zQ0*jKu!qZ5@S+{{gBZD2alb zERImmC)2REL&*Y*6Q7HR7ki~8tvq)(>-AbY;Zu<|N10`Ooq92zCwYN!an9tTpyRw0 zp>x|aV!INnf|uuPjL_RK(3fb@x`aKhyzDg6HUWzE@ZsNU#$#dx+TDs@*2603P1j!C z{5+Y38kScei_@Y$rHV7l3@)wgOQlDqG7-8IgqBbPtpCrnL{~qqLZvMpML^8~H7R(+ zhcjh=L^y*IYl!9bX;w=d+|GkWtN-7^?+CC-P1_-lPt=J%JM4s=o~Rl{&h%A{3qO9d z5|C5%6_NiFZWhM0;!GFYZs@=RZ`At>OptySH_fk|`U3Y9<#e~<5U+XTh(WQHMmp^a zPoW>}bBk8YLe+1i(m9Cvco^&Vc5#i_ea}&&8DCG#!2P|qDYPL9$M7n@gi0kOK2uO< z9h^k08|WcJks=myuX0c{`;D$e$6e)`dpd{W z*zNww26Ki;rmA6b|Ge$$VAmk`G59qf{(0s@gIopkRs+cQRzNn@k|)!ya7{}{fh&plamse? zeT?0?Mmhi53H%&lP$)HgEgIT=)CAjr$wnn22wjShqu&YmB?B-j=HldR6htXIk{m(* zUj*5siTbLALA+n^GYq{Qp_DiLVB9*a=U2pA)ZyuZw{zBO`%wGG|IEC&5T?11HS=pR zU}hQ=CGJDDLK zK5{Tj0(S!2#R(4sS=B9E-tZ$~$kjZ0FQ6NxT2G<^4+2c0E>ii=N<&5%o$C#`LSrSH zM4hBBdu9)U=z`!a_mbYbIN&XIQ7NM9PFPQ{+~_sZhjv+ip(8>a9EMJB9j{6x#kn342I_NTQ3t%jhap#XAW2G{}zVC{GgLZpRvZs zaIrwuN)EHz?l$8^%L2vbNZb~3a?M`oE+{-*cVnWc$KYfwc!I(-^c~qK{wL206C=je zytq0`@X%g-eJ1Xe%*PV(+tZZ+IS4u3aL*J27De+{N#T>+gmW{G;Z30Ke2~x0{8{*R z{%iR3y5tEi1mAdsT$o>m=(n5Gr}TFECKZUqV`!*QGkv$Tr82#-y2p={7~lf&$E=u# zTF&%@vfkE&(q_^_anEE!%d)^wRZY~wjs9S@82${3d3k5HK#MZyr*XjRNwC8+ix5VZ zN(kneOR&Ok>9M_ow&0V2MZpf5&m1K6g3Gc5g5~x^2e%{p}8Qwr>(fvx#B04 z_Yg-Yq=uKP$nq(PXn7!7Su(2~VgyrM z9#x)|E7fsKv=C8qrXZ3#nHW4?HNAlRS|uLAkg+LmyQ%>`EuX!rO-~3Yy_JBYP&(|^ zGyb`{d&tDMCK1+#tyeE`%Yl#vEv>WW7cftCKC4Gc1b~l-8bTU55Gz>ofstR`lz%Xb zhsT>af(ekr9sVEf%aA`pF7PgLpU5e|G^kkh*2BrMv~Ys*az@l1MYOS64XXP4TS_A! zijTP?(qe;E_53JI*I=$0zmA6jJXo0oda(#&i=NqRgs{kf;IGjZcDcL2B0Qy4D{LRP z87;R8F`QK{AK#e&pD!O@wVs@1hli>rDBAYnpKT?P%h%`6_PB6j*tC^)E}>_!^+IdU z^ZNv^YL}y)tDY{VEPv*wGCPfjIa%3*47`ehnSx?kn;)H2D=3K^+6gzWb}Eh*<8ibR z%FiJDX*qP3nr-jD2RAvl1+?izvB_`{EDTVh-Smt54^N%{*6R1IlreHDw(m>CJ%rgu z#n>EjL}2`{Q((r4who}quW-eLk#x;@8czeP#)Mwt32TZ|i5Mqzy7& zh6}1^CBw1_C4CtDixc>WStm@sbcye$DGV4Pw%S_HKDFrNp2#Ls&T&=cPlY`7vn0(~ zDUS|rD8H!{%_GS%;qn>D?09J}PawZ3fXk&nk-C`AJ>aerGXK0ri_bUDy`r4sL|wO{ zppeW??g86017F$xNP24h zO7N&<`r|+8V0ILWro3`bM=(+k_T)#4e(HN1DjM1Fzt8PI*8iUTyx?66BQm#LhvW0R z2kzC?Sh)W$xMcfi=o(*D-&G&c1%pYiI-mDC#@2+vW-XEYQ{7-foX`<x{t@h;hW&Zx_8N3$YwFi zs$x@qpZ6yGIvZ$j_GWjUeJKxlBfJ%!Zwnq)8>BZ^}Z$12tep^+->|XT)=bhGJ-wXi8p%RGVvBd6)%+p8e3Vo0c9zE$<_kJXlS{iu4EAK}+Z>zOgFA)B-JjdeA#-rY zehTt)j1G0p9l3ovQS>ui{eEXxjc=oysVH^4W{N!+m&&Li`otS*Tr((p`9o7J2Cm9i08{>QjzD&TD~E$%=RB`Wexo&9GarVb1i z7FfWa1^be5Fy=7iNx`it{}XxJ_`&|J_vL9{5!7pS+)e4n`PwoF`Jp`8fAT_+9)Cpr zAR59kiG!%^j>{Xovy_A$KE)w z;iSP9Uy?MKP5)}^r-%`FwQxdCP4kvR3IMOn=aUs0v*>rvI;U2gN*Md0^RZE=$y$H= zM{xRMlg8j}Z<1KgWUKRESc$BL&zs32_fH0-zIXhko$HpRuC#UG1yh4t{wJ$V?L8h& z;~aCp*%{n&!Ec+%=9e9j#I7fpHN;B_GCrbem3i&s#OS@4g4sI-vw+}H|@ zLEGvA#r`~k_!EAdaMqvV0%?lC{nE9jOzB=0mG#+`Uwt7!=QGCM%nW8CtQ)$a ziS{=G?E*&sg`5s=z%$@EG)z->}2l%SUywH_=i0}(C z5Xcsy@3B0j-k#M*1g!mWi}Burq-U9pxfz;u8N68U&S=ccN5lW+yG%i%3cU7i*dTwc zygqwIke<)X3%4n?+uq5G4Q?hVYg1)^Yjzh2P!U+$w`OdS$Tf(zmRt6_sC0m**$t~i z_yD}rP*Re60`-louL9E4;dAmafQcH z^P_KIZuM5f)shXd&G=QgAk=$lXV!PuapG7K)ma2p@>iYY2#rvmzj4ljQR9Za=#Rk% zpI&u|HEnl`f39DJw zbr9}%5?69O+nqDT!u{(*0MYcNAoR(e484SKWa}|=3;lfnPcH)Sx@V2~4|8Lrd5v10 zQ?f|~|5BwBU*BIoCi=_l;^CB!D5Ic%77cBs;Q0odE5GEMmz?9aau-Aq`#)vkPhXhH z+jbqZT-Gvg8P1HXZnWXT8s0=V0JwsaG)krYa>xlWe%sO_SC=Yv)K7ZlGcn5v6LS}K zk>Cs|+Ax=RSC0R=zcVs?V!jSV(z@FMZv?Q=HCEyDHtWJ<@wVmn&UI&7)|h3UMxIB7 z#2$tv-QfBdO9z}T9N*5fePc=fGVw+W@7b40Na=YKtbCNTvRL?n)h=sCZ<>Kh3x7^R zsxwzwS)~%m>*A63=!E`%B}hAa$8==uqQ&V9&lXmwrD$F8{eEbr9RT5lFTZY+uHRl@ z=Hs;Qegl`&3|YLVobRkTrL{u{qkhgJ_4p?q4_Fu%w}Uidd`8|Gvp4fhDQ=Mc%ZE#o z_oiC5L*F08HI9R+jfxdBG^ZKCr`($h6Ttw;|K^?mBHp4xz)`?U)#l5kw z!bzcLZYs?r2FtdMSUfvPs&bFP`4<7rRq0UZ1sHEfdF~xip=(~Tya}EwrZ}6YqsrF) zZv8(27*zi7jwD^o_xm=DNY%8(>@|7X-nFtY5(SGX?8@+cah+(bkwYA|w@3NoV%W2} z7Fb1~>5m8f`-2W6c4XH|yYl!oYH|<%O3PSNIQr$KrpXItUkF9C_h2>YqqeZw{98$XzmqdwYK=%wL1!q=Bab`jxfTJC4H1or}%^Pi-tfwaLspX$+g!jfoyxskW7BuW}P!JJ3xL6$iKX+Z2{Z$`FOhwg^ z*gw8I1UWWN6vlW|y}{LU%&YJAzd5RAdEU%JnOrz!X@6Hvs0SGGM?D-E_-<2daAD)buNo4QRcwM zBihY>Zd<2Yr5o}^j%upxdvb2$K*G z2^|0G{e~J;wWwuz2dhus;3U0ztF4FEf#ha^IrCfm$lburfi*V&8Ig+bCieHW!60uG z?DWxI`$`x76Nu<)saxgXCBf6nan)4(?^ynlZ zJAc?XasHXo&*Gbw1+ED+J6X%SMaH~KxvTrxU|M2^=UVa2je$PMo^Bh}-$g1vEfgAu zePgMWX$=u=?B>b$o6R@vrq7pv2J%k7q9X_kIT~rwasm8wX+fXn<6P$tWO`iI_R~#;N0a+%ZCm`?2#)rB33k|_W-0vcBeci3 zB713Hxh;l>PJKeBvVqzj33o#n&NWu9s5G9r{CXDqI=4iXmtKEXRty35{Iae8&sZ5& z@fiFTHGk`$bsHq{?E15Z?c?pxQoCJ8dB>ZMWx-C>PPHk}*jD>TukP@-m{@JpIrK6bXx((1>9;{(FB@|mY{y;tj1$%#dxWmRU_{I@$7yO+f zupSs{;7eHEy@poXNvHHNSU`Q}WOL@%YXSdII`=x^hQfsDRcra0#YrgAg^cnZUN_2` zAGSWhp$lu(o9!)#yWS#5Kava#sMOw2l+1z<6HXZX0|3P-itk>$Cnk^4xCAQxXp%!?yv6 zYOYPI%nDI^gV;H$w5&+gB9?SR_2_{8$yS&jvltF38QeCoUZmx!* z2+C)B)BG$EMF$t)!Y49#U!%zvRMdW0kCFCu9zUIk7aTZ^(bKI>1VBE$~$?NW-PTF4OHea&&Rq24R{308!(>aLq+f%=$ zsY1Jfv+@wo1waxyx_bHskfD*WiMED0rW2d&n&h08mEISV^a1f6c_ZewTfuygRxVNl#VRQ+t#UqGbD@`!?R1~mq1`hvu<8syYn5(z1T&}nnbN+Vu<(j&LM)*ae zm^8Rgf38zhn7(U&h*MUAeq6tk)1d>wMiV9Q2>twiy3>i{`kDRdPN`)EPZ)9SaF-ab z+b1sv6~!doPQKhw8+Tt%HUf@*9*k~ZZ>Ez(LfYV9{nI$I51f*!>?FP@MLd%RXY@aF z`eK$YV%!fmaMIP%SMOJJl9SOV_2Zo|yXksO{qP%3y|>Z@Hv7?b_AHB59=}=i``d!8 zd)9^XJlEh4DLgG5@bou8Edg^1QHM@Y=KZA@5C4u!$M95_-+rzA&GHfPA^28?{;!fq zz&p9Z+tFxZqy=9i@M@B$E*0)jEP!`-V)Kaa3QRy{0S3VMir~N_xcp_ryWE;!z)~Bl zvBd)%)ENa}wPL$y1r!E^1a-n>B482w39nJN$k?0txdifI5_}K)30gELYil>EsGUAa z%!ZTXJLtdpgY$S^2j|JtT^JC(0Rq~V&~$pb2YFBreRa{x2Km!C759@YExLpZ59IlF zCJbqLo$ixqgs5caXwBL0@V$!|M+(088khOVXiElaqOlwu(CI9Xh{-SyDEHPO1FR5O zmysnY_zS3-)HFYol7aA&fEd6h629*nzXQ<7hwoC-7W0in7K9^pgI0B-@|BpW@f5;RuIuI!d_`Dffw&HN zp+K0Yy$fM>lO!8HdBD(EP*Y|%VVTA<|2dzx28cw@SM5xH=c7AVC=b7^DovBNsVtnxk=%y zjc>Qv4mIWLDRPV)a>B71_^phjEj|oJlAiSVCR|b&vgGkpQ!yqH*W~C*WFh`VPuD|` zt~N;zW2BXBHv%cht6mkAKRas-DyUf3(@k|GY5SBUAm!theohKeda2Z0I$jtrW+i~C z+*TFSDkakS!DrUuGP`~f=XfRYrw0r|e$&;_$3WPyun74cQy(-F9o-xn=hApWc>zjC?gBaeRs~DJOk3y zAc1Zs2fWQoi1phqGCY-`lCDf<-YAPl0Jw*u-(XAx_;W`cfk#NA7{JLY26FG~17MVL OxyRYyz4GgiZT}BrP37$X delta 41607 zcmW(+X*|^J_x{d4W(H#$J7dkhlzq!iiELT2WT&h#GnDcj1{s5g_Weow#zWbs7-UNd zm4?X{l`%-tkZpeb|M!dgbH6y}-T7SSoa?&oaV~V03k5w7&jKL;1b_n1r3C^%slSi^ zKMH?uVZa01Y;m|XrH;O)^r)U%(u+U)w_DHAx(w@%`+7RU#rHNM#h^ zB^+Sxr5@@(L@;M68pWj9$AAmf64qQGA0onvEDWuqRqOl%_xEs1huL7Kb zte=a9_<<4UQxP=R#x*9GWyEwKaxY}(dC}5GL z1eA4VvPW~ZpFBllq-C7I`!ZnZ#ipdb-+)M5Jv*E zlC%CMxNivoPNejtRG(;~Mne{qEFE(omaO3WkE(aP^JWSVb2MG^sYk zG9AN!kWJt)5DYZ!1Ob7-zDs66Kw=2#aNM{i;KNi04j!feo*y;=4`9DyNG?$C=2R7Q zKk>h$Ou*rT#y@(dY#{RLh<$`WSs52oA_-^T!*~ zdTma!Ghg{Tk(QoNQ+(=VXj)g|>s~kt^im>)^*+Vx{$kVr{1V*}m?x`w_iyg1I}bgx zfLZ2FZ*T3&Rv^y!`CLmBF^gQ1bsZk@Gy8qj8abgIw-p@HELNf=Nejr>mEML00g<^E zg48I6>nfiPFB?TJ@#%)8JMqsu19Rox!%~ep=;3wC8rZR=#5o<;^E0jsO-^dAMeoL; z>-?Q!FMbVVc#18wvh?mm6O1dd9<$D0z3#ZZ(E@=k7%1OgncS>i73>l4_Xu_i4!tZA zNGy1g#EaRgo1bC7iu+M*;~;Dk+E3n@4=XO?3*L_#l&!ZRe$X2h1grGcB|!u9#)-uR zMq%QE+}5seBpp?=GV{8=iN&S(KjKIhY_-bD!0ItSs#+%#%6nD`UDHY|h)OcUjuXDp zySnH{Uq(+a8xMkwvt?~T zslXb)_b=me1ziK}hR=}u&GgwTnVU8cCR838nm>X=FEEk$u!@zd;w#xJRtj-A>fb{Xq#vXOroFCMd7c`tj+j^py6u2f)dpd zs_y8apP6-(Zm+Sqx`I|X?-3LIy7BAs=SVGyt`Ol8j-`5yGA6%luPc0IBT0^# zw4!Yh>K?50Xs2l$#l2%uW^cWh63G;akO^||2Wv1V3JFJ7gwS3dC+^m!J=uBVKYRTC zPDvv=PneAKf3OD`x>e9e5^FXzct5A%5nys3HjG5xyHnciJHlIp;P7WH7qlL_C3Ts} ze_`z4=x^zRa}O@s_HdE6z)s7bq~DhJCXaCFY*#+6uvX!qVbgPrQ<|fZbTSi3yHgec z-udwG1z`c%gso~$xqX#-O{$Eu&1Avu)S*6$(+LeB9~eP#lNC8M5H_msM77d!o8;vyGdJ*C z7q;$k??c<~USZ{Z-evVcxgI_F0HA`p3RhoVcCz_*)neNIjh{ucgjp#LXeTJ;4M|uS z@I}S7mAA(<8KPCjz5}|V^o4%oKL1%s`dMTQG-j-@5%uY8^YI3A5FugsitQC!L=4(0ep4g zpj5@aHO=KC*-(JKK@h4~Di@jbMn*7pFNLi)L@hC#Hpp?vT*liCz7_-eM;#+18Z6D7 z)7+LWXDyG6oToPnDa}ZNp;%fs^1rE70*B>?Jj$0y_C9p%#38LVHQOACOk9Qo_CDf0 z1#8){ekVC=ouPCVnF6_}!si1H+Z5MhhMg)D-Vd%!OOWW|Fi0a@{6iXkQC(?H?! zZl+ycINjXnL{h&=$Np!%gwCDq9b-P%{H}yGoT~=%zi?-FGarK#-E_6>?V{ygzgd}H15Y#cCXiS$+01P(+BKNAi;e;!H(*ix9FAT%K%(FB0~DV&NcP1aZzqGlZt$5LBH_2NW4< z)+5G{Pw0A$W0{@gJ%d-t5&L{kkj|xlNYbTQ3GK<*uhl5nY z>8J{H2YH{N2o%6K%B~*eKhRv2Xq*E1^j~;S8&fx%rcoRDa7_Us&hM7~lULHeOyQ-e z3UlVawgr?GhS&0eogd|M9Xq`0vxtVCecdkxX$Z3LPli`W#x>GT;=SZT8e6UV^oCnDXwhj#2DBE(TH$O zOdIT{xDJ09{4jB8C~kO&gZ?6!7VxH08IwF5Tk-)vZRZWcYS^aeWC{&p3_%0IgHJal z4m3i?5U-fXOX?!(3eezcIjMk$A|JdK@6zSM)jvjjuyiXbD<26j;SeSsM$8*=+D-vX zn5?qAH^pey!@xcH&HOd@c`#|>J>rbL9U3C-TKE=@hAQGCq0w*B5IsyMfgl@TzY%Mn zdjok>4Rvo`5}C8VBcI8927jZQU_SECn?Qtm=)#$0qW2bGAYdXYg5<7$d;Mk>&M5yj zyMi&^cWkK`{v7`!HiKO<*6N7+Y9P2Y!-NP4iPh{cKUS)N!+#6`UDeE7YuLlsB$Zi- zNY?D)>hsTTCXNdoZWh9MW+_<#BkH{xRcd(rgybyK3b=dEfcedtO8auSanVB^(rB+I zf)buohwgE0`FzlTwdcoYx0ma4gUafS)<$2mKQmlsRWT>f%-ztT>f6spoa}#WL)avU zd)}{i%S{n{c*{B{u_Vs3AGCCo1@ti~q3sMl`{R@QA%ix7YaP7;aSe-QPg)MYHajHW z4x4+$p`$(1=5rYf#xxD#odOwENaOf95x^%rVKCa&*yIn$VzIM#NP!DZeH;ettC6S@Oc*0{G}J9%bRv|M&uzzq{Hq3Vr+Q&{CM zj>!$@QTV+RNr+Gd}MR^ zXZ#MBB+J*cC_INu5?y`Pfz`P#KA|tjwBblrtLv!@K9Hb9FgK*5e6wZpKNiRkZXJnfr9#>&$AdEmVShM11Da!0 zJ24L31nZ+;Vb!8c7L{iNLLD7KQv9cF!IG!02__kprja(4lsCl&xBKL&EpBa1gEf#@ z$zwVdLPfYf8DF%cgywuci!|o~lt4E<`?pM?vp8U0rbGH9x~Wy&MWgp*_x`1PRlWVF zveTI4f}K-h8a@6LWHKe;YO))TQvfW0{cC8EeBan zM)65PMR9Q7coZL?Dsz@sdi>&-SBAc$l|O98$@5lh9U?H-1YJCE&Xu;4-1DwUIClrG zV%S88tlW(hD=b5&3WvCZ8>nU6W$}WT<=K@&k^40*kP1@1Pe-^>fBW+yHNNn7(sdOZ z-USz^1@gQqwvoO#q0Lbpw->ldyei;VWntSNZ=ass(!yzS1;d%sQ^cs%XAkh|c z*V9kdU!Zc)-5@X@{=T%bc1V=df6akdcgW(ngO7EU=SHYHv_N+71j5i?Sk z$?4It(lcO8YzFCQN@OZ5HHG*iJvJW6iOrIWU5Lpb8Z^j=MkXbVrAF%v%1d`A#~zhu z$ENRdN?42pQ)29z0izKUl4djErCM@i8e^YksQHqSv!_G9=we1J>3Ds#M=L&slysv1 zm;mtfJmO4FPHS|=MOtx)PRU99n3P>4J5JgzVyc|;AQ*|=BezM zBH&+nO*Z*ZSAGnmgIW;QKp8cFPHsnnl*pqqNs&=Tj+J};5>higqZ~&*qLOo?KC^{l zlFg#Yd9M{#H6-eAkqJn}U5&MA8-)@Is)}O`Zb2>u5~gjU5e;!RTX2GvC863mW{$M%RoP$7ib#e8qyNV&GcO z-;_iZVZIY1o5d$M@*zgaN+Pa2DQ|}3bbx|m>{yx3f&YGD?A^&a!&KWNif)6UeS`(wqCX+ z9gR_0<+vbrCCGNE>V{N1#V19B3G#%OYmv#Z5~Qr;3>qV7Ux_?{=@v^`Rys%~z3Pa9 zah8IA``+k`&FV~ONFiEX#Oo%1Jeh$3`p^mABj_W;2Jg*wzka>D^JaHi!kN;_1Ko<3 zpV0lHqlEJ@pv(cY+1Qw~#^jWk{Qj5MZ)|4_c2gEt&PEQt)U%LdYo*zP-&|330caoV z|u8AlT>L zjmTCa)@ej|^&E)N^MsI!O`e&2j&rv96UB)*x;M0Ru!bjXv6yzJDn2o!BQr@Z$>>hx z3;v{vXfvS3c1kE8@eI}nBbvS5Wi;I#9zUKj@Q!{aMj5Anvo=~bs_s%!#na|fwJ6<- zOO_jz-SD)yqbeuFd;R??s;}a$W3Jl|h9yt=$A9N6WTxJ>5X$|BX_TcAlC7l?4aw3b z+U7QohIB*__O6B zzRDR#PewgpDe!=?U75h$BZC+1#LM3yH2!IzM&U2vTcD}wPAqplMGeZnLn(9om^~Ds z^TUzzaxOxja53*ez22jG-g0EG53x00Dk9BN%o4_@Jo;1~3P*ZBwYD(2=zs8nUM<$@ zc9`(5vE<|c{ff|cgG&+a@8g0YPib_RMtgo(GvwAWpDJlxjHyG=o?h{T&=#uen^N2D z0hM%Z2KzbMIg6P4g=+p#uBi=$lfd{sSQD+Y6FM!_Q@APs6$J*;3s(d-o4eBw z);WkOyU}mXEePryu{qZCi_3yZ(Rl%u!zbC$T6b;Qrwdz)Fmps1h&C=7pl!n2b6}LA zn+v1h9w%8;$RqByFi>=#FKDb=dfC`W%MmO=$RQ=Ytx}J)PlF|crLFFQhh<0jaLpn_ z;0!2M>Hp50xWL2%pd51EF-BZu&M`((WWh0tP~&GNCm}>(>K*phl|mkVa=g%2P%DC`O#nr#iGMG83s_0V>E`lFU=h?|L{S!UPW7z+ zKj9m45<}{>@Fp59c&Ejy;+f37fPWPgg%_S!dOY?IIDCmcMX zxV+`fC|fRBsmMQTL)w=HhJcF8MxBa%%POZF6`TU{*X4DWYY@EEQRPw%OK-r^+lHku z?Cn$R=-DloCYMWi{Ppdl2a`F5HdGQ)VkfOrWUK@?g2NY?cVtFr!dsj0YJaXK|8S)% zX$kR5kUB!noNpVmub8;Vt_Ct)=h)p<&1R2(1D?-G-BMUm|4UtVfKtwCc6E#R76Fjy zg=LYqMX8%LWbvnBBEL!KC+ANGlyDZ5C_YtV$ZCq9ami6+Zfy*xh2wq73=1e5?{jDL zK!^hUmzY}-^t`W@Aiosr-t~HEPEBycc`q)#5bYEym*0u|y1-Nz(P**>Sk?{17e$m@ z5Oe?co&%OiDSVEWje9fBf;swW}BQEV5RyXC;RbqXi!#^JeV*#9sN== zNAb&MpYx(5;ZZ=N*P*C7~R)V_;;UUn^6tacs1)QdyzkPfp0@p`uDKb zdWQC;&CuU=LLk^bE9B6dFLzzNAo4<-8@L^j9W6H6gOZg^O46kDc4d(XJ5O<-)@pydf(H*}opTAsxQ;d~*6o^EKPn&AZX2uqY; zxQw0XL-i+JOjJpCXfZAsvJZ275Tc2W`C-4DkRzIVTHKcE9g^Y#76=SNSGa!)ILqAn zKkmlv&r6rZz3HEVLiX$Or%KK}RXy(Z+E~|YZevedv)-l)bDBhp4Y>fo&xv36Mfsgg{$q_(y8Z~ zMm6j&gf$ZCRd#saYxH>+>&9U>wj9qu=&KMXJJnT_Bo$*&hj&5H*nw*{+3|mJ<;I2D z%dm{EA6;Iz-HZ9ig0xhK_0%14JFdICk>RW}KDzKxs&XOGA@#$T_nq6uW4SZSf+hr= z{p_?E&AI;69ja6Wf1i%q%AkE(_~AVwRtA_WUWQqa9x-+R|03*@2xVWx7*L$MU_d+xoSuhylJE;hICJ;!dv zA*)nP1SXf|ZQO?o7TebqWj<=&v=pZvX(j_1uz< zRnEFyQ-T}_wA{;aq-(8H0ygqdY-g%MvwGRlJsLflAQK&VtmyF8I^>-}CyW%Ndty-C z5(mVr%&yw}R=JM9@ccAc?Oe@mx`8x(MO>598gTv$x^}jnzSaw{ z6cn*R>SjfTe=7V;hP`24hMj({E)(wilxLOyAj`7#pe6ipD11*P@K01H_u@8$>kZ|$ zJ%bi(eg`NHuG!Zz+~FrKWLTXzPqF~dko{z&RS8s7L zT;MmB(#P*fJ3Nrh9G4j= zD2(KqBU{;7>K0P1S(m)7p1d4h+q$CJk%dGMFou)RV0i1Nnq6eZVo8Q0JfChQV33cu z@--sKumLQ4EfuN)r6|glEu~vgnhVQ!vp=gi*>~e5I9AXY!!l@V{*Oi?1*F6!ZRe*) zQ=;pUg{h}oxmq%IQcp61;_Y{Mro0Glv3XJ*u+SQ$WrkU9RnOlMX${1abGuuQ$lz^T z5jy#LB8Sx+k#9Q+MVdSGM0>PVU>4=-xxKfv?Add{4s6Dh!wg*QDO&N^|ApphJECkf zRA9>Gnz>JpLx{&)C%}BNl9wueiB_{qFSj>C!+zFOhnOV&oVajSkLVLbJLnrgXLR$V z5{~PBhqYV!)fBG&J4iTUIp;+gOE%Po_6IIy*ewES-OTo9n*H$!NrUnx2Re&UT|MD{Ui_GGRtFLg&MxUaq;rzny;Ji?*zKNnn6vn|4@+#5 zV&$ULTK<_UfczP=B&oUjdDWa;{Bi+DSxF)Slpdo%Kk6IgQune$)ilTu_50Ln-9aWK z27WtSXMuN}ZMbx2?q$FnebRqQ^&eUO{9nM-z|Aw~%7lX~){7A(V|jyvXdJP$VQ`h2 zo@HPiC}gQtHK~!TvY}tG{zV&>ezM#3XU%FvH_Ur#*+eq&Yu~(mK`x(t6|W%I>-< zZ?_ml=7n-dM4!{Xu~HAW3mlu{WQ$c9{c6;JYrRd>ydch`(xsP8DiH6F!Xme3SP$3@F-gqJ>8znyO$$52eVkIqd;1Nmy_k%5FdQ}2?? zJrqBL?dRHzIJgNYw(cFNL^W&cek9k(fGb3#tI_v2SEZEilveToo5qz4_Z;6q$o~)%?iYqc|4Ywbs13d)1LIXL^uvaB zL(m)%JOntnMYAioOB0ay*M>40zU_G5@`N2HS+&Q#) zj@?NGdF@Tl9)`Jby5{1~-#@hc3>taJXj$z69|#?%a`rr5(0dI58^ZF@iz!9P8#bY& zy~Ty-Kq2p?vg1Vv)tV{_1hCfDr1qA2fP=g?f=<`4(1FNO96a`4rkPF3b_U)iGDDXc zN!Ctir}rN?4+(hsPuxJpkt)Iz0XOrD>}By)`gYc>?Jv3CxZt0~AtEum3d z#c_!^@)ici6@M6VP8?H<=4zR^pg((Yw|4API+|f9LlVi?f0MJhogljs&pr#Y>D^H? zna3EGy>ZF^uIj0RxZM->hW-hzbN!mta88$I3pjS#Ya?wrxhgU(CiHxc_<@_B7%Jv+ zHo~d53Irf$tP9s&3^)3y__mS{Epz4VCZrRUluT_K4{Q|w}?z6ZMnTOCv)2z!E|NZBxii5%cY=ve{Vg#&1 z)5M|iiymS48Jz5meA90KRiFun6jln@=pcvfTauLdTQi3yY(FsqHgt^Y&!Y^?vFnV7 z(r+tn;e(bLgj)fcVoh+o{q2WpYJ56qCe67+;EuWq?mdyj{yykI)OW4@7^Aq9KtOk; zv7wRa=);d=8=!Ie3ioVVBCy$BvGNgskX*7W5;)NG`1?M>wu`UtLEqP|gHDk8=~Tb_ z)Uxh4uTF)N!fh!rk&lzj%wh&*@Rxml5IIiMF!1%VWiwmG%4%Ay+6k=gaUAq^BYzG@-mLkc_q@T8!3}27r zv+rCdg-qM#N zZPVZk4Gq~}YveKaY^k#FmAy7}MJZy0o|VBEFKa~7$ylf-tCQEO#rkMt%(5`14X`|( ztzfN|Y?S_4f`b_?TCR%6WNE@{WdCfm0*dImC#z%1>12;HcGL%phP?7xK5|8fWQYK! z#JWwfGKbxb)>e2I+YuoyDc-yviXp;0^92S7{Vq?pc7BLgJE$Vg#I@>1{AXYMdt&%1 zM78Gb(xyK&AtCH66(~tgb{FTwYYpYj%`1}Z(y0G}?}j0*%O*>&?A+U!4#pgnkEGj1 z^iTN)XRm%+A`YeS#Tx26D4vtX$&K?s@A1Mf$t+Wumsl}^u?bJFZwaw;BT&F4^**`z zjJ_;;qgAn+cX#XQIF5K@0LPcicC75Uxo3W9W~csGo4j+*Job*ciJs|ot)+&ZQRBYu zSE(If2`JC7VT9H~FW1w}nc_V5U8^CXc+T#gLYL;-e1cXQvV&DCfBzdgAHNNztnQ6-6+k%FCm9W!< zCaKtvQD%Jt{NEHZ2i8dO8)-U*54gR&o-aZNmmjw)vpxHR#rk@DU+=rIsfHpKyk?&i z9FS()ye}?SAxFW9Kaaa-P}+`biiFhvTNEqS_Nr#n^X=23&1YW_>l)0zz7AMvwHf0b z9&uQINYRGLc7FY_^j_Y^ivx$=CPS$mhDA5$*zDfVMFGD39V{u2{>Jx^QukUcoyg&X z-ArH)2x)2l)7xdpHmASM-KV;M(Ysk1UPJ87t*wjeP(s{mdPS*0SEX8wma%M{TAzi- zc}FBjyo!xVIzsQYiu=3ni>i^kW18jACb1C;3#0&L)rl!pX^YQh#V<}opkB5>zF)gaGyOf`TQ{ALgklKp+d+$0$|n)Wxa-_ zR@c^kt0e4Obe*ky+N;-4ISWJmH-I*?iYwQ_`n)*mZN!p%nIRYJy{K=u2p(80FL$YZ zKXyLOGC5Y1Ir}VDTiB&a_8Ef7DlOEr7mq1Dm>M1WwcOqi#e5kPFR^MMponVxw3~DM z8ZyirU5J%=_iY7bH>P8}@G&`-`s})BZHzk%azFCG(<|Yo@y25@NBHjX`Wio#ZpYZ) z8!7QFZ7fEvsUT*1Vs*&ebujmIVC%$ktooxLPiu;aY0y9UQqmpeF^|gisZ({V+fI=JX+5-S@iP_U=g+4=bDZ)>#N2OV?c}7uBpBF;m5OY zRAt|yXWy!wdvkN;%l_F}L(4T4vo{tU{9zAV<+ovQOC_s13%P!RmNk|I?U1$)d?R4H z+roj&-44MR!D?pf{#Zs%9#YO2eapSz+AEC!Cu!H)>ouvD+pBY(pRyht5{f$AS{_4< zJi@!)TJuv!1;7$pYrqe>YL}eFq*7R{#((>W&#Qh5hqTRLB4I8A!FB zy=DqgvA}-sxEqxDK?Z>SMncPLty!w4G%Hzf$_U4+VQfy%ml^gYC7LQ0f6ulg84wd_0Fpd1>ILl-nkZnpf$EN zQk{p$M87+XnouA?mCZH}7Z1JPFI|0SZc)w8Iu;}z6qAR?Z6u{;ssKgKt8jK>Q#XWM zg(TM>jzx^uF_XPoy_&lnDkr5GgkE^2?K%SS!O|#1?M{*yeUd&%odq{}L%#G52TLJ4 z=;8h+Z_Lyi@OMUbi3)(V(KQZjbRB?o(uT}qN0pe(%A>^s5jBMJ`x)5#cmrC8ofas^ zC&8N@2>R==11d(d@r240sUGBQ8O6+?E^f&v8QcF=zZAOGkK zj3h-1Qj>{JhEBS=k|AxrJLD97|D(yVI_FHj7!bW5-H^$6Jh72>BK`3-Dp70QNt@_* z;`R?6J>tF;YBa16w6w6$-)+P<+HIf%>=3;U9XLSbC}ikHrO>+gF|qDl(Xdm=Ua7bg zd@6a!N$PSz@sIleD2&-u2HFM8+fuYnQR+;}H@cE}t!Bxlk`e;;SkPKt)2uSt{ zFUDYO3@{knnxo6E+iz}LGAStQY0CwQJq3c@i(55B@K<%|5?Rnvioj~xW#-fEY%}S| zy$Hu+0*7CBby$xdFCMHjGF{ZXO(ZN9X{vbbyt9F&2bC#3ZrsIxIRZc)Z3+{Kw$p9m zxu>8O=G)|5)KhRvD)*~k2e~$gaJ5@~ zN(MK?CK_6vy1MdEcZCBtPXDBvIUpLSPDcfw8C|#a5X3djY9#%NW3!&1?G{S+-EP+K zug5x_`a&d`riQ98^md7eA>xklFmlg;sks2LXYmkYp{YJu!E!>x8qp;9_m9_JdCcs* zUAebHT#|yFqFl#c@y|D92lDH`AdCqlkIa_x zVaCeVQ?a&@O~zZ@*G2m*$Jk2sP$AJH*q|sM!u8cl2$HbC`_^xGokka7oDY}rCOrPp zBAUIazc;Av06yTBNN0b8sBd|>qw=d9d9xj<-JGTkSCjYO47EfOD5gW3P+8|kqM(%n ztFkA0j^45?-Ru~Lte7vayn?>F5JT6*JQhnQNgtoeg)WG@NnvO4SdHNJ&GO>>SkHh| zmiX(UI|ee}?r3nH1T=1_bcS=gLyBc z+m8+PzJ*?N->3BDbj)5D#J$)$rE=rU7D0&!;a}^fTRqPF@?5Wl za`<&ZK^{8y13JLF*rdtT@n@?;Ih6fn-52py%&48=m>IOAZ>jg9>zwyl=hQUl+Lz`E zq`%=OSZ@#+K9rJOXDao{_25WHI1QOLrc~Lr<$QP@u`Iw2XUSmuPPl>4HFQFiA5Gp7iYw_{uSbwzQ8X1nEeefRBnkO)rJW2F!QqnFX4JG}O*z5;5< zVGQZg`UEFmr^`LU<*+kxx}B!gRd_{|GZV!*w{hlm|Dk{*j~GiZ&Zb0Px|oYj^Y2Xf zamtUjV!meepG_b6^w0k+>bG3&C+wHb !S^k9~J`r zoQK<=2A|GYV?y_Z>B~NtgK&v3O(y$>(Yao;9!nnHqe$aOYeD zkSWa;n-huj?aZsa8`bjT64zb8t>TCLWcm37&<>1v0y3Q34L?$WtUM*T+g_quScUwb zh+W3W9p7z!Id7$my>(4Z>uL;B@Vh+j>Ui>2%R6QaI%BZsja0fFuf@*#U5%*Up%Oahdld zZ87Qtw*T%Q;GTXUFunI3Y`p!K*8kEH-X%~%YP>1LoA-~KvlEb?rp&ZY+m^8z3TO+A zdC4HRKqPHhjSR$};x(<4_cPAPPcpbs>&mQF{VJ+nDvwq{-d zdu(>+8+nKcVUaLj3*kSr2Oal3E(0MivWovzb!oX?q)-8S_4`**v}#uSL)Dyt+f2dv zx9}!A`lzMgvLc<|RIE@2J^v>`xM;k)NHMr`q}iO>;JnpVMt<$>K6rO;^y?7+!f#rp zCXk`l9lrl(dZLz${Vie zD{v0w@^T0Fh~$oV`xKowhQGZI-h1@BvF8YF)YI$I`bjKZz$2<_J( zCv{MJ9`SL1s@aI;hyX;AWi2NuQeuC9*i^Ep1w+j^@LEC@f-L`?cPrr1LTPKq&UWgw z(V*EOf(dj^ONc36|-6hw6d{vLXJ3Z+@YXT#M|EL zxFu5K?gs00Ht-NCIb}|qye-FnMNIOnanSc&uDqmAsdFT)4-F5!CXVIARXf5Qvv>He zo4f3Rsjl#1>Nk*`tZu43j+u+wd806$Oi)z)xnWN_=xCMq-@xYuf|6E{^*n)oZqP~Q zFHCWro`Yj^@r`=`F<^iD!Z*3iaD(#}$19 zm&hwb3-0H{^YiF$Q7MR+9V6YNxF20d*jreywp$RCx~MN7HQL?>LwAZj+Gc55+pXw1 ziMwP5?Jb56+GN(PQEz=4zncnlh*=!a`t;~y3W${0gz!RbB83$5ctVY5rn`gyT6Mpx z`@{b<1xDO(z=lLdAEA@#G_;|&+SQd$x^MF$ZFI>p(bsIqE-+^21|&QS#j|{*+U1Up zv?Xi*D5`ogxN29>_RY#BI-^obe}+}&2R9}Z4o~l`Ki1RUmv#YlgRe;yy~SqYJ$He6 zj~=5%Yv)|`X6#nWeDx>bR@S{-_k4j4UW;aWQ*T5>C?;2ywj0nb!kIN*TY3r*yw|sc zP{kNa1Y7ExkT-!}dHk31C7tc#V}gG^mp41^qbp|*d^{PwzD_#A1mT@Godiw`*7qJt%J@g39?nj3NysjRr2lwgNf$N%rO0mGFmQ~I zg1MM6rmKs02lXCpZ2;_w|wk3@=M$CmIvCXyJXf&7_jB3)`;zNOsg0!2I3l6dIWG{OtUhJ1EbG;ku+7ijaBo{ z;zkOdMnw!C7(ieJ{_e_073whzWQlyBV+Aqc>Xys-rjnA)J!L}Yh?nn#;OAc5-=;?Sapa^vZ9 z^L`NNP4A>P!t*)SDDqlJPY%-@J+d?@2wAIMVt%0`9~`R^4qo`7-BaQ7QnC_{2(e11 zX*4;seiuQcD`L~+#4il?2}48VAz09vuqufVTPZuAi=2=FLUVuMH4(gzg?_uH=Pn8~ zL0x2uxIAGzO`Pm|N+NIMj`#rmu=6D>Mk@S{@v)TL*g1*ukR4H(sBR%kk!V{ZWt+Wo zXCgw|@4Ke0Ub%Oy+4u`eGMcpS5~M&Z8zJ(qh(qb#gnYw^8a=^xA#Uxlhw7lkO6K{k zE0Ds@@h4(o6=^@2_KbiakkYSqKzm1akT(X0!yzYS=@k`fXRf4#m31sx=spPgb~2H{H_VQfW*PT6e3xxo@cVu{phoyW15`nKhK2M@`vf))HhW}c z)-f^ty0semp;H>)dx^#&+>rUiR8P z8@jUMr*G7X?DlVxLjKYB{GlWR#T$2257@{N6^A6Vekj4;;km2X-$eJXNR|BAaaWv= z|JICy%=ADLk;zX+6GR?T!#Eho;TgqVe3F&6!7tfQQ>MkXZh~*+J|LA@`=C~qE+ujw zsR?l!vODhl?%~{K@7MBF_j8!Af4+{=JI|@0qj-FAsosHtz$7V*s=(UXs$4nQR61|i zh^G7+3PkqLC0GavU#~})R!*0YPLC5e?0AD*S~m&T{<1lhp*(_7?66I8Bpsp{kR<2# z#?(leTSQ##`sM(tS7GL_(0}DG+Gjd%%$;c2)-ysImMc`TUH_yI<-L{%9y1vp=Sn@~ zAmZm9dKeMro4WXhvICe9f1U|Trx zlA6E0svhKN6=H?PhPYO^K zChc_A*?i~_4;`eI8fBE$rSta09`PT-)3gpKDAMwflAyhNSMH&%nXFd{e+rk9BqUhV zrXT)$OUyydvC=GM6%~;#|2|_V6)lTb7K>Y%p(Y?7P9G%6AYp?;E-NcJ&2;%y#>dl8 zn&{c0h0-Snu3qpCKHB3O_T-Syb6`T zeGT55cB;s}!VoO6uM#&(yb#Vzc&_A^1u3w07WQ*azG6UWA5JHN6XwM=?j=37Y zy=vGce=K~dyKZl_R#l%oJ%OTWVN4jHNP>#`PSyq^>A?~*4({-xsx+veb5j1+w+yK< zZNVkupG1)~q0=eVZ{R!6c;Y;Dl`d@2H^{Orh|LujLUc2{}?*+ ze$$jhiZiZAs zj_uoj@cHfYc)wrI*YmCWnIP!)ZlFjYqc(SOhCaaa8@R+?bg|BBzuoPSIgD~k>h$hu z8LEa5_39qX?*w^#MH6<1c_vA4KRbcM=rR<}|I|<|28gd);A^D3Pq53ln)sZ1+Tx2t zjQC~?)&bHSJhS{cq1mJU_$j@vd(BmyN^>?>>o-*%eJq=Qe;T7x3dG!WxNI1Yh@XMg zt@JQQztFuH+sGHcF@DX6-Z;TMa#{?s*!FkRTrj)az51eG;f~bIoJXg(If!M^#C57a z5qXnXL3_EbBMqQH=h?1Cc|cQ5al{o$#r!Qm)KCmB|JB{rfyL;QfeXhymXJ zqYzZFlH-(Oyps@KqEZNM7R~M+5GKq4l6Yf_EdMQ6e9qc-&gBWG$2S&|8*OaBiX}&& zM>mskY-W&KW)KDa(wI|`@TQ}jj!Xbj*nEHI5|Ey9n{_qTFNosDO zgOMjWR%&w)kyP&7Jvx1|qZD)mvvPXeH zfldmhnyb&unl!AqJ;z(|2zGM4`Cq$nQtzme%)v|^zwdw*%U22H&irqt^M`KLHH$RM zR8fd>`q5mdp%3+Ij^ga~$92pS+8|eOH7|qxaET*Q#?wlsN1bhw zZ}S=Y_L7T)c_FXngzS}c+5qMzu!%J#>Q5h=Hy0<1 zbMZf+ND!AiIHVwHGdD26N{?u-X&giZfj7}i(Jc73Z z&terwJ!ycWf$Lg#JK=FYS|&Qn-PG@7(<7d^Gs{+IhD}F?c@!f>PA)<#RmfG$K;s@% z-mQ>V4B-2GrN;RD3D+mUqxlp!C*W}Y2R9Rn&HPgpmLz9Gu<^t6{bsDKh8Gu`uYkDHrZtr;K?dO31OJQ1V6an`^iVvFP23HCt3Df%nB znC{)>jk|J%s(h;Z`(ne!J;^+V6~SEN)t~Wy?`&rIPaNC1i_p}MiPhmwUsn}DY$YGW zW^j6qB4GYC#|cAl0K?Th1SIGW%P%#8KhKTDykI$UR=}c?Ux{3IG;r*yWZ`){k2CvC$G!TC@ z`XS^P^Y-ZBa&Li!ChDP&ilsWEqB{>9FO1?AJc((eFz{u*0}F)-l`6_%3%@9{LzdF* zijzrv)bPL7`;ShiHqQsOFg|^o8lA5*nIHIn*Eox3a3riJ{=#Q->{ny zw3d6<_E;%z2sl3U=@OhX$>mD$J}$f+Yl^%gMp>WIYv`#MOzMsI z79m?RBL@n_=X1NgN{%rHM)CyOU3hD;XBjen+>sD>Ws(1uQ9OKlPSQojchu!wJxbX82@RP?Rh^-YEGTj1}dk8_o2ZWJ!*X5k8D7eBs8)^*xwFppt}k(8GnLUKljeJ!`Q(azvYQADX{t8TKr<&=JXS)AE8*QkG4jb>vbmxC<#d!zVkW^6`@KK?Q2nacx zilNm1T=05MN7|r9rFahkTk2Ce!>2RLB5!Bgu^Z-OHGR;vNF-sm^4?uN?uH+P{liN8 zcxKc^yDN5?Hr#oVIIJn}a7Gp!yXdUJ>lby(KB|CX-;HdBBE#$IPg-9UqaA{;XQ<%Y zSQxI+27=UBvT(Hih)+TQ6(lpsNLl1i*!|ioUF=WxApyTfxiOypyFau*NF9(+koO1Q7ha@p%-+>Osr2?G~;*!}pR?rAhYwfNlpmO}ZR;znwBIjDQ_1 z(dRujxRQ6waNUK6h|uT<%lq<^>_2wzko*%7if4~zwWL;9kc|3=e@X=HhoFI7WZjFb zFv=rw>e5x4w5OSc=|^w#uxI-!WTj~A?%s-|cS2PyMVj@tR5wYy%idqlWM>pIR zj)Rk1*@UJS+-j1f8Wv)~#W^YxT^&0?LXv$$zx9cwK}tcXFp;U8GjO+8r7g$`X9m6WNx zz5=h~kKi9Ie|HxIvtdYmvno8?_vjHhbEQp@{av;A5IcST4M*7Mj;cd!i)@8og!Dpo zhoYO@*9==z!y#M$^Ay?dX&cMKdunSu+&agu$$`P2O9~XM4%Wz)R z%s;kK;E8jgnMFWwn-#)u4uZeT|B05q_u};4)Z09NxF31zAuD?CA)l7Zki6zNZS!_k zA3VX`++Vqvc1yKbPcnK*R1WxjwDH;r#yEzpC1x4hr(z=KPx-XPgmL>skU_-aO}B2I zdl~UjLq*+bmueGm7ayP46^O{~Z}DA3=G5?~+NbhVpAFfs6W`Ieft{{B!wna)jNQzD zHc+L87}sCYQ6s;~ ztX7D-DyD_|QZgIUcQt;dMz<9LW z=EvR{NonPFUffE)wGpYsUKze71Ol4h+Iy%4fE=-g3;^*Jq;=jDvl0&mv z)~-W?*6!rR!1 zC|mNkz+&m>fxg?fsdw-EgeteO4 zU$SgR>4KU3LFOJTAF!bSeciTB-^e*7$+Ner)bDh~)grv}a@!+Vz>>Mu)!uDzMDH$T z^WF}4r^$Y<&-M9U7SvQL)Oqr$hEB=Pw~&=fF+aA4@<5zNyX+xB6NAT}x{xK#5|Aa2 zKN-NK?*}^%x(Gg=)0YpRz&Gdmr0;DDJtUcl%A03l6#;cT-!)|m1j>9V>bdh=>0N0! z%VK}H%Qxn6x$7D}H_H?h$~H1r@$;gja609XyT70nJ1e$LJudq>W~+aadCZLKv>_A! z1SXg8i)KNB|ApQ1gp|x)ZV}aBoECsKr#gM7O8&Oxp`<^Hat{`2ZD3wKadppBqulDTh2swc?}kUA;NEDxzA~SeUjq-Gw?I9L(uOl=+x9TVi4c3paHq{! z=sNEw5z*PdaYux!l2`Q%H2Zv&?!XGi-5(r`I@0}kZBy?q0}Qs7Z;}P3dr5q!Qk;2UiGi*+55wx4PUWFTeI&?X{wpP4@+wQ3?};bTXks2wF&g~;=xgiEh5JU4cj zFaC%O2JILAx$+AA^BxS@(&-Id>JFmi#PWmDv2*$A^*YG*LeZvT?+%gJ`tb zHcJ!ItwoI|v+k4A_RZn^joHsmf-jIj#m-d{Rqmaxz1xbSOJe_9p@cvHJEm$8>ra~QJZ zMM8*FAbie#)6&0Y$86tB(amUd2kD%u{-i9>-@e)aos4MY*m-V$2+2L(t%Pnm_!|ao zB)|6u2gn_Hx$~J7O5s@8@M)p;j3sSwPrK$J!^5N5cC+2L#PuG`Yn+&!#Eje6$ScdA z+e`SxT)F(auc!s7h);-Ax?~#qJP`)gqU2GqF7>sj;^K*WoS3{E7qq9 zARe;V^>j?Q*p^YPE9ujIUi|wtQ$3^0vWRd&)k>LQl@(o$)=8C$Q-3UW2h;Z*5(D3N zOtT^q&up;*tM@NqoJ)9)1?;O~)GY=pz+Y~;=6&AqyFz7#q7M}B%k1{0{sOtFUzuBy zurYt-v6)pWMLC*RLdiVZXPbpSmwRw?61J?54#$6+*#Mfe`o92<|4|}YrQF*VJ+m)m zJV{amDP1JPYfo674i^Vxm|z6t{+0&CaIJG5bUy;_q&da)y6<9{AHmg=S@MWKsX}t@ zf+GGIbyD#iMUo1N9YjF9j+yH@h?$wSw#h$pLj)c~QS;$%B;8FC7hCB_+Gd^QCJx|o z?&$Xe7XD*C{=;gHPW#yb(gK>D12o1drZql`k!7Dg1G#v{EWE&ewC6*V_y>^R=U=Z| zmBnvB*H|e8z_6UzX=lL)8Q`Y)( zcvwD?67Yg8mk=~#7XRLI$SgKJF(vIJdddPM7x*<2{~l`cGxu+1=XBHq&UO6PeW0Du zN#~$(tlfnzMYE*7%|0{ok>ln-P<+)tA|4BMJ!Ir9%WHmTgI#Ub;Bzrr!|SzS4h!+8!rh<$FEb2;WdUJhxq`4w8?9Dn?93VCsq!;N~H_Wqm@N6wgPWh~${75)O zgWlIA-Eq*>L4~(vIY2kIXoG2c$fbB(y^U?h)r=k(dt>vcJut3Kc|Hr4!v2xQc`ZQ_ z$x(R@I`;>`q;frED(~8=Wcpm@;v-~gW42tK2{N?z%!4r8i#iN1pPYSqn@K$$^H?+A%s(0qv%)X}}@;jon~SgOup;QR&4!-hdMz5)fozKbGlk>!B`R-`Om z<2;KoS8QDk>`Pq}wRHR4c_yy(!9awQVbO2=sahr#+<1ItiJ$!IckC|!WjIkVyi$|W zozVq?g7yF-ONtyY8QA5Jw2*3PMP0JcpBc1Mn?aBCU=MfjJWKQOPSw~H{-a}*t$rB7 zpSTIpi$M!Gt-xQ1Tq%JFiodO?ff`(W-J>?p%WlO-SkC1ldaKNCkYnjPIG-fzHlEy0 zi*fXH$LyOvt>IFa`94a5t}O^~pW9XvWABpPH88FLpjl+th;IQTQI=krB|yRAQ-79Q z>HVcW8qRf+E}%2VpMJ13r?VCSwoxuV0D~}7dD)tp`h+vQdHP!wP!Xm)ImC~KcF8NTeA;_sq_zX8q7{+ot&u@*jJl0=OX#&-s79Zm)kA|WfYz>VZE8O^s zR8>2CjLBLQM{Y^jMTCi|@3s{`_!_mPHM|>hs3Z#C+Gu0j9-;X2V7`|mgFC*QC7E;S zkKI0f$1YTw>Aqwv;$M48!cr(N;X*p6qNmE?2HRHkX;9BEH=N<{)LCU}axp82<9G$i zSBE6!UUPjgNuQDa+uUzQf(1O;DT!&8Ez1rN!#Pz}i!u;`!ZbY*>#b)6PpYePgkI;g}0xqSY*gfZaa>doL z2|)_~wiTT$A4Rql_PEIrU`S^4;|#WTkL~^X3)%YYCu@Vsu(3M6#>PRd?Vd+s%vgh~kYen`X*?EO7#N`1MFvjJYnZ?!q2tlKwB`-;@eHk_@YR#u zV2GBaaW_;lT8vQYEJ$hP8V|>52wQDXGW!}uB&DlH-r`Q`l%74LG_CuemIRe=A>(~s z@ex&2(x->6Pge12OvT6XYdjgpNQ)dYQVs#FPt0ld&Hf}dKPI^f+yZda*TB+>ttQ}j zgi#@WcV_*FnHE{j%w5~8+qh>jpw2rZmi)#W@yrKo)=Ii$`AU?!?JbE18`d6f0MbOi&0X{2Mt0$!^z3U8 z+x*Qg;m_5>0VKS{IT^QO0~AsOGN3s7u()5SPn!Y?kS?8Aw9=D8~u>p}=A-BnV zKl=EA-lgjHzI7X9-XM9~!a7f^3!uB=*Kh*wmGK{YKgcEH3+_nDA$Hd|SGcV`5#(Lu zHR+J-jee1KQ&GU1$$mQAC*75~RrEYDr*2~%v<1;N{KLTV))}d2i33Lg0dR5*SY(Zk zHR(C4=pX?)(@6INH6zsV!LtptIZ3cE2*xoUC!2wxYD)u6BAz;LDP>_N5AB@hHAxJ> zB24TNO$oyG6hyorG8~zSJQke!{*!wR?JQdR)z|#0u|#Yxz7^NwAk1c1`>r1b zU;T183O0LhEJcTP2Q}HT=anJoMHW!#ji6=xXK72Rs2R^TF~hR^SN?p?18F|v@#hzN z{Q40~oEuv88SB-fYVG2<1|UCXPtbSH@In=z<=B?4Hb{276edj4Ker{U(wj0RAHsKO z(ppv+JL4RO?2f;ioywmFlxxHUUR?2j^m16^rc(6x3< zE|cQCCma1#Y(~o``Nd~h;C{~Ne+<7*reKRe{|&}<33JL~xBTXs<)R4n>#54=t?0e_ z5oIv%@A@`1!N#@|D#K%-vezy54B=^IN(s&?T5=vC%DC&XBi_Z3rys5i(%Qec3(E^M zuDc~|OmJ;p8$(ogJ_~Es{ZSrKvRQ*b+)61*^@-V^&K@h;O}~jjwJXPR1c{uY8C0gqGZ)uD(3y{x!kPC8&($D zfZw{EB}0PgAvqa=w3$!S1COjS4Nk^@-2Yj?&z0~BCUxOb%nI4Rz&kcW@!7T+h6;bN zeuXq74H-3$fh2XeU}_ZF*C)V8+(Cf(_tG)ajGwF<7#2kw9#6zfM@ECb$pd` zS#a|^u15n?1@)VjAIxmU=~1BU5$dkcX2Lu6AS6M8y#%;*!fj|d(niptL3P+_$mYr} zZSNkO;We9{c8R}q-RCf_Zv&PKF0YlhzsH`%n$1t#Hh@kB`0I%Xn>U z{skKY0ti8Ysu7<>jSVb=WP`?G>ZJ|Ah=QLwicN(}hua$Th zPJSQ9)BJ<*Bo*({kxw;DE`}f5^|DOd7xtD`GN|0H zVp?=OG_~RRvvT{nMgYaK77exv>htw79fJnA`x%zT3C7q+ld8VD!)|Uvl(SLi>As-Q z1urrIQH5!r-nW@^nR+3zww9a+VF_no=3I=C4GYONqGxpQyL)bTFDG@3DPP)xPe|@0JRf&}+VjH}AaUwSvRf0?Km5r9`?!(tlmknxP*PEmy0&&+ju7 zhhu;;6jl97DKqbua4=#yKu&7qXU%0qPk9UpL~Z%??vuzAReb?>Fvc52+X0qZ%l|@pKtWMskRi6jw`LBY7vtGph)+ni>>o~3UMhDtJr5a`oTROcrS%-9d=`8enlcjFJe z3uMHrE5PRtsv-X_8*KBe-OtI(M2yuLmbL3#Tw0`jJ0yyK=%QdMRpY$z-^QJ@)WW@H zOP(6_*8-S$Nn!2Z)oP&z&`V`Py7q()ZZ*SgEQI-QuYN^f!^ZJ)cpvbG!xj^zi{IC@ z$vruag!p%YxMS6{Z)%wDWx~}8yA!?seA!TyWqH$Jh;MLKsIN=gk|rH-CK8U`{{`Xj|XG#Sw3hc+_8A(^lMF)eCnDzlD!Z$%F{zim&i?ROz0T- zeAuO+cN=*`_Q;Vjxm_6^x#TC3mOK#;xwrs|!E}m7lb6IG?CmAlYY!2nB}c}l!k^}* zD>RI2WR+@JTGsB1xW_iv{1OnKEmn)?D(Q%H!}V+>U8;H>thS*6Cj)}S!cycTaC7N` z+&N1wwF+V`?X8lx)A(9NoWD7pcU43#u!v{<9P8mhS)jaa{&~Zezrm2ZFZnE-YoEOd zx2?Cwx(s|4@EPabp}wQiyWvl}W`UkG#<|$Pd5Lf1dGM5mzh3Makh)tunXF$ef(mvv z^3yu*kC*BH6f3$D+w_T>pgZNSjD=ZIT+6^?wKIlq&Un{Gx?ZPYfmv4TMOz@+P!xWj3JYc3X+_8lMmJ)GU4D+hUi&vhq(D}cD)f45>2H7= z^YnHD$sA80qsYRgK3&sv<6kN_B#=hUjN6BMwC8(y*aSzdSu%O63^D3J#EHexCm#zp z`Y*#j^k3KUz%v{^MJk{CDtwJ4ltmG-&~_eI&Pu;r%XX98R=HPVS>L{WB0M3*Ju<_w zWWI*m%btM^@`BvOo)~bv;n;?(-0MDF$h+SHro4kSxphyhJ7uy|;@fUayX}{W#+;Y&c{={wO1N`Yb}Y46sw# z@nG?H?u$vS9j3|SQYI%@TelwNojeH{o3LT1pZvN*+$s|QR~JS8J=rY$dGduzvcoe*#n!9S4sn2X zj!ebMPR|pljs7f8Fd6aqIF`@yGe(SHBKBw!qqn|pLn2I$L$B3gDYVt>80IWP_vep? zn2e0?DcHq*)tQHR0*l6=B?_hlU9}immMSM}tL6hJQn5upSp3dN)%Ke4m>pXb(5_A0 zU;w*JX^BqrxaHL4s_(V`r9Uym@pR(Oot;oNiA0$sQUPlwPRl$S#@(b%8bSt!$`$JI z9gx-ZOAB_A2%4nG_+(H7+(zJb`pGNJ;h%9{X4yr=@oE3hzrL1fu*=)a_|5?%qD?@b6ZlJdX?SOw z$y=nyns!xXqN^$GxtnB6f!SWw*41D*)hwX)!Q=pEvd$ z);ZRFjXa$#l?Nl~lLzlpfj?~lkpdtr7E+;F=^gVmz@nP#ZmIF&Yy6Sb&r(+)HiOUe zmr2JdiGENG8wc5Ws22P(3#C)fp1K_%?RX)ZIo!g}v*33$2l*?vuxkfa`I>GC?TFk_ zW;kAVCwlJZpq z`pk*KC8=qTJ6!PZ*f7ssj}tbwr&Zcmy%4PEGem<>mR6sY?**l-;RHbgVGj=ACGWX2 zqBW!vuUbpV!qOmBra7ZgeW$q5KWs{jq8A%C_lwMbQIZz%l#Y2ut~zrtY6f0faxxVuc-53q55c)Kt?tVl zI$L6C_e$k*^j*TW-m0tJr*|WADN_X}{wZI+{n9P5mbqLKAj$9)P~Ju8loxX!$W<{s z|GCokmPt^HR~7u+0c|G`3(>+2Cf9C2S~q|@Jb`OK>ox(Oo(Cm)9WUt~wObwco<6WW zF(j|Hvb3Y{^cBmU>tS$tkgh!=FuZAwy@vr=Fa6Y9_ZJfnM12%?MW4S$SAER>!_HFX{TjRc_b8AyUrir>!~gewo~;}y z(BSF)tmC9mx2w#qIKxVT0mUVAAcN=4qx;hN_p_|d7rPw@-3E!dSd0%Q6NP-j40mN= zl}=Rw8Yi6{U{9?B;lLxeC|>A@ajtsBzJ~4_r7uj0`wvOV+*Vn5uWQt0s00x{Nk(U0 zYTvkB9dn6)p%^NrJGo0r*WY&eG25GzYZcFzL=CiRGJ9DJZf?J%{4Uv#{vf@W#N!$= z3jMaVPtu5%#6w7xMtHfWX(-@8l(`O794@QehQjom^EJN9~lb*Qd|B3h$*OB$rH) zwRNn~@O$2sokkRTR1DoF$y!lL^29yZwrEfkAg4+oUrJs6o2bE)HeMaERpI;rFwIEX zsd`}U;4UV0g7sDAUGwo0z(Yc+X9r7zs}7%IvbyECVcy^@s-0_zxiqjxAT?~{%j#fS zk6L8tw2C~{s%L}uNdzhkmq}IjE8Us9EXboi)}C=U&$kW9vkgIQk&d zeZwdsL_i}5XTmRY895;lP?u+`J;yF&m#8wv>zuZLIq_wV@Hi&3twi))s z|M+8X1Gjm>S^NnEG|@`(M@0!*49Q5_sf7V zA$_KqeleI&6-s7}GO%gcS!Wxr#9LC`vXpe<%^u6#QuZ{+KoYU_L4HWiVwQwP(QLDY zn`vxu@s#EF7=g6Gs)pLdenx)9YI^iC15%1oa%(z?d$0P$-PPJ~^9r*UhLCJh`2Ku# zsCoS@{&hOoZvF*macKFg2IafdF;%8+=@uR56q^;b@!AhU~rRLkMHNrNn@SfhW& zi}M;@Z$q3N{}ghaW`*9Rvd5^YEZNNacqysWvffN}L_~|`YngHO$_wH7Ujl79;CYp3 z1Jig1o28gWPg(h)MJ>zVM}MPbr0n*uX)LlAm$(T6l{cmhwoqlzUdkA=XuM+i$fTaf z$z}Yx<$ozj$f-p+9~t7;Jn<`EFMY37sQ*qd5{>?nd64~W`#RNf7V2-AWqDYjY$B(( zrVDUjDVHcJh`5!Q%zWp#-O|uP2q&wj zW73}gxP7}gNv2G%&I7b)sx=-ibG%Hq&+;UHdW|+Os&^Hz+8`HWmhr<_`S?7QYvbi7K$L#f}oO+v;KE35B2v)+GWZW zNT0NmdLxxX75d3O%?^cB$zMHGNa(6J)a+I;^fM`NlRKpHXeH4#lDrxL~gUm(-glUqbOdl!uo>M#t0UmG42217N+EZiCiT0cJeX|-`;6*~tK|&!1 zjzgx7Z_xO&2K+9=uW?-kobzPZxo{f^_UjgFXG#SC6Ki*DF?S)L&3Kr!W_8eOXL#Y8 z1v~5K33}xMTiB;`FOz%4(>XoTMO4N9$$kdCUv5J|M9AaP7ho4#BVzEwPEU{OmZb4t zil)e)`?!U(GZJT0{~?Zj?_`bWxU$xWq|}-V8*L(ay%G5;Z=AQB=pQqw2a1_nDFnes zNXkQutq|AtrbmRl!e6t~^gNljXG)ePs`+ueKHQrEydi2u9R7Kn6OCUa|DW&1FhSST zz{%^64*P20-;jD+`x%o1&C3X|JsV~dIq7B?3SWV+h4NcL}}jmhVREgGf!W00zp!!rW9 zndomXZ-fMLOqfeTw!-P}Uu9#;zx}*8%XVISrJ+1zD_I7&%&%=S^9pHH;k$7>h5dzn zB=hW&4w{>ABkvCCT{G*%KA`Vkll}(HrXs+Y17RKR+jk9y-qs+?uTd!y?legSa*#UO z2PB{&_yRkG69wW_*1$kPj29&+nEJ-6Qjo_~;zsHzk9~k?yIO;n4#oHA*dD~M!oO@w zJ7SF?lkrW4g#r-<2G~<$YL#J0lw&!=qtRQZE%bvsAttvxEU|ZdkZi-fzjd##zrV#N zY;RRDRu|*vkN9B5H7FUV*!4YAstprw97o8$l$RQqg7F=-5tR(I6{kENL6BLvQ84zD zpa90zNUeY?E`|YUwq0OqRo2-~{fIj{|+y@>6}LThq-99f%& zP?oUv+jFFh5mPe7V(?f%coONa>6#bmkJA*{^;(<*@FJ_$=$&olJfmVk`4>d;Gi2z>S2%0) zv&Rnzm1i@s0v0uw#AV&FR9O;7Lb{FmpPd_QTj)$t5$h9jM6;5 z16kJG0p*7J?R!0%F0n8Ho1Yu!4kxHj-P2*Tu5T5fP&RZ zx95Q4jhj;aFuu1Q{C9eI-+}#F|1w4B)rIL5^@pOJp5HXZCuVOjJE?ngSv|;oLL$0| z2Z1|cV5qbSTw#3&I>TTO^J~&H-HT-lz7ClArd!se)5r}keY9E=Gy*^?l}=AX^TQLE zZ+4ypUROkEXH?{X|MGPS^CcZ^``5~=&B=p%W#u+3FbhVgJIUgBGRiV+i&-p?_fvb? zsybggU4fV8_2f8m7&=BvrB~^z`>=qUo&J)3O($IR1LEy_vU#@L?6ZFF9`Pjs zKevOBXQ%!gutfHriXKWd4ZoYjyT?sPXfqE~&$^gB<$<*ao~!3di+_Q$h8FR5iH(L{ z2&IfxSCiLsQ!~3`f?BT#A!KF4JwYHq=B5Q@oE&2X!=1?T>cdA#1kfW)aSsbwR1jr! zKjDU{{Rk=dy}MPD_LaQd<9Amta!fQ}sTE1@F9W)wHa1xoIJXnk1rhU^|I#TvaAnkY zJFMC0UqF8{b!U>3iBGQvj|kV6rbf-CxJyMNgvFmfLapkM*dK!g zhR*I$B{1)FKJRRX#IkE!uWN79k5kCy=#hmpj9YoE*t1DzqSeo}(NEUwxnB2xKAQdx zZ-w%REN<`d+}5^4yleiYbH`T@qxWd851(#iJ!rl`{o<9+#p{SP zDmBrN)+s>GW5fGLi)7yg@apDTMf*-chV!Lx3c;%9>Y)J3bUn3(Fd&KincP%$aOcXFCVaW~kU*MI4#F`h4L#aP7;#@%G&c9=a z$Fc%uzEi<(xI!~Rozy;xYzC-dDPvf)!))e>eaaK|sEG40jb2-p4Hl?>|)5@En_{VSut} zgOzszq7c50!LHHJlbVCV^-!$f$j@(nLkn64+ln`?6H#CAE)@Q|@X&S;VkZf>(2nu= zg_-o<5S>y)AM^4dcy}8vXhA{^^)jOIfHb2Q{Qk0^?EtHPBnhb3qMBlMcZKDr!XQzn zR$)9*996;vbAY?F3;xmJQ{17EFW{-Pp2XdY*>7^Y)U#q;rQe36`x)H3=J1aCm<{2V zNp$3=H1Y}{C{rM`2fK3IUGtJmt2NB^+3+wo5xX>e(MN@$!DwZiyLGlo{cL0}Lem>5zJN+g64!wVwL5F&|Z3!`2{y`5ekU63+@yWiimd$?iCa66CV_mloHB_j*E1FAM4$2#v(1g60-ND}~rr@ai?8o!C*(*+u9k_7wE?5&DS(1%q!0Z;3+% z@7@zW5I+_Sj}Sf)M+-iW5ypuV1(Q>RY2r-5>>Od9xKOaTL|7(%Dfs%0@SXTy0h2{o zA+ifr*9hyx9|apf3BQQH3pW1{wusvWJAVng#Jz(30|JN0-BOAN7mHHnilvo!RbC`04S=%bMKkRJX~wswJq9g&)^?Jo6I zz_!F)WqM$$u|b8?rQzd=;63&_Yl<4&S&37`lni#g z%ZU9}5?T6RFkEgdf|54ws<%Q8CyfKL$m(aO`O1QXMY1i={ybAoP8`4<4A4{eX*MD) zfsB%b_RdbWhV;D6slymydzdUwlWp05PrHF#L+q+ug@@4r*3;~D{gNPhm0o6+(g~=o9!APWOayC zwB2=-gSrI;q~8mk4Q5(%ZJaVn(6ttFABLkQN&6OAOkOZ(jx5J@rsY_^oFjGQE#RAH z%4xAXHB0aDcEu(B>vVJxb^e3&Js~Pa$^8MUn3`vSVvwm8#c-^wXBCg%!prjX%kwL^ zD}tCv`qk2AuG79+ZU4xhZ&YjY?jqZy7sPx+`K4SrOE=7}2{G!>}8s$FJ zJg-ibVf?yE?Jb=d%#8ekt~vNu>B#4}ypj<`t{kC*OxxY}kGF&CGLN*7G-E+wK!1TBo=QYII?7(pWW_OyyWwijz z_SMFAoHe9d-J;0(BU!=}gHJ35>ShIuVwM4rK7w^QSga2LtcS#dj-bpV$g@wjWo+uB zcCnPFTcm&`W;pkq8*qFRbplLZ9?zX;EW8rP@&wveq|p{|OhvBsvNfA^{)I!(mV4l5 z;_$tes!ve=M>(>6I5=o~-R^+sa7Ag8Cc~qLyH?K*n>KuuPKo0p%!<+z!J2YS0KOo= z?c|Gq0*Wl&Wj(o+7pfhJgqlHITsereUG*HY{J`B3WZ<0!@fsm$gre%-e2GApnF-4r zxtV1A%$F$;J_lHH`1%^yC~SgjnHI*OaPLkX#BlD;EZrTJxLbF18)uuto37Zt&0GMj z-<|&(4eg{ff))|mQ+Ma&*FafE%kM)IYrzVBce;D9a#zx^xo#OHIE$JKfmPU2M1M3* z*TvUU-s|y}@|!-IDI#&NGKJo+zz+i0ii(j`D9$+vQ)ToZa{GTegW017&65>IeG#E$ zpLHzH{)0%4e6n0@6n*FJi0pKeE?@PM%!a%@B}GXqQHh4zr{KeC(!JIGCGbz)Xs3WN zc`5qP$iE0X+K`%KKjfl#+ttC0&Ryh=f3l!*jJG^YI?J$Hn9LrstiQLw9|W{{0AMyH zTk?NnmyAN*2t(yOx#Ai@recYZ4TvQT4pa5JTCdHnxTe$qHHlA!xk$=DwP*V6o@D|k z%EQmI4m+>m!b|2SAW6S-YR>Ptzu2ww01$u?4V(c2+(qf31_Z-=sF)+c#=?iOg zcOSFX%V&YFKfaARjitylrWhL^Sy}*cys(c9qp3^x$eW}iK7_#|T=oaX2xA~p&{G-v z>kVT^@3lx_O=8stm~&$_PZdtO;&nTvl-e{L`Kf+Lr9>%hBzsy8wfOWBuu>m$2xCj* zUIcDuG@tpMQ2JwVgUe;QoBU3>6s93-qpWhc%2w&pu(KB7yn9z(i)csHyBaWe42BEx zTbOy|!I3hE>qfVCqIW%#rG{J(7!lA0Eijyux9>{6_A)Hby~P48LsG zE)x6D91AH;wN1**xkcB+)a$+hW+;3txmaUw6I_sc$~ z4Y5@G#L#{RCfOj2imD1cZS`Da##%D?1^Z$SCN<+fj`4zOp?hsW$GD=uV7j{P zJ^eB^Aq*5btavWU-p5udlQK+kG9E}cr>g=~ZQQ9o41oDTedHl63@zJ#@p(+2KUQX3 z=iEswFqIZ&{FGttT55=h*0G^uJ!f?gH3HGTz)CWja&6HaQgw$h9h*9t zFxcl0kg*^s@0p}tOi<+Wu}E!Q6;4%Z8Bc*2EU}jOV!5z6)HbK1<1_0ghb4k-3=DkI z!})Oz&NyN^<%N!eJ3mnRnlK6V7E&RAga zW-8gLmBm&sv3d)=s<&ik+^`;IqS>mHVy~Cj;|mDYv$8Wz*ibV_wyJw^>`N?ZVPDl! zb|wy+WVVy7s{SA$w_7-{J1dhLE@Tj;;tM$LlK?j3Go`%59-I8Ey1JH#B?gyx3*eHN zQ;C54nf^G#7#zhLr}cRf5u8AQPr?O<{AYPTF)_0@4IEn{gd4e$qKAEF77+%Q#NY{@ z5Fx!|!e(bow}hCkO@}-|QP8Lmg0Z+O;EqppY(nhzq?G9V31KAH0IzypgN{J3 z!S4jVy_=4r>yJpco%Y8ciWWe0Sl|SJjT9)q-i(JzO}N#L3imX+Z!rjf+UMf{?P65n ztojOPUyJ5DtX2QWZgt_wQxSU65lrvUJs>IOlbo;=UkoZ)Bic&i&=1dt3D4Ui@Mkoh z8_L^!h2>?xyriFyC$AmVcUD-w(H^95SaMKu5F;7+QgR3qwUT4ES=}VHMwZ>KqG0!s z9ew6a<$j8OksAJW0EN6a#sC4$T)+P-Ium~=zrPRP_uR8#%#3A>EyljDS(6&eSdxr= z%R0zTwo)-;-$nYC>Q}ZFl%j-8AyirsSxZQoY)P`l{dmsba9-zi&iTCG*EJj-B=ZU9 z2G`3>Y_OiJhGtS8s{y<_=UnqT-waoZ?)wFh(Wd`ED+TdkVuW3R;PO$S8p6+rl_P0G zGt(lO+11?mz<<+g&%rpn3lN<7o4+`hOqa5+^oJ zFpEo05Vu89J*I=OW_sCm$f!>c#qQ4oIJuO%24r%CP4D#wnSDpnv2I4VikRC%eVpmN zIRvj~Ao*6s8}wmBF+1i`!*g@D?*7+&rH<9&24E{3TlA#dyA09$K&yjFIcvO!qu2q| z(++VbjXlzY+{BffJzqHNNT3^a%dKqr)uViu^3})l(UeAJs~i!3OL*MBfP~qR^zd}^ zdRuDH)J7lCa1PiGeP?tJxF)nyL$IRSx6&>On75d&cUHTcCVa`e`~)3TNscycfo}-pkGI|+TeVgG4E$OyOlCcgj`V2 zc>HU}2nl*V5$y1;pnMsr!z2?r02ipXhC_QsUGKs+VG+V@gR(nfnqWk!CZGOUBs2G& z&1Hk}zMtU0+MBd#6zL<1z+Uch%yccx;LdV6+BMET{GX2(oVT9x17@cD8r(hHE}!;_ z$^&zfdHSo2sOD{>V|MeuNAXDs)BV8qK!2YlzI`nbv);dMD!e)h`_LoeJX=x$`XqsYxMoj!r`YFan6Vqz&<7z4s@xH&Xa}xg3q>TV}{MLA~;~lkBi5csp;gUc%GEa zHzy1AhsV!c?RbN^GiS~Qg+TApg1hsqpxxQK|Gr&1_uxA%{On(+7)>Z@Xx2CFfQ3!1 z>Y(o5AAgN?dq!34o|exbPCK=}QhtfHQ9|5)Td;k~AK*R-AkD=-$Asc@uWOhbORK!2 zSuK}W>BLw2NHOpgDi~4O%#8B%qFd-~Qz$2+(P9+BJvE+iZo~7@euLqG^t$asO(B>A zvzC;-!h-gPy%K`#Izg{SF{i}q@*YvfP$arww$JRfR~P*xWy*{ zqQ(bCR54H?rBMQ+@| zFT{CY)xMFZp7*k=)taI>`EJzKy!toEi3WIre=P$-g`-H%|K%cuswbDSGg9-2VLPW{ z=LbGW;Q&;@;8MRLVP9TsRjw@!{WdR#CQ$p)$9eRgyf?>?cfX&!Q7LemmnzbjeZV;! zsF2|O5PvA%+>tq1Sd&*CgQ(9iF-eU;2swxMe~Oktum=VqK{EHrJiWolV*JqkGm{O# zzX`D{gA3T^fM03wwnY3&3xd!(*A4y3kVzY@^ZmE+iK;aiOsQ-RW5uK{rHM(M^Rdf_ zvDbDnKih&HSpF3@7{_7%%2^=GW0}KY4lSPrfMaqMOrc*JNc69kuc%-3-&z+tp(U<% zD6pRIRMApBbE)+cax*=ype!H*1!FH))2=#cW6pZYlg3_|uaV-QM zm9@%cbVsgc)>JO%{{39e$fJ>*Bn#8gTYz2;Ia@9(-9zk&@}vD>>|k*hI$0l(HAvvR zBC(h%|LZvZlzVUyT{hnDG8PIczdNA2eyc|+a}znyyehvgmxBKymftqEFUya3;eBQD zw+*mQRKt zjoSZX&|jhYeQoI=*MsoTLD0Yd{Re^ZzPWczoQQ?~*kGHhucfB~F$!*&LDclFPc`+r ziK=r5qumuioAM&_du84nT|~v1;{X>8Y(Y;ySE%);&ebQ0IV;5i(>_c4=F>y2@iEnf zgO-53UL+_;_x#}!V|1hN?Q6+M-3DkFdVU;ioMUJ6v}6W+!{Nl5bAz}xcw5IHonN4- zF6#^bW?mKP!-WGcdxpOWEPZ5Wk1R>t5YKSh*{t8++5vS2WiNXGjGrN(Tf9CX8r{G5 zD3@jXaxeCl1XphZU7OhcwGB2C3&)38l!=jiSF%kWf@*Mxll8Qi9U2>A*5;7iU5~iJ zY`XE{=EuoI^q7onj&o_Oj;K?<#LDy7Ll1(Ba*>E4!1H^<4q{+htaAX+JX8H&It$-1 zN0KsS7bgY-h%&AIA+a^&^7?dMOBiI~+Ww~GSXE{K=ERQli)6I3WX}?}xZe5)<#e{z`x+@HW7%mw|8t7$a?aUcf%&Vs zT@HkS?9lar$p&LU{e)A5-@q_!btq$~zY%12 zH6rN20|h$h)Av>PT~BztfQ;fy001^^Xv|LON>&qEpV|LeUgJlCGYc6TtR0aoQ~Bq7 zI)H{XgB*z#pMO^B-eLPsLWi1;Eiqom2UjV7R}lcb)>7aI&onlLn1@c1hxEHqbt&3y z)RepZ*n1bHhwJolbI5p7?6ZJic(?8$g0+$DsgK0h%fXkdJCbGt0eAO}%Rf)R#A5M^ zKm}$Xg`{@uMR^N!ZEBVQzE%k;Z>s%FWUP>bV$cH9QrdIG*K57#&j)9sFWE3|EX*V> z4bPZPGbj}_zd44;Bp!d{4>PcLazc2OC8wP}%TWJ3nNrVKs;5qTWGsnKX3gxEUd-dd zNAoi>xjU1BKrY5{(NW`o4suLiFVLgUtTmaWBGeiThh7DEhzT#l`X&YE8wyD|o8ttn zPp4fr9LtTIwj7bR?c7wvsHHq$>1@h)?JGSNNqpDl9G<*N^u0F0utf*WN%qgz25N>U zd=rk8`sKTF?v7aiHY@mIHfUS3=I)~i+NJRCU&iN;9hf|uJ5pgpa3l(9KGtJC&1<4S z!y?MnI%lFSCU0xD&=>f`jSitEQzd>(=RTOteNgyD{Pj=<_&5onqcj@2!37I5v`W`aMHOt-}6F7^xx z+?LWb^}Uja@j;RD)qWl217CTx-pvA^U!B>K_Oe z_3GuzC2zNwb1AzBF|Vnu2}XC1z+N@+LU=fv%-Uj}(vLzOPJrN-n8Ow+y8!e#rd6X` zA?%X$=~eg`;&SN5e8c}d!UYD+tH`4c@|*IpBW}X z^{}MevyjBMh+W8|TTUO(SQD(=P&u1$qKJYn@ye`a1A)7oyp)Qh;H`>&h3lTtG-pM@58_IF zaYMrXthz+jNt1KWbNayZ#Rmg_R@kONqfb1hId@tLy?#LqSg?-A%@WdyI zp3?jHX=1lGj?)+HtWv4j*}O6%CyT<*y1H*J;DL~)c>i7 zVMa)p=D}%>f8L#oXzeLpysht0>Z|BVgbfAlXgbM89PpX`A*^*KGzK9W&bsqiudL2nscF(_v;?M)$%v{FF!-$TUr+=c8zpgl^fTh zuj6(p2%EH-=)R&iW{a|qvz;`*58HuI=W!G>q}Iq80h{d0+j@xG931})L7PC7LQehM zW;g8}|7P($g-x)h4Dk8kR^)rZpASxTQx){yn(vO-gnF9YFclZv3=O14m~MKWoA?v+ zc3pZ)D4p;dk}_Kf^-Y{0v0l;6SU;XhrkmXlgvJ3Ao~1)kuv>RBl4-Uy z*7{Q>z$DV1tTZ0jcR0P-32kamw;wz7xi}0%3-NKrq*Af7i}nj~C5&Ad^Yh*Azqok6 zRB@D(&Zde-oe~`GT1I`(Kk{%%EAHxUeFi%0VR~JAVRvDtDGO>%=`r8>d1Ob-;3FG% zQ7~EMjNmnXeVSUwT<2|_NZ*f-n0KKXu1CQR^TPC+*oGpHYq5xeuL0pBYB#15Vg#U< zfkx9)vAzP>7y;Lw737STD}EWVFS+Cto5s_)Vpl8`#NW?dP6v&Br-Q8szJ$xX7t;S7 z4p4=9vRp8}r#-0LsFt_qU8vk^ivP$xY41)|yOmCRkkKzyxo=7|rb!~zWiY8Oe`QEP zvcH8rVP~YZPd~D*D=c;B&etf+NPa)jC9T#lXy}^**Mm!fu_`5Pm1O1{q)yUoDX`%8 zpEI9KILu>f`Eh=@rvr=F?e4H`KgSZSc`2E|pVm1Jl46X)Pcb9^{4tWN`xLYEMw)BR zz5KbW$%yj3EfcaM?{Q27ds7^aFSQ)|Z$1S^t{4ct(+b@!&_aG#noV)?Zi6}cI-Fg`Moe18$A8RzOl|idJ zNoq3F+5YYu-)Q*AciW99@|xIs><=RCx0?H_$!5n7y2QRW$Z+pe)}j9 zqm4-pI0HnUletYl9;x$)Ur;UNH_>g0rBEZjRyiivt44RZ%SNsuoMV-}>a{*nEEkLr zhVI&V>Qfk_lc2>JQ`D7|Wsk)}B2OK0PSV9#B2so9?n9E= z*vb3Sp4+-iGt%TT)oY9>Qzb{OvDzA1+e!&hc*$E}J^69H4DfVCfMn8-y=pc&HJhF^Z_ zP_v(dywu1$KrLOq!xVnuU=VFCuOK5??Vx$(*D`bN;d>2JsWY&>`deSE#6^3a!cbW7 ze`5>$7qu=zbl| zJDn+(zV@M^X}ndSU}G6X3o;qv!cDJ2Wxb_4KYx1ce$gnSTa)|Ia)0g(FK9dyJ~o+n zB#bHM+6a_Q-51dh6EuTT)-{mi4^W2x@ZntJvBLiNQ}uaBL8r zU0$@ah5!nV^IZULstOfIRM5F9j9Wjf<+hMM|MFABr*u%3RX!J2{2Ilaq6g%NRu~EI zC2L-wb8}RiGc2L-JiClRn?%RS{;50{=07A08aBmj?{y6J2SlLG^cx>8R{-2vk%-nk zMAKi|zM3^Qy(DLInGXJ;|BZaR!>HwG%zJJ9oqvP|?=dj2LH5TN8A(Q13THtW z`TN5JuHe$lHrF@}BiU&@GJPs2w^#6EeZ{MWazL5S*~D}zCF=QK5q_bJ11WaQbXC#W z)Zb`~enNy@k)ZgDNu>^&w_Mu#S@bb-!2E+aG_kF^1p<1xZshG|PH*R3n%tK9hEcEs zh3=}GaI0}$p+{l4<8>Kjc~M$m=I7kKXvaP_ctd0TmSz@ry%;NsvMNXq@{Jd%7Xn)L4r$Or$4rvN%N^%*=++r zD05<)lAf@!kelYLX$o&F$LZxo6@2$LdRLwO@y zRt|8RKN(XrJMJ(r3m|$+YVU|MQ6As6oe6Yx5_Sf-@#IZw9#$-UDW<4AKq0IHqrr8w zzsSw0Bt0APbgl()#rwebdjkj0A4Tp+trd2r@~hQE>ETytC<&M$Q{8ntaUMHsID!E>Y@E z^cOEAZ%Iz}jn8b0RhqHT6<#iTVIJ>(8)e*lgNeT&3NEsVTh0Nbgj2Eap3@Fl{yD-D z4MM0(Kj*{>cD%^sY7E5!ip^D^CxX-D%>%hcuW=mVkfSFGwO+p?+8^;yJgjDKQ(q+X z6wBt@ZZNbFl4%uEW6Idpt^d+1@{X zMyK|KQzF=)VnuAgc4=JH>QZ{#1=pd}E0q!-Z+AT8f?_7Y0@{a?(n_9r{k8^ z52W_Vx)Fsojb%vqe||+Pq*|kNS3~% z7^s~))GUTQzMn~S7FOjUM9)9HIxBsFPY~7UY>{bFDK4x3E9GEOKN#1V;IbxN(&Gp- zO)N?OtLii@u%%^($-P28J7oqF_=MT1_a3h+S^k%c`#o4-OYV5DyRe}aCy~P+$CR)S z%v$6~?A2j1>kC@Ncjue-D0TDGBS@r71h6b;!7_V|pJQ9tYN~0+HbRu(I_b|IY`m-` z=eh;*)A&Bz=q|=7QqJm^Kvz1A05Qj6=|#0e3Su>SDJaDQ#aWi&v}MLmLqVHu3!%t%%Ytg96*{_5<@TBF_O146HfTW7{O+rL z+LuK8G zi?qWGo7WcA3`zwJLz&^bm_I5zwdinG9;z*4@)U}FgzyjjcyFHJkH+4@#M54W5QBs4 zC}_p*b$wcajQN=)Y37im5ta*f%lnnHA>Ehrn{47X;IzE9QpEB!e7ZwWF(*1ioL-W* z@DU?|Vg#{UV_1#y5qpq&YUPiaxE5>riy4kaCIXbBo(}LM5=fuhfkv+Q``5s3mID7# zjxy6<0vG{CzM1qTD?|+(Zf3>XaTb#@qH9)U#+ei|D`HaL_`UnZH42+v^pJMzHGO^X zAyVb{fT?{_=NuRN^m9|Wsz}0^JVq{sPDsk>f-xyxlNF{GcV@c$P+_pvIH5CH^JsE* zfk>mgmi#iVe|ch)HP&oPn4hZ8^L~jIBsTfuxX)H&$bAku2jcRjNhcPq)$$v2*Xp-1 zr%SiGm=}6BHWSYIA&ecz#f#VZ)kYpCn`lm{Pn-0-HPM`=FU2~4F6^-GXC_GGN=~6e zrBahZW>zT-ExdV2dtSMT>;Z!XF`)$Bg_jtLQiI2LBGLH4x`|KH#@;q-I;!q~T`hl1 zZ}on1I)mAy!6;uugTUYc`gz8&-$lMG2@Vf)vE5?J;#oMWrc~WLAz&BNBmFgv_;CGF z+2ysC0KRz3Fxgw7Noqn{Ss5AGRK8pJ9PwgeCCs(M;Ot!sbqYXV$^0~e9aUylZrs>1 z@1^%_8e^jBlO9?09x36{hJpl%ioKa~V+AFM5y}O%ct^Jni{S7BE3c<2<d^zz$?d;A+{|1|w~yH#Jam|e zKotRcyuy478o=H^DTpWR+fg<=V06&9{S(#1)XaR@)!jn8ufVb#WY%7;p;;}^ZEQ8v zE&^&&>6(3Ie*TPr%)s`{kdDrX$bR@_Ol(|y!l~8MiDv*Xut%|a=C`S60teD;nMpcl2Ts|>$LbUh zJq|-`LUy-ZuV(m!WZjOsda@y;?%v(~85f~_&r&fP%=KmyaylDAKj{cd353A+ilRD8 z@r3gO5X<)ICtagqvH@hPtF5CkplT~8ts^-=w8dd{cZc^kLAF)By0?Y}HZisqc@l@` zc|0+<-(D3&%|oAg?%#k~sXXmd(9kOY--JbKJ`j&k)cqk>4}DXNr>Mu+-PRQOihe_2 zgG@=8-{k~6PR|~~Y+?wdhb?-6NgkotXAmXZ7AN(X{|#R_Lf{Spc!=PWfB@)?Oc*jn z`Bn;m?BHtL;sI4aAQaIof|1=oV?kK3n~@ZVxOniuOY}vG{7qbnAw`qq^)niY+)Yu` z)Kq$oNZjxu;3%T0MN zA&iwGw zEEm6yzMwl+gQ)^=i;A41E2t#+oJH+vw$Z>gp1)2^Q0P9l-YEl7fW&yf^H9hp4&ar= zt70nGIG z0bBFyXgu2oBghn!;XnZD$S#{Gr1TIIlZo#T5EeLwM)#0Mi6g4!WV|2OV?-7kvMA$Q z5aZ)Ih!U03!le5Od3F9p8c7j(CZ&Gs83?LJtrN)lu&j`f| z3nmZ*4LqcHl3^kBIC&?Wp4_sCs4#EcJQC&>7iChog!k})>o>{ZJ)`?yRWy>_WOu;@ zrwc-=f`uwDRuAwBRaUwT`+;Q66C$|0yPu0kU&W5}l?$8(<-ULyrT#`CiVuQ2CyR|g znFVT8zXGLUp~Nl4&?2jv?UER2Rx+jOObzrgA##Twixp?KbIsj^#D!oJ9v2lQ17eBl zBkVp0`y;TnCUHMjU*nv`2rMh3d|g=P!cPNGR?+N1iTxu1ZWa?KgcC6pK$n$7J`!dw zFeQr3z>0M*eg9%y=Nj^(*jJce_o|}Q{^F>f6hSFikR;-G#8w@*$dCUaf!2S84)FYj z^^QW2EDT|tbK0x5AUh@sx9HmvZ@gUMl(-01DWWos-FGfzoClp%V1cgOJxxR;ni$$_ zM4qZoP?}RT$+0LJK>{Q%;dX>jE)two-A3Y&5@-MnpF#ouzxYeU8qa}uOG+DQ{|D0Q BylVge diff --git a/bakapi.map b/bakapi.map index e5df54ab..870f79c0 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 13f8:0000 0000f070 +DGROUP 13fb:0000 0000f070 @@ -33,29 +33,29 @@ wcpu_TEXT CODE AUTO 0000:5410 00000058 kitten_TEXT CODE AUTO 0000:5c80 0000080a 16_hc_TEXT CODE AUTO 0000:6490 0000158b timer_TEXT CODE AUTO 0000:7a20 00000235 -_TEXT CODE AUTO 07c6:0000 0000907d -modex16_TEXT CODE AUTO 07c6:9080 00002406 -16text_TEXT CODE AUTO 07c6:b490 0000010d -bakapee_TEXT CODE AUTO 07c6:b5a0 00000bc2 -16_in13_DATA FAR_DATA AUTO 13dd:0000 000001a4 -FAR_DATA FAR_DATA AUTO 13f7:0004 00000000 -_NULL BEGDATA DGROUP 13f8:0000 00000020 -_AFTERNULL BEGDATA DGROUP 13fa:0000 00000002 -CONST DATA DGROUP 13fa:0002 00000076 -CONST2 DATA DGROUP 1401:0008 0000010a -_DATA DATA DGROUP 1413:0000 00000599 -XIB DATA DGROUP 146c:000a 00000000 -XI DATA DGROUP 146c:000a 00000036 -XIE DATA DGROUP 1470:0000 00000000 -YIB DATA DGROUP 1470:0000 00000000 -YI DATA DGROUP 1470:0000 00000018 -YIE DATA DGROUP 1471:0008 00000000 -STRINGS DATA DGROUP 1471:0008 00000000 -DATA DATA DGROUP 1471:0008 00000000 -_emu_init_start EMU DGROUP 1471:0008 00000000 -_emu_init_end EMU DGROUP 1471:0008 00000000 -_BSS BSS DGROUP 1472:0000 00000e02 -STACK STACK DGROUP 1553:0000 0000dac0 +_TEXT CODE AUTO 07c6:0000 000090ab +modex16_TEXT CODE AUTO 07c6:90b0 00002406 +16text_TEXT CODE AUTO 07c6:b4c0 0000010d +bakapee_TEXT CODE AUTO 07c6:b5d0 00000bc2 +16_in13_DATA FAR_DATA AUTO 13e0:0000 000001a4 +FAR_DATA FAR_DATA AUTO 13fa:0004 00000000 +_NULL BEGDATA DGROUP 13fb:0000 00000020 +_AFTERNULL BEGDATA DGROUP 13fd:0000 00000002 +CONST DATA DGROUP 13fd:0002 00000076 +CONST2 DATA DGROUP 1404:0008 0000010a +_DATA DATA DGROUP 1416:0000 00000599 +XIB DATA DGROUP 146f:000a 00000000 +XI DATA DGROUP 146f:000a 00000036 +XIE DATA DGROUP 1473:0000 00000000 +YIB DATA DGROUP 1473:0000 00000000 +YI DATA DGROUP 1473:0000 00000018 +YIE DATA DGROUP 1474:0008 00000000 +STRINGS DATA DGROUP 1474:0008 00000000 +DATA DATA DGROUP 1474:0008 00000000 +_emu_init_start EMU DGROUP 1474:0008 00000000 +_emu_init_end EMU DGROUP 1474:0008 00000000 +_BSS BSS DGROUP 1475:0000 00000e02 +STACK STACK DGROUP 1556:0000 0000dac0 +----------------+ @@ -71,7 +71,7 @@ Address Symbol Module: bakapi.o(/dos/z/16/src/bakapi.c) 0000:00de main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -13f8:07be+ _inpu +13fb:07be+ _inpu 0000:03c0+ INL_KeyService_ 0000:065a+ Mouse_ 0000:06b2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:498c+ MM_TotalFree_ 0000:4a22* MM_Report_ 0000:53da* MM_BombOnError_ -13f8:0920+ _beforesort -13f8:0924+ _aftersort -13f8:0928+ _XMSaddr +13fb:0920+ _beforesort +13fb:0924+ _aftersort +13fb:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5410 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b50* CAL_OptimizeNodes_ 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ -13f8:0930* _finishcachebox -13f8:0934* _drawcachebox -13f8:0938* _updatecachebox +13fb:0930* _finishcachebox +13fb:0934* _updatecachebox +13fb:0938* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6274+ get_line_ 0000:62e6+ db_fetch_ 0000:637c+ db_insert_ -13f8:04a4+ __kitten_catalog +13fb:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:663c+ LargestFreeBlock_ 0000:66ca+ _coreleft_ @@ -183,65 +183,65 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 07c6:00af __PIA 07c6:00a8* __PIS Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -07c6:9274 VGAmodeX_ -07c6:934a+ modex__320x240_256__Enter_ -07c6:93aa+ modex__256x192_256__Enter_ -07c6:940a* modexLeave_ -07c6:9422+ modexsetBaseXMode_ -07c6:9460 modexDefaultPage_ -07c6:94c6* modexNextPage_ -07c6:9596* modexNextPageFlexibleSize_ -07c6:966a modexShowPage_ -07c6:9766* modexPanPage_ -07c6:97b6* modexSelectPlane_ -07c6:97da modexClearRegion_ -07c6:98f2* oldDrawBmp_ -07c6:9a58* CDrawBmp_ -07c6:9bbc* modexDrawBmp_ -07c6:9c22+ modexDrawBmpRegion_ -07c6:9d8c* modex_sparky4_DrawBmpRegion_ -07c6:9ef6* modexDrawPlanarBuf_ -07c6:9f14* modexDrawSprite_ -07c6:9f7a+ modexDrawSpriteRegion_ -07c6:a0f2* modexCopyPageRegion_ -07c6:a25c* modexFadeOn_ -07c6:a28c* modexFadeOff_ -07c6:a2ba* modexFlashOn_ -07c6:a2e8* modexFlashOff_ -07c6:a3b8+ modexPalSave_ -07c6:a40e+ modexNewPal_ -07c6:a45e* modexLoadPalFile_ -07c6:a540* modexSavePalFile_ -07c6:a5b8* modexPalBlack_ -07c6:a5e2* modexPalWhite_ -07c6:a60c+ modexPalUpdate_ -07c6:ab7c+ modexPalUpdate1_ -07c6:abf6* modexPalUpdate0_ -07c6:ac42+ chkcolor_ -07c6:af5a modexputPixel_ -07c6:afe8* modexgetPixel_ -07c6:b06e* modexhlin_ -07c6:b0da modexprint_ -07c6:b274* modexprintbig_ -07c6:b3f0 cls_ -07c6:b45e+ modexWaitBorder_ -13f8:04d4 _VGA +07c6:92a4 VGAmodeX_ +07c6:937a+ modex__320x240_256__Enter_ +07c6:93da+ modex__256x192_256__Enter_ +07c6:943a* modexLeave_ +07c6:9452+ modexsetBaseXMode_ +07c6:9490 modexDefaultPage_ +07c6:94f6* modexNextPage_ +07c6:95c6* modexNextPageFlexibleSize_ +07c6:969a modexShowPage_ +07c6:9796* modexPanPage_ +07c6:97e6* modexSelectPlane_ +07c6:980a modexClearRegion_ +07c6:9922* oldDrawBmp_ +07c6:9a88* CDrawBmp_ +07c6:9bec* modexDrawBmp_ +07c6:9c52+ modexDrawBmpRegion_ +07c6:9dbc* modex_sparky4_DrawBmpRegion_ +07c6:9f26* modexDrawPlanarBuf_ +07c6:9f44* modexDrawSprite_ +07c6:9faa+ modexDrawSpriteRegion_ +07c6:a122* modexCopyPageRegion_ +07c6:a28c* modexFadeOn_ +07c6:a2bc* modexFadeOff_ +07c6:a2ea* modexFlashOn_ +07c6:a318* modexFlashOff_ +07c6:a3e8+ modexPalSave_ +07c6:a43e+ modexNewPal_ +07c6:a48e* modexLoadPalFile_ +07c6:a570* modexSavePalFile_ +07c6:a5e8* modexPalBlack_ +07c6:a612* modexPalWhite_ +07c6:a63c+ modexPalUpdate_ +07c6:abac+ modexPalUpdate1_ +07c6:ac26* modexPalUpdate0_ +07c6:ac72+ chkcolor_ +07c6:af8a modexputPixel_ +07c6:b018* modexgetPixel_ +07c6:b09e* modexhlin_ +07c6:b10a modexprint_ +07c6:b2a4* modexprintbig_ +07c6:b420 cls_ +07c6:b48e+ modexWaitBorder_ +13fb:04d4 _VGA Module: gfx.lib(/dos/z/16/src/lib/16text.c) -07c6:b506 textInit_ -13f8:1244 _romFonts +07c6:b536 textInit_ +13fb:1244 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 07c6:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -07c6:b5e4* pdump_ -07c6:b646+ colortest_ -07c6:b69a+ colorz_ -07c6:b700+ ssd_ -07c6:b81e+ dingpp_ -07c6:b890+ dingo_ -07c6:b926+ dingas_ -07c6:b9d4+ dingu_ -07c6:ba36+ dingq_ -07c6:bad6 ding_ +07c6:b614* pdump_ +07c6:b676+ colortest_ +07c6:b6ca+ colorz_ +07c6:b730+ ssd_ +07c6:b84e+ dingpp_ +07c6:b8c0+ dingo_ +07c6:b956+ dingas_ +07c6:ba04+ dingu_ +07c6:ba66+ dingq_ +07c6:bb06 ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 07c6:00dd getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -250,10 +250,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) 07c6:01d6+ vscanf_ 07c6:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -13f8:0000* __nullarea -13f8:04f4* __ovlflag -13f8:04f5* __intno -13f8:04f6* __ovlvec +13fb:0000* __nullarea +13fb:04f4* __ovlflag +13fb:04f5* __intno +13fb:04f6* __ovlvec 07c6:022c _cstart_ 07c6:02ff* _Not_Enough_Memory_ 07c6:0431 __exit_ @@ -263,10 +263,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 07c6:04b8 _big_code_ 07c6:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -13f8:125c __argv -13f8:1260 ___argv -13f8:1264 __argc -13f8:1266 ___argc +13fb:125c __argv +13fb:1260 ___argv +13fb:1264 __argc +13fb:1266 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 07c6:04b8+ int86x_ 07c6:063b int86_ @@ -288,28 +288,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 07c6:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 07c6:0829 _nmalloc_ -13f8:04fa ___nheapbeg -13f8:04fc ___MiniHeapRover -13f8:04fe ___LargestSizeB4MiniHeapRover +13fb:04fa ___nheapbeg +13fb:04fc ___MiniHeapRover +13fb:04fe ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 07c6:0903 _fmalloc_ 07c6:0903 malloc_ -13f8:0500 ___fheap -13f8:0502 ___fheapRover -13f8:0504 ___LargestSizeB4Rover +13fb:0500 ___fheap +13fb:0502 ___fheapRover +13fb:0504 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 07c6:0a78 _ffree_ 07c6:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 07c6:0ae5 _nfree_ -13f8:1268+ ___MiniHeapFreeRover +13fb:1268+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 07c6:0be0+ _null_exit_rtn_ 07c6:0be0+ __null_int23_exit_ 07c6:0be1 exit_ 07c6:0c02+ _exit_ -13f8:0506+ ___int23_exit -13f8:050a ___FPE_handler_exit +13fb:0506+ ___int23_exit +13fb:050a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 07c6:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -328,7 +328,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 07c6:15c5 __doclose_ 07c6:170f __shutdown_stream_ 07c6:1729 fclose_ -13f8:126a+ ___RmTmpFileFn +13fb:126a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 07c6:1794+ __ibm_bios_get_ticks_ 07c6:180b clock_ @@ -337,7 +337,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 07c6:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -13f8:0098 __IsTable +13fb:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 07c6:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -372,31 +372,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 07c6:240b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -13f8:0516 __8087 -13f8:0517 __real87 -13f8:0518 __dos87emucall -13f8:051a __dos87real +13fb:0516 __8087 +13fb:0517 __real87 +13fb:0518 __dos87emucall +13fb:051a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 07c6:241a* __exit_with_msg_ 07c6:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -13f8:051c __curbrk -13f8:0524 __STACKLOW -13f8:0526 __STACKTOP -13f8:0528 __cbyte -13f8:052a __child -13f8:052c __no87 -13f8:0539 ___FPE_handler -13f8:051e __psp -13f8:052d __get_ovl_stack -13f8:0531 __restore_ovl_stack -13f8:0535 __close_ovl_file -13f8:053d __LpCmdLine -13f8:0541 __LpPgmName -13f8:0520 __osmajor -13f8:0521 __osminor -13f8:0522 __osmode -13f8:0523 __HShift +13fb:051c __curbrk +13fb:0524 __STACKLOW +13fb:0526 __STACKTOP +13fb:0528 __cbyte +13fb:052a __child +13fb:052c __no87 +13fb:0539 ___FPE_handler +13fb:051e __psp +13fb:052d __get_ovl_stack +13fb:0531 __restore_ovl_stack +13fb:0535 __close_ovl_file +13fb:053d __LpCmdLine +13fb:0541 __LpPgmName +13fb:0520 __osmajor +13fb:0521 __osminor +13fb:0522 __osmode +13fb:0523 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 07c6:243e+ __fill_buffer_ 07c6:268d fgetc_ @@ -405,252 +405,252 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 07c6:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -13f8:0546 ___iob -13f8:1272 ___ClosedStreams -13f8:1276 ___OpenStreams +13fb:0546 ___iob +13fb:1272 ___OpenStreams +13fb:1276 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -07c6:2cc6 __fprtf_ +07c6:2cdf __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) -07c6:2e19 ungetc_ +07c6:2e32 ungetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scnf.c) -07c6:404e __scnf_ +07c6:406b __scnf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -07c6:4314 __CMain +07c6:4331 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -07c6:437a __InitRtns -07c6:437a* __FInitRtns -07c6:43d5 __FiniRtns -07c6:43d5* __FFiniRtns +07c6:4397 __InitRtns +07c6:4397* __FInitRtns +07c6:43f2 __FiniRtns +07c6:43f2* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -13f8:06ae ___uselfn +13fb:06ae ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -07c6:443a __DOSseg__ +07c6:4456 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -07c6:443b __Init_Argv_ -07c6:449e+ _getargv_ -07c6:47ce __Fini_Argv_ +07c6:4457 __Init_Argv_ +07c6:44ba+ _getargv_ +07c6:47ea __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -07c6:47f8 intr_ +07c6:4814 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -07c6:480c segread_ +07c6:4828 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -07c6:48f7 __LastFree_ -07c6:4971 __ExpandDGROUP_ +07c6:4913 __LastFree_ +07c6:498d __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -07c6:4a82 __nmemneed_ +07c6:4a9e __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -07c6:4a90 __MemAllocator -07c6:4b5a __MemFree +07c6:4ab0 __MemAllocator +07c6:4b7a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -07c6:4cb7 __GrowSeg_ +07c6:4cd7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -07c6:4e2d __AllocSeg_ +07c6:4e4d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -07c6:4f1c __fmemneed_ +07c6:4f3c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -13f8:0022 ___Alphabet +13fb:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -07c6:4f1f __lseek_ +07c6:4f3f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -07c6:4f59* __dosretax -07c6:4f5e* __dosret0 -07c6:4f65 __set_errno_dos_ -07c6:4fcd* __set_errno_dos_reterr_ +07c6:4f79* __dosretax +07c6:4f7e* __dosret0 +07c6:4f85 __set_errno_dos_ +07c6:4fed* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -07c6:4fd8* __get_errno_ptr_ -13f8:127e _errno +07c6:4ff8* __get_errno_ptr_ +13fb:127e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -07c6:4fdf __GetIOMode_ -07c6:5014 __SetIOMode_nogrow_ -13f8:06c4 ___NFiles -13f8:06c6 ___init_mode -13f8:06ee ___io_mode +07c6:4fff __GetIOMode_ +07c6:5034 __SetIOMode_nogrow_ +13fb:06c4 ___NFiles +13fb:06c6 ___init_mode +13fb:06ee ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -07c6:5049* __set_commode_ -13f8:06f2 __commode +07c6:5069* __set_commode_ +13fb:06f2 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -13f8:06f4 __fmode +13fb:06f4 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -07c6:5055 __chktty_ +07c6:5075 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -07c6:50b4 __freefp_ -07c6:5155 __purgefp_ +07c6:50d4 __freefp_ +07c6:5175 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -07c6:5196 __allocfp_ +07c6:51b6 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -07c6:5346 __close_ +07c6:5366 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -07c6:537c __flush_ +07c6:539c __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -07c6:55c5 fflush_ +07c6:55e5 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -07c6:55da tell_ +07c6:55fa tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -07c6:55eb lseek_ +07c6:560b lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -07c6:561c __doserror_ -07c6:562a __doserror1_ +07c6:563c __doserror_ +07c6:564a __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -07c6:5636 _dos_open_ +07c6:5656 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -07c6:565c isatty_ +07c6:567c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -07c6:5678* __get_doserrno_ptr_ -13f8:1280 __doserrno +07c6:5698* __get_doserrno_ptr_ +13fb:1280 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -13f8:06f6 ___umaskval +13fb:06f6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -07c6:567f _dos_creat_ -07c6:56a3* _dos_creatnew_ +07c6:569f _dos_creat_ +07c6:56c3* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -07c6:56c4+ __grow_iomode_ -07c6:57ab+ __shrink_iomode_ -07c6:57ec __SetIOMode_ +07c6:56e4+ __grow_iomode_ +07c6:57cb+ __shrink_iomode_ +07c6:580c __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -07c6:58ac vsprintf_ +07c6:58cc vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -13f8:1284 ___env_mask -13f8:1288 _environ -13f8:128c* __wenviron +13fb:1284 ___env_mask +13fb:1288 _environ +13fb:128c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -07c6:58ee _mbterm_ +07c6:590e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -07c6:5941 _mbsnextc_ +07c6:5961 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -07c6:599c _mbctoupper_ +07c6:59bc _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -07c6:59bf _mbsinc_ +07c6:59df _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -07c6:5a11 __ChkTTYIOMode_ -07c6:5a7f* __IOMode_ +07c6:5a31 __ChkTTYIOMode_ +07c6:5a9f* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -07c6:5b51 __HeapWalk_ +07c6:5b71 __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -07c6:5d91* _fpreset_ -07c6:5dbc __init_8087_ -07c6:5def+ __default_sigfpe_handler_ -07c6:5e44 __chk8087_ +07c6:5db1* _fpreset_ +07c6:5ddc __init_8087_ +07c6:5e0f+ __default_sigfpe_handler_ +07c6:5e64 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -07c6:5eb4 __EnterWVIDEO_ -13f8:0716+ ___WD_Present +07c6:5ed4 __EnterWVIDEO_ +13fb:0716+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -07c6:5ed8 __flushall_ -07c6:5f6c flushall_ +07c6:5ef8 __flushall_ +07c6:5f8c flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -07c6:5f72 getche_ +07c6:5f92 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -07c6:5f8c __ioalloc_ +07c6:5fac __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -07c6:611a __qread_ +07c6:613a __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -07c6:613a __qwrite_ +07c6:615a __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -07c6:619a fputc_ +07c6:61ba fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -07c6:636f __InitFiles_ +07c6:638f __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -07c6:65a3* fcloseall_ -07c6:65aa __full_io_exit_ +07c6:65c3* fcloseall_ +07c6:65ca __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -07c6:65b4 __prtf_ +07c6:65d4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -13f8:0718 ___IsDBCS +13fb:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -07c6:7716* _ismbblead_ -13f8:1290 ___MBCSIsTable +07c6:7744* _ismbblead_ +13fb:1290 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) -07c6:7732 mbtowc_ +07c6:7760 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -13f8:019a __Bits +13fb:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) -07c6:7850 __FDFS +07c6:787e __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -13f8:071a ___EFG_printf -13f8:071e ___EFG_scanf +13fb:071a ___EFG_printf +13fb:071e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) -07c6:78c7* __I8M -07c6:78c7 __U8M -07c6:78ac* __I8ME -07c6:78ac* __U8ME +07c6:78f5* __I8M +07c6:78f5 __U8M +07c6:78da* __I8ME +07c6:78da* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -13f8:1392 ____Argv -13f8:1396 ____Argc +13fb:1392 ____Argv +13fb:1396 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -13f8:0722 __amblksiz +13fb:0722 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -13f8:074a __Start_XI -13f8:0780 __End_XI -13f8:0780 __Start_YI -13f8:0798 __End_YI +13fb:074a __Start_XI +13fb:0780 __End_XI +13fb:0780 __Start_YI +13fb:0798 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -13f8:1398 ___historical_splitparms +13fb:1398 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -07c6:7a12 _DoINTR_ +07c6:7a40 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -07c6:7d8b* _heapenable_ -13f8:0724 ___heap_enabled +07c6:7db9* _heapenable_ +13fb:0724 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -07c6:7d9c* sbrk_ -07c6:7dac __brk_ +07c6:7dca* sbrk_ +07c6:7dda __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -07c6:7e14 fsync_ +07c6:7e42 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -07c6:7e19* _frealloc_ -07c6:7e19 realloc_ +07c6:7e47* _frealloc_ +07c6:7e47 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -07c6:7f13 __setenvp_ -07c6:809f __freeenvp_ +07c6:7f41 __setenvp_ +07c6:80cd __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -07c6:813b __mbinit_ -13f8:0726 ___MBCodePage +07c6:8169 __mbinit_ +13fb:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -07c6:8216 _mbdtoupper_ +07c6:8244 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -07c6:8224 toupper_ +07c6:8252 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -13f8:0728 __8087cw +13fb:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -13f8:072a ___Save8087 -13f8:072e ___Rest8087 +13fb:072a ___Save8087 +13fb:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -07c6:8233 __GrabFP87_ +07c6:8261 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -07c6:8266* __init_8087_emu -07c6:826c __x87id +07c6:8294* __init_8087_emu +07c6:829a __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -07c6:82c9 wctomb_ +07c6:82f7 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -07c6:8314+ utoa_ -07c6:83b5 itoa_ +07c6:8342+ utoa_ +07c6:83e3 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -07c6:83ff strupr_ +07c6:842d strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -07c6:8434 ulltoa_ -07c6:8571* lltoa_ +07c6:8462 ulltoa_ +07c6:859f* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -07c6:85e2* _dos_close_ -07c6:85f0 _dos_commit_ +07c6:8610* _dos_close_ +07c6:861e _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -07c6:85ff* _msize_ -07c6:861a _fmsize_ +07c6:862d* _msize_ +07c6:8648 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -07c6:862b __HeapManager_expand_ -07c6:8b34 _nexpand_ +07c6:8659 __HeapManager_expand_ +07c6:8b62 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -07c6:8b87 _fexpand_ -07c6:8b87* _expand_ +07c6:8bb5 _fexpand_ +07c6:8bb5* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -07c6:8bbc clearenv_ +07c6:8bea clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -07c6:8cf2 __Init_FPE_handler_ -07c6:8d2b __Fini_FPE_handler_ -07c6:8d62* __FPEHandler +07c6:8d20 __Init_FPE_handler_ +07c6:8d59 __Fini_FPE_handler_ +07c6:8d90* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -07c6:8ff4 _bfree_ +07c6:9022 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -07c6:9019 _bexpand_ +07c6:9047 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -13f8:0748 ___FPE_int +13fb:0748 ___FPE_int +--------------------+ @@ -666,6 +666,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022ff0 (143344.) +Memory size: 00023020 (143392.) Entry point address: 07c6:022c -Link time: 00:00.51 +Link time: 00:00.00 diff --git a/exmmtest.exe b/exmmtest.exe index 45966b55b9807b1166fde2df9067bc7914531dd6..7f0f2364066cb74c3b7e43280a6f68209baf1633 100755 GIT binary patch delta 25990 zcmW)mWmprA6UW!ZfQ=Zj(OnWscMT9}3277&C4~)Cz>SnKK(Jd7Q4Ex>DU8OZ#L;DJ z3=j|jsr`S?|6bg4_v)T|o_lfkz0Zw%ppttaii^K2z!3lhfB=aiJl<2m|APM;;XjWA zOe2~{h^@~HXq(c{>Z$pCP$-~~1s#GFGWY(J?;fB=3tRYg#!(CKAto*i&0vj>E3w1@SA;xOc&ArM|>{vfThpfN|C zy|p2OE4Tq4_H53U(OBK}u=(92FZ9`soN}~((@oY(Ci->-rZPlV=kqn=2s6K05@^EX4d8a-fg$5thVOEAU8k)tk z!`%G3bs(s>VyX<>sWxzg7s}6J7D?+<2Ub@r!3{4JtLu!GXr(xsrVDMCuFlDo&* z8TTXjzNnwAU4LD5D3fv(fQGZZzE#n??3?aIm$r^){dR+->DFc2eUA;w>l}^Q!r~93 z_`h!JZ(JsA07)$rhrhgUAf4sZFMJud#ksbVsX3m!Y5|)m0RU6sVag46-Y7xM9gyo% zu-ruf$&M6CyaOt{JL(Wzuq_;^$inMPh|Ne19u5BPM%-4=LSEeY=i>UZ>w$IdidFnM z_Ek40@Z1_hTyOPkiktZGl2zhfIXO$xO2jqeVvdgW%G#N;q*_8IxM|^V#d&SqkA%GP1kf5lF&;Atiqj% z4^zn;dC4K80!^{f!1_tBAUGiIQ#9GqE_G!{h>lzw( z3IQAlGVa_;6ryeB$EgOQ=|^V;Xj9iIP24%KsqdKD;|@35tLB=OdN()7;dDEtr9c6} zSSNe*QZy~7ov)kG9f&x6=_s3Fl+CRbyKMEg#0^x)$Wo^12Y`>n1R9QH#goVi`j zSf}8~u<9dg(TM^cHzzo(3BaVDk?A{U7sEz-LG3<)>~|ouTG<$UYnFN==RU=GmSJUe zhyR`=UGkY6&);@DcZIm4OoxJETr&N|rtd0u@zd(R@V|PG9TVtM8F`HVKd(2^C`h z)H<_iDYKmI{YhhyYBi@@lqlSh5zN=5fy0{y-IR`?sPVK7p0%pw&3d`Qz3Ds$SOv8e zT>&O*_}ptCIEeWV(Q~P{EB20lHn_2FN z2^~;HD@8kJcew`KvcPos3Y=rH4~l{M8)3XEG3;*)9@TtMJeG2GOLXcBGMZ}I7u zlju25n^~Io4Ldx}9Phanu!G6iH3a03r4tQp(fqZ~ZM+$;MsOlp%}$rY()W2BaO(J$ zCzUfa^KFy3;ZfK(6l8L6I2`0rlhD%OYCo?_X74aN1(p5JG8YU zlp*fWd)*;o%Mwoz%7h9seF`$mhRiP}twU!7WJKe4`0g!Rk*`}0oJ)rP2#s@{jTJk& z`j}Xx%-8;>9qagDhqisP!zV7UQ|DI;DRutsSR1DDN&1b!*2;uYiIFs$Ye!|&eO&r} zuWr+clob$7;I%_fnB@$uQtU=q65g)mR(?llKjRAh&|VeT(3CGS40|Z+!R0gu9o#t9 zFh-r$AsyAeCGq&giMDRLLdh8c-8!to>$F_rm3qrMy)(xx%PdMPra>PLvVkJNo0y=Tj@m{vE(_}US zfVrgck_Hgwzl3%DyTf7a9s^!TwEI{u^8SMkBU+-ElxZ0@FBgF+o3~y0XCEe2AGaw; zp_cohr5gAqJMj?mxJ#4Zm9;1x1m674`UAM+CReze&)jn>yf<0zdeo$Cud(=uQQ_fL z+WXAGPPZctp^p7S{lCENLsc{-voL${2(p-1>LdJ`6$ln0X8zhsat*hT4x-_hCAPes&9iY95P zyWdQyuzPgNV~%c^O{Ry4ws++BJ|o)^WL3Mva^5K}TJ!PjJnr>&OFfmL(J23lKKYrR zfs)hT(&))(bic{1i<9C|uxjKp*?8COW=-R%x+GwA_V%Q^+Lq~aZcMN~kfl!#sa9nL zv#pO4OBSROR6lw=Exluwp`X2yu3Ru1JRIW?GT|%mi^Vq=K36^V!Ms$)Sv^R&hV=m@ zpYu7M-dtW;7!^x_nigQYDJ0)4{rRi5PFT&aY^RwSZ-JmEE{L%sz0g=CK{};b@2$nf zpY#q{pAThou0PKX!psL75?)YcQE1B_R(RlZtum`wAP zTUdRM0E9i;71`p{?uke4mhW?z`+j#-%Ml<(JGg!2419&Nb!DHToM(4`nxVP_5cgT$ zGNUDJ8L&ZEf3ft^$Hh}2+s5xn({hFT#w;fJpZe>#hvr~F+Ow$kCAB}9i!#s>WcI!0 z@90YC6d0Fg+(G7}mtyo{eg=o?m)9koj_0ZOJ`d*c{ap#m%lg|P)4BKu)>zO5e?!Yu zr{XO*`&oN93`HT2%~Z1S(@1i+DSc2krSWFUX9BN<^t5Vv|5$miQryO4>wukV`@

})7DX>fU8OdZAxjm)b()y=R*OlfM=-TNn<^mg9z>c6Xq{{P0* zIs2KloXTQ1{E`Bd_4Sz=Cf>`$KWtXP_RZz1wykCGqm)loOA|qe)tismKDg0Y(xIGE zdgUuuu{(B0Pg}HT_@xU;(YV8Id)2Iv`B`uN zuD8FFmZzK}eO*lcs|a#vRfX37ZcFFfI+;1;zeZ+=egC2$xT;)cl`cZsK1Tm{dV>SK zY{R{xyh=R@+odcnA|0w=s<=@wR>}35rK1_0Vp)8i>KN{#RxkghVYqwr{o2^D^erF! zS<9-TVF+qn{4ru-hkLUcKC(<1J~OI+C!6&wO54~Rm>D4O$h|tJyA(9$3P2*uS0(|Z zi~k%NPx$=o&}njMx6tgzuSd7{H!+RcJ%nW3U>I|`qZxV-@Y-dI`ZcX1}9Z-c-`-L`7eh*nrGqXC2tR!6aUFOrPGmXWWLw& z`4oJ>1qLx7hp;~fTzNjr8%;xUWO=Id*&b((pF*DJpNLNjzE)_DfzIN9H_UoJ0@lARJJBs~}UktIc}_v!p0yMjp? z&43j+(5m16LBU!}tdR8ZLs;2|^2ccJ_2jS6k|Wo9DmB$nt^Jxd(X4(A zLYI*~Z2ow2do`eP73ZOGjOD+qSzI{ssfYjxdIx`pu;_pcMVqjhTrC@`&PJxrd#;+v@#@9U6 z2;w~>ID51W=rPw`xy7VBA3V<&i{g=&L%1DWtyY$)>L75QqwY$!0qP0fmeyWpX}Hg{ zmMMk;U$&dY@e*wFc9;dh6K7?2S|gNo_>Y+Msp)u*&gBodi!@OX1xckhtK{r5U$Kx? zH75iU)#S1@P98^`nm9QDZ}@Vm*;ZD;^tL5zRpoPl+}(ql1DBoR`)QvQcqY=FUjsBQ z2Ub2%0Z7uzyXQH+dnsUPY+tXD#E0l`W$A8pTco&+io1jC8DF&1yD~z)UF!|nP+?&1 z#m<$?&VIq?*MEvo2-~SJ@~jv!VKT8Y3vho#gR1Phq-@0>iKf>QH?j|=AGMw9{$Xt3 zM?x3Oj|nu3)(?E>-Sy`4fb4Fr8h0iiFz~#q;hb-~N^7an8nHU22@`sOy_R(Ty#h!A zqtMT`Eju{{dgG{=E$sl(n@$?FiiDoOuOZMfP;<>s#}h(nb#XxG40aCmowY(RjRkpy zk6BS=fM=vAO`C*FT~hwQr6Btbo1&)y$_VQ%DJdK2$cw=AxioikQ~s2;t;MtM#!C(@vS{z$jxB%QR_xNPjJM5(Yd7F^sKw#y{<6dA1igML$5up zB-2TnQTvfrh$P#6mhzUjWT{NQ!C)YOK$#y+&bs&gd2&7c%L9!zR5 zH44_@=_(bv($-6Z!5v`6umQ(N)>*VhzAWmDGcuWFaqk*8bAC{<>#j zi^nCplA=q$KfX(n26p;d-nXGOs%`mfU(8~g{5>IdCw$sc@G;|L#eqBKWH?Bpk(!+&+I)R#MU`K1P3rEG>H8(<3|9m?omj;Fi2ZD&D)$kJ# zuOzLDicuEFL_J+3+dlFP#!*Nxq7f-a5m!1yxXkI^KY9{8W2hs}(%#KwQ8#vq6OTgH zE+ty6Wh7b*rZegx2VR*U-3a@;}VoHkIl_AfwEqc!nv zVxuGEdg4u!Yowb-SIK(@tpNG_4}%`#K%>hLz$At<{}x&cNt(PvN6r}jq@OW*dE6a)_P7U z-6-JP_nW`&l;5geY+X}1CmRMu#b|?ejzL<#sge<``H40x2+hrePTn}%x}i+Q=zMBm zZGu+iKuJ>)g$X7}?B=2vJ<5c9mMt0+BWzLw3g0ROwf?qggeOJBMQ0_P7*9F@%kfED zZRMz?Tlm)}W}On`>T~BqIeF0+>j15OYD|OIt%`_!tJzjxGJ?<=5+)9AWkEGGt-kv! z%0_?AkzH)olwIpp#~2$aAqXXES^Vs*V^Z|28EJ^3&dYSx84XQ})%Of_*#t9XjIoRg zLh@_}5Z*c^PPU$PRai!ts%jz#iON|5`WiMcv5s1SD;=6p(W{x13{}%hv>qH+efX?G zx)N3Wv4<{Z;~4;eDAiDLQkCW1yXU9G6Le?XhXGa^%|T>DUJo9{!8Zc1{D9VY@n<72 z(a@k(C}0;(0o~7YPgku9*+C*@0kHs3+$|(v_hcD+TxE6ja7(55Qa`fK86%EY{SCAz z0=7?Jhl;f#dB#J@F!Fcf_VJ_{v3zyONp`1dsPiY0%kuK1feX)GSXNk6s}AdqJKqEG zf!9jiEmj>+|yoG z{mu4a)26+R--yZoA8MZ zg^_8c2D$AU#apXtz_$rK#MN(K<+QAN;O@+oNRe+p-hH*=OJ_lXq%0FX=Qo14zvCq> z*+|QxQ_d!n#O)L3YI(Cv-FJR6OXIw~B+Ib_z;oU&KQAb+b)sqjfc@~3$H}Lt7rs)6 z$bOF?oiJ&rY9(*B3Pz_fD#tpOITD>d^%kpM+nKUkaFi6!ky3N+;%J37Eqw4{ZyxM2W0x|g($?C*ZU2|!iY94 zU&~OPI6|YnOB1jLAUwKru39ehkFLktldjv0=5#N{DX+dt3t@!Cw#8Tq`lYYUSRE?n z-2k)rI-ObUz)6@)5-bc(dTOwh_1}g_m-2}E@`S(gd`PHwbaT>uizgrl`La*z zC;&0kAP~WGJ>unVebjLWaKzfx;lR&8+zUg(rMdeuU?JPDuz6=N^>2_nuAusprxc&L z=;3#)*XZYqncGm}?@sIoD}KL+v$Vx(TuCZhR8I8jJS}VSgP zi)&(;OgwK&wU#gD*xF*lXmNN;FTkhe{Gl)8W?k$}_lvZRC&$?z24c$S z-zf7RhJK!sVXWQZoUY}a2s=wHKZ)M|d@|hmYI?*8)kg9C?){6)RC6t|d58H%u99U6 zZO7VN-n4OI#hT&R1E*@$L#PfjiDS6;3_f(nb+3c(ApfPa7EA8~{}(9jCSm?kSLdR-5qu zYB+Pp?-z+XCW_kd5@%KYJF%s`#Pxx5Q;HHH*;BM%QR^{%^_}SGj<&ax>WBh zGM70yNO|-5C{ENUOAkM;Z#|xhg7}Y9peBan+)iXzs=x)FFSkyY3A1;QO{$H;L+%@) zkTZ6C50`C>)GVR6p^oLCGGR(XY;Me+Ss=+W&laJ9tXOt9n+pZ)7|jxrPntea1{WB5 ze<9dVQn+p8v$}&eNBnFHL=N!?kmC=38<@o=Vm8de5;ek>$!d|?+}oVbfVvrNl?@bk zztD`7h%!s4ubSrP=Tei=BskJ8j*sicSxo|%oqN$V8@u9t3Af%v@w^}!%Of;jUx6Q6 z4&Ogw7r8cGXk9%ADm#QF^9Yw;a&&o{W=UjS_ltZSWnMCT83E@=O$tYlcTs4LP({F1 zA=DcL2hNj6EW2`c_hk(`n!#Z07LuoW+W5|1e&kv$s~b$bAOG%UK;%l_e*U2ab#3F1 zxL<(z9}>p8>J&M?Ub~i$v~LLSB}0?Ji^}wtv^v-AnU&qjA4UhRT@+G&aE6Z96Ex#* zE6L_j#=2wCJrLE-KPzS^((={QZZSdD4=-(VhlQ>OCrVgZJ9f{=BE>dJ<&&Ws*-WU) z4P!v==8H!7rX-9Y8-(q$Bw?N$xbEg!bCaU+@6Qtwl(H@HE_0N}#}38l>4{b31@qi+yl_<&Z3I_kWBaQ(f7mjb*qm8M7O+eh`VTIkz1UWRdt*G zvhNrbQG%3LAi+ilc@+{@XRXKw;QF7%h{f?Gm;C72I3J)s7Se%%R$yfmXblEpWm7l~ zk@uL3MZqdpWWJ5uZ!&g=jrL832mF5eCg$s`$)ZPgdapkOoO2jH=w^ zo#=$yxA*jP^ZY@Kv;~aK#yxw&bm%$g8b%?!|*%x@0!62YFSPJ*zH9uy?V5*%;>z9uNN)LOk zdJUwmEdlCF;bsomE^mjd1a3@A4**AL&-)^PYFaWv@FWG14<-tjjhUc+2 zN@cH)H+gf}#nTw_7qCW_!rd>O_6K{vCUKi3)C2Xoe@;x&@E^pW2d_4s8{*dHr`!`3 zl|z1kt=(b>yB#+Y)eRsGqU5n#Ge78v1AMhcvDWfpW8?B`zwF$9_AC76z}KvZQ55Jr0GwRbh%0T{RgapBpI^(an0(+sW+{Ir;T_ zkO)DSX{4L^iRoOk#%Ho@CVhDslGvh3lsY4k$-hZHnt~v}Wrg_Av*60f+#BuC0Q*>X?r*68ey*XF`YJFx8tVTV@;6d9tvcY)smD96qPysQzF$zMbVpRv&kOeZyqJw(z z%OG%NZH!!iowYkS z$BfixzV*X0bYC4R<-QAD*1o^t%j1wz$Q$t%hcwZ{5hx>T<*yOo3A?y2h z&>O0oHl&G9KQU%`1cf-?X&FF#0Ug5Y= zhC(N}N|h+SehPQM_0)u3uf1L^pSHih>h({ZQIsXLd98Z?aaV&hf~05{b@DuM8E{t# z$;c00yI$l|+~AWR`K9;|)Gr_ixAo;W_IZywV&P*_>#2fo20fefgGCKCazPfjCeet> z(J@9Og!eX|Kpr0lCwYz3H(@j$sfA{-Tvnd9%Rv2vDg4*7j_K*@^#c0zoShCh+erNF zd>?&*cjPJiXEwgQm33su-cjz*ai4&NJ&f%t-7%?{=+Z3^TmLXZ)}gIx2W#;8<&J#c zoS4!a1FiU3;FW>F7x{-gp&}oxIw>~>3#<3Fto-PJ_rN2US1}||2QW34y}|11ny~R~ z_`poXQ}iAT0XYTLz;GIVBe@4~Fqr~u{^!@H%`l{W#wa=kp{{BcbOl#Qq~i=CIx?Zd zElo*P{5+Oq(M@w!A@iD+Gu7V$$JFnvYT(exaWd5ION5sxSys1J4KvZ_^Lu4>%P3#cy!T z_M82Fb1p(BxOdE^jF!2N{-K{pFLGaPS>g75yl9=~nvkknDArH#DJBW*RZJ8l`M}aH z<4Jga;>*E@#}{6M38eCg9^x3Oz2CyCRtL4GGYGw2Iv0n`0aitng1*}#zcfqsQKCWb z0VZ+W>Yt_9SSRB5(b7HwSi2AmKm6wt;YOcD#Bf>3*$=i2(K8qnKBplm)|Xhv~fx5B_#aH{3g9dvgq9dsQJ8T1g>ntOw-$YGr!=mNS?Sr|c(J8PR32s-t<(?$(FO0aUJZf@-(%y7`v#t2 zvL(PDCG=|I{Abl{?RSbslyh^ZeL(z%enC07z*)&z;6{G>5PO$$A70P;{D!MMNq>JDS-X`5`M^iLZwWOdfTiu1R!_cH3~!`8YYRU z$-?gUeUcFX02C;rD#jqifgUhtVO|`p{#EZ1wG-U+2@Hxo4$K|~PtG`io_%IITY~MJ z-iE4G3oxhUl}oE2P?_Uvamvbtu*@3Y%4`jHe%to=Ho#N|oc3YQjUk)wa5`ru!v5R+ zZ3#zApWB&;3*nPy^_KmpqO>;JyF9rSEwJ^?2+-7w0L2UxGCx|xQ1l2?>rp@wsmSRP zCE&!2$cqA%B@k2`$)+C#zth5|GDc-9P56O%J*$Wrldap0AmCn?;UBTWQKkl5DB>&Q zb%aEB5JK-^(^b!wCp!DY?Yq;l>vu63?Z?uM7y#R}DvVde3FM{m0I%s}R}Bb0>YBuF zq}Kxqd*OL^+_V?_?qu*v#$B;;v7$&#K$1S@Ju%nu*YsPrBEXw}K)JX@IK9b3xa}Cc z0Sq_^;N|1$ARkP-_7VviUDEDgBc9(T%M|t#GLnq=QV)MaAc17!cKn;JP}3xIk~HMK zGEY{^x?$TO5z%qUa&G~DKiw(JAY9Ugg;IEx0P~-`kk4$2UyChTC5C)PS$yrm)J0RkF8!+mioCnMfJmzyzxICUJawz;JH8oEao|6u zq^5KCX1!V|vsmgr@9vw+sk@n6P-JMppqBJqgJ8XU`?Li}FO2dWTqmjx0Dh?3L41vdk0(V;23

{Cfv0P1WTd61qqC%UKpn6r>*{^v zh-?EPQKLInIA|&Sq+{L*0g*A+IP@eYj{L|rT}sz#$^yWT+L6g^XY8LrXLbrw=b@T| zG-)TEa=!Eo1UzvHTj*IjIWNi%C>a_ZSOAuk=N5ja@GV=?1@`ut8hxe%3H*1Xn{H-O ziP?PfGO;4`G5)#;;LQ-mehbfPDtHXcg5HWbR`^DbRd-lBDSx_fx3?`FkmnLGvBcZ0 zlKu0lfXr)ZDGDru3B`%_+N#E6=-r*)szt z_!Uy5z2n+8-U8Br)@l5*vgXsXLg}d>qkTiN3N;mCp1yPO6OcB(mq1O13m;K~zFV~comqa4G@-aX7isx$G5*SM5L80^sdiSH{ zRfw!|{Hwm(+0B~UZJ}_DFJf2zJa-oTP2oq1Z#2EiC_}903#>Ng{ee0E-8B3MIk>mv zORf4rXAlb zpuOB@JoCQ<2ev$;j^oY$1&GUR`P0{SUos4L=&PSK-a82=m`Ybtff`Tw7X3VIYFliZ z;FJS2i|m^}P0Z#_B$gK3u4a1S6ti;}gO*m@SH17h@fA{D0SkgLC5$Z0pvp7mbGjfK z8++KILBCK-3o>ug*Tiw;S*wFGGaq=4q=!S$65@J5N3y`*m3(QO`_?WJo`+~+96cn3 zRKMiYpP}o<=h#adIvC~w_#}Yq&FG(r)VOyem9_%f3`?6BM8Cps-v#d*f z-1cthv9gmHKgjFWH*c_+X)7Wbjp|Je4*5X>)~8O2$|9B?daTU&DkNmdK5vaN?a}DK z_7GA!CA~vzWzMCrPa)L0{qShS2Kc77zR2>^kwU8G1PE{wmQ(G{U_#-T%jJ|0GDYVQ zy`T<6{J+K%U>;c)2-R|ve`tb3V+18gIYPK`236+A?0%EnX8d<_Ba?mLGbPw7@D5u2`Laoc@_gkQkVv5R>FP2v&9E9um48jsCPkjL}U zWFBIR6vBX5DfZ6JFPjclGeaG9)K^my51tHm2-z1UyZf7lBq<^I`c=oc=JL;}7QG ztxt%C`lCfMLxeLZI>g4nH>=pH|ITjSwP%H)J0A-buTsO><1p+e`@$nxSHukg!oAF= zOHC54+iAi+6#82i-yZnX8R{vZ)qfwrnOa;uCr<|3pu_uA7l}!XcBAVp11pT-(_ZQK?E<&HY{drp$PFub#`3S=(3b+>ypEUDGYR&W8T=%qGFKbH9r+3 z>VDu={C#;t2?S};mjO$8aA~pV(`ng{r>{%JECQ%|{TpJq`g2tIZMO4bkxi3d3_<(u zQa~)fgGZB?|Gk3Ic0HudgRZO2UwDVp)P)-#5pJF2lV7OVzs&UJ8-D3#x+ZG&+9>Wj zEBF}2N&ooM&ByzO;Ew)vi^qn7FSve*1r}JpV}?6^#P!iZtYM_>2AX+aO4=C)jlH_y z1yZgqjpo0#uxAPItXbrNl=0{ zW&TR-ha^bB3iXFI`uVSb8t_0dJKP8dLcy+GlS+rZ6$b>SPh*s!+^=5zD(sFMJY}8+ z%m9hU8MPqEp8te1D$9iK(EK%Cm}Oe{RAk<)M>yUB;!U)apJj9=MO*go zIpYOgz=<=8?mBJ1U+mrncJi-deM$0Rtx3LgpQ;;+9~5q@?Mn1>#}Ie~VCAQMDB}5Y zBB!upC7JW3u=Td_w^WS0snT}hb7bKWif1PShTx$&L~pr$$cfsUnVd5H%-$mqAJ>dR zr3RQY1^27@w{vnG19fcE_%w%tcFzAz*aJb@@E*rvAOa}GadfvXSq-kglkTO55;#+f zdQqjF66~~MhE;${gcb`zIYnV2>RAb)NA2m>b#?43C(LwZrB*~}Ju_vI_%GI+x;7Or zO81)lfqr}v;|05Hv|r$w0SMNTHCjcPtEjB_iUO8y_YHvH9cq7;DH7lG5$4rx!*Oic zTeA{uB{vA{6 zdqqdRcio>n$L8CJ={O_Jz1{8h-k#RvFQ6eq=CHJ{TLUPmadG|t3I`08T}zU_()Fmk zeM?T}4!h1T_QMs!d4$+lNNHxg>joqx%-p>SV}^Q?zXZSjv${yBn>+s=^Ea>F%u`D@ zUtwS?gD--kFivdFE@SpWz``l899RM;UIoZk39ci}fR4QqE-@adRMFAl`vvj$Um(cp zJ_2kEQSko5`~CR*AS)IyuUOJ)5d4FL1`3r<^3)Pr0ea*WtctQ@9`aRU-AY~D9cZ@{ z{?vW~60A`qtqL@&Z=w>5WkYP6V4H&taslJ2&CSdSf+yTq&}ni2ukk$mjY%z_TD0W& zCAED)(IQ1NT1PJ*6)#G;OZ_bSmm0A}(V;N;XJ@GI!H?|YY7sy;ASmHwgbCu2e!Qgf z%vvMz3{)*I8syk7lsHL%b31Ig1)H697AyIY5`19?P`88?(t*wz+!|4F>&`{nNMXOb z;Lcsh%Q8nxZar5uj4vY~bY%IGjM?hJs`ajCzh(BxT^t!6KpX3m8g`;BoW}{F@Vr2t z$A8s>dHY&4IZaNmh2Z${7R!!8Vk^(-+K~;}}^bkVOqL{mT z2(TqbUW~?sWjP|7>=fhJvqCMF-yR$kO8Kv&j!qD}1q9GEHEj%kwBL0(rt2T{0i#UF z`03a@_cP`?-&X)3oUbbXD8-4wqf5IZOFfZb11cdJe$B(JUmGU)%iat5{^AdsHXl6= zDy0l@wA@kaAlE9vj`cUk{OP%G>C&$?z*ytv;o)r*e2=;LWfqO!NSgrs zrFcM*yQZN6y5{V(EqYZ6BXn}$v(W4MD7*Km_NQC;|6mc}Kcvhm_JO1m6;|XhnEkNs z=YIR{snfyBb(Xr7_Nv#%b0d|jjC89$CY2IwPMdy>PdIi*3}M#~jI_h?=4M>_OSVR& z)b`7DI#*@mG6JqrUVTWn?Bfb?a}{mI=YC>_+eWxz+_<%Y!YPn;O**&7$*A&nkYl21_lNNq&87)5TVH;A?ldVk*zn0I#2OU6W{k6vW211I?!`pW7}& zII%n_PVQmQ>~CKO|5MJ&_adFM%@>q&;r+jmZ{(g8+Z>}j_bcUTT!LBWzdqYEeT#3w zPe}FW)jJ65*DHnNv|b>!ZG}7I*=c(GPq=_cgk{_HbPmmw=7nymJGR*;e?}w- z36qx7V^LUi^OSuLx1;RUv7kd+-UYlF;<~>jkYm-zbFFhabM55Q+?qul=>|+a-;a`a zLY@N^@W_i(ROzKyn1JoS$=;b5r~Vm(4yx;+Q~)I)I#}2Os<>YszG1wTbV@ZNLPC5Y zzvDA+`h`{Joebdm7!Kiwody+Ij@%j{+cNFQCI>xZw^MOkwvJavSernt@xXpk_@4n9 z0|{ISKbM@(1u?3*-+*45aoxcaLMe}$p911J%e`&%r0)V6^594_gSLdgULy5b8It3ev_> zLDv0eDy@W|E+}#y$XzDk=uJ6<9=F_F)svS{D)iu92fOQsSI+5 zc_54BWRQQ9-(efp47cV=aA;42@C#OdH~q+o4Uv*$R^{@w+uHf0zw|;8%8#QJfx+&; zp$)M!Xu&gc>%s*tAr%8YwG4tOaykq^kBH{)A?N4J`)8vvTWAv@gdMG9QcY*!m#V=&H39DOv z%bQ7yv>%O|e#{m->A(&^K{NhvTP~0CovBn&y?!}bDDtnJaO9@KesqiV3W&<#C zuGPCk+S0x0Jb*?rcDc}lx`V$eG48ycT3;h;x6eQHiyqhzVfHGK8NA|me(uY7wcG6l zA^t}KpNI#BnG{te+*9z=Cmoqwq4Wb$PBdy%a`UKR-%W9l`-cCPtUj_DO_6TK-G^k} z%IVA9>hboL*DpQVdhQ#~P9V3LF)XT8{Z)BD$!-Y(0oz0Cq5`8H+<|)lg?O{$MUuel z>#Czc@HU`FVJYW%eJWpZIdxx%8;R=bS56c&8vt}s%x9#|67aS#)FBaF9pnD>!Gk@$ z%fCBX&Uu@XNUHC*`z4DRO}n^Y%2DoN$(6F=;6Ia_w<;A2(v1yuS=(F-l|4*hIy?r0Ui zDLQWD+o*MfJ#gSSpJ3~mw;Ai9PSz>4qXzuXG1C)Nl`o3vI@^+4f=J8Qu=jlr?@Ir-^NWsf$!MU}Yybn6D=E@poY5yG}3v z_HD%Dj4^Gg%PS4LwF*qPaK2623b9`3n=M2m8iW2I_=|DDy1WwV)Ux9H~OsX{!7}-;Kur%)P^!>MZl30N%U%Gc2f=%W1KzJZ-Z~hp& zkQePP6MksX%j1}3?k6_o^v-zhK%0NiDVrN?f5-31&HfZ=lOw=HXqxY*%u~>Dws_Do zIb5v;_DiO7xC79sd}f94wn-7`o)6c|tzee}4Y1MzqDul$DBl(jQW}g5G}Zx#AXK|; zH1q8=JT)kEoHDZPKny5w5Tib!2opO~rWy(ICi&<(K66g5qDUo`1VcrvoO4}YtklG_ zagUx%#kwNgZ+voRw6ek0_=JUc!CNL+=PT^k)0*oB_<#Iz>zVB!Xw#8_S$#wc7}#FW z9(U2&hFUTkDb*)0bMW1ySBU#o!pfbTi)Yr01F1&at$OMg;?_xb5^_pB=8l}N5TA$2 zqSoJ|0Vir9l)SGFzyH(jR5KgzA-c|(>pfbhZOK~C1&oNVKy=6>E-o`&d>j5}1j^i9WUtX_1{4d}5 z0%safek~)ww8u;yNGu;qI;}9^UU?J>ee+G8>FmMF)dIxP_;ejvkqDfNbrcH zgM26|NoH^}q^+?Z@2vFFaPO08&ZDschZozaccB82_RmtROJW4|1qr(&)%CPHOh2s=j9SYo)C9wTM)S?%j_6q z$Rf=Jery?N4Ki&U zj#c2)lkA>-Dj9E*%i&=;oaKG(E@bDBU64{F2EMHtIpmK@D#F%@9vw~@e)ONhJKv-1 zD(*5HswPkzs;G*W&F>RU04hJmHVxe$dP!@s6k*5+^@8r3W)6vipE2)U`n3U}a^ZTW$d*3Pd7??-D&HGqxr?sf) z^J{*tgc}1mgN<#Aowa|E4W`R+JHAXinA#E-2L=5o6SzasHjgCZ5^1tgl?$&>x!Inn1pnIU1W^YI|u<1$If_w z#>9Cyvl28hLhS7B1~Gy(Y8?I3TPI3IX-xOm0etQat4~1wpo41b9o#{$`%m3(sn<2a z+xXf7Nc&x6lz61-itf>pol{c;yG5_9@qG<&gS4V48=Uy;AJz8-`yfT*@6Ta5bIf!7 z5P{C5R<)=~b#j3NwCPQ9O?m}^xGx*p&Ii54hAy-?3WT7iw%`S+V?iv#AhO$&%q8o< zV-o^!aO~M$Xnxu<3)6uHexhGH{vTiMbDG0*Xnqvqd;SZc{)c}Ga2Rr^?yju$w(&~h z!y8_iEL(ztX0(R=H45&-^YXHP4ThwyScb$GcQv=V6#K}>6hGu2|Q^65%~ zxtV+GNR0p&cGD+8ZNEmiDFK!{bg1^Af`(n9OrkY(+1)aea;t$6KxdPde{`t9!38q+ngWGw{!Fwcc3k?Rwd|GkrSAspE=mdq61b(}7S5 zuJSw(f@xgsy)w9WjC$etc#-pkOg`8ZvCIIi!@G#U#42;Hk2aE)khh0iL<@!PB5qm$ z_!R0;(noFHPgeFKi&wtzMSo6^9+q6xw93D&XZTh&uAEZL47bNdE1nu9DTL&IlT~0d zC8|OjgZ8jRSoeY@b&2jjf6$1bqR+Zh$+pQ94b_*`A3iCY+QO@)6JlVNv;0SI1UGFQ z+F}DwcMBB%6FLSY-lNTQPGE`l#n~fZmcvj8mm%$^Rr!R$7@8o1|7N|KeSa!Z$_i?u zQX~G}>0T{A5v76KI+c-rt7H1M#l)9=VFRF5pU_*M5h6VNQ|ez@o4H7hE7DHVpYfd_ zeoaJ9Vvx!AhQN)iQQ^^drCPmpzZqK7PJw0y{CoqAwR%f>Yu0hd1FJMKl;VJVGMGAG z>}}kT6t3HmA}st(z{tazr%)y2s0JZE!jLn_y7C2mawcH$-4XdqC!*1rv$sj>mm3w+ z%KX+GKZ3)bXv+*>HXiU-%}o;wbYGx(k{7Ri7kTIEE-N|yrgr_yW}GHm+c&F|@}@)} zE_y~(DB!`U{w1qbKyb3p?jAT;_{P(zp+F+bn}FPe`z_TMv~6rbm$|jv4&nogolGC= zJoo0@#I zd=$UhEnFdQ-&4IJsI02(CbM7WNHR9PDbq8ETr>R2keu0N_9^?Nvgu%|-NIUeI-fL8 zCt%$7u5ESbRnulI1G<*#-E<6fkJq>F2BI|eCyDADeYMW(c>LiM*C2%`G;=4VcYabk zM=5$oZOt<3k96H$+J^L_MRD}o5fY~D zlhu=){hM+nZ7V%F3Dq4k{U=hS#pJO`E3Es6qRq!@T@3zw3zPA#KE3AI#4~EQF@aC; z#kofv@npWqqzzo+r+$PWADN>5lt{cjH_7G7f&KAeLsb(_odaj6dcsZInQkG2bq+JG z>}gDDOF@5&P2w}Dv$9<2s9WpfW<^&g?U`O`LhjX^sQ1j$LorX57%!^NnlV1dmP>-y|E5Da6 ze)QMYmkm=5Jz;J>xd^OW+xzxYz_b5V&@kz~<&_WOHFauNKGo0>yX`ebtoUjU4(`Jg zQ4u?wta$Ii3B~O%%Z-*O2pjuVbNU1*AGJk6`3=7DKBJx1q>Q|+M6gjw8j6)EbUDI3f zdW}}Nn+{F+@KQI-hc+sm^o6FMA%4XhQVgBFj7P}wPq;C>l#uQ30Iz>vxV3w^Ys+BR z8r%k;S0L&B)>iIIuG}vps4=agQm<2mKi`EZG!57<`XhM+e-{XAZr80XFfT=L$yGs4 zcsMC}(uA!F^!;{}{<^xV;W;c=YxCLvHTyBM(IEs{H;QOH@-xF1JT3Mw#_)Xq9L(@b z?4KHWX)RS?RqeL%*S!1okh{$U;c8x%`C>m3yx;W<>Sqz`DW8H|4n%|lUqsYqlckIb z#4#!+@JrT%UR+QQ&W=*EBoXIY>d>L@YfJHn;LVy`;!xtcj5F5c%t-MYDHa(n&5 zq8qC`ix}39O#Ny}9hY7^i3T*Ey{PR~TwMKCJgfd3~8JhdU?PFySV8)GEaG-n$Oyadze4@v~NrbIn(y1fUSwwdxp6xem3TKT^k+ zmq`g*)otz7TJzOv>Rxpm#xx@FVkRlpP>()emcAeKZ zJ*OwlNGwet$&`VM>auDZ2MMK8anHz7i|j?tU<$65%Z#|q9fnn!FL-<_cZsQ^>&5kW zsXfYr()7-`WU-zu_3f3I^*6I0rH%7He8oldzvdo}eBW`HzTBCa{LE(YCFD*Cv3`H3 zsJ}PdmPlHT?SW#?XUUi))X4XxsZg8*|4vfVJbP}_)F>59!X-ac`2uM=_^qc=G+^6$ z+qI*q03My1ue6#o87VRNsHOwVLhK*@RC|yFao?i_qS@^Y?u$7ns80xrcBYSD^T&rqdS(muFBP&brQlJnM~>6cvFN-U8obuk*z`1R`UYv>IF$tJ8JJ~ zKXU|}UDGd{17A$KC(#HyPq_U)mm8Vy=@hk+_PG|UvkA$BwjZidBur&hW94F<-nZq0 z!;nKs|F)7t751mEBG$3r9|XPN!N5PmPs0!YoI|7DkY`nx4Ne`}ONTL{K^#eUi6T(;8m#hS52XoHnY z2V4rSWPc&=X)5I_M0JB4@@g!8a1d197B~6>stIyR@wXP3^TdpaUrkMKL}Zm#^16&! z8HI{ngF-{P@Ke{uT-IpgxtV)%x!dW!JS9TtgF1qBDp03kCAA!{v(5R!QFc8#c^xs;rF=bqi(;&UfQW_l-8`DN6jDQV4z|e z@F1|IWK60Oa8sJesE$m~@Afp;;+zvz2(SV=t-FNMjV~#NY29DcQ&a8Sh)VhBwYzs& zJ5da-3DsAJ&*s^ibHJ9dpcicUkzmUw(hi=y_(P%OSZQ7_PT@@{Y!5~0d=Ga(roDYE ztGUUb+pAxl(quonW<*W0N$mb!G#`)C5~3oS3&W2fZRVV244fdec&&bkxb^V^ z+iftBtY8}`juNPnNUtypCn&w+bvViX%5Kz&>(DIZJZ-)K3cpEZ9Y1L5dS;Z0S21{V z1m9w5A9#ptJ|NriZ)bu;&pvVf#uuvfSZEnez!x7us1{K>GY^9ZR9b(6Bdbo_Pe1dO zUgYdWlnufsU*`?Z1&t@C_l37Yg0>4q_Y0+RzT2~6gaoSc*mKh=H9hA+^DC26>hO~} z%lJM_iW2Dc`2PL(9=C({|KwbzXCv$iRfKLkwgfe!&8~1ND_=_gwHdSTmdBk^jTXEs z@p2A{H?|QGPeh1qOrohfgee%_SpWy|$O4`Og^KZK3b=g!pE~AxSm50da0G=OS`?;D zQC{jeATOy+ZVn>*8`hB$wyRN^V#Kf&Vw~yTY49A`)KzJr-}an}>3eHRf(EAgLKLyf zNQT*%j}qV~yvgMHn$GrSVKF@Ijx2*^1WPD*Hi?pBT0qUpE9s@4r~EPXVN!m(Q0X35 zzny??vKqzAFsC$T3K!I?B+i%>3lalum3EVm=|`*_7nw9ewxuJ#cZ3Qe&m*k$q>=@A zXRJ>yMBF=EwK9k+_s5fMU!lPN;S+Aee7+aYVPWzn8pXn{vNa+CQ*H)uzs~ITdrY!x zEdUA{A8IEPg<}3q$P~JK_pzTY2D4d7gstNwR+F)4%!6*?*_)Nt#^hz;_5ds(Yesv_ zkk1CxIF0W1DzKYDs)sHVQ(U!!^e?b+wWH+{5jV5Dj#h~i+C<}^rzd_A%%&fwc%SEN zux_y8I8ay4#lP4^Z0?iST+gwM5w%?|oS&&$t4o|Dr`aPXg z?I{d;r~Jf8mESQWwK!O+FX!T{5qw0yl%!ZAMuw;3P)h5|X&R?w$omT_s$jP4q#|r$ zKw3kK2(x7hAy&zJuzY~ed4#1D!8`?>xdMyeoC`FKJR6>R8Z9fm66*a@zW=Y+{|km9 ztGsS}8GLieVC>JbaKoNyRQB@F!Y=EX>8U{U=JImiJ}pKQad$Npdy}gJ$5xG9bk2Nl6Ix0o=>T>GY^pz3R*Lv{| z#ACS42}6gMyMfS*Qt$xwd|)DLIwV6T@^{Qd52}W28aXo5KnkQ3ca%jhKXHGq%FEsgI&s92Tt%`Nx{HW7j6tjq*PBESllGoD<{ni* zJ;^tms=&K1@Dc)C|7}T4%sQ5PysTgtACF9!rgh0rg$_aLzB6Fckp!|)ycE&6_2lm=x`H_?@TgJI)o$*o3f!6V1mS*OMJ=^p)o{gq?ki78 zrlHU13Zb;+YsAV|=2s3aZOw+WB*Df z|BW}XyZ>q>&$7Ept$QBTQSGrcF^4Rn~5^c??e&4wi;s4-AENU6S6|lIo zFIWEDO6JYt&;A?!)m&T0COP}EZUVv(+k{>vPf2%I?Id@`R2iDf7Zbr<#>4B2jo>T8 z@%suy2$tc6dMb5yRMoY)Us{m|Ku7=~j$m)?^#HEyK>9>_9)x zIN?ZkqB}EOPLf^eZVY!1@+rC}!^@lOL-%F)`I7_afsCMFatJ+?5f)B9O^;wiMvk+0MLW|WnaZ_sZtZdH(P)9*0u-XmAis~FWaUh%;Tn{>7?7)3Wi@Po%4vSD_-Avaf#Opt2q|VV9*{v}i#1c)?$SerAGS|9qLax8f-xVr z$aNIWipHm2FQC=8=Yben-pSqdK7V(X{V^*HE`t;nWJT6-w6iQvRAF33lU3$ZV`04& zo&AMbR{qbZJyC|9`HN5L=6TVxb09Aey&uOn*6pk*A`kUV`74pOXkQv1k$ zlJ_yo-26%%W;m+n1J6vg_l(DiDEaNk8umJ-dPZSLpKzK@0SeW z?7~&>Z{4OPS6oh#?xn33qTWf5bj)h@)roD`&BCdzKieeiN^?(m#rFMs?1*-7e%YFX z7Vq*5_(y5*5iLHf=fH9jTx^5DPz4X-ZNlE*no}vgC2k99A8INGkjAZqw}9V!<&WoS z*pS*A7bL^Do|NF=ISguDP5U<0(snVXa2A2XUO#NEar&rDaN&}T%@Z!7a$FAF$`6gI zYqb0~7uhC5hzXsayydh`gCblX2NNPCz^U2@``P*zZXjBaI03?Hfc&=eL(Cg(c9R9n zic5~?S=Wy8<3U?)xyuG(^YB~qIOdD0kw89DimS+7qYNM6k%N&|@pDWV2E@u&t z)XD$o+7j-WDtQj718F)=O>Ekc!pw&5<@X+KQ#ON_!6?q-WgyO;`#5BiHdFlB_VXiL zfj5NYmSU9@vndUwTiiGZs0NVPkRkgs0+9w-Ia z=G9+ii*9qwva-*j&2H6Z2c)S<1HAtW1up*Y0suykAW>hd2FBo*zrKOnpCW$E8rj?M z$S0NvEYoK(B{$T>;sg;PW90So%R$#VGBiLVuYNf`_Hq(*z2bA*A4DG$x`R5hmMkqyIk?aG`Htlzd%;@E|)O1dn&|)6K^h*e#y*y4AP6>z;3VUno7Q zk~Wej3(W>D-8EHmHCVioGFM4Eo$&&y`KoA2e`;3AFf<;tvs8!fUHJ&rdFOh;{Wdr) z#2wIwm$dlLZ31ou?$HY7m1yN>5S3Opjz5tM>&vm$Si2{O9lvKefp2tt7a1VK=z@oz$l}Js6@m^q0dARY^PvCND#W>_p zQ_)m7+-fKvb)1!AtC3@F8&I2V2flNMvVNync=q}Ehn5byYZu68ZI0MA03AlpiXK|y zxZQXxUpE^EW10BPS8-2u6CU5Hd)WHVbN;%*J^jb(g~INGI(tlg6a3k~$>hxeb1&U% zELiEoa_%rZC{s9BxH-;J2U4qq3a6Nb{aQNDM|ITVUmfbO#|r&bUd9S|gNAsTR{SnL zx(8M1|6mNy3p|bIs#DW?oVJ>2{hD^Vu^_LqS@=%TyyZ1T&w{(d5I9%LqAll+gn$3` z6!llW^#=0`hZ8+Gn4)~QgdD=tcFcC6n6(>p)aMongEb!8exuimyi0=Dh9L`a>I-WR zypAd)&W2(qL$K+WE=t5kdOwaf&CQ?r)m|Vkd)a-q!1b=~WqEdIGc~zN*VI)rtcEL1 zbgTn@Ni0{ksz1I-&Dlb$c{Kmaa@w6yN~edw9hqm^JY#FT(YxhXH`~}wHEFy*=MEth zgWk9ERhB58hoAPzEb6~@Jc|U@_36RP>m#9=6MR+^zi!*9NlYBN7`;q+p``>--kpD{ zjrozovvUnzs1ESW*d{WA@G@ziG@a7%kc>#WMRTG@t^vAD(+01YdsZ`lhN{~43fgXX z^)}|@T84Hk$)7ZCn{1KgetVG`!?l7_)$!jeG#_|U+7^C0Sl?z~$WAZNOwLnk>2^~I zzRW#DrvIWKN6*u?8c|3~&X`Tv9uBGm>nRE*8EY3(AHU6fgR-0fSCbhq!yU~!4_jvy-!0fsSAGc@nl@OK^1Bg&wVi_0AT^afnH1d@=9ze_n7R>nLU!JqX#zp<-4`r+1A zO7Xz4QHjAELHz`!0Nm-Uxq36EDc;=d{ZHkaykSjvKRXGRoLjQDs)7tc6TwhF^@R86 zyf82Ug(?yVYWz6%j-U3?!KR53?MRFb7YR^XY)9*hwxhh^;ezJ;*5KHJso-*)hhmC1 z6fqL-t7TN@q40{BoD#63FQI3E+Rah=Bw=Erj!cna8c_&=$CA^GP^TQP%%s+`ov+Oxy305k%_;Omns_BrrJ^x$mxl!WB^<~;%_2iX zw)MaMn=@q348Q0Bc})=KW{l#cM~vN=B(!0gG|KN8ROnX?8usiBuKZ0rEly9;?&MmK zt701tZFxk%K@dznU9SYC`g_NeIA2dC{_z^_%JK1adovPc0g6A z(K$Lg^Zl|_^k7X|;yY<4AH0g%MRLnAa`6W2X2~;Rv?~}xer6!!`0bl$^XkG4na=pg zZ?pDLS%$c{VmC=Uc3d2;9)AV(E%Ve zz~|0l{yYR{P~V0`CH65m-ovH6?S2RaTjQXM+U2_MIUuG`^J%z5>Bny7D1`k&#unh$ zFQVcesrFTH49*B^y@NYp^4F`E6X2?W!{7Rf9-SDxLE>yQrvM4L(46Sh{3oHEp2Wv*Er+mP%rx__~pJ^swZ81sIcsl({k>H|qo zGxkql0Z`8|Z&{9~Yo00&Qub6EI&zmpw&+!29?G_>2h*3C>P6V)Pc8B|th@4>0!wHZ zCDrrGCy;T&u&u{+R>Sl3UhHR+7eEE!pjOGP{w-=XX9%j3rd}*5Z`<~TVBcmK80f=T zP{sE6p|V;JVRhPGd%9I8QrR#N?pD#f4fW?U#103lHw@~=!F7`vu7&SQPfbYfqj3jb zz<;K+qo0e!Gc+gjA5`Y}tn4IC>0b4;2cX`$Jpe7Y@4TGg?m*{)d|$p-W)5WwW^+a8 zennHzMrn}FOFR*ZUAX`F5SK(Gyevo14H~z!Gf+G2&sRt(?-Yy31Q$|{bwpw~Ma-^9 zo}!t;l>?K{fm^_bOj7WJ`IGFecx-z2(j<^RH92vuEsvjj)_*n|046KkbR4d|%aeg} zSzO!BI|=?!Y6mFXAF}==D^-}ORRrIB?P*>g(u!$p5Lzz(T$dSn)b;(Kb161$>l?BA zH!eU=h5F^jcVO~iwPRs6Z~BklF1pZ)D{*a9)o&0}Xjiz($OEMEDwF{Lc|!QDY`lG43n#AF*Fltmm2Dkd9UGlB+5p!!X-$ENjL@*~(-C65F~q)vMDEEmoU`XB z)Rffo|9gJ{fHt~A)z4Vjad=4lf&HW;@>y!Q73xdaIbfcggfU-IgKFHh^y0xldqi9% zVj~()-yp((xf?+ko&n&S;Y}VR&_~n(@Rgdq0ldnG9!fxfOaQSO59fRK6BZBqG7ijL zg~Q&~RO#NEy5n4NQ@4ETZ|7^Zy3d%+a0B%i7R>iy)~%@U7`KO^xBiz96Zg>hmZN#U zK^F}c5tH)}yp^67llqW)>s)DEYaHYv?Br{=>oyGruv@`-F-Z^4+-j83H!?W1$g<&SYwG03x`7Y6n5BR8mMfBFRvIdjoRq z5&uWs>j-9T5BKUL#JRfpAGIGh;QFh|3kpy8m%4iq_?U_|DSe7Wk;4{;0E8*3Tf}lw zAYDRI(l{fIpeVmSPQsAD5tk(jLv9$ValWZTk}Oa-OAaUxi6TI*t8yg8L_JR7rTLg7 zVF1+Qki^xztMm#DJ81FoaqxzI(%gEYV%s9T{6shh zBQ8eb5{Y;Jhk1YVDbu7uR)dq_BL`VM^%r5Cj2Cd=@ahABu`0^td!Z2Fe>%$}H+9yy zxD7Vig}5Ne?QS#(x)%?%6@S%1y3h9MPt2$~0MIAxBG3T%I^>5gW>yjj@Il)Czf1+5 ec`fux4gT!Z(gF%8^}KI>MJ^Kn`sR;##r_YAqK=#Z delta 25941 zcmW(+c{mha7oL4H#*AewW8c^8`@WMf$i5e)tTCen%^)%c(Y|L%Q7Otk#n_df?6NOW z4TB^h+k8FW{o_36KF|5{ocEshJ?9oa1l@iJqB#5E0S*8l00cHZOF6fZX6$MrWA7z_p`0mpv;0~qR5 z&mK>rj}$i;jQoC>INYas{v;we4vuzpIg*B$)UKomJJq8FkStIbw|4 z98rmIFH*E=?2k((g&K!G)xEvk)F36wn52}y1qbiq@;<>tZRsitvjNU zc}2y%c5t3`h3%{hJRm2+i_^(2xJZng^afO{$Y2K zfqopR#^b^w)5@QgZQg4*^2CcZC(ziy5XH!@(7bj8;0w;!Yi8Bh#u^S_)`g2nzQHR> zrVuUb8Ht7=9x!;~+U0ZW!3o=G$J&o__g2r~=>79^P2O7_i5ovPjUn+}2r?X=?WFx= z0!_R*sQ`0MK`?YIb_$*!Q<~*L&?n95I}E0Y1>(IvEcWQtj~ULk^?Y*~kT8B6%Fo z+oGdlXn|bZ9UW5vh%@HL83s0LY{C22poOchpu8&+@-*E^@Uegx{j{2#%YEthpy~_? zo?Hq$M&C#{fytR_TABj1Ocxl8e{^zgtm9GYzJSU2C}8qHk`UFTEZ4mBh~mVsut408 z5}Bh9l%qJK)>*UbcJh;SD9HJ2`l#T81NnAtT3-eCr$ij4eN^FTErAx?ZZ6+dYCBdB zfF4^DA$oUq(9h75@>=EhA1PqMW?>9v2(jhF^*4WQB5Rw(w%Z`TX8Pk3#SfN|Jjj{;#{~?4!#U#hlOC8b& zfEFj^oK9s(ll+-xncmpM3oLD>Aij>O%b^Nh!(Je`H9QcyK}kGtlugq%I;Ef5yZ*!R z#f3XoG*8rR$uYd?g!{(S9(Eu19*{F(htd~9N58XjXH<;F;forg978Foha7P7uG{W{O1G?YZ4D9s9QrFN|Y4%0`~z4vGvT*roX z*+ZW+hx8jcJTXux56lY^ojyb`OOG|uXAF6Log9=99P81=?-5ttl?T22Urt2JlQ}wwfBXDRP*=xU2>@)F`IDO?9 znmAue;EwP~nP!m=S&?(In5=5r8_^Uyn}S0VmV*6B*9PbXiJ&}27-WVIE16Gwc)~;W z&!gl<27lXcz7q4(P(EuLOurDv^v`C?H;UdKYtqii1PEYgPV$QG{na=(HoBJl9}A!} zw61~5Ke_qRE;Z&1ef63X99e8KCV892@#C93yQZy&XkAyFgn*8VI?QK$cFb5|0I(`1 zuK*5wQ8;s#y``}i`#r$X1e=9%6rZ(?~GDb!MQ zhgdDwG(kvavcj3C?fQm>FM_age-pkfvd!mP%Efly>5^e+-I})c?fNWKZE*f5?NfT* zpsUC+qmX{P{<}ZKTOL+A%FTt^ww)>y{E&1b;BKP3G^Ek3*-qedg#7(gr3- zEw!V9pgOB|lVgt?akkKV)BH3+%6NLm-Z)b0D^25O(O66%5(TPUelHX8W5>%$tmyLt zNzNn*1wzE&2V`?^L`n&V&j3t^5h}^rei4}m%p!%o`A!>+0HH4$x~s_ukp}{5%I}DG zrpj!JyWHsd{Ho;OAOTDczTALpGcRcus`^n=dIjOfvB~M0>sWa%K|4nIo9Pp24E0E@ zpt0!Z(V_jLcP|SqLBSEWPLeJeyQ;m0Gl`|ZjJ#dqs?v@z+aoAO7pU7o56)8zVzpWF z7HTXn#s6pZJoi4@gkM*6U7ja5h-=tBCfFPE{Rdau*ROIDw4S162_NOa$8URDQ2g4T z=^4GHd6AJ664W>bN1}ME>MGo@7ILJGoM5@md164%)|?T?hPt3~WF9)DSIcbe@^`u< zZ%iY2Bbu~wkSpYUJ;T|LqgOZF7~3K z5irpE?=?k0o^^+Q>Ut_)u`FbD?MSDM;uCJf01qS& z{(2AL#3#AwwC)iGX-MKFzRHw44c7dHQ!j{6qDE`NO}6$FxsZoWwFL z9iK zNP;#)$DPeohI5#~vs>0rbjT`|LjD0ZTxFw){_Oww4Yke~$VDyW9C2rV7{ly1eiT_% zU|z-i7`9tmwSDYv>oQ;g;bIG3zi%>3jCXlpm17UQYQG=8J;ye~2omEMes2)k9Io~i zv>|0@b~g!_?p)f5=Fxd3&g)e^%V9~?io(7w zPYs9B_g4;9koGXDV&%9nPC35Z+;WjlF{k%X9h{|{r7QY|Ydgj_yoQIxnO@W9%=4+k zlEh8sQ~PE1o!Kh*C?#Qd-0jue=!VEATP5Bbi}0}fOIXLP6u2r{%c(4 z^}82G*cR38e?b zi-;sbXB(Kd0h1zNlAlW;IB_e-qjU9dNMc)eDkbgadY&yxwHVT`j|q6DoKD7t9GaS` z*ylvn&opA*su9XwO{!`5sD7r(e7i1F zd!Yeeg@FD#i>LYejSZ}Y6_7_0-#Hn}R0uIMw0v)ef_~fJr$XBK zwoId+04Hm~jQp#&cL{(dF@bGGvZ)&Ukf{blZBJVZSFC~W6 z*!m;Eyak60B4GPBrE78<&|cy?_jPa%6xrHWt!J6jw-swgfs>zw-kd%k5cmAL$|^^+ z)w{vupc1h0+O;m}#YIOh28z7K31+vl)tZbILk8hXxymlXR=`g@VdBl3V=Ba^l2ln> zT1%D52TnXsHghF6XzE=0O4BKM4P9*`CCL`2@f_L!zZHV=H8(NyPOFs7YxJ0WW%o&w z1f@&?m76D|rk;eu6KYSJG+0yfjKj_OXl zdRr0CEze#GzvyN%j68qDE`IuZ#TB6OsERz`;i^1UWB`qSm%GKKeH?7v(ecSJ+J}TD zEMRIH1R@8zZtuSkbc1Z~{4#7ieKp>B;)s_6`wer|>v2`&klZeaU)b^A@Q+|JfZ3dka@P6rU#^n2@ zn!mi7E-!UdbIo~)v@g(ff7j=F^;%?sy^DDS=E@_fvDHZxX zD1QVhrRXfOLI68`z-N3+u0&x|RJfYDH*$hDdBomtDSI7qSrFQCDw_4I(RD#2lPJ!H zL?3A-qLPSe`S3in`q(TgtCe#ebf~eFHAivRSVU+pOxY>H>Fy7zzDJDXXR*&d#SA)g z4jjnaf~9RM!013n<(K2VVn=mBfv{P8jWqkmUZooQb~X6Kqbt!L+_bH(ieN2Ce|1j0 zq3aA(N@-TIyfj8(J9)Ef7(XFbx;Dm1@wx60y!$z3E#sXYdb+G+86|FkDjWT6= zd}TzSXeH7zcKGX1+kxgp>cTpw9!eW~o1&k-*sGOCcCJXK5(KtD%16yMEYgG0vq(w}rlxdWGHAZ@1>Y8L4&?r#`fs`Xm14WXsM?HHUGGz83JM5IWC9 z;<1ZoOmfkjrqf6+K;zxhcchQn3NjQqfgYS=$&gv}h9xcch6O={cv7l~rk$T*+VLn$ zC3k#Jzy6dQM&S*Elkqs6Uvcr}Z$^rCzNA8jiZtLrAzAyrB$DG}SuL*CU+ob(enL2F zHL7UQ;lYwP(EF;nu@y}zX2)yy;0nuV`Q#CuCWxy*uk9<@Gl|;r@`~beyj>Ipy4~f- zpITJAzBVw)N9AI_oFV${cddZ7!HxJ$@jueeTMLaU;5#ZQ`ZE4EifJIhrlz~?QfAPQ z1LUnb85Mk;8dWO<-`(4Vnu%sbsr2WKYY=_5g57?pZ>NqUx0WXbhSbR%M zFe^=EoP`9wGP)A`+_1?N4p9lPxT!6PJHcsNx(!?+f|hEVK^eh60Ew2db`KI9Vj;H@ zjMQ(E4AgIs_4b+o(m6eDPbWcf*C0R!c4+~c^bV4EpHY}#3rTrIiPujYa(WVVT=|h? ziW_t(=`E=AXCKsQuQ=W$(G-^Slw=HvDOnm@m2t9|Y_MI31#!cc_Vi&*7vu~;br(L~ z>AQc|sj6vgL*ar&D-<=U4)WcCG<{Jd<5F@GtSTY2Cq{Jgxs|3Zd9q|^?^yIUXh8~; zDEuKW-YBuZA%BvhH6?A05W-IK8G*vX*7HD3Kd;nb5>L%WU5P)LSbGwdS&_2Pw4`JP zs)?e>$k82g-Q zIo6pP1$16L|!spLIJCL?g|jo zG^0UIZ*`Gb!zL=KVht1I5u&;(SGWbQyc4^AMHQuzu0TYmu*GDZ80I@i3KwOGY`74+Jlkp1* zfKzrrkFtvt!J)xhNTeiS3ILk9iv;ZVlnhKNeEoH+(O;;40@>{(sX=g?1)AjpTX6M5 z1^y9+oT2kD@}gl&V(pw@&T-voR;FT$(|!JHHa4t*Kjq%$Ze}MHHOnUdNrr;p4WhN# zoV^9zzhFn1?!qbq(yU-6dbwNEr{+mjY)ZSdj2yRsS&ZV z)%4al&kw(HgWd}Vx~uFY59TJm2UezOikQv?75oX&54rrFna2zZSWo{8Io{lf0X8Lh zuF)?yngc67o`>AJbHZ#Kv2}^FVy}4#u-Dy`G@?8$m#BRiz(D;K{is28@;P;p;>e3H zxgZJyC~)Vz?e=`W)cNx8FRZXrScn?`zL=+Os9Bt1fI^y$6`QswWW6Dqp_L z&x6gNPVR~G3BnRbL2BixiB$7|78yk{@|i3&QH234zp+~&pMO<-b%p&Wj{r2ahg;i?Hn4nmIx%}(uUS*B^7q`X#-#2R#otviKQ{`lWZ^%|Vt=s650w%~^i-3Pb{U7=dLC~% zU<&0VieT!qeFbzlvJ^KHXQpMQ^(;Z0{&-`7L(ii|(4qG^d5^a^)#klY{Spa;fE|li zk0k-Xq;V#J6pHx?10eWy=Xa>ql@!qm>HPQ*K)i)bXv&%z1z3sfv#m9E1Gj))E09~& z&7%zvrokIzv?kLbA>>J5viTe*5m6c)0mUoMLXT8S)KDPxc5e>V4Ac13)yBNdH3*`s zw$3Z{KmcFuUQm^k4u8&z~V-8K?nWtGk+A zlO9mhDoR|!DeOmFPO2a$!wC@G-02|_Lx+gA#LMs^=>6UlJ2n#bwHSoS8GCB7(>88UrD!sqC7QYmmCI`5T-cFj5FkK~l5pe*;N*jy&?w>&i?EAj2fZi&E;l5g z8R2rwgJ^kp+yQXR(#8Jok${Zcm!dmYA4yRAd0R#nu7eM#fkb?6RIj@|m#wM$$J#e& zWk&ig6j%8Z*Wbg9e_}vev8{Si$P$o(hAqs~R{lLNTEJnBgw-Sl3k10Cr<-NmOy^L@ zN2>zpwcihkh0fq}B%_u}OS|)?e^j=nRR*KX1)9c;>nj7ro!~--mRgUWEjBI_>{wY= zI5B}6`MHtx@9K&r@$$`+>_yxY<-p3tLx7I1Bz80@^K9MG8X%*QI#Ck)PhqXEDhp(m zn>c89uuk86=DGD2G;UxlsqLA%{+uGT1zMbjX^$^nxKL$W({Qt?P*5&$!}>_N^A)@C z9i@CyoOA@#tEdw1kej`h+r-ML5&1Ieoul5_($4fo#G3|lYAdS2XJ0`dTQon#G}Z*V zPv+(w!CQ6ryMZlPpNs`7b2Ben`~YXxY*+0;}p>z$nWIBm~zRoZy! z!@A{^LnmAf`U+wXC)Y#beGD`earexM%M&O4=I$QKe9*LTLDyhKa>#VzuV^b8nJ%$Fr#akjGBS?QJ^zM`s}tzWGLpxr5$f}wI)-`)>!ggWh6oB5fgQEPSWqm z8qUDMT(Nd&4Ig-gGL4AIYK}GuAemcL_k6<+j}?e) z=m}cxRDsIcbpkn}CtoccXmU&v$(pl7t|fWSc?KK-U#b)1J4L3T&`Z3^_#3=GQHUit z2Z3O?EbV64o`orLx z@PCGsqf%)DT}S?-eMu8obv7FOdRe}4HN|DuVBNgpn*rC&%QW7{_vvZoJSN<+g&7>m zQ-x3gX($1F_GzZn?g4x${Kj@9$@a|71AfkLlx_v@sSIt&!Ow0CW&J5)MTb zq?vzwFY}B1m^~6cv^*`;7EII<9vgEZBpl`7U!S}V^Otori4+koJHpM}6uEo*#N zcubUy6hyWmRt2A%xEn_4N)8i_Xcvk2=@YYoT?Tzebvat;}RZ4007lQ>a08bBF8vuy! zH1#+J7YZnbbol_NnKdB-O-ZQUhD=Y;eUpo;9CRu>BAx5hS`zXm5TLe8Fz@09!7x=a}HU@h7EmT@o|9zmiuDglH zK=8&YK&=SwYM;UPfj9)dT_-*OTpp)&-viQ>By1i{e%ciye-*0~Xlo1!5nz`2`>+Cs(#(4*fqFZ6R?Dc)T^~xoh-co|261J%z7XJN@x@{#Ib|*FWQ6` zWywv(c(Pftvo>OV;GfBn{*H26_>%JrF5;}Wv z2vV+f|6HnYupF5&;UJJV9<*UTM-wX#0mdpY`SZh!rYA0pM(~Gfs&}=qyP?7(7X$g> znoNwQWe<(wRXXU{2EcNN;yjAP#; zfG+E{+xL*_78EE3ht>_{@+*SNi?MIFwD`OE6WLdP`dhP!`lw%2?~ET4G-ElerLe2P z8@_yoP?J~4o76udni5t1I=)Y*_Ku^7C1nHA*QtP<=x7Jt$G~Db26OwB}v1U?+e2FdT{cb&Opo-MW(j(Y~uY{&562Y;JE_y*9oSs z#s@s^h$ic`4%_GB8rP!(Z_W~l`f~A&Hcy$D`divM`q8XdC;REX*K|-bFZR(XboQ31 z)qK%o;pOdx!y4UYZkx*)%O&^qRYe{uZr65!bw!Vgp@8x^e1sjk2|Xav7tg-0KgP>u z%b~a^B=!K`={l`0TlBlMQFXs;x!$bEf!F<#;|R$CR$Yxl3`M5NPy2z~=w@>advTR^^QGuX&Pn3fJ6Tx##@(Ro33yuh1Pi z2AcL6ocmfYtM55ygg3uJO^lDxK6Y%RY@}4jb0EU`W%MIZDXJ%z1s?|H(c z5VRDA8iBc07QQst^j0TeTAKoF82#I=enh{W_8I9Yhze8v$ABtfskXVN3S0j`kJKkt zrvK}p^Y8GCXXxQnDTb zc%NENKEL!_H8Zu;4l+Dwr)KaT_mXqG#ImCm4;5EEZS|a{lB#FELgAw;TV&b2WJ-2q z1R5S70SgHVmKE2%1jk8_3#A3NJ~!zasyJ9zU`?W{*-FSF8c z`4>lJVrC<7>UKAVA1}`wU7W~A+m^nh@7N)0hYi+M?e3suK8C&?1e9F)6dTq}D#wNj zTXg=Xd%+fKF<4acnIf#x_L^sK;PXx4#oIig{|y>$EPj2xm?I3I#LX`mZVw`B|1;R) z9SlT&=NjDSNL_q=5q2s4B6H#*UeLuKQbwsE(#9NiO(B5uTBttM=7s&Hqo?SXVb~E( z1SrF1qFt`i`h(q$z~k6|Q`wf+sW!#Ta@!ZUD<_(o^23>->J;|Fv8G?jSSnOq^&07#B21d=|4DyjAtxGnKD>3yW@3?0FdjZkR2;u7+ zu9u2VC-FT^Eps}_WPp&5G@F>R72l|0xJMD(z(?>3390Ni^Gr(!;*m$>BM|P9zF?LT z@X^~|2{0gw0{A%o(GaB5X|cPsAVmG6eC%qW2KZMm7;ra+O3nc6OxuH$<39764}f_; z_@Jz->X_2XX$)>}zarU02njhK}K zT$til{+Qdc3bI2Q(T@XqKH3B`#t{`5ZeVfMuX==$*}Xat;M@WCZ^8AvaTPeE<`g6H zl<==W#IYx@Z}68lYBbyJKA4T!yz(GT!zFqaC1)> z=|TCyda+y;d)|ZfV0tcS{Xs_QgkZiZKuq^7)~=ZMhyI5}SAhCoAR;yiPOs;;8EuBw zT8sk#lpK6Dq=#eNo*=5yE>5)I82D_D8N>d3hnz=L^uc3ANgxTrp5I*k^%R&!po(;% z$4a=Qt4%EAR8;KG^HP963HS3tM2j?AD9s@i0MqZB!}B{(FhKUO_<<4(u$lnvf=9!0 z%T~&-zZraY$Cd#SeyAo)Wl@0YKw3-u+d^y5A*>OS7)jT=ndSa?AR(?KcUGX{a(^5z zV9ZcD(*7`^#6#}t`8C;mbo*FG;*XU^mYP0j-T>4x7qo%_{Mo15qXPGLle{SPl#aw* zIten&F4c$xYFeL~=;4})s)dxyinH_Bx-CnY@NTJ*Xu0sh)}3BEVEV5Jd7hhog`DpP zIO8OECZ2zw39RmOxFBg(xd>|*1mu5Dq;pfDQ%v>^-^ZV7vug}Lz?S4V6>pgX-VlwW z{V!`#fb)}rI2O3D6m7V65vaRxWsaBNyBLPtcD>)0cIy#S zT4&|k{na~45ls7nN1RjdXRqz2vq2&u_(8Sr;(GrDmDoxwL%Jxiv)~E=E&%Ybry9{K zrLo8)o*mVX5lXGwRU!$uX-=AsN$Iv3Go#2Ddw!=|@RfY_#-%o+3~<^$%y31Dlb?LI zF~n~H%q!I8i#z0DH@*O<*%!LP{5jsCq?57HlGeY}tyNTr&ED?h7`5YH&qRlp|7UhY zlBiszTbKa7PFaq-82?hJSyItjNzx%wDVM13;=H|pwM*1sLTv*`3T9%|}> zDv2iE>?ofjJ_i{GPvM61>WUTwyjX=>Lj%je2&Jr(ltnIvIURG*%v9+%9*BR&9W_^f zhdOU(wJ<5cPfwqy_63#(uQ3ie7I$VQz^P+*{eAOdwZ^KCawX<)X7jq5Qvun|9FErN zex>B^*W0;dPGc@8qlsa5g=WU!&|tt^=rfMx@2ASl7cX2Vt2K1x+l#+WI?FG(Q2I>3 z@jjHi7vdGo>sucHKy^!QNlAw3JZ~x|8DyYOR-h*LLrm58dV%Z?QB%AoQv}aHg_a!S z!`{w-Od(BTV*gA1#-SZvi-5|EUV25|Ma8-_zOu6;!=Dy%pS}Jouo?_)rb40gDf(eY z@ft)@K2Ep0|6W70YI6u&rEgP<`TIpBMd0TwQmFoQT1hM-2lLA*`!@;p=iN5tFGPFQ zlfE)pUm?189&-Sxf5R!DzUFo+wfS2{Ja<^RV3zo{wShZ^Qx63Ge&a(j<|mk4;&ym{ zxQzB7y65yk16CW$se~HSzs*7te)Ns~v{!dx_UOMpt9)|gi#HappaNB%bFKKitF>-~ zQ=Br*N;AIi7@3?*C@Q%Z#q_W(lFf`6G-q3|yFRAJDZ@RymM3`%8KGDmh05|5(md@f z|D$HPwRuizkPAj@-T&$bt87u2KK!_F)G`b#4ATO*lfi#V*2SSs#C;?@8+3#rz%7PE z;e~2M0*4P7TKgcBP2_n*k;Tggvs6mie|T;Wm{<+b8dpqA{ZP0oBe!XSh6_Zbw{Iz1 zk3H~JoC|;SZIc*&d!3cC4wbCSeqD?El7q4IJuTn>GJfK=e!&|tACGvU9$jUua;3G? zK1oAl#M^pCBFX490_D{~03%Gn^gFs8#?MDfsH*?K`wlSk6_F7Kg+pXYDLpe1`3OzW zR4d}I+R14!M-yo2 zh#gXJKLdj7GFVt685ftOqR{&A#qInp60Ae?O$(dXiMb0{5mbq*TRU*tf`f7W$-8Na zm@lGh?L0aRpp)=oV2DvI5^|`hCzWW_x-W7~NBY+AgDbPOlRX|sal7hkT0sW0Mm9B_|+^UxUzy!OQD=JyKjS# zUZ!nz&GAf$2cjrCQOc^?Te<*t|H}SNPp7;+SYGH2S#S#%_5$nK;lokM>r8#XaTIhwI%#r? z#oICN_cT9VNf+9YOu0J0Qkk|x{2EuZmzHvlPJt9|4h%Dr7-$Ey*U-RXU1t#+N zN&w;BBV4mEg5=GBg(YoWUCBRl=0C8*EmrgjSwq~0iAPN$ym zqkj$(FQ%DSKHML`eG61c05;p;M-K@GyR~)$x58t_UiTY<6T=(9e?brMZS2;;?e65D9wq`<(Oc{WAQLjKxjM?Is|PCmeI%X=A`FI471gJrRd8??mq`375R;5;`Vb?ZQu% zgH<|F>V7mhT{>UQKz-cqK0{eL;gj=o?f@&2;0fuBnwKo`aq797H6Yl?mGP^TGJ%*f6tH3!)18HfD+&Il2!DywwKdIaj%5{P z0aKm_-lY|#L<@QxBdNpq-; zG%>SiUG;lUHSU{z0s&fjT6RUqeWlDAzhm=)B`MQ(I52yqe<__5h5le#^#hu0ha$I{ zR#}nUCih7E<}WB=Ox0Y0-RB1kz^3ol{J#EINp97hGgpdeDIB#!nRjgK5`VDl%41#=?VEgqw##CX_0= z>4_+pL$3=zSzOVTt!KB`_gs(I9zR+?fbo}DDj&O*WJv)e$5Q+N6fjiMX+yl`db@yn zi zoB`1tF1{r_8N2C*45m<*B!Lf>ISGqjQlJ6@E5M|}IRRfXpCPQGN`b23_XG09Pt;8^ zs~A2+sU`RwJ|m>%uq?*V1;l>GLBcn5A@Ft)XH_Wyu&qVLrOKN)AU(+m>m}9qFF-rQ zCQlzC^F>vt>x$ea^)INJ1t9B#df4`$RF(gv?dOImz?{2*;bq4G0$b(9d#RCkfLwtS z5OXCSf&4956Iv^m0hRFb0f+iol6q>VoI+tzW9O-#z);>;HN<@&FbVYPhLJ7;R~Glh zX#k2Ay)C-cAr*gR`yKg1K$g@6FajB6_%vpiwP+LaC@g6}G^2AMX1amz2 z+y!e4L0nE4w=oi&IQE(dSS&Y(pwincxn>b@T;L)r#h2<2sQyJgP^1;82$6pin*Jc- zz!JQ(BrQl|YF)87S}zr=Fwbi{Z2sl9Ht#I=d@Bqj(Fg)qh8j=Tg&pFr$+SoORb~7t z;r;x4p`ZPkdMgMZ`jSg7Er(LdxG$pP} z^c2v$SbFk;jn#!`_m2`^=?i`R7I-#FI}dhG+;TxycXWD=9kX6#8{u}~Nw!MY2ExMj zSU#fp<6l4B-}yBlrUkJ#S85$2zmwDRZAkwe)oH%wtd=pbF~-g&m{FPurlxw=Xf51K zby4IGDcN)E4Ic&mVjsudS8{i;x5GbY-FovPQa;)Jj2d_UZ=Cfv$)6@=K+?(eG7EC3 zo~`ERXSSIgXMP2(Rhws5DBZHlnvRe+$k6;RBTO z8jMxbuDzSce;g>pX_=S)jF1pB5%GHZLa<%l-omfN@cNiEQ-!eUve6;j!pmaeT9C7= z=GNYjxTP4*%FK_l@<|qZ$4FXPF&-ZM~l$q2Z;EQ)k|NmlkNs zLjFsdJGR*K{zY6sS@vWP4hZpo$xZk#(F1*+^@ihWv4AQT*=*oH3aGz5`E{6F?^*5O ztkd$a{soh}96$*z?%(v-o=cgNof?2gD~H>{h`I60hy<9RP1}&jTt+r^yS!OSVCpj> zp4XL>S|5Y5(QdH4)X8qmeH|7!u;aziofF=SI0PZ${vya3QlOYjgj*WPfWL;E0j7Q%o`o&t|oGph7vAcZP?Fwl{v$ z?2xO#^{~0~Ic(HQ75fX+dAs9%(A3eS?8WE6wxupcGd&TLUm*=HG|9OeVA>cCg;9yG zh8dL2Eok*An7l!reQT}Qz|ggBRaMZ1I-|F7>5KU`Hbr!t?3l&c#O6@xd{ z7e!p;QCR;vALQ%Khv+FQX;GQ$SI_=M%o&q#Eg;oKX#LwKHSCTLj-w5~t%TdhLjJ-M zTEPvn9K)9LN9mr3VR~Sbz(ArK4Maq$Q6k~v{LVDn4-Fh!v_1W}Z;;llo@0m?!8dql z$)HHfvsM<>yaIYCGDu0nvgi2mtBxjQgI#Cm8gKrYcV&kCi3*1M!4SjW$#q?c{s#vj0Sf+OfPX{`B(|C)SAfnsP{g==_G?DDDA8 z#0$Wot)R2y7%+GK1ix|HsO(XF(3xmjASe#;rRGFKjdR~WqTH~%Z#YUe<@XW4RN>s@ ztwC`^<7X6H(Z+ZD6A)X*_J~A*HG2ea6bkj#U#dF;KNz9wUKFYRb!PRP(D^5y zzhfHiYE@pus0~|mC$8TtH?7a4q_l6xO1B!0btTBsl9a{5n#@S0;7l&jv)J2!EWqv4jmVIwm&Qr_ z?9M;ywwS~@T7ZZrNbK2%(j^g2SbsZzNbY*N*+lIu`B^$Wa;F9@aGml~&vvDtZ^U&9 zY!t`EwSsgSVvaU*q!u|$`JyS9{Dff__BO1m=2)c|&)NG$dH&*n zLTsi>`~J{-@vc-3;LUklW1ia{Ao_;z%fy2`?4oH zU6;d-a%UO#5{@v5g%mlz=in#L(%C3p=#kfsG~k=_4X5?*NQNM{EF*TPqeyf+(W2uQcE50JFw#F50`6)fUGj4FHjruv+E$?YbwK2W`=V|TC(h)R zd=c2@@uG1!xfy6zWVG~RIQd9H=^?<8U4rVz-c1NL8335hQLvbs1OxnnMb7dH@uxt90JR`EtVaY&`#yWl9^d=x-&@MWL$waxMpbUc3*g{10gEdN_3COk1;Ezh9owg&%cF302lP| zxtu`4^F3{V`vCT)t)82QTh6A050Z{4@!Po&fb3dz2S~axhWzwQtHS54Er&f;J?tq0 zBTr%G2L5g0s~kZIt11sXwp)pQ!ooVX4N35Xt_n&0l;=oD3$;rI|NE}cF*)CC1uwQb zcW{{1ox1lPm4K&WMJaVTFm~&@kxFNL#_CKqY>WLf^^mYh63i{;f_ZWfEGnlH0%9L@ zi;&tzVHv1u1z0B&MwmB%h^-XHjsnfldM>tbQZ z0)IN{(*PQf_YN{bQTi#$ZUhvkPd`Momznky_tSRLE&a1bL)NivWU_zr$M}x>Cho;I zpP-BNJ8VJF6watOEzv!vWz<9!U;*_pY2V`C0o!e+T~FQT9;I~xC;rk67t6k%kgV6C=*#4p<$phT^}hOOu_T#mW@5Sm>SUx)&pHJ%avI;(B_J zFNn8=mLdgQ9ABxTeoI$E!`jkwfGXa6gf}ARNK?~m3}6}Y>XmejdtIiKZRNF132{3v zPp>RA_rcCgJ}H#$rHrGNgiZ*6aw6Qf3nx#jhv#2NE;2mJ^F126> z`TibZY!a|-#RGmmGMqmjryv$3f3zQOWBXqd@43qlr%4hst-INxQqPj@_GvrVtIt^V z(T04qN@r2uVHxA4mb62}N*v8O!7}P)o8m^{Bt?DvM6@||`O#7+_(dqR>NP6w>b2l8 zGHp^6m$yV3wds9c7*j9BA>fwQo*$}X720f$1>TIX5Zx^rD)dvzpTG?WcqF+Gv$K#3 zg`Ia@PCC11Dq(D?vV~ESIo+P!z`IZFhQ2-;dP`%O6B)_8U5H03=|e&o=Cg+qKOkc{ zlzs`VlSVZ2HiHiy7ZVWAwk9>}%U`BOJ92ZUB5U(9?rGLzyEsvEu<{Y?l3)s3xa2b;Fld(m|*Rh1$b_9V8qx=AlWtj z-YPV~7jq5{Zamio)lOlIVbMTTuiDKllpNUq4Ck4B11TJe9}Bs&&_iYWB76 zdAw<>32}7yErtyX_KH_}%ePY>56c?rf7dIcg6ow?fCv&B&F^9;g{yYR`c*!Mih#O! z9VnYKK;7j_{Eyv@^|*MBJ?}2qWm9nU{%y_rFG^N6JwD6`aW(h=T{@#oV|=%$5*B#z zEllQ&XK}ygoe}mFczXPcUJ5igC{-tgCH23O&ch$-$B*N;ojc=jXOFYjnb~Bo$ew3M zS2l5nZ{%}`oE524X&4P7vS;PYk_wfwNy~Le3t9K;@%a4}@7L?`dcI!oiBSE!{gFCy zo%?MFFbGEH#Q(t{jg5be6EKgIx2(ZvF{E1#p!!Xk3uW=QZCutmGQT@CD{R8JA?4 zLItBT*9Q6qb$2-_-;RxIIenw@LiI!gKqCk6{{nj}jX162B~3UEbU0(p6bd%bv;YXu zVsAx(yxi+oCisbG?h%nOsnWxeni+^2`1b~~dQs%SqPaplo=U}0*9?VBS=r)pmPBmj z2}aPaL4lF`ic*in-|v5s@Pb9mD$-4ZuppeFy9N%hrHGE>uXQX68ecv|4lQU5w12DwoUaP6TBQ> z8X`v?oHKbLNI+EAXz5u@S-d=UEvI^c459vvf5B-{^D^tG-oXkNl)7dh#Wn?GP@wvk z#!*rjOULhIA)#Xa&mKHnYATtB1@QQhu}k_Kg%^-6{sFJ4hb?r)smjACG(6XD$xFN%*v zRf`aDiFXzB_&7kV0(Q2LbAv$s3oq?PB}ztspztPNuvU;a3j`fi62qwKf9YDor`MAqBXO{KX& zUyWWv=GKOqWf`?&oa+ld%^b+v`oyasT*<;uJjJQ%V(l^i=r_MP2gzbSH~o|PCL^`E zl`6K|*TJ{`O7GTw|1N!>DlR*slgSzsMH?tFGR1(mlpr^+&srtTnDGw#Hq>K%hL=xo_=ENImBr}?C}D~Jy4+e zti{8u@A-1Y9d(a+Vsg9k9bj0U)AAB=%(r(Av|G>D-qip5EY!xw`q_FtSLkTr_X_MR z5p(zS0T=ZJl{SFn`!)~ex}U=Fx7hf3B4@QL^zg4Q3!*ybnMeT|bcMpuZlL}_d#?sp zRvl`iEw8^VcmAPNf-UDB$MxB^rT9RiX!A83B%4|(+9fi&)s~2gq(L&m40rmw?DKEi z%g(f!*!s&V7yI8}&03P35w3FmQoXr|f~=Vum}o0Xm>oB%L;DrN*US4&)*@HCy5Xb8 z=*SVO=GkR<3-CFla*^#TO2bN6K|}p^DnIKZGrDp#~CuC+17Yi)10QK z?!lzqTMzaiW@}Y$v{*sisJ*hg;gwL7hWQUowlqCz1khiS4m*>;i%v?P3+RS{>z=7) zw>FB-8JmhFUU0;}B|8M$eCz_3#1PE+RyK!tK=vYNfS3E3jm+Sx9PB|v@na;bx=;W4 zI&DYr9Bl3VQ=OqE+Nf3B08MXSywC?+1aHd0qGV;=D#jitamT#|c|Tco>-4^(ZH;@U zf!2Qj1X>*Xv6B5%AbVhh<5gIRuy^fG!PmnIp#w$Bod_<0JeH8=*1A{U+<6kG9N5y~ z-f`DDnuY~7+Hc!U{^E>=SDr#`?qcV2)|^|2eLuvj6n+@umx|3RBKnJ?L_R+bru!}Q zzZogAlFG|+vst_}>%KK~*Sb>hf>eg-G9v2^@Q@7gOAoe_Uqh_Apu)hygKACjriOXq zxNj{n7o^Bay_sN)S=^ZuO9KjQ{)t{lnFKL+L_qB|YwftC>(MfJ8s{+%-D@9=Hw@o1 zs+k$;VndzW$>vWf7Ul7*ih|}-`81w$Xa5v? z`6;WaHS0a~yO;st5}8?P9xiBGF>7yg%jbymQ{X4*{Plt&Gr=uT%T^C3$>x z79aDYs-v??Yqm;F-Mfx$G`zvm7kwo`hR>;{<4gZUTm+l8W=D=ff#IA^7*YgZw(oX<6D|iu9>iH0M+5mnPY^FGK_3n2*^91)sM|>bto?%MWQ!8PJ<}{f4~) zT7MW|BZglMfSl#o%&<<4k+)@RtB`F3RF>2<6QWIa43mNI9+U`gkNEaCs_iwbaY3&4 z{olEcJb$oO-NRjc1C0%e2S2mEX@cL>Sm~D{S#?h~9J4qeaAKyA?v@(qkl|U#tUyX$ z%d9cSrN(p>-&~YJ7OwGiEt#m}nl4Ep?|{5su;pjPXKs7&*heH9qEMf>!DT3gZLp>1 zA=L?n1eghW&(#h*}EG;OGsXSh59vnG?`>U_w!Z4+n8#3{6^pM@H%j-`g#GO?xf`B}l&V619c zVVK_Q+j|nw6mZOqY+U7zM7R&l_}k*WGqu(URCKM{Z?c4mY(s!t{qY+2PNf3&HqcS5 z@}0FHEN1fAOU;#Q3k8GyJ_$Rh8B_DC=V|u0f;miV3)10-Llnf5CTlTP_iror;=BDSw!UyU>@5~1)3k;w@biQKLHM5OznLS zzPuGrLL8I|h(&vegT-b^gn&u}<>+Irwv~cWUZa|>X)E-~b$Dl1_A39&EE$ed<6UTqG@*HdGx{Cfoz_hUN4PtA7BosZaqBM;6dA*GA8CZ5iOaa&gk zhcMh4J|Kmdfr3!14*vuOrHO5L@@wo*1g3Lv z6T#EpwSl16tliKQjSl@57iDrXag|`=THOlR0EsFlR%EvhY1CO7_l=&fGELFB9Q@XP zPeAfhKUh9Yp2))`N2WfUS&4g|9!JhHp{OAKvpmgCA^$b;CI5+arg)59EOtOMm`&jt z%F-VBeoN}vyhBHeoVaZ$N;^*w=MI_9+%jthEOSSj0G}G%f6kt7U8>BI8FFCpi~uj7 z;nG>of5!HKqZcI#+j%wO_StAQ6D2tyIuLr4rEw*2`dWbcbBNzY4c_)$9;iv>njAyS zCn9Eo>Rl{asSu7*y~H5=*)S(B#v342E;qy`T(hFCO0EWU2JnE2G-zWLSIA&Be3QGO z3N$}R^}v~If~hi+{@k?I)plMC^G@K~22v%8V-tyicu)Kk)R?MCI#xPQ7EvA>ILsE- z(>(&lY;hju+kE=?&f`*N*UH_;Rrws3SfM~n=)@TMaRMsy+@*TBGuYoCWWgF_q>>o{%%|pnasoK$BAmAHtGP%EAcWeB_>X zUD9|S3_<94n_L~#e38TW^LJHf_k~I3&g#<6&4(%`wB5&>tBgH91%FWM;+te1PJIMZ zPfY&zA29O^SOozEf+OCDgvGmeeBURhz!5Q){IGSdz7FJl%wGXW8v?dahlGJ%iCh+F ze)`ug&+s#*+2iS2fCVl(lfqZGHK*P~=nRI`f^XQ76i!1zW_Ir9j$a@)n&*gZ;(EA^ zdO=c47L6by-Fn&1Rr=&1HBHo&f`(XSOyA}C(Koxg-VAL2*ydrP*_u$4ZVx3nLF(HG zM@qwnIJ^eN=fGpHwX+lmDFLYan~fC{zEkPQYhQ0icu+KusWXHVg;Hy%)!dUG_$MbP zrYkgrtWoKFO$3yyx;0Z?&|!EQa?H;u1tO6-s9g8~tlg6Us!7vr0*68QWw~S#Mmj6z zs84FZx&CVwnl;lV#|O`f->I2qH?-m}YMvH;g?#pM7uQf+JpX<~ZIJr|M&0wExpCL& zfGM;$CETlMa=N^ROWMS$x794SuW)^0#=F8UV*p@Ft|6FXqRWsg`|bL^u+AqQ#{Q3I z=6ZJd)jNq{lpKYi(*jOGgjEaT^$Iwh5cNVTuAsTP?lXfqe*pZ72 zy*ey4a+t-tCYy9|Wcn;O7y=kA)T5e_8DF=A+3V)d_X~i9 zj3{PC?+)h?%!a32) z+voSn$}G;m2>2;{m9N=}GsSY1y;tIv&kwGOSyJ+nba(C4b|0H$e}v9t3<^yZ)Q@V} zIKKACl`QPF@J`yganUX!S6bB~$)A?I@DeL$5F}fq#_-YvnHJe)xj}sJ67ve=WrreKX$GQ9 zQlYC7h-xHtx`rkZ&?0HmkLVC}NqTgB1EL|xh;D2`JW4X9o0$_WNS1UfYoZOwmTqTH zJVtV$J02%Gk(}u+u0%JIJKe*R=tc6T`}h)xBtN=;05OmhL=O%jo*;$N!%h-Oq*L_M z;lv11Bt0sc7(L{Cm3{s)lG(o@rj=Sb;vfJ)3DWzw_G6SGM< z^b5H}8Yz#SUqCD*711vi6H7>!=%ts5S4ebv*;V2-(sg?I4dPAGE&A;WVkN1HUVVpn zmvoPQzlK;#s-xFGAU2R1=?|NT&7>B3Ya6kh)Ism;B6gE{=)I4Kk4aDHeGFnh2^gRc z4iSe*BlM@wh@+(E^syJjm!wzp@d@H2={5b$TjCVy9sT_@afUQYpPMHxkQV7n%ft_) z75c|d#LuKJ^slQ#Cg~fUwMJYgZP34O5`U0>(trIX{vmDAw|9ttNxSsDec}P>u#7FA zI0wBce3K%naDmeuY%&J*tO1^75F$ZGOKtA~#)7M`gzBTMZvOm(%e-$`XY#-*m+ydv z1ybEGkq=~iw`OubO;mZ)Jl}FVi8V6f>iCPj#Ni-|3z3zvr)h!?Jz5mG=_3E z*mJo;v5ilSm2dSm-1hM3T}xK_VI$eM7LE~~Tba2#2sE0REYf!F$%IVvJz$GWYHkY} z@uGz>Zz!2h*(i3{RL0LP&sls*bIlXzoyoa_)^+nT?2q zHkWtz=Vw^>KcoPUPaAmUzOKJ9%Z;2K;|7JVNAXVfcU4Oz)3zpP>HF4rFW;E`B#Z3` z2EkAA4l4YBPpMmDR_)f^dMR-2n4&AM5P7|twSjVL$e050E`Nah(&+Br;v!x=GbBLV zqOl%bOXQ-WZ)fzDv1+vpXke$l0WQ{c4;pO%jCplt3P69DHIH=5i_%9m*xC;KC=C!i z&FAjb+dj6W-CWnym+BDaX%|;yP|b$Ms+c$pB$rI-NeUo zSDi5zNC;^+NN}kCel+hk`ZTrjW4Nos1)1n@rnyEj!_7y?#ph{iddAa}oXY)o;X@XH zooGFN`c$y)Rk$c1k7bx2lcx2#kzBX}>S;HSk0hpd8a?dOgj!mK8a9z13u zR-bD4bc2rO?c&} zT4&9j+A@tQzN#h~B>)e3MOaQ*jV|p=*8o(#`{j5R$_8dO*2@?F!aEp{dDA=|Vt_5&|MzZZA>_zwtfcjQUhTo^DY#VaCgmyB&5sIHxSldrnZ__G#&k#bZbKJ}Z|lGbM_-EszliO#Atl#JjI((-PB0Y#Tx9h7SvrtZA59V}gu1DT$n2F=7%ira$QP0kIKO8(Vr)P{!xJz){9N_iV=_!Sl zM3iNQE@5Tz_h<6Qnd%^kO59fdoBV#Q??*{c__0ur8L!&a2=?*F|- z`8ARIeP@o%jt^fL)W21Hl>n{5blMa#-|rkYxry*mCz`ejU-Vhz7K6)$5mjT5-leZ_6L@?ld8E##N%)=esS{PtmeKuO)k(vhaG$Pny{|yaVa2vm0xG#puw0%>L3<*v zx<0@V$+?@A{>^FvX3<)sxjU=0n}MU<9Tx+jJd;iNIa8ne)aj%)@b%k!4oLt zo&ix=qG%R&(t%pgTI!mC2W~KQp_&b+Ak+!oBduV6KHc<*182jhNl&ztz{)$b542HV zC|uj6uzYn8?=zd&mqA#W)N#$@X;^UjDOUpfh7JDifIf0g(;6#~-K}XIby_v#8Ms#b z<_);wQhK{KUJXCqWn-41Tog}6+dRbR(=cmS}PbX~+2UP&K$qL5l>t~bXxMR)J*FNL^5#6P7nQ{OX>tHu+ zGz{_lgUd=w572^hT6>F}fLJ&CZDL|`vj!?C&-l0ZkjxY)v$yD0p86x@r{F;|-`M3r;nOMV@j<8IQX@L2IpTJ@y*NI^N$UOtBFMx2nTd5BNz2V7C3xh1p=lv058MjoWN>>hvJ+M z1RgC+95M8$x!MyGpA^46EulNlv6EFTK{ht-MI=cX#*hUmmhtO`9ByjByQNy@12D5a z8q!1&PN_6NCI{{7R|GhKm(F=SZxK8>=8QB$=6f9=jJ;ziQkAHjh9UlF3Huh`ap568 z!O!jR29)f`@DqT0+Zdi`=pQX@B<6;XK^m+}bA&%cdVfY1L7%+9yan&GhK1Y{- zvV(-liW9_dj8exU#Z6#c(S?$qe!r5vYf~r9{TtHPY!*`;_+BoO5FG@P{gir zDbc5X%5o(HqIYKBO+{DbP$$lNQ9RRvyPu=pQ&$DQBNrAd_dPRm81E5{6NSMxf+$`( z^XK#d@Xqv;2!3@PMUDHuvegfsBJ+qCRTzA8xYH<#d#rI~xOhqF3w0FC%6!80(@h{f zl7dN7EoVc|#0zP?ws^K*ba`bp2KFe>wPw|oX+6smL`0gqc^13mO7BRpLFV6{5d^mK z(|#<&Xd-iq>*p)Tv3Wd~YUfOPM=Ou(*Exp+VX>XvWOI!DtvN}%{92x5c4k}g)GV8E|=uvt5;})77KM|&7ukP=I=0Hm*G+P)jE}?RLV7a!mCFw!MDb=7@PnE zyutbj0_~}1nciD%3DvygdPdnRZpd8{@uy9<0;Maf-WPmlRlNWai*J+vhT$nw7G}oc zIHc5mt@#Fy84PzkcGVd4+Lc{&n|=Z+Z{K%NDtsBoku?MfJEA^UBq^^R_0-PaAW+?R z?7J$@V?U1Bj05PDw(72qYp(+f3Il4&XSN^>yap>gf$HxEb#!4}I%BRjZ|h3JCHG*M zbZ^l1>kfIpBIk!}B=su?b$nVjcM@CT7HLBjs^1y_I~sO({WaMK*aQFEZF2x0mV0JX8L@UfOOF$_j@XYprXTww8p8T?IZjyN%5N_FZij69m z>p8_$@a|9kzVV{^598g;&gPxOb(I1^fjyc+I9HKS%u2)h7g#mKvg`+2a_?69MZ_FW zk@UU%m?tZaOweEgTl55nREC6aIh#4&odL?&*xQ|nqvysgp>}4-uo(EC#~29f1p0FZ za|Hv1`9mo&qaGcSt{C757+i4{zcAe{@|TwZ@`L6TnhKh2Z4V-mvk>9Ew{l{CG{KL` zG$|q)+OWJAj&y^$3FbeX@p;w?9QPW|)4Mt7k>gX8jk@3+G&t95b|pBFoxh#&l?`Nk ze|u=wXOQ*O9L-)%M^DaX%;AH}W?fi6qIu5su1tc?y_uY_D}8bSgMRKmoe2U>7P=iV zDxJ9?1CiD$J-FZkOe>ZBB%|MF{Eb&C*Dx**zV_V9^mc4Js;L6ETDDk6J$2agdB1xl zGWEwN>*iyB-~)>H-w;1jxvz>kDm9Vce|h_7Tj!bTssB1EKJor8=ush$ARa42#z5ym zY%wkEBRaZzY<&YmO|^f>f5n-MA~N|ujVE#O{+of^pc8Qmr-0Mp?8vBSW5p0q0Q+o8 zSW;+g4B=3CRqy~rqQf7mBcqLQ} zjg03^j!psQ`xcf~);>fBnrNXN4s3%KvDvbBb#&4Yd=3(n9?+a{IT0E*c#_m-6~Q`FxGz1s4JtuT`QLIjkklkw)XQ<$61i8uc_ z#YE{iy>aw4)z_y%ok&?az?*YUsmVH&H;GOq?IBSb&}%LZmz1t3zJUfiU5L{;^QPIU zp&mGSgaEBrd(0uUJ(oaPQ;o(#JqA$S2<>|s z*9r0wGWVpeW4I!ajfY2iI-u1NJ$HH0Ad356~XpcF% zPpjU+klQXA0}FX*5ThLRRRK!*sA}C~1~@sT&^4be>G5KsK}WYPltV#~5H3p#`OADW z5CInm2y&9|-)J)Qvg|vU708kSQVQV=euPHA;Bg=bl+EVhkV7EBY^<>EMg10t$%R~m zV9NPB{THn83YkS>74h}02TK1)u|gTlMJ<5;eft9ZFLZiI55!FsQ)h>Pz5_`d0I;Ej z4>`9EH{d@&gG=x4@#$QKm)AZ%hrV9~zcHIuKED7{TH8}rsOQ^W>4PU?BRXQGlq6^c zKcoafp^9vEv&bZH4^E0LjWHAu;nTygB@6_`WyOTR?5hT9pVYx|W^4|OIUo-{EyxC6 zR+SVL@$lTkO8+xzAOO(Ci>vv_9V&Ayo&A8ov5pNqb^&o*q=13i?_ zcy-GD?-IxRe^N0H-YCi$;>jCz9?VY26;vlHcoNvhRJinNfTA_`P8Hm+JzI;H7i-`r zjXu;B5f$d<6I+6z#6|JnIEADAKi}C*ePG-u3uvVK%7NDoJTD0nu>4E*+jv^W{&^%UAN9K@glR+fXM>`X2bMHC#|K~o>eQxVL2;&}v;ud5Jb_9Vz5Kz1@02K56ulWCv{$J(+ z4Wk-Q5n4Xy)3#+_)Kc?%;c!sl1bhHd*!J)D)BQu#I6?lDpV!}3;&3>G98&ZnAE@~k z)vw>rGfO8U+9kzNdgI zAmEz!YA|V@|8)+0CE)*c%lw95Xs{jgy{zCL1ceHpTNlW)2d`yyL$LJ@h#p8HKWRA} z24v-r&^ik0b2OMcTshH#Tgb28joES<8w;Mciwz2bUp(kJgad$n%N}UPp#`KZdv!~+|N9$#$o7GX;AD25phSh zV2vkqmPa}_S1I^omzRU4RIK9tmOt+N9Ta(T1?cQlPe4c9CR4ztNM?tA*`o!Pu2*9( zveX=!*AXAJV;|>?F&Nt&=MpWsU<%QZ{Re=A>t1XcMc)TGO64f;-+A{PJ!@W8aI;wE2#P^%ZBY53Y9BKozN9anU^{0d#=x2d^cZP`S0Fe zH?!A|1P~ngB=7>0*Bh$3*_>%>>Fe-U}{d@e)BoJvFp9VB(&rt-1lSpHmNMrwje@ZEIIF@DFthVgR* zn(PEBe+@B(vaes>U21-R2pc;?^CZzk44-TXH7Y-z@n?#pXiFoN$Ta^Q5olEa}e27+z0#+x&9!76Ob~0)}z`PI*?i;Kp$$DPYB?4T}nL3 zHG?Hz?jb>kkS>9VMxHn7R1tG-sAdD}^lS2W2Gx5nWv*G#cjlYTtzjRe5Q$I0$Jgfs ztY`2e(?WR=T^(H^e;pCN+-4K&tE_A87m#$1X-MH^m0Fr%9n>{s z#`scxY)00jyO{81iYN);;<_oC(uI@wp3eFX%9=4zw2N?Ss^?ShO~YmPiJ0HVOU1Ql zD7Ui2+$qi0)~I`NlJoT87Z{*cyFQmg;HuE!kSVvUTCvvqDxJKv!C2l;p#r$tPSwgM zQnzWHRxFhshlvCbTxcEPSsl=Ik4_IOWT^byS3QQC6OU8uG(LQUCl%JY60#{~e95?n zYmcCUW+5gOI)BwWXgn8KjNOBo6yX!avy)J0WJ!O=(~Guno#EtU~*Bv;Du zGfE;-20xMY)G2EiY<)txE7$dUu#Y7~Jiul;jaexM3aY<*m@0OaKTXQ3@xFL5IhEq7 zbm{Pslg60?oJiM~X}4`@ewbVM2~mqF@6GRf{QCkQK>1SyjIlU2{=KbtbLDu7Xk^r3 zAoBo#UYvH`xlJ9N_xMKm!1W^cx^F}Lfo!B>m37Vu+sDWa7YVQa03@#-5}v`8f_}ah zL7Ey+v~upDP55(P#o(f|U4AWcDYR|^eBf3K75U&(qfyhEFHxKC(^;rA>&fL)SZE?o+js>LDy)H8g zM_Uwl{p` zH2mFqe{Jm22^UaXABp+qFedoKrX{d{OBz13V5GlD$5_+8MhCJ>qN= zN9Q!!r>v0$k8;-Bi!wpBz~zJ zcxX&}GCaj_YCnqoAXI2aSd_`$qJRB60^RKN`WpCk?ooIfjbMrH%3d<_bS&Xsjyc9ql%s+y$PW8j?-(9{OZmwY`Qyj+sm}g`QaP2vP}O%; z{TK)Amj!yt)54(G84BD?N`OcYFhn=-_E2=c+!|vl&2#*4hn~5i)?9nw#>#wjN{Iff zWyUgn0k7F#e%5{2WF*TxG=)HCKCLtJ5BS$^${~Hs^0$@%vuYi@!Qx<(QU{@8C+8!y zfTES1Pbg5;iv!^u*86|hn2e_fthNKK`@-HR4s!*X#IZSZz+qiKP|34bol8)o?19F_ zTh`5Kj_WolF=n5!3_CmY*?}*iQxfrzk zgM=k)EPU2_PSlh^;-Saz2kS3~1sbZ?gq}{y1FBy#!hk;$oV>_Cx>a(k?Z4~GJCTXB zEDSZra)EX5PZWmA<1ioFi&8XYJS%MDAI)m&%=&+q;z4_R9{Th|xnc*fUe3cV+N(ZB ztTFjuJ;*nEFb#HErn8H&*o@KD12GK*5D}C2{#2KtJ!)1Qo3qr1sn|qeK-lH?QiR_EkVg*S zft{Z4w;#t+U z2kh%A)M&)M&MJ2m?TAo=v`t0{ytuBY0(X{v;`GiEhEFkW9v3 zfr)zmab1VknvVrRzpc!QhS-8r3V6kLEcv%hK4SoZ8~3l^--mR!kg}q)Orv9WH{Vwlaxq`+N@qVIa&V}=lH5=PN z93prKUh!ypGeNLY_OW$b`P0@Xa#X?wmyg=br(u#hrtJ!=?AD6W@Ndz&BpNr=rn%5* z*miiaQM4Kb-#8;mI~F|g6?E;`*!aYI7qk4z<831P(YL5|=~n6$SjEv@C@PJ9%jDO? zOEZ*?oFl&)%mT%}pUv3Sz9RJwzB4N#yscmnQz&-yafC1xCL_&fb@Y4g_mh=M`Q{~& zgA%79O*veHH+*TMTu_UGvU!u?uW<`~o2f1@ran~y)Ofl|1^KJ+UEAU8l8yUZN~}<$ zF^e1}>hKDE92$PD*%P!q{kvxyMC|mqI6p!Of$%cOgGScZ1`D`^EeetpIejBFB>vNYUDwqSj}yRtf46B?c? zE#-=9Ge?RF%mHRHC9ka@?0eK%xt5d4k-9IA+*LAMf*x2&LWPmm@)732C!3c*R*}$(5 z*5B-D9aimMSh3zKxnwExRUYggq<>6D|{CcUbKJ)p7}lPpI|Biu$Vks9#YPmXabI_k_dfwODV zL>u{>M3+0CJMXQS;K}DoXjG#h#k`HHF-~?1zWf89 zM&{fACsNt=?$gy5eU(QwUQG_j=ommk5p$xo^6Z~`Rod)ZHPGV^FUNoMI&6DIlHZ1y zVto89-C(#%UayKB@G|@xveDqc_to8pH>>YJQ~n!s_|*C|YOD?1bWNbACfxC?rhYx$ zUSno*bxfpmHP$AP^7Y4P$3MNP%ta2+0H;m7OE=D18qmM`%Sd7TBDFvyldGXDw`pzD z9(Fhew{i#*<=&bG?h)|kM%==F^Y(vtORCc@=SHn|?v2}{yP1tYZ^XCn+`92(5^1at z%72A*H0OInb;mj9&%2NcK(6oA-^?C?Gq=B8&1wB;Z&Q`fvmFA*-Ij+zqbvGqVP*y8rE{Ek?-~KHrjyU8eW!XsK%EY z=_qmBEUt9P`~DGGaAd$2E8A(B+Kzwg?q#Oj`4e=5w=j?QR~=%NPRkrt&Qn1u&u#0e z(pw3!rwy$YDT5v0*F;V8A*FjS?!NBVL|B~OG~MF+Bd@Zz_*fHMC6GR&SR7YI!-(y* zq|5W~z$5;t+?-X^5S`JE*2@wd_#dLq8rC*x7EU5OdAQ-2--f5=1DVxEz{-#>ay|1CTqbXwZK7$7xmB4fQ6!kK#k<-ZB@c6r8uya<>TF zE-+fE-fC$νLpEt$5H=f$F{>}CR)YH=A}O!7;X9z#)_jg!?{Wefs8v^0rSMv}dwL6AU=|Xlf@eiC zOA@cw=p^~_d@Se;`w$)KRJp?$DIkCFtJ=_$w3rXO_9wzPBI83_VOvxPC+jcFx3wDw zZn@yQPS0qv0$28>!fxI^ZaInCId2&(uXi3~+1qmA(^$Q-E4eM>5T@tvnl4^&GVt7W zL5GT-Gz19EOIgkD^?R|x>ON@un{1(sDSRprv`D|_J7JI~mz!A4y>b^6Z*KHXg!y$P zF9XTnmOY&=E0iThj)iFZR%KEJquLeKNa$xuaI$7I_(kz9k9yG+owZf=1D(8eGp0Xt zj5g=4fZCB*Q6~6m)u{>gm@x}^15I}F;Q3RRhKIH(!+lZ^bJGSqOSrajsUm zMt-;c+KzfIZ}NIKVPmZ6zIHdr(vt%fCj8gZXI&APIe~C!wDR6t4BK7eeJsh;wt8RW zvVMfHdtx#TSeV!A(~>(o4cP3Y9vp(F`G2Wexxb0Qf%-waQPIaqr@&)Og7T09NjDN! zJxw9nH}uCwmU;C#e6&waJo#Bd!S`7I`B#eei?Hv#4b(ufIsbCLy#{%(C+{oVqP68h zyY>8>G`4m-u$BEv^*3%8It>k{1RwXH6(BM@)#1%wnG1IQk+vGEe%gxm4<`{C&;PS2 zv)~~gNa7mu0yRzoGMB!e(QC8Gv73{UrBfblE&}vIY78BI4=8-Z1!}AY{AdwE+wgs@n^zmd6kLR+H4G);DMRG;8_%9kzs=LfwKT_g7GC9N)M(aj`3#I!G!}jCipFM(lo?*WbE3zm z4sKCBpK?-Opg13*0|W(wrYNo5&m?E)FzMt=iYm@ind@k^&zWF_1r0xBiSWOt|0xkZ ztTw}}#DOfG?O~P!3!wIF_}j4Be%Mc#0NG4|t0;LRyw#5xwAE^@lIfd@@TkQF+Uoz1MIZbXkh7h}@q%sncaWaRS_On(5=ZelcP0;6ik;M5N=u_uo7FbnXekj>CU4pu%5uAJ zr;E5dPfVi0%lt1_1vwe#6|^)l^DV!93kc5FX_x8@0O6Cl;XqwYEwtjb$Ro%T0M}F- z;$2V}e@K+4*9)6BGq7$3srprrV?FT`G_amOI!J2N6S(b}LE}C<&g>tKFQ>piT zkZ<0+$D*8m51fcROMM!RJ@^ux>r$L~@`PHw_(9h}#yZtPn`FVT;N~h@U7+pRSP;zW zzjJJwow|`!?OGVsah}vr80e@67Z9>Ec;P<%J?PE>?Busv(V;`~S+`kvnN_BaZc)Fa z4PM=A{nq?LJ6r9(=MqJKPYVDugrJDh=Oah+p;hpPUD_&y5s)M&?cEXiCKh$2J^%WSwlqRWuU*A$H~U9lhW!k25A@|$ zJ)HtC6g^vVbARCPeK6nt!J6(xBN$*x(aT!bH6t%kDXTzEzcrVHcY35>qhd z$=|AY(|Os{RO~PkEzrut_F$1g-R5Zxl?zQAKT+8&@A>n7O@M5pFGucJR3qiURiJ#c zFwv$u50Y=-Fb>GRwqof4=HwZYo+r;9O9e7_QVVy1^~WC*G}ilxinmo%^EEy)JfC{tb7 zu5Ot4?+kMcab56qyhrFnv&@x^UG`Vyn@cGYFyZ_zj>w0nTcw*`6UVaK)Nh%X!nPd( z>rKwe=t)Ba*qv7VrO$4XJluYe7B~7Ji z&`mqzAi6209*{1wmQSMIUHj(3zevD7j5O4%_63RT`03%@v@esIj;^ceHT+?=m=uMo zaA093hk=tcCPk1^YTvX&7t6o4P!h;T7sdEPVg+FQmoXIzR*E#Ec(L-2qAUV%?`f5A ztA`6-+c&;C%4>K%CJvPp3W=m9XTN+E4LN>tO4`D|i3jOk7cB(>-I62>Az+^x-W;Wf zI(U13_wXiE+JU4N68%h`p_aSd|J3-!0?rM?S+ko76SYQsi^T z%ooZPqu^WPMgNAuleFGR^-1X|IgCj5sLUrO=?AeYpoupkOQZsPCUB-tx~L%VM=N%F zLVif^DdR`(eqUqnRS|qvgF^+w_e4qBE@i{R%Tq`$6_O%t8Y&QO9CI$ev|6;W{v4Yr zIH!OcdS|%6>0Ud_m~42-+0!d$So5 z=P@cx2tG7&86yxr|DQlKYMjR;-X>GlqGHnbDClKC*w0z;musir-LzPMDIeKkPg8y? zZaEY;Vp~6VLHk=-wGa&em zv3X>0T~={@9hRa-xF;h~@{gC~%ea6NLQc)t7R`va z)&+m`_^K(d4W6v;`NpZIX}CyTr-Dwz#|sJ?ol=`0W;(7AEkmfPgTF?=90TOgaT^1- z)=3+^X$hk<#9>`~#y64cbKx+<;RG(KZi+#EHdm)tze0^VYxl#b%`l(x5uPg`pH*YP z*6Le_A3O{ISj4th$)ksnpKr^&qvdcOCdzcPpS;?i(P@6PD z-fPXVXJo9D4;X9lK2W<**OOUjm>>fO3+1Dw_UY#I5W{0f2H3`Nf^3rVp(Y;oF#6QA zeov0Wdz)CDAP2#LhFD5EMYqmEV<^s@%*4OS7Afg8K1W!$R#9F@=Z9@B-4v<3=6^4L zQ0cdgf3PS>uJV_FNT9|H>N7du)Kr1dLHFCkMa(*R$57FRF_Gxz$>tbX_{XX}Y0@;5 z>_Z-J;D1^cr+!(=jUy5$hkqpmuDW?F$B)osE#Z%jKHBSICqcoezqTQt2V8xaPcgB! zs20~z2Pb)hBtNS`e07rJt!4f0BWOzi3DzS4rU|tBP)4 zm?&&BS0lHCopi>=KoUET^Q>jPlRQ2dE_9^mQH;I|) zXb(~S`&q(N6>-SJ=i4RSR^1VJbZJ^5x)l66x)k)`qX6~XjO1D`g!&1Y7+Sr2yj1(> zG+~KS+N-E~O{Wiv3>!ejA32HF5G_BQ=BeAJo^nAl+EaVl(v`kee=TlwD*7ZYKH6@z zc_~^w%PUsmolQbpLC%mCtUSu&Pso3wI(wYvk5NLVTNeQG$~S4-|NKX|&8yMkDa+qB zky9Fat7Gxe)OQc~s-v8s;AfGpukVH#p3)nmM1^N;ONkYodU15av8*UcJvHAme>gr5 z;rB7|nrKmGo=;Cv^ov|pJP9ruk#4`;!iiRT8T+d$4hJHL2WDqpzUth>t2o`A(FcRa6znRYjiu%T- z=Fa8|TqJybu(CNDFs1Y=og`GG^=c=O;P$oJgcC(*#7gzOL5;wrKPe{mDh`zMOJES6 z4;wO0^N+xJzeq@gjqu5ie94!1-o&R!`HUVJ-29my9; za`?!;eA=6XG{m{Aw5c@EJ26r)%&r#jq)j+kz(7N(`u-ToR%(lL^gKNSk)v0E63kZV z98=D=V-j{=C;mQ+>S|BURBj^+1PD~P9A9lIii(8%V?Suw$kafALh&tMGbx(V_Kkuk zA#&nC&^d18IodfmlXR~1nyL&GR61uOfkx_|vp-r@`Y-5x=^UvVO32m{lK8sxQ2R}m zP!Y`#eIl(H0)D8{VxlAvHzot3KjgJWJv1Gb!}?_`G$TN^4=DuwNccM|~sJoA1qu05$0G|NEJ`u}+};|m=Z;V50r5#ce%kRxRl z6(bUTl6TM7N14|WGf_oyt+eF4i}KIm{Lv@3A%i$`>g~nJqOZ*qr2ut3#cNrYs4;WO zptSG88hr&dr=)~4&~#s_e&4WIGh?z6%3A?`y^(-A!gp6M?Y37V?{6_q;iM)K_VHvh z6S^Z2ihTAspcv!ZG^b10y+4=0*t&m7Tr*R(8H7qF^ZW44z=}{;j3_=cbMBf`FsU0a zw;g;2nJa$B6Ms>BnD=MG+Bx@mo{H5JX@SfP`J)N;N&|q4Fd8-Yhe9mo&Qp#H9KWJp(a4!_JkQ?88)7Z8mvWrkS0Rp68C}{u2V0H>p~tg z6@1L1@g4$Fu#^Ad5>w?t{r31jJEgNQc-XQMr+Vfig)xfo+$Z9wmP=}rVi66XHGb0} z^}3)7{l@0=FhFnGrN((cK7VFH6RXZco|w+u!;^Ps*c0kQNJe(`hk8+GYu$P7=seA@ za>&hVE>aOZq>Z|m=>ba2LZXYlv#U}i1PN*z1^)acbj2hxPIP^GAG^QZAPM(AO3wE` zpr|j9m84QvL(k_f>x9dTq|uE&K-kB$aWVz2trj7|rv1cfVcZa1%==n>>H&Q!9CkJ< z!HQ0Vu_p(^>?i$GDwG|@2>XYd5^Phc=^xi1ssmj+Vf$HZNLZfIf%dZOg^4huf6WI# zBj7pI2NCUwlMR!E$Shyi?c|52+!vsb3nzq}%L3IsUjDKOh$Nl>665i9I(C|x!ojBA z2c!gT-_!BY8i(KfIE43nAts*ka+a8MF8QU|E?#ZNP95)at}OA17XD~L{Ru@qQ^3ST zTVGdK|4_XK2=C7Ib9Kc_|BtLB2+LVT)kQm#EaQ$ zEKamOMcHZ11m(HiZduzusKWn#Q$PZw9}C2nSk7FgSpwf_2rxW&$p-lSM5FEHOOKj* zT|)QU`5y(yIyf5xmv1=#nzW1hrQ7tHKp-KXl=65w#rkFCVu7 zEB`%$_%pEm7l0jJ^P{geT#c9Bo5lTuH2{_yu^=&Ruwr+SCtYzq!ZQ8_qfv))x&aK{ z`TaJKXjT%44@q?T(1i8*NX6L7)(!Q&* zS2MtGX*|_|UOW%#+@A6Ro+b6L8s#q0nFg4+r>GKrCj5dkS23{0mH)M*OhP1T-9MT!5JxFF_+@m0W38-aN-&3mhYjv|sd45r80atrFC#K_Cw3Q{8c;JejPt( zps@QP&Cl%o``c#}g8M(k#Cn&RqJHd{S$$B>EfP!G@#a@cryaXIekE}A&7D}SuC!v$ z>$!6%$o7wG$%u-uL<+Pwa0NE}J-fzG<4^X_O2$QwH*HF`yi3wPxF`td=NU;8=jl6_ z?+E92JjW}LHKm`FH5H3O!bfCFnUA%kcQ@@{ocn^IY zI=v^y(OY!(w%L^%;6?HzHz}dyIme*p>D2F-NS@*?ov? zayf2~-NLp!X5t!f-zVPm?-$>CZ-!fY((p${@T&d^yjeX?I~8+M6L)PUhsMYL#bJx6 zGfzkt$qAnaQqO}~KF$!{5384aye4F&X+1qMm7r;g#q#tHet?rQ1@A!)BWl%Gg}-W# zDB39^Ce+%E<0P0Fc6|F2wDv|fzsQFRh;C?nEaOTil$z3LNjU#uoD-5>t5>RsgBo%yHoh)Xu| z)|4!rPmDQPb|Krala+%wVX~$YtJ;06lmPwnMHQtp9|Yj;Py`eYijL<@3AbplKOHQ> zkuGI#&UTvaJ$z3u;mu4v%Ptl*jXwn`t9be~5y4UhV&Ao$4mefCrA>D*L8%udrbPtRADs>eX_F_8*9oK89j^zR4M4)z*Ay^DT=Gk);0> zZ;@LW{RMC*sqOru%TQ`}0i~xo3^|(xd;^(%BSFkMq_X;*Tnx0tPAEs^eGTXS?~;+f zKT31oFPx6+!NduToUt=_9j{i<3OU?oWF`hABd=c>Hrh6Bx&}3RDb_aJ?1^;;J&W*^ z^BWR4!&?z?jK@2#^Ra>pIX73jwfO0dec)tClR^c`>Umi+$axYpLlLJLkNb(Dl*`D1l9HGtPvdjKvAFqdJvWFmtWil&0z4&8z zpk3x3N+L5eS-Sv5BLlp&(*OYcU~WfK)*d})|DQTiS&5W51gOKa_5dO~dpv9*PKyoqZIq-M~^^Xb?-K5eKX<0Vq1$_p|9?erL@l+Q2_3N7A)z+d$ zI%~oXn9tFSE9lpdxHNRArm@4yKw_i|?rbc=O-zGlPft@Itp8~%<-;hmI%|=^#+4K^ z(WLaXV0A@k^9lA+T2b{K(A<=$D4IQk$~M7yp0Yk`J@-|4_iEb(P(>NUTmZ&%QF4vj ziKn>bR`F`paBd&cuCbjX4v!3fg7E~N^lg()v_0Sw_RgrjUt36?Xaq+DwiB zX1d>e1OK3)B{B)F%oN`zo@w;3tsZ#eAJ|5pRdseE{L@RGEQ|6#C-%C3AbA1$c;$}C zkA2dal{QheC6mFg%-fL++Nn;{Bn3x1bs$b@E3?C#mtm2_Utd8cZ_}aAFbdQ2OZw+ zT+U>lFz1H=@S;t{%(BMCPLLwtrf;>C2QyFAHl?a98$U?|B?;uHV7{qMzeNb${5T(C z9$ARK#6~yq?<}A}Rc~VY{qlqXkS;3>WX>j%P&6)4S)CdPQj+&QaAHTAYgTpy-k&jd zfF}IU>^2*QWSgp;-S>9&U{3zNRwUe1X_+0qe8l`jN?xha$F?4y_Z`l8U@)fG-SsFK zSA56S9*liICy2-<2maKl zQXVbh{eFQ6Fn#a@@8>h*1T6C{!1P#zF4=9_Hab&{C#HW$l5YFeIH3(19vC*X*;EV^ zePV8DN^On)I2K)oyXN=lG%ryq8MT&zs9s@oj3Owdc@f(hPKyqyUr@<{Ld5mV1dL5c z`m94YoAF}gSg8JvK1t)fxFeX$vIgAOYL4CjCzChcjp@pkY(Bjm)Ccy~mPF=cRLqKS z;yxu{aaaB}^~}c?549V806h%6Xv;GhCiDlcbf6PuxYIf<|} ziCJ|l@KL~uVm`$GJrI?xhz;nJ^ZOvYOo0X2CtUkD4?hXIr~KkYgv@qRDepP(o@&WF z&qt7tf500C;d~e^C(Nap#cRr(O-73@%%Nruvww;98eXjVFGT7iG3YrGJ3+D$nJ=&p z50cL679Huae>x3(-jB;kB~sjy5`I4JQy@B~~^MEUB}EG&KuJKs!v;yO%y%)`i{F+wRn4on#-@G}x7 zY+ieSV>*k2Jm` z9*1XHCK3-w7{5y^!>J{uJgr0ule(bQZX@;~_&^g{C#z(}gr>+(-^3-t>&2LiKDRCl z$ln8oX$QGQeY{emtDxns=|t+gawloR0Y0LQ$NJ7|cAgWiJY35JIc(l~b;7iVjwI&PT)uB3 zXUa)=T+ZGMwv)E0`>g5UlzBCV!*m1XAf9ydh&@#F@LRk4h4+MXz%R40aT#GLW&3$- z*s%^o1?Gv17t=5^5!p5C4Ir`Rvk2ug=UsW;GQQ%#P~Z|>PUY%=;+hdZA>hUO`9URJ z0%_-}-^$t9qF!G=iqzp*Pt)z1t+O7LUM%yBthhL~3x90qhA(p9#_qpwS9Hh(#%I=yIfPolKD&hG8@Qr=aC^s$ zidn%|P)zzXKOhAzejeI~zJzQag8Mw?u(up0ynMW>5-AyKXgW?qJEdI&eMFq-=H-XQtH_Sz2~B;~=*;b8i-tB1(n4kwrju5NytoK+WXa_h<9YAVN1Y4;rM1 zV)Mr-0fLUGz0=*W=VyAtc9@00gr^)2l+VX2@)(dizSFL6+giBdNl^;NwwfJ{YwWao@h|}cq9scYTU!}p^ zMwXNYxdO3N2x?Ms=NM}-MKMp|%v$$Y4Gr+)^P5a4WSW14uh;-0uN%)i&3MP1{`NQj zbUWls)$oGmb0`tq9%MF`VPi{O5E#1MYkhGzK6xN#WQb@y3d(TUDi}8jG#S>X*zvY+ z{Sf{SBwRvm{OzEd32CpOej0w2p$(psKP|&SU!tiW@TYhR z=K#7eCTo-fZU2U_bY9x}49ItuIA2ZOZe%?NX+ihT2pS-eCgE>zAQI}e?Snw|#+WHf z^wyI*kp#a8|9H9Od6%1!*>sH<0n|gFL`0zrp~ii>(7+Sz!_B4K`oov=GXd@ax!fB1 zMHi-_YI+L+e_7jgm5ek;gU8T@4z?L%J0a!8Y7Uhb zOmCB10I$zRD6)CT_GLa~)?{hX3PbfsoQklsw!Oo>mMV6-!aPy#17kN&S`fcO*r)BF z@qEmqNv23>1jWt7aMIC?b%}aVbaI8ZwMy& za8~DEM4eV++JR|%sx#fM&LULXW?`7@W$RG(b9xmi{LYQGuigtdV6BIa9^;?akGv88 zj8Zd5;XABi6MV)#0YZYU+#!9R-~$WlQayDK?~#U?;{)nd0m3|2D@5zs$w?wN-n+Dt zvP$sVei+qPJ!gO{%hXgzkYQkj^Gpr(N4=lqhRrnvu%n?Oy7I8!g-p61}ZkhCUW#1V=9}0?<(UG*o zSL)P*4ntBKA1{By-O)EXhciN2FOXf6L@YvX7&UH}A9#M$nn+P{i&kHo82*8&BvXnV zwcs%`72xrRQoy$>*x-Nk$eF~p(+O$Phc3$Kze9XKJC?r1y2CT!v=^8q7)at*{~nP` zKjlZzUS+>ByCBT&{=;#=Ie#b|a2(BfmiUw<2}s;96m~kTHi7&eJV$z#_KmjF;lm&0 zTj20&^_6tr7)T;8v!$85N~@>pQw+{8$;vaRzU7V1F|8gS+f*Fso+_0y{K5F1>1vn^ zA9wUuHlV)M3gY*gaNi#loA1di0SryH1q!_!_CggT%BSVX7vJHjI=s81Kj|TYwQ@!p zVH~yYb4Pb647H7Ta_A<#-1;SCf#2033ddo;Js8Xh~lJ^d{AR*6RI`$XLOln zD4RYBgZ)N`hNIBf3>Xd^%a8sgDBdCr6EB&DAQ91$ZSds=7e~?MjT38hx#$#jvW=G3 zuBu1-yU{p%xA0j(y;T4><9S^(hr{`;*VcbbP_LjVD|ZO-l>#@w8ELvglKddvB1za| zsN?z3X?NM1QsJn6`;dxx2ZnLaVs6JjT_Bf1lZEi+W-o2IP%VG7^d(!Edm4|u!?m#y zty9VB?}Qq-$%x$1s+{mMny6*qg;^fbs^U(9k|?_2+l$9p^YO_B0GvHc-4!B#?qv50 zPuyoO$p4~POXt>{;i+wiRktlVMpTp4M2^$HYh>;5l~x7oi?+Q(6s}c{Le++}#`{UaBL zvwl>)AA`eRj_iUuem3okq{)-5LEp6w*sY2kyPl6yN{7o`_~UUX$L+ECNW;VOEyUt-`NuM;Xg(DmdtTV zQQ>sABW_6bWuNtnc7ab00}1sqrIEOoVQ&tN=lwWj)%^D_3f`GNYcQPTkaSB&eg4U} z6!BJ1$>E%}m(b_s^sht%`zsR`tKeqApGl2Fymqvy@kSitbbDd9^^Y^UNNL(8{xahR62G0AR>cx-apR zgY>PAh$Gplnth;AY4eES>T?;l>T$K&~Y&G`=F%5SDA$lBe`QNrPo zye5kDW3=jDRfk;@NXtACC|P3kZEjw)7^o$2x6L+gWk~T220s@>*jm zySAxylYb(_pwl9gbCKhVsfe?yto-+5AMUSh3SI`R5>(g?AM$azVUxu|{)g{@D@;s+ zoSEAQP#5&tM>({XXY|hwBAx zA)vVY(`m8Us7c|l9F^r!t7Vz-`#GNFdre!(fEa;bqy=o4Q}8>^v5>d{?~P1 zA@}>dLQ$3vS_pT6=QqHg>oR1=8$zcqFNBYA^pQ%$#T4Qux?V%{m3Fem`EcUbWcQw| zcgjAI0CE@~+1&2{T2azebDj82pQ39Ye{MyGg5^U!>-2;{Jw08Jr2pdiol`Hm5Q^= z`@}c|KWe8lsDrh@VDv!W^Eh6)+0Mw(vL%ayPsd&8gQ=3ldBF=>%dgy%8GqZFTYY5hO7*wvk|ev{{v@S zxBJ;7+{sMy6thL-Lu3sD>T?+2p*V$P_+di?|IJhnj7~JlmQed1aX!t3g*nX=Yo9hlCh2vQ=)EmWbuvEatPpE#L1DL-O8F!B5ra$Kg!ji9S z+bvLIEYIdj1IM$F(RNVeLp_dca`uk+OwQK=lsh9K%jWI)V zG49fWW1w)Z)6AN)RdaU6#?&2c17hWVT10TPFmg%v&zZwGs* z9wNw2SAA$2WGl9Pndxx)&`8{ZTSnyaVfd3@q|Gn=aR-PfOxo9@CK%dy9va7Yy1z_! z)Md(;^jO`tsw}Xzfo9_>AZOV;{_d6cew2{VwJo!r#&^O?e4uvl2-JBLv6TeJ?V2+G zVc)}+n`V+jXL45aVaLvadMRN)AU1$NewO@gTh+4Lpu@pPfXlP@9+vp})!5@}6J%~U ztWaL7M8PLp>c`qt(Y(QSk+knHx5TkUyK5A)imCa_kl{Mi9FCifE}C5_n%2l&CeNA8 z@E)_BVdFT!KGl2EJl*=yZ-zC0ts=de+Yw)p!vMgTN1o^UuFsnOUjS3;pTwMzRzA2| zz=_h_zZ`5~8&a=Bm6{dseOH0cWRoKf3a>yvTI4ck*8_aZhjNR<@TeY-m3)G@zH6dS z<6>StSy17O)IR(JE8%dP-m2Tu`19-h2L?l?X$vfCo-NZZ3srCPWLL2e8wStB-ba%V zmXkFyp0J*N){7chjYvtKVZg?IR0R2k(jMmOJo}cl>A88z+U9JxxQetpM}lgjqL2O* z%m)%NON7lMKSx31a@}0|HaQ z&2C5^3X>Ji67MEO3Lq#Sz9WEBUmHs=R>#9$u{J3GZnfYMF2o;;rhPJp56}q5d%HH@ z(+!^@(`2Mc4Dsx~S|S4n@q@!4*Z;Y5@ks~e)q&t905>e2q31)d6a-g(m%Q!yN_?>o z$eBbjda`;QBA`Xyiu~QBoT1N<|ALewTBdeL|DH!~npC;OABu8W9oHP#tEo^gNtKwu zTRUt&5V3){JID2DolTWLDgd%^bi>*gDU)Zw#T%+;3> zkfjRy)=(RRiA5$pl^y?w5zUlk7hK@(u7jPMK-PqcyH~^RZH%tG?oH_f!_u-SW!PuF znxxl#ByXHVJLpn-h=WRiqQTjopRnPfAd_o7jG*xC_20 zl(8Ke$8+9U-4~x3VU5wVF|^6>yZN)Nn^%crMv}r4@$Jh`8ZWO)7e5N6WblI27M=B| zx};y=6LX;I5M&IlcQWcs?V}2T!B;63vRmSv3WZN?c`MYfH=))o z6bl~l9h^~sZZOg8VBrUWn@Z6(-JK*W#K@{y1G{7KCdF~%R@dOOjz1V{trK!5oOd6H zGCi)dX(HE}o^d|y${2*BTszn;ke3>ojKo_yctoc4}CIf6HK%v|(BR|2nKt;Ben zH&^CBE)zxD!19Ektn~F<3%J`4S&vS(KQHU$!a8@Z|NG}S5}?tu>DEThmG!von9nHH zEWJ}Ptf-f_sog_`BmRxg)*Z+?IW|2-Tw=dm%CMhf_&k01`wCk?YStYy#v>~h@0SLL z@4qs6<#)iJ{ILQSTA5iowKf-`UXz1*;@#XO0Z zs}Lf*eaPfzv&3AQ1eW;|>i7gp#$fqHP~;Eh@1p@MDSpk_ubI{W=TcSFFU2jrQ}(U; zD)MVTgMmdWTu(Ay+ZoC z*;33(Tt8cq*HBc?e*owG#{3NAMbeYA ztnWgfH8Jg4GCH3#^hZr%pBF~@-joTKTddQNesqu+Ax*3C#vd)R{_0^EkxF~hnQbn0 z)b~+F#pT20&M5VF*QmfXy_P)hj}toy3(zv<5EiCG@8kc6twkAGKM z?^1kUsQ)5Kn#fm&DO5U`yS_5Ynb|jP$yeQB&5Pt(Rehn4bMJG);n&W?58bD6pSO9H zwyi$GKX>53K04wG8L8Q6Ezas_wGt}B){W&-ok|z*pwS3vo%&y@?T@)H7OXA)TWbpf z0jkaLeKuzX$0#MfQH0tTP;!7d-3^0t@>QccMzsme8-QLf?~4 z@IT1buJzn!d~LqC5a-#tyT`3FCkEGoM?8erX4)mO8;kurClv<*Qe-{ttHiPoRTmdM zIjY&XLbJOsNy?iYZr(s%$HY)$NR1~L-=jgY3mGz+AxBx@qy~B-fhq~jV_$i=xxQ7JfjJFym?=%!c1O@0=oR5gI z9~TT#CBW^bWvO6XW%s+ULBz4gvsT{UP6L<<0R2u(>|^z5{@a|=FKE&YXnv)tS<0rDQ~Vs*jayDpoYkHwpmIY06} zw24(Uu_D<%nc=*qBp%x)S6rJ_hZ2B_fwHrlBGa*+P z$#Rje9+Li;c$0p&JCWUPXq>jtWY$8;OnKBCX$xT*!jh(WSTfFLQh67-n{>oWydq`GB2mFox#Nav2s{kpLP~9!qOJXV}p*;xj}KgTP<<;*WnzRobYK!jWXJlMT!;yYzkXgGQ~TZPe}jwv zd-)~+gd#^gH}CfKz7>D}hw({x&*XUONksoHv&O{TFL{%}=-#Knv@rLB<9Q1?T98cr z1;t+w=GRIH)><4jc1AQ>()GuS15zIXc``}>&K=%`cIE06ghn9Y232S{5G#87`c~Z^ zh8=Rl8vki9;hZJHH=it|8r5XdwvG>gc7v5J{|*r^QkwF1p9CMjGon^2Tl!K+ljlS` zBH*kbrB<@tWA+JyKFX#fiaC?HAVH|KNbjw#8OD0u&ejeulZ&kd`P_4=%ZpHPH#v_@G?rHBLs>`6Yo-aCBs$ zL{r$BGnv2k1gEpc8dDK>`qdQll#k<8sHtk7O70Rwr!5AkNYtx^gun#kx#f{&BsUqJ z99+_3q2=AC@Fa(zYk3b5;chhqnL(|KIq%`;-z9x}o^SvC@&LUrW2pe+e(3%D(D9Hd zydNeqf6V0Kco8?IbhUlj{8d*ZxH9dTZU+~)W$#L@A(_J?i$c{Dg9>l;3vF)39rM$( zdlLd0F9{)JC4+qd2q1miVv-zr5{WyN?fuF*Ts(prYJz)M$fQ8Qv4gn(nb?hz^4@uz zY}U-k-?J}TyLM!ZN9I%{YF$5a>&*6l#{B0r?IFTQTY|j(lvTsrOz`@ z;VkBFH{m}7tFt961scO{3iE) zk2#;R%dtB{s5|PGoRkolwv51}m)0wpG5wBek2$->K2wD|va1oE0;=lkC2OKzZBtgb z@+pSiL*Hi@R)RX;x1iwfyXotRiNwCA!3?3P(q#R)B+kdqz35k_m0Ss0B-RHJjL-1? z0EPeUTfN<1BGGHCwwtnJ#m~s)yrV~#QeH5lC$CH-Ja0YU zFoqv5P%j7$V}eCGj2}Z;dbYl~ODbb8>Zb7glo}^n@6KsgKbUS;( zDdK7R83%$R(TRT6nczZnrMtNkJcyojFK>`QAo|dK&k_8H{`7!ALJ%>S9&(;QBwnCj z3?*D5hS4vF6C#L_^r&dU6=DoMmP8;EpAo8w&*?R_ggRn9{Y3+zk=R6UZXvW1+vx2t2_3{vdRI50huBN+ z>nFS-4$uc*6NZRy=)-Rb?}+c|A4UkH#EK z>@2KUq?jzFl)+Ik{MF;Ch??N9iHW!-Y^~z`tpDIYHC0~$e0Bc;rx^1C0>a})yQc)Q z_@8*lY*2EavM2Ic|1nF^)YR_$TS89Hp;vkZ{*2S~lWdQ`G~@AODL=nICwXfZLGnW6 zEAXfj<`MnW-Q~whUxj>H--!z5s`sYy5lbK=PpMWKJ=L|Xd)O@);{;D$`PTg@)*LjL z^NG4|I&z>=w zr<>K4@2^a7EzP*Ac4S9fBv)C7r*A3Vs_J)wXljEroJ<*qW1XkR=`82*lkrj;_{@}v zn~&`sW@hGOSr%N79B~emv(LFDC9MUenyskE`Tg+V^imHSNvZEH%bz&@*<7Q=_=r1A zX=Qhp^HtX+`cY8!-l}-N+2BRB2S{j^Ige#K9e5~8Gj2Y5wvf2UGVX_vw12{+BdG>CHqmL& zyO!_@ws-u`YB3wFHGKIm-$#JllvMw$5@^QXM3^~qfqt#&O1KjPS76d<-`$1Xp z*{m4-PcSZsX_0@m+r{hNr$HdVCS_2UV^pQ5CD+m}t<_qb6o8Z|DcAB7X`O*UKs8|U zp43SB%M;~`NXs9^GU5__B39J*`}U?s@M~74hr(Boj7NiBTdjcU!O8Wqlv|{>2R6zZ zz8?zE#DjvxJhr#0`WZ`n9a8A^pf0~&H`4txIt`FIj?Rr4X$XP&N5?{sbB&U5MvFVr z)j?T%r84YoQUK#3o0CC7UaAGTPDxWI^FG7<9$}WVy&#A3DDbfu zQP7Q|&rN?2-LufezuBT_UT(bF=fwVf60{a3|NL%GR-e|j?%pB%Z4ulf&WJ!P)+tdp z3!81b7~^@bdwUmj%`(X;PU z!a-XL4PY;K4to5f^EKyx{e-xZyRlNH3~veG9=Jypm{F!mU-2umyleMVD!4n%Qq!jF z@6uSA#R#@G;^T#La>H#9L#X)5>$L7Y&fR|!1thmZO{w6oKm%&M1Z&tiTz|pt=PO9y zP1-RFt4n*VF*g@(y%9{>uoxR3WFi_5hgBY|d4t+J1C}GLz!Wy}EsT zgKqY@>SQZ|n*+Abffl2hoV;NS*I90hH^yS$cxSPjuPxgFr;2+PRkp;{7J#-FUZFwL zbnQDn3;xnK#>Rv-cyIP5dFtL8gWYr}zy)L3a*IT@_cVI_;J84IAyz9HQ@ATHuyt=_V>Tqki>SbM2ulGsf5h;h|PHOSxjzLmgjm zc%LV9msf!xxSq0RwwSh_nAC7BS92%r+fl#Kf+jy4(na3&uM&_i$#)g55YxRd106{* z*ouGPo^ed*sb$9>qrtdNZDokc?i59*>g#9jom+64hO+cqZb-O3)_S{6%V8G_Nxty4 z);!X!$zV=v${Jftc%vnM*;nngO-MB;NO|zEYdcv-CihqK2OIMgc;qhmQc3?JC+f(T zX7*CGedpW#kO1rOUR#?neucQP`}tTM*Zx3nXPIg}%6*kv={VoTS69wpK zw{`Wg6BVy?|9Av``IL+Z^}3(^R#F*C*VvTf$>~n(wL&+8uILTsRTy(4?|!z%rph3s zkL?{vyPpL_SjjwF-d_{`ux{9P|HRurA7IGS(^v-vMvX0Aj1{%G=-j2OGF^P^WH?rL zyI)18FU}qN!BfQD-?vAl$s5GlC!keC3yqzy7teRXRnje+qOqie0~OII;~4D4tDU5nOtUnr->kA z((Tx~7Zi~bP6#n_v#{IaiGCh8EH0@hj@rGQ7Aa|LT!4&|jxZuU$DQnmHmX9UziFSW zWIkK}yA=%0s}*UuYN&zsKiD$xeGIv=;MVj8cb?#M5zR)^Jdnnok>mzxPQ8r&Y5_^Q zy*EK*xnFLQiD(2lTjXDLe&#Vo#IlUSWkXK5M982!3}vfPH7=K#XggTITg+RHaO$L< zRPNbXf9GSE2(-l^4g*0n@#%WbDp#L0S7x6bpvIXE;s#7waCgvJ zSVFIwkYixzsCxw?b+py??A%A$yWYyGzKQsgm+(I%Gr=O68vRh`kNBEMIr{4A@fXt} zMRGCW?^f;Vpz?GH2`6(4V%U8N__^?0zLsAX<;CSDWRa68x4g}p%KcahVfZG0K>nYd zdm4Z>SFj&B{K8Lp?wB#I-I>XW@UjfbNR|iLJ24>l4d*9H*zS+grRb9fK+0P8r<2%` z+<;>^PLPkz{5f?vY1Set!|>vyM15G^?t%1>c%j!qdEW|Lt=vf0ql1^46HV12#}X zt`hj2Kug?;hEus~N;cRfq{wVudgUypeF!X-FsPCWHOMolBUxbVlV_#uXqCKY*kuj* zqkE$rQ!v5iT6~XZ;YgwVs)1rK0IO6$KD4jGu?vA;p8SnlEIWV`R7}0k`f#yEiga26 z>ch)j%8bFK3lJFhTg=B+{vDzArPZ0k?R{G5-hHWf&ETQbx6g&V!N1OTYneY-3Vb-G z-3_5k?y!CWK$1q9S=G0?dM#HSLluwayRK4u$OgR<&J#Nt3jr11G;*4eG7XAk46pd1 zL^DGOC5;>0_Vyb#44%E|tQq97ceA2??SDX#&q(fpZD}(qt-lU>d|e|?O0iL6u-eYB zz|VU)UX8cIXC0Mt2n*A>^B%0w^^;=3#^FWbZ=jQWhFiRT8kY-n@4@GEhn?ld$8VB< z?h{rIJb|5$O=oMazO_Wlff42MMf{eXMn_Wf-Ax`sdZ1%Z%iREz$W%}yOa<{YZ1o+W**eT!G zV9Jjpb60M5+Mop&JDKa(C}VWiva@LBENg3;Hz{uU)(K>GbOJkw#A0m0$yK9(jah!GBI8 zk*Fn3;CmQ!_Ge$>2{rv8#H7`iJ(TNdE>9b|{T8>O zq0r)N(K*n%bDYGL@~1W0dWPS(Xs_^1Wy)q)rw4^nQ(&9?n{wf3;>*GCa)Q??g?c>r zHy0}1$@Ik+h|h}d8J8gUny;0=(LJy)k>oD5l2yL(oHkX`z+cG}3Mp6;XKU*m*VWTE zFg!BSQa43&TuDXbjgbE7YKw~Y_2cOQg1V+JTm(bIF0;dpj|Bqfu3cq^#05u2;59V& zfxoId0Kmv*>Yr@X9OAS<0KkCavKI0RY(;-1Rb`a`wJ6ZV%ZBaxw@jZp>zq)KWa-AX zwzacAea7KLuAJ_p#E)z%&y!A$CT8Y=phZ1^Oa>2$n8!FEhyh5?S~B9tIk&jA2UJ@v78@`1#ByXm3Kd?qdW2-_6N$GJ7`fE z6`1#39@rXiw}z8D=r5|}!2Pdx4rouoORwDp&SycAB_TjJ0NoCU^LhOgR0&^#@@?OM z!D7oDOCRVKj}|P5n$%`I<*T5n& zl)p78!d4JOYuLwQ^c<}PFfE7=*#}IEWx{F!C2qK-9D&~vdRuTC3PAa-V1IRbc}IAl z6&w#hGdK`0x4DpT1i*&E*t{qOP8=u#q7*}1@vSriDmx7>=(7MFfI{on9xJRHx`{L%k} ye?GAbfqh47ly38u%vlYN61i2Cy$Jbyi$*&J@w@ecmIA@w(#z3|>W*A!Uu3QHj?eG6vCJTF|DXD7)-?QuZv_ z(p$qIq)EtpKfmAo9_}Jy$1oD{mj93ATS65iV@^+uJQh_`2QjC zzl;J6qZ-1AEq%H4KTvO65osg zHMP>b2E0hVGCWWymsy^_mK53VqD-|?qQEt9+P4YEy;DA zx&SvFIi=NB5n88j63+~E_3hSzp__%3oA9SwQzAUjzINCAOUW?IHri%9Md-&h`5EMyE1y9tGA_yO)z(VJX@j z)i&Sj0&_Z}V|KIi9J0{k_x9MmWHxvfAlv=sL_xIvT>8vyc^AWynQh5(=Tu>3=8&K^ zT=Sz_Bu@U+V|S2^GjZb^0O6ak6Ac5)e)oLb6SOB#Egvne6)U_TdB#(--$iZ@cUhx# z|F5&stCJ52U7Lh>6DE(lJalWjNknwZ;I~`u7}X;2-w5S$o-429ra6g`U$_Ze+t#{r zjht@}a7%8U*Sa9NDX)C#xCGUEH%8}*jJV8ZC7iW0C7q{WNCFv>Oxh$yNI@^0Ee!dp zrksvDpAs_=`+Vg$$t(R+&2!CpO%qxN8F3if2_Bxtq)nvb^hJ`K6-WJ3%uev3mjA{y z5$RI@CQ-SFX;iJv@*ewp6Xr-%GMz*zr9XR8x)avW^vIhj^fa+pVnd$pz1t>qE|7#W z+pp$p!#uqpv1B%o@&_UfkCcqq<-6MO+4oc&vpB87BJZ;z`X-KbSUIPasHj#(70$$V~i>Jv^gXT-BFyH>Xsgt4^2*+!z-*DAioIymQvh*!VqDVEIqy$*B@kE}mBJq4IG8Kc zaAfl5bL6smdq#K(U2=Lb3LgV zaFWLr8R;M69SqHMI`3I;MfY;Mg~0cix=(EHFYq1YffjP7i6s3_%)7HzH=Cj+@I|3V zmD2VOInYZpp1X~-(S?*RMD~(fU!}_*eokekshveemX*jOgDuBTZa%)qNNuDVn=KA4 zJVika4$B=n^wPcAo#htfa7WFbY&y^*LOk*&I@Oaxlq})S3RS#vUo!ox4qZqK%~F8q zD*=wD>=}82Hj>)xL>Z~wE(ygXSwyC+AFK>;1wq95DO8=zB-J1#*%*wSF{Sdb+ee-OBeYI&Gw;B{o5H;zNpH zvFDzucU<4kJq9s*laC$^sDy5q)6v3Xx4a|icgVRN?L*g+7{~sFK=rBIk)60>Tvtv0 z*oWe4ar!f=bg9c<+IK~d&-S!-)5Rn{VNX`e+Pz63;^YrqnI-ljuI&v$(!%*oVRbM8 zK0;}M?|l#YZ>39(v4Wkym;&bQr)`F^hFKCeg3FiJ%XD8Uv6Ccu@ zF2PyXrw=Plw>wSU(KOBI1b9U@f&2rhd^)D7ois9^QXy(E&#uJKt`QIIZ}s>=vg zSEdFrEkz28udF6aGtWdFyQgJB(yiQ7G|3Cn7_(~%_QC!r;{JyDc58Y-sbou9F+b4s z?LazO`Sd3S_tSg%6Hx#YZu}KT_VLwq=4tN*K3%RFXDTgtrD2~XIisA;^uiSyc^Sa6 zj@h5oWd?z3!2hueeR-ry%w#Q*$P9dHT<7i6Zn(BdY4#Se_zbSGJ?@Tb2e*~j-n(w; zFh=*evihML1-kM=aC3L%-M>?jPqz+N+73gCN^hf>s~OPE^s~I1E7!IT6?1Hi=as3z zK1ifuW7C9A-Hm2KWc@VLte?mQH}4sKAh*io9~w?lXn#H5%s(=Pf>NS9+GkXLts$hj zxh%Qwe^11~{pJw(eZvk4H-pbgXL>oPUDu&{?Myt!EB|E>2mgDsGl%PUrgYZYFP^$C zaU}hGh9^zmY~}DD3Qw@hVLqbSR03-2BrEHOXXe!A&U=3q_v3O;`#^c3V8`jT$kE5x zD2Y7MU*{#lkOr^!Wmq0*9S-`w4YQS351UjGoJg40!_&Gp%9eBCYES+yNi|n5ncx45 zy64FzIIeQ6?Pc26y8EuMY2&IkDiR)8zxo+D($f3uL(G<{Tgnx7XEjG- zcjf!@)&<%+u|HOc8&uneFKiVZ2j88|TfSyeLy~ZLX4RH!7iu?k@aH_s3@Us>q6z;7 zmCNbq>RdB4o8OZL|FiO11X_8so~1W{%R6&zI`6_d(*out!G+%#F>@}Po#IL7^4mV? zEERdB+H1JW9B1qZ%Z>|jjczH?hx&K5&hJp#=KQuPO~T(l%kpkPjB=4PI{5`{7 zfnT*^T~pkmg(D7twKXogN`x|g3W`&3k}K4Klm2^hyukUH?8N6WV5WO&TW##DRGc?c z->e{H3?;au^#r&2hjqIOIq?-38=H!{H_7cD6=Y}%^+#e!wx_a67$Yv#Lnwi#3$q|* z>fijj9`EIjNchoo%l4u7JJFK$ZP4~ie%zKT0xC1Vt{s^K|^G; zGU^kKiBY-d+Y$iV`k7-;a@Yki95jeJ`)q;wFb4_Xa~RHf+Q z4xwfv`PDDUhxsY69a9{AT}pp{#f`I)`K9C4pH*^_Jz)5i$9*-Di&PN(Aq^3H$V*jg z0Bu6S#X}koiQBWW{8DEhK_7=dZ(>P)#`k;(L-v; zF03wi=}tpsm4(_C-qYd4dxvkC%mxitLG_7`M6% zer}|90_#~uKaG2Qh0iUf?p=uyhu_*2`XeaYWSTE*^~g~W1|mp)<285sN{skkAs=o6 z{fz26BQ%`FE`AN19|g6@)+m@v=>9Z)tAp+S;-+Ik8w8!{t5h`oncsHfsKyp-k_|-N zZKz+NhSk{mb3yqF51UA!Kfiis{(!n3yDoei0Tv)p9iOZ9EOI}y9ElE}29tl4pzYVLb;&O;*mF0bDI1(%RtHO?#aJn11i6x@>M~{p{=ge1)w(mO zN@{srE;n0iRA8ddNhrzr*OnLbwcuPzON2s})-$6p6%m!GbGgIHf&r*JDrxezt&Qbt z;PPbU)G1_QfpUl1+mn}%ehuG1)<&Gpiwij|XMEQjv8D9MUuNKF+n?TH`*MZOzi*B|vX z6|?R>V(8%;Nyf}BVH?VYh68$Q4oIzTus>Udot;TX+{21g>#h5?ir#8GnSd9lhnCBT zQtdtz$jV&f(=FZ_zMeK0bX?BrsvSghUU?!e5`N)?G0ZocUX(F9ZGAY6BzEh zX@P1pz(mO?T``}5d# zXL9BOR{mzr1g+Hk<Pcdng2*PEkZsOqa%3eb0?qS$BedEH&B^ZIBtf*;*=-B%#MokKC!#WtfIQ+JTZKNJ zwfKVXL(zzHE9W@nkL~ZLYaadn_Nr6fgGQRiV1YcX_!=43k4m-%>sB?i`vF(XMYp4u zuN)&-G86T?-v}RjEEB5D3iS#0dW~#|JbZE2=YiUtlhEb=#u=?Q9mCqn!G#oDZ)9-( zIUk)khK*|D)Y`b(npIRfFhBNfv{QS3I&Eo_13yQf3`>d2=pWRP`l)AIafv1_#D=Ik zm~C5R1f?Gx#*Q69_q&`=1^42-*=@H(zC85~yQSY{&2uA4;|5N1bT92k!i|~s-5VOm zr?C1u;Bpa6zKQfh7m%dGf{5d49_Y?H_jg9tdIEAe3jg7T?MG|PfNjgJyyELTVi}|~ z1D!hs9^I3|YT5Hs`t=d=jaa3oeNM(1Tl9C;jbDFs3;GFt-KsQmrBIy+Lb^XkmoP1b zAF6&4x@ITZMU5``{-lU31@81Qe_%zgQ{MI7OTEmL{(VaLSTM}>YJ}~C{2Ak;3W|cf z3V&L)l^Dd-s581WfcUu+O7>N|FeI<*ao2Z14P!d8ovIT*C~1}e?T(118&D>U<+4aP(JF)68z6Q81){tIMEii{~$r1 z>iF!@B;cv?z+&GGzLE?He>(pe?pVrBFrk_v*iXpDu-V5e>y@&OX|)ZuOY;yO#EL!} z(Q;ni03LV#`t67J?%b|w*{)PPpOy(n*J(mdz}8y6C{bkha}%v#p>!j22IXAKBwK-E z(J?qV^9Lf+3!#c8=O-9Z8%hdvYQM@@^J((|wE{+vfw25VNXySUlq5BxHTrVGDe9>h zM3#R_OV5h38T{--;%Po}mM$w~B`+s>zZ%rytE>lk-y$z_2yMCSLm`}N3BD}C+X7b& zRfqZ&$fZ?&%F3v0P|xV=Rk8SPAWujrR_4=Vp5UW07WmG?CA+BAiHqo8`S(CaJWAly$LP`pUZ z3&b5E;Vn1_Ktdkm2ojW|gUtXgF2W251Sz`1H3d3&d~rs}WXrv=TqJpjtIyE}A&wUB zGAsBEZpV#Us{m$(qe%$LceY_WbwT(;W!7vZQ+d+ysNnId*$;-LUO5~?uOM#zHFETrt%PafwAaL%eI0j@k2q;z+M!7)7tt27Bc?A zUi1K1a7(f$<7;Z8Ikev|iR-p%SIQJ>^P*X;jP^xPN?%Lz&GA@;yHr|gAUgl=x|W5) zJKqcP{0z7J^9Yatz%!NG=jE|7-g8L%``uJQNaCq%%@Y3Ji(^$e(tLAO>??OcdRO$O zgqUYnZBwCq3z;)!QUdM5HB`t;jleXTd1Zi{0|jFL3>>J|^b(wUom-`#M00Y!b&&?GhnGa#92R`@U;j%BeA*<~_O-ct>Qc~N=l9cM z%ry=30>^zL;@+v+^PI)=+Wp+p5;Gi9YSQ5m@OiISpH>yOJJD}Jpu@26la$l6i{nJD zA-6!SP)l`ShU|7^ASzV(M!#E}O+s8mqNuD8ejun^u5byg-&arbg^$laZsDz$0h3mF zutQ6{i|zFm^GJ-wAMaM9a;45+w7s^OFTkX~YpG>kRhkMoc8s|=Ze0+X)8wTghf|tD zI6QB#j5JN>P{pwIIXS*}ImME7XSLh-Lapn>r2xk6!)*>Df11Vs-vja=vN_e}JA*RP ziHLxqU2%^Uwh+iTOMH@yO-6uF-8_rCA=c^uvO-o~!j>jsQ7Dp}q5;sNxjy4!%-t%X zkSHZerH6Tb49Zk^n}Qk9Zc7wV3fwI==TK29F@A8ka@zcn80i}D5#pOeZo?1(2B5)C zHrGPzOPp~|t_OnzG;Z%#Wy>7>kJK%> zBhtI>0XMC$N{m2-;o5Q;oC$v#px4^-LadGflL$$WqdU~5ZE)orO#>ZY%(;n-6f}SO zpS)Ys`zDw%PyR0ZFoo%ZFJ=X!R<(iotv>ziTw9C z(}H}j6Y>RaZ@36@C?(AT`R%Kw4zV1Cup{#jP=G?>?IWO5&by&y(ZUvCzy;6LK{P14 zCnR7V<>KT);#hhf2RT`~*j+jj(9u&aad72W|{-YmBO5y_@ZFCzcH8YZe2WI$&LnG+)LH9}O&Uu$W}m6wUKCB^SDXl`pPQ-jIoJZ{eO+c7Hz%NE=$TN}kR-3knu0v?KQIZy*5$L+t|Xh1iho*2hIcCRmQIxTAqR$6{y=1 zMjgs|Qom_FD*hd$ASPRwKZGE;Igm-OG;#VG^!O9D1 zk0Lj04G89?lcO8{ftOL#*zA~pCIMvg9BUMy##OdqcP<+a**EZ)P6{`ErU=c`_p+C^ z0+Ly+lyll6R!+Xwd4k6{(y)_{e(IUTCi1aOLKD?OHz+ERd#t-FpFq_Mno4Xm>oDJh zoQO6_sHvRi;bGB|Fk~c`O&mANb!CeRGC5yfzZkpebp^ju?|xAzmLt`0a}#-D0|+~G zvWeWD5w@)Q26=o8N8w0aeYK*^`C~$*Xp>U8R}?u($PE;7MRHakf^vYytniolU*|`^ zN39?^vZxK0m4icqen$fos@X_(S52AO|CbxN{cf@wN_>!FEmiO^vhNUgEOS-U?xSqm z4~hRoBMcQ}EF*hwf24mA(-!;>0KH#KRcs7Qae2PCX(26a$bBP~&j0WpV_8OQ-Grxj zKa&GYcVo`=z=*%3P0-}a8)u4K1F21tS4{R;WA-;MB#MIJa^0KoxTiZM+k(^+nQds7 zTZZ62IPW^_IZ2F!MI)}uoXPhr@TRLv*lmv5-(SzjaM7Lqj42MD*~SNiX=!!81Y3&5 zhbwy*iZ{6~$Zb&`vc|(lS7${!gGoA~lansui4Gk6>r>Yd{_^%F|4E3J9pP!)l(}>3 z`qf@BLpo?^dqSUKm|npdk|;pMhbXR79QlTqFn1m}=|+2kY*W3o2?83Slq2>z8<_eO zW{W|AkD}$Kut6?oiVigL1In>ByA0vSg$Bjez8ws_H|-t{w#Wx5%f2c%L)R029EV>a zaw49-64Z2c94BaqSA}_!HzNH}@xqXh&;*&6XTv8U5w#MgHyhKq*ImNJX&?o$Y9a!x zQ(tcX2ygqx-QCrlsAg?}SR9XYc?L1;DRPnES>-v54GWC{%4YP!L@cb_PX0^>d3o5j z*?_E0$9sZ5;y_yPH92&fb&Zx#a56fgq05f|~&_PzhCJKNS4qye&;Fyit@ zgT}>sxQ0zlAw^>4J%R278!o}-m4#RdQ3IF-*jKL<5pzn%Cc=Cu%^#`%x zSsHw%rm;X=aWeR5x)C+;Ad}#gEUqU8a_k+K#D~5qmCzG!rw!4Ep#2K^&wD%=!`ln% z@RAouyM-5L6-GgnTdf7d;3;|^Rb@(oDxIF0NtXPuNBJgMDb(2*5+c-A=I2}2FHvyH z_gft1&!o)CkaownlLtBt30H+sgyH(L9YUv+&jV&#t~W?Fv(k`6i7$q@U_bo}awY1v z6%7}a6LMkUdD%=k|{|@RLtxqHmhk!(0@0 z8-bZ${}d?GbH|19gSJ1L(0=r~y zw%p}nYQn*|_FP@=V}3|EMOOW-c2$2hfE3UA$@D+V(g$z3p>OX0pc~ti@yWR=y%FGV zg0`SThTh2i*0!!<0mq&g?|T-hoJTumU7MtVV!C4T_)pg>4>NJAU&v-OuFv};;LT(0 zlS7uruD4PxwP%QWe&lK`H?1$3*OG!^y2Bl@>{`7J>Wldb?YiPs(Q|x*-`XAny3OE| zI8;o=F&20K1{iqY!&#{$mrINuP5bYo1o>kV9gMrzOR{jSV%rM)|>V1ja z!&S4T!{wUR5}V4@)pw8et<~=bDc3Iap3;p?lLXszbO3H;IyLc`P5jVILnz(X<8n$o3 zqYAwva_OIc@p)zX(~uS2KXKlw`lydyRQBn-|AD7BM;i-jWpIJN@%2LCu~SWvUtrCL zl@gR0D0S9jqW%S6slUo)gmd?%w=_z%O(6Q_37JB#f?kBT)d~9qM?RoKRHfWf@*?Mk z(+U$EMS6pBDjao};W;VP=>LzeVC&oQWh(DCMQmNoqi{aC*2?VtqED~(abe%M6}Fl% z{GX7o#q~bpTseZ}1sZ;mN=@05cgNjcK8^JH?N;IwV+82{wPZ7sC;Lu*m2vkUXfrd{ zaUBJd^QvfX={!yB9uRP}2>>_zebuKKs87%S#Bcw<4~P1N?r6zLp_&vNqV}yHSLmqU9C2B}!O>7)&f&`wV+c zl9wR-D9VoF;{|Ku7XC;$cV2Q!TjOmkjNDAEpj6d zair(bs_GW>13BO;aXOkjB;c{|MeB{$)CjyJD2_`x3LIVn(rm^Nq-MpE`ye47z;R!y ze3wfY_EaM9y`-=2yOL(Y|77sNB9u|YaaDNP+!>Nq8#*XaES$vFF#G1T{=LqBbXqjR zI;J3ezv+9p3SrDobm*;rTV8dRI#@aEpKHK>wk?{QP0eAS1a^Wr)YaZER{se#k2I}? z-xpc_z0F3dYB!C?gcH>7XN**ZK|yCiufI|Zaz3pMP{M+P|A;>kKCSA$<@UWa3>}zD zn#mo`L3qW*72*p*ay-BHhO3@j5vRcMz9~4ZS>dC}LMS1j3mswT zyBga+1z`czB58tUGq8~>Ga0J3(Q&7pgQ=G*@#y>N5t*(PYI;!fNdbmu8WHE+X2}Rd zCnNzFaL;X}jB9@0N2ZwV3Izkd@I+7K(D_IFmBgHHW4$j-`56U7NY=#h1LiYa z!S)34>wGRQm^h}iYBG1Te_C}mMWDd3d>2m?7>hR8JV88yA@+B?9s%2ZK*ja-F$eiN zMALFV>TaIlOB=ENL=^QIxxzd0_>-tgUn9WV@o^+^yZ>9@cM(xu4sNBx@Xv_A$7ln# z{755aS$O0?hI9V)dBlZ`^V78R>cS8FVI)osi9UJUbO#1X(h+)u-brfNbqHsqB4o5* zqF|Zynl6P<>x;x)wK$WbsIB&ZGx0pSX>d|{PVjIdVzkS5l*?FY+u+W300ma8gN+->s;WkjP(BZt}JlA3hKtl6hMq! zc)%T%A@GFGV|6O12?DZzkl!K?^B&&H?)sGBHArMn+RASvAPQbpy?ezX6gp*RUoB{1lu5fZYX=cB_ymSVBIwQP zaG9!^Hd)1ml1kX)lk3}xn~M2}w^SbvoZwxA{o39N((gdhTL)a52&e3p(%~4jV>NAG-%ItZ&f#Yc4G`XS!D?Ftf3ybOyoMKT!m ziM3A=wH8w#5Ri+nh7E9xyAmbTx}-=J90T7!lg5bO%djL=#b;!k1Q{YNzVEkCf9(=N z3)J{X)&m>fMQ|m;I1$ltNiv`TH`H&lk~tV$cv*h4t_o=G7`1FAk+C$h@8~Ib$bLPD z&v58}Ts=8&z=X2^)1Gmxb{PC4lRK$~u~MP3C)4%`htyftq{>iQ$70p{7`(%-g%*2s zK{m>3$k{U~R1Q2euYpQ)1&L=M|8NzsDw7cWZts=zy!j#-sM&ZdzINjP^Y5Tu4DLQ_ zpX&`A)~itE1I5<@zg;xc%nl9`V(7gGHJ;Gu#pXH1nFa_Nt__d{v1Ep@U`1gP`;ZX~ zJ6HTUmO*C2Sf7JzsNRWZxoj!K1Ehu;9+;rK?VyVoFjkOpdnS89HeK` zbjZP6Ec5M$aQEs-X^Mfd%l%p>`5+BNKoc&1Qb55?UB%Ta$$w> z3^a7Kv~*^UsDcRlE?!Pf1c{hLwVYU9^O>;l@sCiv#RlzLk7o81fox5)7j2&O+5`O_{zI0pI@CE6vJsZi_e zAebd#D(EO61`=mu5(xq!d^4wET!2X|1)26A(9SumJ_qM(u75?@gC%J-z9Kdfkxzzr z=0~^adQf;A4Gw2;zB0N?H(&(Cr}3HOyA7J^tszK`+Gm}%UoSJvvVL;yi_{m;9;1L< z>=x|tFEZlyyFb8R*wJ+_#@bB%Rf+v1>_1rjRn8VI3a+sQmMb7-GbR_z#BX#oI09$t z55eGHZ+ywd%6LM6`0@9R|1cgT_uS9XW{nPNGvcOy{Y9jA{TSN^ujEL;KI41rpwN-;|3K&$be zsXsFr|E56D&WvI1pHo+*u$-wJ0Q(oL7)2}9U^2$T4wyhAtwQMg)g{VuBD)bHd_VO=yS2Mzhb5vIMlSIKYZ zseB2tdXxj{ITv(u)2kB6uR|y!_ADz?o6Fn0j~HH3CL*QB8+i{%h z!^~JOEWNvCO8D=g;;IXRxafF&tq^ss|NG{5bXZk46kd8+(i|%fZfeB5Klm$i6?-6G zYXQyI>!DLTH!i*lI7=Uh`4IWaz4Qkvdw0Y9y+Q#YAb!`~qBKSEguoR)-?fH273$sJ zu9L3Gp;91!z7>8zl*9qa&^}*S`EX`@weHK`_#Yj0m)z+c(^B1eVz{^hzX9&Uk@F(l z{TJ-C;Fb~>^l;NWI_(+LuTV%~spEo?qzh5y+;F=KL|#*I9iA6zrvXPY-r9KD>Mn=T z$LLTS`7`~i5OVu3W4K+dzxnou1TnYPCzDASwN9~*6MT~CdWXQkPvp$?D<4({yu5n> z#s+jopnoF)XRG?(?<5$9`g2!+?R=iV9-bPWId&-e;djC&bb}W&yyDjSR$w@Bi`6`j ztZ`vz-s(9z(jF9;Eizc`O)L$Lw>MqoY$n;jq0t`>k6kj=98R$65tHOj^deq4cc6)H zgHioI>SeUp2m#_@7I;RTG}(andF`xxfmPIbEqxvBHm)0K%N4zPvBz0;H8RCU2Pv$6 z(af30Sk_t#%k0FWQ&FIYVa?x9?(Xv)&hf}*+m4tuoqU2Zc2*+^eMil>FpHkV%i3hM z3aOluC@x45yvUio2ww3}hUmXf_o#H6OqQVcN=jFN0Mq5@nca*{{X}?g6cRyH8C&zv zAdTc&%ZW@r)`wS#GWV@{59lY`|8w@b@&J+91Fenf=#qjaLjE%oyI3xov`gnVwyu?P z`nQl6ImpeB98{RbOm^p|&#pCU=);^wX`}$pO76+8;S4*p(3jhL-VBxGZtC8`!qY4S z$}$VE;MMFxxRRdrn*-LdQNS$!Yr0IEHur;p7er7+HdmE#)6x z9WDt>rlvFE> zV}gwfiyu5m^=)jry>fh=v+_%U(I$IjA`1$vi=^#&bdW{Gn_{BnxO%c=x+&On(Xq#+ z=92^4@0`vTEhu|^)qS*AaKc5uvB@M%F0nT{;qVHpr_rPF^5n@$%pWV+MG)83Ml-`6 z;TDGA0eIW6BgqezgZFfQ{av&GBW|H%nglBlo>X>_n`2W57@qgr3U?~3tZ=RyEK7i~ zqU3A9qmy}7M+EwsEd25zwY2Pi`cN!Iwg6&V{iW6TymumI#ReU*lO$MTDu2TCox{%H zN(yI3_eXV|yXXDxMn?tCf>a+&bA@*AhAZf?);52LkBz2K_|NWqbi07=NKaj5}j81_+Kr>H(*2g^j7O zfpPkL?kIiB_Bh+jO*?-rc?&3~Pr88yA2nWwgo50FbP(XxApOWmq zl5IScBSFiT8zu5&XBLlTOFnpTrr1wG>dis^807=vrHZ-8hY9~?41}bL1>Y$>%ukC8 zM58G@*DqxV3wH@5LW5}HtAaHggJ!}mtFzrp^5UPlKSm>Sidg*L9Q;Z6*EH4;>L@jh zRPAP2%#G>Zbd~p+C1>mboU&Bp_l0^6#~M7-*_gpt%R2a{BB>z%C2mNC1JBs!kS7c| z699rDYB*2L7J6zGRnlgGFaL@us;xj2>o+#CioNxF@>)6$|HUn84e5FDVO43mI?^qqtnbBcJrB>E0U)s}+8wtaql}3b z(?XyBTOBmUx2LQ}tH|**vGTv4x4P2{n!bd`bFpR#nTuGG#e;M6PzCqBHnU_<861 z4^3!hM8ly(-lAlS?4AYLRdz_wbN~4oUh1;H(CP+Li6aZ;He1%fJphS>WR=hKA|AsK zPuo^Ov*og^r8N8iVsv~Z!VVnSD;RBHS}9>DI}1o}6{Ng@fSaeZAc^%Y#UQ4og}%Sq zLN}w!Ke31W`U?`sRuqMcJ0+HS7Lt}2K|64XhTj7HJ?5ERal$W^)iZuS{(W`72g#Ge zIWkeVYj8keoNX(^KQ_2NX2uK+?4UpBGHjQ%mQ>-qmES>{@bZ{)r%>tzQr%0^Dj&S2 z0UXeZFNY<%aBhND*(MmQe2u!XidsGy3lBTgQagkB+3ouFfZL@t z2mJhy*PV@=YU}s-)`@%ZuT9a|tXka~;J4M+G0%YwnIu&k(u$X7pTvPWa3YGzUmm}S zMF@B=umem&xeU@-@{QJu-?%{SuS*7UJUu`ht(72<37PT+MV}@ssDMEs@>e|fj5!IVF0{ZN2Z>92j6Q z_PUdkJs5rcmXi&b@7;{kHv}LY?57=78eS}W2Ibd;Lw)B8lqx#}lTVddP(l&5W7?mF zY`f>q1Z`BCYggDRH_T*5Dpne3SAL|HNLZaQ9*<8raZea!vjdKd#B;tcI1d%?PDrX8 zKGo{nBE+TpUw=K2X5Po*XJyM<&3s$t8*80l3A5t1hx6wkns3usJ%Dp@6Hob5zN7DI zsSwZ0dGTD|kAF%>T8^8Dy?m0%98$Ey|CfJ_D%+-p*x7Q~7Hzj}#NgT?`%qJBudlF` zSdQ_E10Uaz0DCKG$@spbji#w$Hq!eC&Hg>+MUHSveg{0PeAqJojpmP|+>*Zhwi0#i z_fT)l@@MG1Agv`S7O>zhVjXwA!yz+$XzpyAU+0kzQLu0x^@nr3?+!7xYS}4VlVs!( zTfU^)5^e@rabOY$mYw{}GyRcTgkipE@Z}{-YjKZ4WM3*`JkUt7WjenNF6@b8n; zV$lUYDf+fOtd||$BY{r4xs;q&BE$c{D;At4mumYP76H`vZz)<*k=rV_z58j7?nGos z>dQI!rvHanC|2h0M(@Itn4wL*_ZCXNmq@kTK>{go`9sGr!}VS2X=T`;sK|J3$9>Ks zXff?&+9f={;$shtS}6A4JHtaNaom|M&IPX9 z3`@$TvDC&ZSzm;x;@v@8UsaRU1_}gtu)Q0t3@X-2wiarVuKhm2lo<{er4gs!HI1<0 z5krW32F7-I9wzFh2k%Uf7(r5MiOH@Y45%q-kSk$pwp4 zrQ(I3+#nBcpQsMv!=O^1EXUU?dpw4730&~S^m+ZGte>`deV1bX3qUkake{bv*c3}4 zgFG8yKZ%T;9(=D&?Nbo!^ETVyHKfjF$>wl9gN27XHcNDXf9+M*MRGjlV(!v?G*2{n z=K;lt$}W$hk&8tof_7mR!Q%gcdnVyR^sCf4SWFG(e3Rr8fnkCY_qUFWj^My=hlU-~ ziEz<;7S!ev$8ab?9q0E-FIjk6LQ3P+yJfGrX@tTT?IWh*#P-g?3WKBE&aB}P54j!E zY1OaK5y3MVX5_fhidCkDfvcYXd)_n#yT#o&UTa8 zU*&9S(fYT;u#?(Sqf$|&niqH1a5AavZSQg7Au2?Rn@{nTQO&7Xarg)XIT$q~Rtr@eylc+j>YM=A+s*TY}%!O(SQJ4B|x(H@xq`xNGv=Pu8crBtHEd@1BrE zDD2u`f=*~5R?#Ysf){lOmT_at6KDU=X zK^vdtwF)VZcfIz`%@w@$6e1o4#^xZ`pgd45ORkJ1c(JsFP_(X+*F|bWhjI`WS2$4+1rAJS>U4M7mQJJiZ4$ZS6cvC`gGqsC*~q7Q&&-=B;n86ZsRYV`Fb% z3)%+aJ7?{UACFmxrRec-+Qh@2f9Vh5LRJo0dLBGt?bzzMdAO;^NhJgZDSk0y;iL!qlU+7b8u9*JT#FP(xK`TAqYICGBFh5?xnj96;z9$M z>vJ-S9O>V(qEi*f5~<2dv#nN0<xXSpRJhc zeue!>34VK0MowU#+dh+nr%mBZk-ET*Fn<-qkBBhlsZM7F>@DHC9aI$wS$H5AVEyzT zw-NLf9DZ9)kFyCYIl^UGX$-PC)x*zMi4d%CQ}c%Gvr)j~LrAPT-})Y^#3m9Ec;@kL z316ZY*Js%yD@4^*D#9=ybVSi*Gf%yPiGP~LjB$x_P^ASu+Gav&wS24JdE1U<`)e`J z#J^?N%>13sZHGjk9bQB~pM`?k@y2zjmR4P~Me^-FKI!2YiNTVQYO?+@Q0nl`sR;vb zgJU|RO@L?TykG;!R+)C>mzz@>sIn~N!?E&U4RH3n-5FM+Tk*eHJgTeIbU>n=zMIjc zpFR_E7S<_1_HXTv?{2JhFU6Y@LDBKH5W9x60yD-?_uQ)Xi3N3#g)(uPP0%dqBJS|r zmR?zyruTqPs3Y2k-EZ;AB-&hkw=xeRRbu@CJJ}EuS=kwD>KV&ON8L}waD6vf3e6GT zhf`L=_%vUiwzj27jY}=+RYJd5UNdXhIm(gI{vX6o`q!}X9Mq;heZZfG0Dm!fjhzLd zUXgCyNBcEQ^K!k|xg$dK_3{>%US4p&xfse&^}|7wIFUlADue@DWiggJT<{xP;Wtpi z`=bc%S^{Ym{$#0zR?5F+`4V2yeTs@~GvT7Vz{fSI#8lQZVD2g56& z-p4!PRsVBWUBw1h91EFAUY{h2lWqJ4A3UnBm*K?XRyGdrYkJ<3^$d9t7-{L%cjun7 zA4Kv<1x|=+-ZOh^)m<~#r!Utz-bK9+%l8l`c`rA;@9ur56gZ>m!lWn^xMZF?DUItT z?T-gfia<-+@K=7YVYx%n81sC(`K$FRZy{C3%d_otqalQ_9_hrmbZQJa@`j&Ki-}=h zLU2~NL&(!5e*dkEzX%QWhSu|j?MV)d3NKSepyl~ts+*ft zEj2($;T?bL0;TvL!3({rG~LT7o;6E?4Ep(=bRM0vS3l*jd));rbl6Q!O0B5G@fsh5%o6VE)H5KI( zP@x+K2Zj?AQ;Z{*cQV}AN9#X;G`Ov_>54Ht7*o7j&4Ab9xYR_cLsGXunySJfnHhCiAH?AKOVfZ62Yx^d>@~| zkEigVeZOYQj-PgG(qNSvO9`@i4u0EW`QJYtELa7M zeBK0hZxu}PAMfbTOir^(D~L~!>T)m&Utsp8ad-?;aQVY-`#!RfqBFD7m);f=xOomo ze=q|0E2N!OgK{>tFSOVL9XTd3fq~m)48=j>!^e#=`_byT1f(wqUT9@^C6&SV)Y1((`7d&itLAsanoHq^+hiQ9vqZ*EX0>HqTM96GQa0S>D2|1?Rf+^4 z_K(5`150MmTsBfPjNyJU*Q}#r?kqPw0FpHZ?{XXwk@uNf1i-x%hv|Nh-V!E@($hU0Mo zx42bypL|I~vwlsq~2kY}%R1{2r3Q*<2)& zzKyzVbae=70JHj*9lz?C_dBV>(zeg@q8YvYrW|iDa8gssGxfPtWBq+|ZgY#uReW)! z#xdKbX1cI2Vq5j!7~Slx$p1BT-v3m8aU8$znt?pkMnxJp3hT4(Xib18pbOuWe>;@ zZKUP!7(|H@yN>sTy|VXba`j3`5GLi<+lTxsN)o-kjR)*d!&dHNx1=V~pv%ME{^BU_ zQzZUh{M;htMeJi$uMQnEzk37D8S$>Kjy@n*96cYd1Epc3_8MgIVvh;Q1MK4|Dkr zC?g^aOEIZ;Jm-ekzmQi(Tn0vqN+!d2{Wcw1VVA)_8h%1B=9>EnmI0Z>4zv3UvH+`O zUFAPBE9dCV zpFxqmXy%Pm!jt??wCM`$X{ESsBD^4ehlQa){0?4ub1=;P&N1SX#IcwigSGYTv8QkX@h#}yh-kX*jyqzM_a#5Z&#}>3?W7NCmWh5G_sjeeK**HG9gcm6q8sLdW@ z0IxwV6)mtzgxJJ*G;@k$*Ov1XgU)6I;watf&edgXrKIa<%t{L8r`GnWfo0e9- zfBLM+7-i{L_HNTJmn!XH_wE!$e`&Hv$#7XlbV&_7c&EhhJ0pmt@(n+SSRbq%)0=Q+ zbz`^ahwroG)Q;5^HC2r8UsX5#slk$@UG39flwJvs;IjP}KXo2~y$d_VpDo5SBfwVyevDo5BgoGQtC5#G$m_FOxDbS!yzBf?2XaV6La`sKl|& zs1NC+ruq%u3QkVd+Y!ld2WMh#`0?I-?ml5Q>>($#_yOeB7CBb$=HXKO>}(yozP~s6 z?2q|DMBeqyKBU};W_Fre_Ga)0xl^l!b0!G(IG!|q4dHNL>WEgoq01%%PCk9+Owlc$ z1_imCv~8K{mRqixmSe*U_yIa|?na0JM5#tT=+KjkH|=Vsmvj>Pi@tA-=Uk_9^`J$E zc$z|F%bi)L-K`!L36HzAlVGxhc%okk@wD;Xu#rV{(9afLc<{hTH4OUMFiqsUSMV*# z9g;!|Sn@c492~$;g|O({Ef8DLp6UTMWd?KY441=kTln=SY{>k)O```JAD0{%xe1Xm zu1UB?Q}X*g$+IYLJ`n$lp7w*K-=WOFRLO{4soqBihlN^;5?a)Xf zsDf-uSc8!+8E&!l=R+eThuQ6R*HfAm)t`0d3~cP96}9}#>JD)$4U1995Bztgk#AzU z#{B8YlJK%ETgw3ny;}KW4KFS$kN}}5+AS3(bMK;4O z(jOEm0r3-)soUM(B{A*okF&2ne}pi4B>`A?hXe0c|M$zEC-BGp)2|Yzd>ofDL0=B+ z@;t4i@4DjL;Y_ls&y z`5wjP+Jh?|%QWgFJqxxjc5Z@Gi>A&Rz-=%oEj+fuwyDTLY#G=JgB2y{d-UzsW2rGV?l{5ieR(UCh6;c4WAW*`grHFqDA-B|8$ z?+tyS3nQc!xN^LNpPO+f^Yv-TEj7_4&tcd}Zvlh|r980J$>A-tebeofrPWz>VaPpk z(>t-{vaS_+P>QE$a4QjtSojzU$p~_GlsaLAr^Ch~ju$F5RCRNWk29+&30fZ4^;hqj zVii0o9(QLTYw7^F8x(`R$?O;I2r=dMc+Uw3J^5NtblEfd6O_5(@qnvQ1@@kuTzr}!s zLQVAP-QE!4ZV;+tDu&+KbtAY#wjl8wu80%yk`nOX5J0`Yh40bb^+=i(6EXM)DW+mX zfvzrfvzqx-5fw|9p)CupvGZMb7|&pQ7tFC2MF%Vz1?=)#s@U;Sbk&@IV_Lft{?teF z!hEU@cI}M%)i>bT&nKw?cbz9=mKZ85`G3)Lh9rya(slM~D7<6xQl)Tmg{evY*vi^K zLbpO7V3305RqFEECk>E1wDHN7mZRP~TJOO{ym~(iI?Ht@9x#j%{)IfRdy+a+p6mW_ zf0~$U11n8H$y#tVi?g7Kadg~xmW%p7W*##|G3jKr{gzXK20HX#1ihuxAFlo4l-}1= zBZv6U%ueVIOgyDg=p>$#^-SJDU4>Eo!)X{OSp=6Zn&MsB)< zo3}#S!}OB_Jnuodt51NfC6Og}I>fR&vLG_25u6H*PaV?Q8oiDqKng~BoMfK@lu+DN@h|x;lYlM7Eaeh@0}1<2Ebnp zcNUI^Bf__kWX@!dn&82J-R1$ zM(#TGUe&{Pdp^{qttiUmMTpn5N^rO()XK%c!~4oF;SSD1x$M~~3dgs_FH}y6&yMX# zY^2=lXi{?u+Owhu{86p%aT&cIm|n}$;V@BQwVMh4T_a0P!%b^h?J3@LN@+~%cm5Ul z%_@-LgU@XdLxufbyVNs7D11p5#sXKJ_R%RirnN1hJK@pd`m^Wbm^n_zjbefH!IsF4WPfqT98>?@H4R+f-6D-C_U*m?W2Q8+YF6 zD(mIaxf{rJe^bv~E|>i_83+T#`s^R|cvB8(#omUrF!f$F!LVBGvr({(nf7D-t)2g1 z7RMmc4li~DcNnfLGb;7k%RqEvPe{!N&>C!FV0so5Vla~GMmhLqzdNKIc4*r=>*jt9 z1Szsnfg~8L*SOcaVITLQbRA0)M-93;;~cA?|j6CRcwm}V!>fn&p1*yZ#X17SVD@nq$d6J$9{0O_|-}k@g?RGt2+w& zg6+8Q7RIU-IY!U7J6I0Z*16#}vFtVPJWcul*bOYX&4{mF6q0L0nO-3Tq57MzeBYJcsJ5h-2OaFQQ`a>AD+>y@a!aC=)+%0-`6$3;}({0Feqjl z0Q+QAFppHpb_ zoot23<1FW|CvzgR(3R^=vJvPdxorjC4T>EM)yIe!npUmgM>|yY_;A}oiwc`I31=iH zuFOWe9Uk7MHf3$fI9#H?E~$;?QE~^0h5+M$NEzcZ`;pGZr^t>x=Qs7pO1Q|AC_sl~ z&bS1+{F}LyAB}G@4j>nvzYBuBdU&6Q3!@ldoiGwFW*kI5LpM66HzhhKnnB_D!#vBp zXpT6HLK$Bp5z4&WglZG@y`&TLf`2sPcBeMea{@TdM>_|SH_j{TC!CD|Gl8+F#WHsi zpYeu0dGr$Aq1Ma`#&q#2GhVYMw|m}%XLMARNc+L&K0Fp(gT}z?9wb9Tl0}Q%<(GQ-3E~{}}mV%KVAT zSy<$DRLb4jW1(-dbyK3B3#4}Y-r2{Vt^xlI;1xbWS}6w;yi^7dK2hC@;v$CsssPoz zTZW4jbHWwzUoh2gafun3|CKb)mBzPZ+wv9lY*`WXOzczNCofU}ndGgwLPF=RW7;K< zhgSpW-37i!^Ji1L#J`H$;GmG8E<6XWn$^HR3WEP@;KJLdBy;6Vr*7)of2;3vw_otk zVBS*kWe=<^zn!2qe%%z*pq2#GqetBHoJAg_CT6R?zFoMY@Yh)6LW;qxwN5Pko}+su zs$BV{noSIId3zM7!cN_vMXnzvp}`!vF!kX2tjpqsxPVP&eDNhcy?Iv?%_f%MD>*aJ zx$=3wxMSwonwoAW#p!<j~Gde|+SQYNe3$EZ!VqR$t?Q(@+! zbN#@zZK$cLSYKkumoEdB zu6sz9N8N?0Ltzt^UtOVDu}?Z`>{#p1PfW+CH!5;ptj0u;k~uS1k5^v^R^X61xyM{# zYG1`rG_JDh?!)KbGEVJpR98NfAL4zgvwsLYc`B+nwn8a@aFweFT;;T~GAD$H zrE&8T@IZh7^&kog<}TH+>V(}$A1WYn?#)Y@eHYf3RKptk5xhZSt@_kg;`}aA#HZj2^jXwk7 z^lZ%sb$o?Y7|@T}7rU~@Cz(r+I&$0f_nXaR>T_5M-0}lE#nz&{8F&(ucbwZ4>)OGg zH2q!34+m?g|4%Ii&d#6Z>(_@&K=mK9$?$Fbg|IftF^Uv6v^%hhR5G?8S?A57ugP&l zHcLIMN~uP2g9AK34WUf;UIu6Rp=~@vAI{)Q9@+^dB^i@T4S6t^b8biSol)lywWBTu zs_}Cj&*UYetfmxp5Ib>)410Yk17@|UEnMqo;Utfd%UP!rsU4qW5F$7&$GSUoHqYs; zYFpQ-72f;vR~`q^SqyY+SI%anAn-sKe8o4IVu?};Ony*|Myh}s|89VUG{Qtse4aM? z5qTC^okqG^!#Pfn&GXb@2T#tViolxeYKPOZ+sgKIl5uhsP83*6ZoE+_oOz>q1LchL z*hEqABflv1gQex24KXZ6%zegH7Qe&wI|s~kCT4pv>SoennI7>Fo7@Rh>1uOf*=i1{ z>fP0ndlHQK17%seGh;;M4XTggojgC~v~z3GzER>y*Aa%Du)gYS34@YR6^S^?i97Tw z5$Z*E`4>MaDdVN(Q%ufD;?z@w+qC&+;A?(d0@pzCbqobPqRS`96f~3=>217oDdIx( zMKfv4cXzgawL6$0-**56;l_2poBge1N9#X2|8Aslq6vL*N51m3aq*9^O?tChnb8c8Hd%HhM_uw}V;|-H{<%wTod^G#GKVz`9MM==5oh~^*fut6R)yveroCq z=)Uq9OLjT9{mSluF~z?pP$55We7S(#3_T^<^58_f+ay4radWUSYd2tttsxj0!%JY# zh*^D)#5oUVql(s<`MF(gH7~-2ZnE57I5!w{aMzR^;%9#T6frHiHwawsn`)f!BqWcL z3mM-(h`M8JL&_9!oikUzNy^&IEVy>qWz-QEe@m+O#-Tyg(?_2f{~boFDa)c-lQl=w zbPzA5zXCc*uk!T@r{AO5pmWB!<^v#YLIfxG`~{M)t=s3T2I~bA0~?u?veAIUTeWa{JsJK{w8bAUB6B&zvVy1S5*`r^eK+d&K#B8 zd)x`Lr9oo8*TB{d?`2SM_umHY{Lu`XW;SPAls|t-w&5(fa&R;e4XcQ`Qm-7Ex_+i& z&n_G8_4I2Xk`8A(x3s+{ll#U@>h036q5G;osBGr_EX%!gM{aL$Fka!nRm{mjtNrLH zGqwVqNl3VHZ6bZJP7~ZX;w+Xn5oxN9eQ?_*;7THIFTYoCYV@}`fE|kpf^ZgXT9wY_ zPKtYfA4WJIwGyJS7G+O|f)uDV)MaYuRG1V6>RTW4}eIZd3q7f5xr?X zz63wwd7A$P!bKvHcIh%9fOv%#c$E-D45o#I62ge#w1`LoiAbhJMH6C(|Ix0+60Q^D zXz>7nLQJ3~CJ}BBlW8|^5mJb$v@|LqotQz(yiLd=X4CHE5bhH1(P+7Z`@}q2egWYD z@geQeV?rSjD54dY5S|d9(w;phloDUi%E}2Zi50Y0m4qr{HLd0~p_W)jt8XAQ5}Rnv zErd73R$5y-p@Y~->*^--5PNBT{e%JHAZ=)v@Rs@R& zBjZ7pB#)Aeqk{3WW@$I_*@>Qo)SYKie$%cmS%PC)z;qXvT%m*L!&I2DM1^qEE?E38 zorhz7$NlB2Yeul#1As#QXysTFsG{OnB)^ z5gi*Yt5hd%t(P%3&<*aMFDY5bg@n(s{7p+k>@ROO_M{T_Qkie^4B0ynhI7st%-1h8 z=TnEZX+PPS`OdC84_jVb4xfa7E}P}6w9+Fal@;qn|K!e@^fv|NN>c&+m;{?#Nx6-z zr9z+N6|M~xQ>gajvy&#Xv!5iHu0g-kgV~T~SMB9)bSxHB#y#uF>cOQ&C)@CnvEOY5 zQ{=Bw*6#h{vY+nN=y05ZTElwD71u;-t+SO*(|-Md@NS&G!7)o=C5-gCcI~C-83Y-9 z-#48bTLMD^k$MPLp(S80@p^;Qo>jc)Z7-(wa%$M7iA`#;c~B@a zEuwQzU7Np>P32c$9s>%L=+f64B_dj%@nUR&0=HUtS@vc7PmYAfPx)?-EisKKJ!;aF zU%}$3`UB~&$RKBJ(7m6S=wCf4);6rf_a#@JnnH#odzRk1fjrN$l5al}zK9ipoJkJWU#22A-yD zbt$ye$ErViDJx~08DDUE0Sr_AtPaOi_m74BaQ%aXejPT&PT>r*6dRp#v#LwJff8t4 zsd<4WFt|_|;7AV^E5RHq=~+UE-To<2Ez+H0@p$Cq@rFZ~#qpwp@M{Qqzpr}}==eUb z(xL)?a`R(Lr={fg^|`2h!V)=?m8djf%U#lm@NQyIsNdxfCA)8uKw{cB;fu9}7Z46h zkq~YC7+KY@b6ecYc^7BFeYi#PrC(<8(Lo$u7(d{YN$OPQ0;(k!n|5TfKnEzO#vRgS zSQZvchWZJ3JRvdS z59)Y+V(6->Bq)^QJm|XmH4va8QK&MxQ#1lQck?YQ(5A>EE@AiMx8gEH?AlFq)_uHC z9l%osKT0el8GZ{N-=)VZcR0+g%w&ER7SY=4sD&C_ngYxcE*%0aR>4fjVZq$z0+Min z%FS)l3T3xM8L@f#B4ndr;a@PkqyDH5u{~2TFZIIH>)4}h5~K<$?R~$q8+$$|Vep2> zO-=l1^sFDR!h?{26h#BRj);o%ge|9^K#H-z!&I%P)IMo32ndbM+*EK_IPwe4q$VKl zKz1|+(#NOArwczjRsq(oEx&d%AmQ2{%)Xn4dAq2DbvVSTdlZU50>gLZxdRm{9DA=8 zBMkj3uxTCa+HahTvkY~Mp{7p0ogeO>qrOxDFZ~H!8A#eiL;qX4&Q1PVd|dV`kf<;7 zB%C>HR;{oo{tL{Pls!i&y5;qUIqvKzs9Px6iWE{|oi`SYhQZ8IQjmA%*2~oqCTUFt zu=E}$_=nJ_cw&dAS9kU;CoNvv@V?L1uRw<3mFXhG5_mKA1f#pUGo1Cjn*&LpDErd< z1Z$UtRi85Iu5hUu730)>pgjlZ8SzF4)zUV*#NBjqNYL!@T)2NbuM~A=hx(qO1~Mw* zqppurJ2e-y2et7*1Bcqo5$e;Lx_)kD1Kyr`%^hByR_L9Irzs<^Hfvw9p${kH*4HSU zLvk0p(r&$`oRdwTb*r>*DO615#mc8yQCNSUhMw9Y|F%o{!8OOa#R392x^K@EW~op# zH8570ag3eVLr3uaD;!l@sJD~tC|(LqIt`%$YFT_*?{+8}9Ffd9mcV)A?{(2K_acYl zWa$;p1_c_AlhCw-QjFY98uRrRa!1jav1yl6^4^BvNFxjz5Pe$_UTvtVcS3XUZHjHf zW6WSvp>y_azIYk0Vc>uxVCN2}3eQ%;n#pF;`gN1qYZ+sq&h`4AG^Qhq&4UM;5{KM!-MRA;|O=<~@| zjdt8pinC$iYH{V73q27bh4;{@FzS zxoN-WUQY3CfQ+#WsJ6yYS@2k3F9(y)NOV{BCD3NnG_$E)CFJP1@@d! zj2I}RCG%05+8f1waQ6w15bC436p)3))#W`S+4n{U&6kh0y?u`}t3S&o0w-fpih|FL?0GL=Y=bH0nAL~z zl4AE21>=k&crVAa?I>O`TaL=?x^dgcl?Sf&$?Y6PW5iL&Yvj1`46n(L3B@esV1M2; zPwtg)zK0xJJ{bKF4B&}Tn+QVr8v)25BsbCL=BE!N+^5qbvX4^&=Ar`y1kVL8p<49> zTafNBfJeY2O|0H{kB9E;CGTDjfd^?4G7O!;B5QhKQH{evqPii-og~L*Q6nR7M3i{F zAqn4X9=2tOEW^K<`tgGC63nQtgH#<8h#56#LHX?K_l7(JFPbN^4JEHDSitJhI7s7xG4lxn6ngEXE}RC&ZkwJVsU`2 zLllvWT89uthQDe@@><|}molGSQhis-%n106fS4 z#9WED%&S0^86S}J0Gu6^g7^1k23?X~W&is*Ke71h&Qx7cz|BIPYkc0Pue)b-4Sg&W z`u?Nz+_?EZNMa%EBeoWi!7tc_2e_Ux>VF+faW$q09y^7W5bj*w+Ux|AI8F0|j%0de zG3PgIsTby(vlPDWPzJ%wHi_OIa8wK#dz;l!z|yti_xyn}9Kn4Gpa;X8o6`8+pj%N! zOFjg%lW_DMX&g*?gH;L=ePH?M8}<69pRiPDB}#a&kW_qBWh$Es!43jNBH#7J^7S8Z zRQHffxx@6tY`#-D0o$tDtg#=vW7AN}wFVVE3wmXq%#^UKKLvcJ0QyAEgl3or`s0SH zTVY!KLIbi{kC^W-SBjBNi$FX%*^3wvc*+qn{HT#@{Ljw^sofIQohP`@sL|ZIC8&}D zgN3gP;cnraqIs{1kD^qHwtR zc_EXgdm!3dYMElPvugcQHU`7q9xcPloUL1)$bb49pEWiv>AgFM%P2e^C)T&SQK&kI!1jcUFjE7Z8>2xM^wEN)z0IW=j5aX9<3sC7%W%G@aL(w>ZfAFn zOf>pdh1Siv7T}(*HiUX6($*w|x7ZH;u!?wNN7YbV|yMd;I-pceZeP`BC)lV9L`Ky2F|#7B!;!E|u> zUW6OukOp&m8}P7;TDTukWQyDr>z#Hwwc@W zAqy&=BOF_9@{*gea`Sv1F>*6}91n38BY;9~9|`j@;a`|S0rX0NZ{CorNn}hLx+;Q? zp1TlFxpdh4Wxr`TFm`hlry~2;Oyl1R^{Fp@%9GZ%X)4ybf4}}UKuH9DOlqG{UIqW` zYtB^aL(miT%R4TexX+Jn29F#?Y`GFK-UedHs)5^2mL36*ORjFbLWP^ILg06yNCh3Cv5k*MtJ_iD=uCbz z;`cUy>gs5XtJ|r_>PU@?+hN&tP#+Jqz3ZVRI`2O|7OXJ!O%~d*Qc9#;?D|R5$O0CFxFn`Qu|l_?dLUQ_ z`wcT&oMH`x=Me-18NkItK~QPMmoN)ZG6m$&!PfQ$gMh&oK@cd5^AIV6K!I7jn!4ip z5TY?>3PLr4?Madar-U6`6cc9VUb3g4p`j>MgsnpY+}r;?fk4pJ-Gyn84kcKDl>z!O zhXeqZoQO0L@wS=Yt#i>ZF{b*Dp69sv>+NvfchoxZ=F_6-tNx+*oQkw1@TZ(isyx^$ Ur=FSs7Rxb6*9FJrgrraW56NzxYXATM diff --git a/fmemtest.map b/fmemtest.map index 409d2b64..c3d2198a 100755 --- a/fmemtest.map +++ b/fmemtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: fmemtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0ece:0000 0000e700 +DGROUP 0ecf:0000 0000e700 @@ -33,26 +33,26 @@ wcpu_TEXT CODE AUTO 0000:5410 00000058 kitten_TEXT CODE AUTO 0000:5c80 0000080a 16_hc_TEXT CODE AUTO 0000:6490 0000158b timer_TEXT CODE AUTO 0000:7a20 00000235 -_TEXT CODE AUTO 0000:7c60 00006ec9 -16_in13_DATA FAR_DATA AUTO 0eb3:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0ecd:0004 00000000 -_NULL BEGDATA DGROUP 0ece:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0ed0:0000 00000002 -CONST DATA DGROUP 0ed0:0002 00000076 -CONST2 DATA DGROUP 0ed7:0008 00000102 -_DATA DATA DGROUP 0ee8:0000 0000056b -XIB DATA DGROUP 0f3e:000c 00000000 -XI DATA DGROUP 0f3e:000c 00000036 -XIE DATA DGROUP 0f42:0002 00000000 -YIB DATA DGROUP 0f42:0002 00000000 -YI DATA DGROUP 0f42:0002 00000018 -YIE DATA DGROUP 0f43:000a 00000000 -STRINGS DATA DGROUP 0f43:000a 00000000 -DATA DATA DGROUP 0f43:000a 00000000 -_emu_init_start EMU DGROUP 0f43:000a 00000000 -_emu_init_end EMU DGROUP 0f43:000a 00000000 -_BSS BSS DGROUP 0f44:0000 000004da -STACK STACK DGROUP 0f92:0000 0000dac0 +_TEXT CODE AUTO 0000:7c60 00006ed7 +16_in13_DATA FAR_DATA AUTO 0eb4:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0ece:0004 00000000 +_NULL BEGDATA DGROUP 0ecf:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0ed1:0000 00000002 +CONST DATA DGROUP 0ed1:0002 00000076 +CONST2 DATA DGROUP 0ed8:0008 00000102 +_DATA DATA DGROUP 0ee9:0000 0000056b +XIB DATA DGROUP 0f3f:000c 00000000 +XI DATA DGROUP 0f3f:000c 00000036 +XIE DATA DGROUP 0f43:0002 00000000 +YIB DATA DGROUP 0f43:0002 00000000 +YI DATA DGROUP 0f43:0002 00000018 +YIE DATA DGROUP 0f44:000a 00000000 +STRINGS DATA DGROUP 0f44:000a 00000000 +DATA DATA DGROUP 0f44:000a 00000000 +_emu_init_start EMU DGROUP 0f44:000a 00000000 +_emu_init_end EMU DGROUP 0f44:000a 00000000 +_BSS BSS DGROUP 0f45:0000 000004da +STACK STACK DGROUP 0f93:0000 0000dac0 +----------------+ @@ -68,7 +68,7 @@ Address Symbol Module: fmemtest.o(/dos/z/16/src/fmemtest.c) 0000:00e2 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0ece:076e+ _inpu +0ecf:076e+ _inpu 0000:03c0+ INL_KeyService_ 0000:065a+ Mouse_ 0000:06b2+ IN_GetJoyAbs_ @@ -116,9 +116,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:498c+ MM_TotalFree_ 0000:4a22* MM_Report_ 0000:53da* MM_BombOnError_ -0ece:08d0+ _beforesort -0ece:08d4+ _aftersort -0ece:08d8+ _XMSaddr +0ecf:08d0+ _beforesort +0ecf:08d4+ _aftersort +0ecf:08d8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5410 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -136,9 +136,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b50* CAL_OptimizeNodes_ 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ -0ece:08e0* _finishcachebox -0ece:08e4* _drawcachebox -0ece:08e8* _updatecachebox +0ecf:08e0* _finishcachebox +0ecf:08e4* _updatecachebox +0ecf:08e8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -149,7 +149,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6274+ get_line_ 0000:62e6+ db_fetch_ 0000:637c+ db_insert_ -0ece:0494+ __kitten_catalog +0ecf:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:663c+ LargestFreeBlock_ 0000:66ca+ _coreleft_ @@ -189,10 +189,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmsize.c) 0000:7df2 _nmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0ece:0000* __nullarea -0ece:04b6* __ovlflag -0ece:04b7* __intno -0ece:04b8* __ovlvec +0ecf:0000* __nullarea +0ecf:04b6* __ovlflag +0ecf:04b7* __intno +0ecf:04b8* __ovlvec 0000:7e06 _cstart_ 0000:7ed9* _Not_Enough_Memory_ 0000:800b __exit_ @@ -202,10 +202,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:8092 _big_code_ 0000:8092* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0ece:08f4 __argv -0ece:08f8 ___argv -0ece:08fc __argc -0ece:08fe ___argc +0ecf:08f4 __argv +0ecf:08f8 ___argv +0ecf:08fc __argc +0ecf:08fe ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:8099 __PIA 0000:8092* __PIS @@ -224,28 +224,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) 0000:82df __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8363 _nmalloc_ -0ece:04bc ___nheapbeg -0ece:04be ___MiniHeapRover -0ece:04c0 ___LargestSizeB4MiniHeapRover +0ecf:04bc ___nheapbeg +0ecf:04be ___MiniHeapRover +0ecf:04c0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:843d _fmalloc_ 0000:843d malloc_ -0ece:04c2 ___fheap -0ece:04c4 ___fheapRover -0ece:04c6 ___LargestSizeB4Rover +0ecf:04c2 ___fheap +0ecf:04c4 ___fheapRover +0ecf:04c6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:85b2 _ffree_ 0000:85b2 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:861f _nfree_ -0ece:0900+ ___MiniHeapFreeRover +0ecf:0900+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:871a+ _null_exit_rtn_ 0000:871a+ __null_int23_exit_ 0000:871b exit_ 0000:873c+ _exit_ -0ece:04c8+ ___int23_exit -0ece:04cc ___FPE_handler_exit +0ecf:04c8+ ___int23_exit +0ecf:04cc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:8758 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -264,7 +264,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:90ff __doclose_ 0000:9249 __shutdown_stream_ 0000:9263 fclose_ -0ece:0902+ ___RmTmpFileFn +0ecf:0902+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:92ce+ __ibm_bios_get_ticks_ 0000:9345 clock_ @@ -275,7 +275,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:9569 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0ece:0098 __IsTable +0ecf:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9761 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -310,38 +310,38 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9f5b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0ece:04d8 __8087 -0ece:04d9 __real87 -0ece:04da __dos87emucall -0ece:04dc __dos87real +0ecf:04d8 __8087 +0ecf:04d9 __real87 +0ecf:04da __dos87emucall +0ecf:04dc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9f6a* __exit_with_msg_ 0000:9f6f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0ece:04de __curbrk -0ece:04e6 __STACKLOW -0ece:04e8 __STACKTOP -0ece:04ea __cbyte -0ece:04ec __child -0ece:04ee __no87 -0ece:04fb ___FPE_handler -0ece:04e0 __psp -0ece:04ef __get_ovl_stack -0ece:04f3 __restore_ovl_stack -0ece:04f7 __close_ovl_file -0ece:04ff __LpCmdLine -0ece:0503 __LpPgmName -0ece:04e2 __osmajor -0ece:04e3 __osminor -0ece:04e4 __osmode -0ece:04e5 __HShift +0ecf:04de __curbrk +0ecf:04e6 __STACKLOW +0ecf:04e8 __STACKTOP +0ecf:04ea __cbyte +0ecf:04ec __child +0ecf:04ee __no87 +0ecf:04fb ___FPE_handler +0ecf:04e0 __psp +0ecf:04ef __get_ovl_stack +0ecf:04f3 __restore_ovl_stack +0ecf:04f7 __close_ovl_file +0ecf:04ff __LpCmdLine +0ecf:0503 __LpPgmName +0ecf:04e2 __osmajor +0ecf:04e3 __osminor +0ecf:04e4 __osmode +0ecf:04e5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) 0000:a013 __LastFree_ 0000:a08d __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0ece:0508 ___iob -0ece:090a ___ClosedStreams -0ece:090e ___OpenStreams +0ecf:0508 ___iob +0ecf:090a ___OpenStreams +0ecf:090e ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a1b8 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -352,7 +352,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a3cc __FiniRtns 0000:a3cc* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0ece:0670 ___uselfn +0ecf:0670 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a430 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -375,7 +375,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:acfc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0ece:0022 ___Alphabet +0ecf:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:acff __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -385,18 +385,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:adad* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:adb8* __get_errno_ptr_ -0ece:0916 _errno +0ecf:0916 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:adbf __GetIOMode_ 0000:adf4 __SetIOMode_nogrow_ -0ece:0686 ___NFiles -0ece:0688 ___init_mode -0ece:06b0 ___io_mode +0ecf:0686 ___NFiles +0ecf:0688 ___init_mode +0ecf:06b0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:ae29* __set_commode_ -0ece:06b4 __commode +0ecf:06b4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0ece:06b6 __fmode +0ecf:06b6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:ae35 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -423,9 +423,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b43c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b458* __get_doserrno_ptr_ -0ece:0918 __doserrno +0ecf:0918 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0ece:06b8 ___umaskval +0ecf:06b8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b45f _dos_creat_ 0000:b483* _dos_creatnew_ @@ -436,9 +436,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b68c vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0ece:091c ___env_mask -0ece:0920 _environ -0ece:0924* __wenviron +0ecf:091c ___env_mask +0ecf:0920 _environ +0ecf:0924* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b6ce _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -459,12 +459,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bc24 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:bc94 __EnterWVIDEO_ -0ece:06d8+ ___WD_Present +0ecf:06d8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0ece:06da __amblksiz +0ecf:06da __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:bcb8* _heapenable_ -0ece:06dc ___heap_enabled +0ecf:06dc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 0000:bcc9* sbrk_ 0000:bcd9 __brk_ @@ -480,86 +480,86 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c2e9 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0ece:0928 ____Argv -0ece:092c ____Argc +0ecf:0928 ____Argv +0ecf:092c ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0ece:070c __Start_XI -0ece:0742 __End_XI -0ece:0742 __Start_YI -0ece:075a __End_YI +0ecf:070c __Start_XI +0ecf:0742 __End_XI +0ecf:0742 __Start_YI +0ecf:075a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0ece:092e ___historical_splitparms +0ecf:092e ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d44c _DoINTR_ +0000:d45a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d7c5 __qwrite_ +0000:d7d3 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d825 fsync_ +0000:d833 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d82a+ __flushall_ -0000:d8be flushall_ +0000:d838+ __flushall_ +0000:d8cc flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d8c4* _frealloc_ -0000:d8c4 realloc_ +0000:d8d2* _frealloc_ +0000:d8d2 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:d9be __setenvp_ -0000:db4a __freeenvp_ +0000:d9cc __setenvp_ +0000:db58 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0ece:06de ___IsDBCS +0ecf:06de ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:dba8* _ismbblead_ -0ece:0934 ___MBCSIsTable +0000:dbb6* _ismbblead_ +0ecf:0934 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:dc02 __mbinit_ -0ece:06e0 ___MBCodePage +0000:dc10 __mbinit_ +0ecf:06e0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:dcdd _mbdtoupper_ +0000:dceb _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:dceb toupper_ +0000:dcf9 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0ece:06e2 __8087cw +0ecf:06e2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0ece:06e4 ___Save8087 -0ece:06e8 ___Rest8087 +0ecf:06e4 ___Save8087 +0ecf:06e8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:dcfa __GrabFP87_ +0000:dd08 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:dd2c* __init_8087_emu -0000:dd32 __x87id +0000:dd3a* __init_8087_emu +0000:dd40 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:dd8f wctomb_ +0000:dd9d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:ddda+ utoa_ -0000:de7b itoa_ +0000:dde8+ utoa_ +0000:de89 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:dec5 strupr_ +0000:ded3 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0ece:06ec ___EFG_printf -0ece:06f0* ___EFG_scanf +0ecf:06ec ___EFG_printf +0ecf:06f0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:df0d ulltoa_ -0000:e04a* lltoa_ +0000:df1b ulltoa_ +0000:e058* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e0bb* _dos_close_ -0000:e0c9 _dos_commit_ +0000:e0c9* _dos_close_ +0000:e0d7 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e0d8 __HeapManager_expand_ -0000:e5e1 _nexpand_ +0000:e0e6 __HeapManager_expand_ +0000:e5ef _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e634 _fexpand_ -0000:e634* _expand_ +0000:e642 _fexpand_ +0000:e642* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e669 clearenv_ +0000:e677 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:e79e __Init_FPE_handler_ -0000:e7d7 __Fini_FPE_handler_ -0000:e80e* __FPEHandler +0000:e7ac __Init_FPE_handler_ +0000:e7e5 __Fini_FPE_handler_ +0000:e81c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:eaa0 _bfree_ +0000:eaae _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:eac5 _bexpand_ +0000:ead3 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0ece:070a ___FPE_int +0ecf:070a ___FPE_int +--------------------+ @@ -574,6 +574,6 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d3e0 (119776.) +Memory size: 0001d3f0 (119792.) Entry point address: 0000:7e06 -Link time: 00:00.52 +Link time: 00:00.00 diff --git a/fontgfx.exe b/fontgfx.exe index 9a25cc7d24e49e714c9ea324cd0d559d97066fbe..f07fe55ffd13fe0b4d736f7e6a9b9e6146d721e1 100755 GIT binary patch delta 36531 zcmW)mcRUo1|Hp4{<8012dkdKv*(2FP*?W(;Ln%k*IdmG@MXFCrQTAn>j8a*p<7}$q z5Ymx#zwhJs{^R|4Ki=>E-;ej}`FfA^gQogHBQ;8eU#rm<(K`jR!y* z%sw@6%FS1n2Mk6Y(F{80U3%)MtO`)08c?YX1hjA!i2z3{X8?+;n2G`b%SoO>oDCXx z2;D-#HUk0N(zKtqw}40LeoBLZ$#0>M(-2Z7AX{DXNc~S@$%8GUxx`Pbnba$7{(?74 zGb@ze^`+7f|H|kS;^M;3RAmk(lqh^PIv$_Z`N)?Cly6+22?cv;nlZ?!UjDE>R;s1v zP^;I3Wuj_O>Yf+f(?rQjvt8VjNE7IMwy0mm>mucc@$PHAn%Du6_*M zsnY*?&(ApOv3CN$=xd0|3fP-NQov+Y38(POOew7=2L;D2h1mOwq^up~&9#p|O9_X; z)|?u5Lf85!iMx>3vq9~HKHNG(xDnfh9N}m5t?2O0DQt;4TD`seI~F*kyKZ!@zGlW zZo_MfYMC%CkASVJT9NqiH{h0#x5=d;u+eXwThnlg7wESjuY(!zyo;!&c4z7d!y0=% zrir;r%6c6uWAEra8mSG;THiyO78aIPYfB!@S5ABrmMo;`bVx?sfSt&kCt1`{4_EFM z_6$no@-|)m)vKTEqA8tgUzC;01*Lp^WGM254Fv1~Nl8oT+>~*#waC7)-*F4Cv$wy$#IW1;H<{0J(?YaR87AAyoS++ofQu z20s9~cwW2jM&(Jt+hRvG#q4+wd*PBaKH!pZx=?}Egox3%0>h|~Bx;BV!Qu5E%`1-5 zPBg)oqw>F;X;>=G3Rgx-5_Y4R-v&VK$%bO*3^g1 zAWNBjiFgv<{1RjF5T}bsdEwf-#4S0Du^TjUitCEG0$C@bv+pc7^IFeg4kwRotNZ|K5_fy_*=lVYyZ_VgBOZEYC|HFNpF2!eAg)NvD-2&*c3D`#1$sJPeU- zIRCHeS?o)n&yqaAvZ;hu*G_==eQ;2x=Dq}~lsAbg(xmx1LBOD0lWw@?Q(QBve)#8w z;DTlC~Yvf4FIzl&>!9Uk<6eEczk)3LDzz7}xu` ztKpcNcAtc($EwioAA&Q@FXz_x?Y(GTl13`Rc(QK_?M9xGT4P;mJ5DhdYytigJ7+BJ zen~==PpT&RwDFvZo2;dlrX7O`n&|7)P_*Mo9!ear8=3g}6?bDek~uPrm`@b){v;%$ zi5jVm_7^20#8Z(qUoH_K>>N8=((m?G0p6PBHC%EOp4 z7XY*pu*@B#xPjY>_M(%&1M}0egTeCn^r*>PtOc(*Kk$g%7Yw9J3&edK)Jx;{RJHd# zC;urK+DEhIax;PbrLh{Ha^px`Mc+@!tW+T7(W#10YMuYmOkzLztjRK1_bv*@Qu98c zPo@2c`4n8ijp_W1zg&vd*&{KaOo54 zBIF_W=<^1itH{`7{q-<~2|JVB3pQEy<;De^$LYN0S(fr!t4o{%y$MFCJRftG6}a+q zrG%@w!tN!p^>~Myk#VAqp`q7*;li7@mO2lbn{Tq;8RoN_FCggBWmCr+59$}Tj#I_C zOYZ}?*{cgC5j6la;RuB$`mD^<{2o|MV9_#1x=DN~+_A}jzHk=dc)mN_smbB5)QfDh zllfN(c`1!U!`L`P!^EbnUiztAhyYF?#COqSGxAbGKC*@z9+x6s^( zylpBuV(KJ3IA^oG52^o#3o$&11X zsKXsbDCFurqLl>crN+%Ij3hOVn_t!2b4QENppS3nYhK}jwW}jvB@j8jxe}y`3KpRu z3{i@n-ri!*v3bLuJzDEYTR%%Dl!*$q)rI(>E(-_HFh79EWtW>pdbt5zRaDr!@!$fnGJKN0pX_c1t8Tb0Ya!jJ@A$UDZ0$_i$S<6yTraOZ-Nr42M0Wpm$PVZB2TL_r+6&5 zlXimnoD&(7=;sHbizEA(OG!&3>2t)rP2+(SCYA?e7TDqM?BT2`{+!ESAtz!^^f&e7s zWayRjG_GH|zzZAYETa^gl34IKOEBL6nV`)jWTbxP>qPjENfftBlvMvW9(cNEeK?SM zA7chYwjdcgofy9Oh(vA_AsU|)iBH{2BBq2zAW13s@Q75xYD{7X_#i0}pAr$Z2aCv% zxEK+him%Y>65At%#K$LvsrljXOhS@kOd>8Kh3+U59g-NHU=H}At=B+M`Ya;9k`R*Y zn3&2>(zbE7jNs#>Mi9c-z$fR9rMIR$4*4$XnugbLE^qvl_N#m}Amn3sWBC14#*9+x*0eWvIN$5v0dCmz{CD=42##pU|g+(_4{p)V#hu+;e>p*qs*v?L96tOarngiB#Dklnk)cuQ}bg&@VU>uur}g+qX_Yg zc4V`?20l_jW)+`|L%c2cg&F1_qHiL+``6||BjT!(U?C~EkQlX&L;%t==6qbr319=D zs}>X4hzX}+9U%s>u`F6ePbXAFNV@Y z6Bd32-sCoV61DH>P|hyCI{5>AAsnLe_ESk%QDlN`#9D|zIuqxoU6{bzYA5VAM7cg! zXeB-orgrtUDLPu}=cByls-wdVnAEaUHo6enYRAQDMV&KNL(_jDA-iuhzh-Nn8k5v?iG0%NV9YX;S zPQR?lu#q~g(MH{my59GtlcV(tW2=IDS8tO2JVPaU7+=DIPfjdGggfex#uCE^1UPpO z(o=h_(>5Ef6bx-?EJ&z?B>x0Tz=yo??rUd>CsGBTolzGlj{lV{mhY4PgqRW`Uc{p& z`y-KFp`+tgbpq$%igs62NJTli)&fK;-U37;>%yQT&RPqSF9QDR`o)XBV%OG3G{n;mcP2qZxVjjVkjVC z)+_24b0t0Lp%F6YGEF-}(Jxa)F$|cYiZ{z?853|KhQ#k;2tOi7OjPPnW}>Efy->FB zNQ5^|$13wtcUwrBLcNq(4gl@o;S`*9-u+k#o{p`}E*D1*cOem)V9t90mm`|0R;#IHWoa93;*yNh->87~WTk=WUlkY!1%BZ(OADECU*0~*g z%Um>xNmw<_ZCBp5NZ~QzP0!+^KuJpoK<*wS>k@c%`2Nb9qt?3c#%D{9135)cINbW_ zwYHh2+^jX=Aj-| zj7|$ZdDsre(_Rm*7nm(1<=zY9&u1dmsJ7!`m}nLNO?F;F1Sb z*iT6NO=k_gDbaM672;ak6ATe*(^8>{eCa6vX~3IY5H_-!oj$f%b*LA@nV4HX>=Nz=K;?#A`YI9?+Te zpu&wCHr|x&j&;U1uaQ7`V2s}{_~jGKVq6qfGHi$c1ulQ#Ky#A4Y7IYQ%ZgpkDi|8FZ&ml zVAnyG&fqD5G0*eZ{7l+iq+z1s?zOrS$!f8Wwv<|&9(cF1gbU9|@+z#5y?2D+XI=R( zo7eNVHgExBF6g~OK2r8xEm2?$%X^-H_;&5Z#gc>->8EL96R_`TT`Tb;S#phNMRgj^ruAkCJ$Q(Ag`#&* z3pO-CM~7hb^6pX3Dc`MW4k6`ujD5#*d4eyW`*X-|B*AD{@E5?Zz z2nf(&mI>_r6FVC}wr2i`1&|nB7ehIOoi}!{NUY~jq?~d|$YE&)e}zpAS1=)V?aom| z$ht}b1XSkbkevU^F+Yt4;8&M^2~ZF;z{X=OJXyPT z*6mdyG6z*loRro7l~vOqW&Onv&m}aVKy7E5H%M|4SI1<j5yi%1ggm9qmXp(m}gD?q~H|?5=qrAKb1%L<4jZQ>{w}!O}uA(x{TL}wQb&E z7C^HPHh2$tAiTi_bT#G#ob2PVEb|co5P2pew6oOp_TX~#WianL zBKG+D(v?G{_r*4=Gb$5%0I{YuQ*u|@PAv;mbfzr_^QbI{vupg3AbVJLXv`q~BLQD~ zKDcNG23(AD?NW{Zvmz#=iG*n0UHpuJMo;5JjOnXHG=(3n`!g<3AF5s-QX1%orb*neHS@GPTeylz{=RJu- z#(F?M_PtlAS-5Xed^zCs46z^&R>;k zmw-R1OyIdKik;*S8S7mtd!BB{#V9K-Kt%# zF|11LG4>|=+eg^ufE3YO+NIaSh?`vB_bXAxGu^wuDXep^N(f)&lUKml?%@*cTkDX? zoIjRSP`M7pVA^GU4%eJsM(X^fyWo7vt-{fR>d-^kl3Nza8{JVR4=wJz)7~O>CY{+N z($I^)3uQNkUz!)^9NRr@TmO%9X{pbOePu@}I|8=fv9f|>*uzxNt2W3DHh%3H|F}8v)%=b(i@`9Xeg#3dFpnV17uh%J;M-#)(pUX)iQ9C>*Bf!h z*=AjS!@O?31shc+yutDk?*%ZK&Ik0RtJ$E)`NFq&hrt_oiEOP`*7;yowq;Wi>dgJ~T zfc?0~MLZGZpbRlmEBE+L6y3&1`e5$MQTXtav^xZ15aElTZ(5 za(R-F?zlLq@3CBkq*~T~%)tfm?WC!<0M>bd*+UKYahs$>qJZ?%2Rzj`9zT*f%(mO$ z%kJgtQ%nB_tvq?Xw?;z^)jp(Q70(z_mwa!e4_gRr>8b2q4pJtp{(*WBT0$f(#Z4ne7SZ}fZZQuk`XvEL@WzM^O6vUUp-aL|e!;cX$g z97~p{2$vwVqZWyqj-45+n$qxXt0W0V7Ew^A@|)&D(arfWIT zF}Oz=jJQd%*5yCuAM9 zHl3~6?x)l_!ndS`bFq2`ek*Zht8j67*kEBxhaLk|D)o8lV>eC?F9~Rf8Xozb_fC5<;9UO|!lcB5Lyr#t^Ix50k#CY>iU?7|K658PNi7ydU-2+QQyM z_c)1XLD}z~>`}w*VMm!{a^)90u8CRqb3dQU{%7thqrCnc$z1X|5X%(fVEZh4jpO~4 z@S8tW#7>A|kGYxPeJ~y$oFyen6e~8!qhM$O5I+-^SM>;6JBk{4jaIV-!hl&PBF zJV8#asuHrFM-f}qvf^p>%T!s}EBxBg+t)4!Py0ku<*Iw_K{GCc7M;8n9zxMWQ|nV zqV01LKh1fEH+Zv)mtV>>SDXy5DJNN-HDeMiYh{1-2gX8WuQ~Iq;DFOkBKaRKFi`yF z>gbvN&62<^xYRU9P5lsBYSBGb(qT}AVFnT!O}U$VgB(J1ts5$M`oEC+lfO|#bZ+`S zNSjkM>j8;P1fJZEREEp_^r)Md9EZ+4KA$0@DwPGC*>H*DX-Amg(Ex|DVswQ<(Ux0)4H9QrG1ezaR>B4>4BoeS+D zGs-V^U#7^IhG$Lbmz6 zb=);g-)rR2%-x({*DAWkcdo@+j3*oFB3``5B%2=GciC+gs+#R{Dg}7a-!Lwb50g3UPYW)#VJw4V%{ra+mvo^Km zDQ?cfk-re^6;vD7+WqxuSVrZsh%?yrEML&X+EGtQwr>0W&jrWQ}gi zKeS)!d48x1UN_XnFhuu@7<<`!<*8nfbA_oE=Psq1$K;S`XCOCT9?SFl&$z2S=_6#w zU-2jc5=!b`ssJvzt%8<1KsWM#0hno)c6XSm4v^~usmHDj5R9%K5)In%_>zGocP8NO zWe6aVe`#SF`WBM5cDpjwb_Q}U#W0QF^ngiFxrdN(hc0EtgC5fcp-zVnQ%uv$reTi= zCQL~666p~SeznE6X#vCoJ6JM=wcnJ_G4jh;yz%Sy}_z);6;RtB&G^Bl7 ziHK+~Nws1?$gamIM9$fE5k(@u_UA^%HfTc@lr}A1mU1kO)k00s-N)xyWB3yUkG%nf zAC!UG`Bd}X@kDmS=cRChNPUK6JMXXselr$_BuPWeEPO0)6kPP4Q zr@hhOS9zIxm!yJa6q0-E*xqNWawOlXLuK8I3cuC@0JcbVV@DPE-Ma_Aid;Uods8sL zy4gDr5z*^5g<8S^+ORx;WRBP~*jr)H#&0M9J`cKAoTtK57=&aZ@c=+7=w>DouwQ+I zscgSde5y4`YzWyO?UdbxJ4NcKGp7Q(uun(t)R`M|N7G@%MdPlt=d=1bPetRVSSmcZ zPC|N@i^#*nYtM{_6U@U@be=LR?t(sYbH;la)y!|>qV*go^A7`f$ww!NRPaCc1B9m-l;IQ zb$HB@10DX>;)1hg-<5Uh`e)?+gQx}d@&eoMYI_L3K$)lHP5-I?mU>?t{sZ=#DXJMi zJ`sSg___8(?|re1b)9@Br|=fQG{Hc)g0=i8%gvlWp9z*;5fsIxfzD_?&dJWM2!A`G z`2tLm<`l!%sxaD%0~tMaCNEps9|Kwy(>5iwB%NohL8?^3rDP>&u{X9h+F`o5w4KE+ znLiicQxboqEB?I?AU7pwg427X!TbsznR_n`HgpGRp~y_SeZzx&bR&xBNIbZu!z zfyFOC*yoa#(ZR1RI(BY>SscYD6xOh2Q#FaQ5Hv9Q_cnfW?ehb7;afZ$qZeC~~<;+$Gzd*|@ql6o(0cYLSK|1y5JajH%5LJX<3 zL$LJz&S438cw~DRRF@W--OhI)Pxx7PjOSNlEK0(j$^QmoS*JH4Jb6!<@D7`aFZ|OB zvFqu0Sn)q4mGkQJX=mP?T`>EGPG_gO#V)kR7;OQ5v>#$)uR3oc39f}LmKy_neRyRg zQ760AU*UWT!B@m~&Pc+PbuKC0*(K$F=*hC75%vHT_nM$0WtwL#)%JgK?_?M5a}KpH z7(lqjB%RzfZIku1t&8^4tJ{fNKxkpClWJ}cZG`mB>#m4ea$W?kN#F896AE&M)C4_d z$ZqULhWz6_f9S)m|DTOxTZUhG8;3*OOGXLI7KuDq%QNygj?x^>Ukk7oBqbykCG48| z6OI=bAbgN+Yh`DPppd=fB1s%-ddL(}HJbhR*3uV1^lXqa2gNQsFeRj-OjF`&dD?l{+36S-99cAjX1gw> zEdWe2n!V?y)=!D>ZF!KA&#O&wrCQY1`A$!UAD%24-fSwftm^^U>B+IVQZHqebhxH# zX+#~j1N4#-Hyu8OfGC^Ce-D_yFwt;{^UETGw4& z-rOLm|NHZVM1by!f5;u@qFKTGy4qqrr+|2g<_ID6a$JDxn;m)LefGCEqkpHwLV})( zi^nrucv4SLxUY0_ulNZ#O8>)Qp5EXIrpunJaJ;%`Er*hfsI5xth<8Sj+|T4;0g|;1afc!* zUQVy{m8OUYc|*QqA^ZrTr#4ygWCNyXwMgcY9rv+*h)|ZR6JGP_L|u6G+QVoDBAEsX zNdcmtM|gvJBI_m1Ubdq7Tq5Fe0HCIXT_p_JeBIxXD`M;6;o9P1cg7lEFqiZ^`3d$D zsn>-enZ)Ch_%}Qx!OmbJTnr@WE_x{oaLUux1^^O_%J$;Lh5)jU$VZ|;;tiLC_cBo} z2B*YOai-@y8|uj=e!LP_U;{y-2ghq42fs5MumBq8Z8~!6~6F zAS5mR_fjHYP8>o9g5Db(HPSV)Ic`A8&C@(^i3mx#rm>P3p{bo?wwjof3BVi`JXW8& zuZDktV;GD8`U0gyUcBbvpEa27$|etG-x}03>^1cq4%%D=XjZ{p?K8m}ZlmCe98}gY zaGczax>ZO~m9h9@E%o3dao)Q2VRLJIu&~%uwC@GhMM-Mudh=m`<86+W*kf ze_0rx+id%k?iE&f;lE9@3=uV#JrpWZ35!GI_#@+y5}l>Jsb(@0R*8ufSvc+!9 z{t!U~pd*bKmg^E`r@rnkcE8=XzyKl3Zk1+BchTkFE7f@2x>nRqUQf zJrzIBL-b0{%z@MGK-trMQtVkl>!CiIGvh^p2%yWl+}goWvAqleDG%aqs^ zvt3X9YTUZnzx}G%PYt0P_CY2cf5_1$*ZCpguJf-2EodR_!Dn7W{zmIutdrM^dbF49 zC1$NQjJuKz2uny15HN^RnH^@?FB8oDvy}&bj{w*8;6tH5jyiXYTk0^y5pjfGTfy%L zpDRHZp6U%Ja^xFH^w_l9GddGZ%fPecnE8oLeeE_8td3hRZr@Q}V0ud)PUa-5Nx33RyB4xOx3jL-||p5}U!IKWcQp-y(xOzp>tG zhKNt6(l}A22JO9`-}4)-WCP4an)SnL#wTb+5N_KgNg|U8PV*dVXy#XcsCprfm%M%| zSmx48K^W;e{j}si-OWBgzlxKimXj5(t-AYT{CV!l|5%d(2~~)olcREvWW_?&0@w%q z4jX43Qq%a(D)IU?bQFbSJKopsIe&RswD)Enx}!+LkiURSUl|k){>MGRQ==Izb)UwN zi}+^u_}QuIK4)A11Qv)6`d7qSW%L(K=6l2sbcT@(bfN}ETY;s+7N^OuDNZk7>L>}= zGWM_kh?e1d@)x9|s9LzjMrmLzEXTGqvXcH6s@0rUo6Aj;px)qPlyyd!^0sGvh_uX( zc78t}N`4(L5!x7Jvv?-LK*_RDet{U?A_0+z(23!+ixa%XbbV9w&$FHwmJ?27lD)4a zO^{ecjnR{{EcysW6*j9VxJA(`8NOV~#x1Ry9=7<-EM-C2Ew@eEjMmr>H!)AlR@kpL zEJS9#W!>yGDl2ZHa6jcE#0Y@kiY}}!q1NtCAC6GUGiEpPKxf$pTt@JiT(FxU1P?v% z%+!WvX^%iZR&699t%1JbRlsw@{D42C*AmQr&_@7oQfuAM_f>w5c8!Zw{m8#Kttv?{ z{QA$&h>8r%i=@5!?#orY-krHrrn$Gw)QfW9a z{I2wH7!?dY8FK5TZeU!LPWbbngY8uj*(i@I8<*)}c#pLbx02zBV%UqM%rFsZG3dWv z;j*4f{1e3Q!krh%zuWmE6uicM*B0~ZfcTq_ItyC}zv!P2^BUCbq6*2)e(lV8YzLVh zDXi}Cvchc`3sL8KL_n8MM#y@)ld{!$k20PKQoM5Pu-=`PlmJu;1r6+tQO><`##?Kg zbybl%@JGZBXg?ybpgWXtR-o3dc>ZhOGd=+d)eclJ=CIGoz*0BI>?UUdu|alUm6uJY zuYZ_0#(J!8==5So#7^*QywUn;J1vae2l}fKp#4WgQhz_|0Y6U`j>R2 zjOz5=>~sy$dwvi%Zi5?{;b67}0i^2+KR^kkx9`M;Q?g*PI?oZ1d~(Bk#SrWBc01}x zruOiSF8>^IX8>09IaYua%A5)d4iROq+-=8}AS^)mmG&)fMB0*#`l4jLxZ@xj`uK9x62s^zf)*9qF+uz~ElDjKx_1Kz!WT z7^Ku&B(pRw<|eK7tneBPEai8{QOE|I{}eveWe@WF(qwrYYU9`ztXjw0IU}c31wcY& zLN}9?l*(W|Af&pzx)<2mzpDdaNQaX<23%?QF#GLG5RAHBgOI(?F_p_t3F1=)PtGTV zn@%-&BB*du$0WJeEQsVc8~Lp$5~f4@9@lS0tbrAC<$ zl|CfJ%R>A^G=(-dUIYBf1N7c7L>zrG*qDS~jkp4Ofi(O=E$@d(OgiA2eU} zXnmr6Xt#T3CUNTy|D~?eIYu;q^~G9#&x{Q))v}P(==BKx6C%XLz+(ixTQoVaqx*SFag&pAbS= z(qRJL`iQlO_{)){j~rJjk>+?H!IM}*ndXg!8DY{HBxQ8? z?K033o#l-_LR>#i;RSW%b*lH940osSgc6%;F3?S(GflEWl!-IX2Z2QJ8tss4al>r_ z{FqS|dpf8>ol$=R9a+MiDeLRV0TesKM!2i{wfH};O4o?o*3!rHYc%sbvN`Q68J3Ff z1#>O`JW}g?{@j&WudS~{Vm|Z|DPk&}av3}@1*iCyNqZ$VaM}Qmfri^Wc)ZyhNg(F} z$jFemqnv#KV)l4r=QEHkX;#TlmH;qO82lYUeo!V8f;2r$B*Jrka1WvRBhc*6TQ7;b z{L?nAFYWBu@JBqJMHe^721I0Ic6tnVlG5`q7>VCj`iUanB3ZR)>~{>I)d!u5{;WUG zH4kAR#hMMOs%H=-ykW?x>IhhrM$Akc^62U*4rQgeTXHIaH)#Rc%q?7RRpoE9R;9WL zZj~y$GJiToZwco%1A%{D`x1&wYV-=I4%~Ms5}s}zC4){Lt;~)KIJ3BaVkDU}l+FE@ zaLuee$`^IgM@M{Av~+Oy1?attXx}1tzq=||NCY0YN>$`Ry<(4u8gf|7P0Og2kDGZ* z)wjOkBtKqjse8bcv^~Df%pKnafV*>tMZx3JGQ8v5VqPDl%;*AmXmlVN_nx6)mL*&_ ze`sH*`XncQ_9sTKQc9@VvzrTwT<4oDnFw~4=OeMa5ZqePTd>OIm*0IwPa;NEbTb7} zUcSIoA`;%9DWh&z2f{y1H~SDA=Skz zT~{mufr3_cuw3L1J=WMga)XxWhku8<%PBD3IscWrh>{OC)|FB6bxLw=;@A+K16~Cn ziH7<9ZeOt42+j`B)Ww$tp)5fql529}aC?!;D^P%G>dP zMwjO%NldC2wNi7CKEII{S>fLdl_|Hfom;wXv z4(8Ruj{~P3d-riEYhb3}hZHQ$R_$;44M^H=?v5bGIRigD&KzdyiSK>e3A~|AkM(z& z0sO{8LWjI$O|0K#oiV0UnP&O_?EAnHM$X<0K5dH47*QQ^!^?vbL{98jXmdtqv*Xl; zoGnhU|M%7e9MV+k?s5hg8k4|a_QTr9Z`%4gZHES0NUI8lChtP&$x4#HknsRPD2$i# zP&D5#$>ER^)Bv&a7D}ePd%+$I6mwKffqIMOmUlRsEpyXtm{4%vq0})m+~t&Bt6t`% zZ?^7tPw(Scx~s^vc6N z&BtD63zJj_)u_UIKhJZ|w*r?uy*pW)OP=lwK1p(4m{iRw!0acJx)Zs+nhNcMN5dw5 ztrRVDN3g+@+&UyfJ--{=A`O7@7Ol-3H*!RDb^Jlc%)UJLFjb zp9UXwnn|iB85kB2N7KYGdfb^_E3KN9hUCXolorZhih+xu*k3}m#_Vo*w<-0c?XJ&0 z7G*#ztu5e3uIqJ3v&-1}OGO13{bY*PcjeyG)^^}!Qv4Atu=5O8GWHNFe@N{qs26HK zHFo!K0mUcvdXf8V*VBZ;V+nGcXJ6GoPekzq+dX26z?LQyxo;-qeO44XWP@%NfSE3e z4Q7e+NF5o`~xG;{9fKor%YamPHZ8QHm z#!H$ypt3g`%l7XeSSonM5;n>v1zLZ1j1$L`vs};kD0T}#h&|DyL znPqO=8$_iD0XNr;>FH-+EOmbGhpplmi+Bs&kw0%laVjSuS<^&(7skPV;-(@L;Am#P z#v7rECp7PJ)0(W`zH)lM1zl(qLC%6}qa4T>IKArm=V6yX_2r}uO$Q`hBB2{X% z=xc<3_2}n*BhQqKl3f<|%b0y(D8aB1Ywu^JDov%JqaU~iCZZ|uD}}?`2HS?^;8O-x zSC)rqKzz2)M_sV;{E*_zDU3Q$A*1+#9NFP)k)&t@>(@5rg^=Kuw_Fm8yDVdFXC)B_-YQyiaySq+FWo%DxPv+)MpZt{HB&4Zl z-<-o9;y=2Y;}-3nGi4!uV`TL&vo9!jWS5&&Dbv`@&EW$1x?7WSDFyqrqyyFTZuO*~ zAyp_hcaKXLGae%y!ieI=Gikzd*M(}TIstwcF)4Q@YGB#ZC9Q1l?3tUT?S}{GB<=hm z123^zw8|BiHQk)eZBUwehhqBu!-MFW42y3OrNpp{(9hp(n^fh*d+Uj*@{(-kGYXtt zH>%=(W*c;|>xlaHWoip)kFsRVo#p?mUYb83?sf*yqRzJ|-Zj$Wfz0RlW&DrfF-f4M zB^`P-0b!DGDEw-0nL@|EIA7I>Jjegmyp-(*D?9_bqXNCK&SjZ9v{SsijF?DmwO zzdMUA&hGq4^6qFzm_o544?juHB}mC_>{<|91%6)o@7&~yh%`_%VfjWgR}O>T`Kf7h z4-l%0d(j7rt_D=Fmn#$Rsj(M2MSj4xMwcRi7YBuSIA*!E#yMKwH>vHf|HoopXoFHG z+baPCOADMINqv5n^1jBlwfEI`u={&B8&oPioa*Hu_nvanuJRan3wdYZcz$RS`ocAl zQ|Etd_3ib-g;Cdd6`FR8_K8)oSx-f(QCGj3vqA#s>z>+VQExvTQ1z^-Ayp@3=ywkEIVN+^!mLeXmvQAU7ZrO1F3W@q| z_1vx5#)eNS&6*#_i{OuExO4mPtaw50i^7Hjg(fL?ZUnJ^lXq!S;@a{*MCcu8c*&u< z1m^5-&pi6R%X|m$*-*Df7iWF^gV1_Cmiw$z$;4c39!(Tbg|r_phM1=3Z$Hld3464b z1i+G@T|3`xaXGMbK_J_oVH$#DU1FbXGMdx-5GCAR_k21VvQ~ zjdlfou95>g#8iEZD0aut)YcE&z1su~gtLo{CBJxGm1ZsC{!neTNap!BejYQO4@<2o zy3Y-PSEC#1(md}&fG06jPUWVb1YPbVPGVlTC#_-Ejc0`?Py6Ao zJ0Evg48BA8dQn^rW1rpSt;6vK;AXiJ%_YCfy>mLh3*Wy@7ttvp!H6Wp4k3tE2@z;Z z&RkzId1?y+h(*H}4QB{2W{>1+HpI#De^)L~kpeel-D#Ht2g|2a?W#Z|zZoGDJqw^y^p!bNoLmlgo?57TmfJ;bn=vx(^kqr11i8*#!pxD^&(ry0Q3uR1lA0W8Uls)UAYb_d0Khc#xlw{g@rd#x`KBOR` zB6<3FNa7-J#&QVBAi$kO`D@ZsAEH0lH4b2bh{jYNgU@M0c8R{Pxh&TOB*A*is^vuX zjcDe)MA{AIdIzLl^IiSsPLk!*v(&q+E!B=K>-p1$4|(-Zef-BGhD$|!O@$@ybdL(d z(Ei&%k3uW;%4S50zyrIS#AKA#xu&^LHvQDg(12SzQa}EWq4WNS!jI$l?a4WuvpF;4 ztYn3*gQ>-Bm+ z-*c{|?$Te_CiPs~nv=nIzUybCu4qafK~8X-2Mdt$LC)hk_R}V>?mP?$pUvH3^v*hl z4PDh$mxsipP~zE3WG}%TsQke_U4!k3n2SnaPJu`kwFAu+nFDTgK1c@sH-SftSG{`=>`A+I4Ui#0Ea7GJ>M(PG^-$N zOj(;L$!${xnsJ9`sE*0|0Ei;wh%7Y1gSsFuepw!VJt!Ms@rckk`*J2%#lH5R9`TjMwD_3+0b5pW@`o>GTF{iTd+QTQ)hK6m)uQF#Gv21-V2}bbR z&=uxpN*poii^A`*3;%W3O^y*cd>OWiryg~ zf=^e_7kQhiJX;kVbfq@W^>yeJ-9-oJQ#%a(V}kasE3X4Q1Y(c3FsHuB|6xi!DFj%2 zM{XWac?qptuI5>zH&d{iKGSJlDgTN=sfKk>%Mk8KJU*STGyc?{HVKQ2n(*Xa+E|IP zWZ2YK5!y)oaWQhGD6PQZxalX#p(rku2TR*#0}gn#*uy*f4+|@;q?b612t^r_3-U63 z`;!T59qz-Efm3WHu4Aw#&9d$aN}`SDPmwrG1JCTIAV09ReaEB0B-Zqbty{6nGR`E?%>P`oq@fxl$N@Ud?P!-w0W8RY5lQ z^eprxmR!d2L3N^YDR=#!(t#Zpgl^Vfq49wV>IZ?4(y+P~G?O?f>HEXWwQY>{*VciF zjdd3R4QYkl0;EdzYj@BEXqSADziM{2Vm=MAgV*%w&_l8te7AY7(qA5Ns5-WiV02_` zOjYmr&zJ*1*g3FdaJNuhp%;j0TN)FdZ=8eVD;{J3LV0*7gvQ2p-h_$9Tp~_?^^EMq zdm#K}N2}L5)eeaD!KH*2t*FP4_(VdoI?H|k9DKv%CA%B^yf0SEwD|a3w1)%G5<2nFBn zjlb0u+@)Rs?g-aWPyZ~=;oA~mOB@KKeX5;!+<}u>^5H)1Gc|XOY`BU9_KgPxoO>ZU z*BoZso6y)}TJ)bV9Up%t5{CW_9W>A!H7Mc#K%=BZ!EUWmI70#?^`mc*rrjWS*|R0* z!|>SJl0(IOq5~n|TMZKQ)6x!Kr9G7`7J0nRnFp7lh)$;3A%FRX#^mE#$24gs_e?RR zz!&f&ROg|BG2}}%tVcX`?a+X{rvLah++jXp?9}%^m&THKrg?kIrzGVht!%)ieuof| znpU!@xsv-JED3c(6$?}qPo4ea&302YhV2*SFc$K zc$z~ZRQIsCK8&LSUrmyB54c=$;O)28YC%Z2Cd}u3Jdm^DWcZnuv?q~$7MNq@IE-t5 z5Xxd;Hw@oZCc3`Ue&I^!p0&F#8>)97N?{4`x4d=mmHZE03GiC6G--2kxTrD9#nUnK z_@V{84a=U6-fUn!aD(-CpPTkJcLIL=Wg>j94Hh|i?~)XkDJ=cS$q%nFZ+Yqh=-p+c zSPT>?Um&A6&6Sn~eGEqTNQp0xa$6hF&B8c(%2oOG`p#_6D}9!+OO zZ4MvkC2)Qv)gOqHgln=_9AF*Ru0R_&Od!>Jq2aD>N1Yky=nZ;ZPOqK1SU16hLhvO9+%Q+FM++HZ^!0VWWE zV_KWoM5xtOQWj+jXGPS!X6{$N0`KofV7@n%6#=R)R$#~m}Y-CTq01(r9 zr~-HLo!)!B#vB@;mfunzQsaJcDp=dlV)`Pf_TMxvk|@4(l*%B#iJ`xcDIhzT$2>Zy z`OC|{LXZYN8lS?8aHt&!Ntybji4AI0b3OeAq@#Qz25y8D8nxtJhF?*AzB53C(|U7-wdXgIVo(74XR@|~Y{ z!e;ISg(Tgvwv#F0QEfH5N82v1KF0d{`K5yR-Wg!W_s@n#?BoNX^zFO1n3f+uFPW`p zrfJoU`yHRAK4SY8f6_!>Wq( zq2DveWf9yo=l#kVO!U(tD;sofpG!TrkgC>}v+~KO0j1tZ;Yr zc-9zZ5tML|?{kt!Tbm|&?q`_bV2bpwL zCwm4L!gyqH;s`VT`gyE|GRcgFW4<7p3JF!-!4iL`02?wbc6N8yAwM@Eq;LyjiiJ2O zl~aR0KefOmpj0Y!LtS(t`eeDdxutmCa%F?R0dIQ(X5*(EFs0OB$8F_Q}p$a zLao+-x;T!g_7QL0tgYO^=6rT~K{2#f(RX|}YmbtiCLNGbDyFVsvDxb9vp&P7NfJx; z2|EYPs$ePka6@o$vEc68GHO`HSg2L6!=N+fU9ECOlS;OA=J|KA)s{ImRtH!g zA9UvFMKk%S6BuvUbUZveKEiX%pqEXyOIglkRk1FCXD;_bxm=4Um;)XP5dE>Y%Nk_` zt+@1sYuy;@JDNKHy0>QV8=H&~t0};mQVdq3pK#c9DdrLc4}Dcjc@Q5J{4A0M5R9_u zQGB-ih;zU2&ydYYd!2U4XM=c(mVIS_^jY*QDaawvabn+~FUniKR$>MvHJzRE7dGbA zIFoA79(#&QcR|oSVHAU*w3rGDr4*(V99MmYwx`_3wd8Rb{CUoDzSyUzZj7?f%jc$J zS{G~qS|LNS&E6;9y$}18`E~DwG1<0B<`4etAdYyxRE-rQ_*Un8^BTGE!@0X16>NEd4eJlXRZ7iYh6#yf`x>W4MsP}#$c%A26V>otR_WC zCb!^_-5if$EG_|IXpQiUvTj5OyhWipP{*p$0zVT*KZIP@I29@T?1|Xw)VtUxpMGE( z^AA^D!PI+DOkz}gFvZ5TKHeMKL3E)Ir~&r>#vPA#o-pk3O+21xY=6;k=*C|@+*zoqTC9=TIjvo z`@(X}WF>JGz9jJH3_I)!{<HsP?QgMJnDj+ z7C6%9biBhwwu~w692fl69@1g}QQjUFQmf)94k=hHLm(za%Qf!je}+6Lyztkf|3hl7 z7;MA-m_{p*=p<2gfO=e7>k7SXjdF8Jjiz)M?F<2oXOW~riMS(Zd#z4`4RdXqo)zg| z?@%(s_R>*I+a4hqaeJ%O%?G)V*a_Abh7aZa!H|KF-ou$MKiX+JQsUzf$Zr_l8}SdC z0>J=7u*hEmBJXez5dc04J`NL$g#J($wZ$OVME_?E{aZ#bj3{XU1b9#V^7 zKtG9C^5|v#qGWVxax*$cXi`D1O1+(JsK=c5kl-j|Bm0PvY7B=4`$36Pt`=-yEu%8N zRPuO_sG4&v-^*TIJf2^m`H6zBZFo8Vgt-)TgaYLZQFjE_Vm@&CgmlrIIq-c83B{UV z*v(qyVKau+F|jxOwQzdIBtSD{yGRjTk5obn{MC1OpSpdSGwFTwYk=-1)Z6O_bd4qX z-mh2ZTj3FTr#%%k5MY}3j2c;v|BCt5-$hhXR=aNYY7imsT_?knNy(*OX7sxqDbD^? z1`YCN%hgr-1RJLgi28_m?H_gh2n*P4;X<1Z3w&;@Tlej;O z6tBvPMbplM@M9+^7s%(j8!IQKdC1o{fB#-hL}pE=KN|UgQdw593L8`mvyo^RmIn;} z1w(ZO$3J9Ihh&C#je*SqKP5c-1YgC_MjJ6nmnw65T&_+~Qoq1y>xg?twfj=;K+Rs4 zUarIC1c>*gyfxD5w^V$K=&1mAX&5;tf>k^l9v(Np3|#cZS6ZC<3>x-O#R$XJGxwut z$Ern2GO+Vo9t=E4U*oX+11F}`2Rt5DVh{SPbd=kRI<2EyLIQE9>mR5VBivp(}k+mlOY8@n#u6Dm6Ft7~F-OHj+Jma@iG*Y#R0<`;x&R{O3(TSymyzhI6{ zXf50x+9Jp40|0ER>Bt@F$zX!CMl*#Vg1cY4yduIzh0C&DI6Ck+0(=@^!ei^_*EVQg zh;Qw0jvad0Ju562hjh3nYiv75$2msW`ODf7Lo?l*f!l2p%I;|z z6mskg=v34J?`Fqm4yLP0uIpJhk~Vd=3XXW9cP<|xq&BrqAfG9{A; zdJ5(X(6F*&R~%>c2Jdq!5>ne zcx*Qe!k!RM+^8`MunLuX?qPPBGZB!t2(xc@=xRQw+#dHSO?hi?ZkO<$bcFUhnLp`f zp&Vq-LHeYVRR0le)9ZLBo&rB#B+f1A{EQczzul`GLOt<8F7jLFYeS4|*t_typ}7-% z5--5z00WP>N&I2mF*()zQ+CIOGG>oz>@=)Hh};gBd0(Zz&r=d5QDsxvB}z=_c8LLr z9V*&b$*k|cgnvI==fuTIvwZrhauvx(iz*sFg$QeSn`qLwdFXRJl*SqHZ&8JO)4qx4 z8z&*aK7fbt>x*KBHOIu=pGp%*ryLUL8Nj)lwM3J%;dBfZ>+_08F-CR>WQWPWgwToV z2u{iKb8DPs+O|)E?U(isM{H>QKrh|QK<(IJ#RoLqi_YF8!A#*2O*~~(F*9`;-z^U; z`!~m_)fRWY^k^w%i+Z@n(uzCQZhDkFjYg9>Onem&$n>O=oqYtJ>GmCnS8c3klCa!)<^0i(_5DM+4K`e%3< zWTr{n2o1Y_owTUb41D{&yT2{@+6q^o86>BTsTvY?4X4C$mO9sZ?2XI5#UslV??0S9 zbo;A5E=T_EShNe(u+)TneW0ht4q>WxZI92t^&iLTcg~o)n+(^t%@nV&LEsenyV4Ku zC;f4Exo3+JJMrArvH$eWCi0SBaL)1j*X-y1gcT^Ro7fts1^G=8zFS6|GuS32%RkD~ zWE4k6m>i?LB1s@H&zCJAhB|{}=zhWH29SL2NN1jb9Jjpn^nFO_S7e*;>Ar2oCRRw$ zR3~`-bc1R_VKpS-j(Lsgrj)&cFfM*jTIl0n*gvF%2!0ePrt)%%DyZT%eDxYjuGejh zcDrolwOQd$4MAsdS&Y<_z0u{958XR@?HYGlrn>nu?#sepNIa zM#oA}0ftQ5d8ENy2WbN#wEYU5^B|~qjt~a&^Z)JZKjyKVLcv0*_9c_cix)eF@y1=0 ztWngc*Vo|^K#D-p8I-%T|5q2BlNg(9%N?}2Y@W=`6?Ccp*&kyvBRM_av>&?hg!H|u zEoRU8okGfw127X|2qMSusaz^`{$ZZzLwcUaDMtCIRMIU$l`6ShR!ir_t3s+ry0Q)5 z(uMijP#Sl0O0PiLgW=2b=@3LZo_g#QQr!^9 zn1I_N?I?%>=C^`zwz?a7HvBXO&ROQ-k-jP)ZFqfj_1`mu@4KVhv)W+_lnP8l56lbQ zbRX>##u-=e{w#H6J8Q6!PxdS6s9E-U$+1dJbg-Wb&9ardEzf6!oQnZL5H6?WgpYFp z0es#2kfGW6KLO0!;*J6FuhQJAXUT%?tD9j+NC$K|0(s55@i&d|E#${Q`aDx+tvIZ{ zxK68Bjka6JRfWCw`B#S&`$Q#qmGlyF+g#(?Id64(menQC%L4&ZL1+nTl4+}>{Ra;0 z?6&Jk3rjm6afiYq=zBnchAMS8vsY57r5b4u)2+%f4+N+8Sel)=BsXjlr74X&SPj^jfn5MlL+o!@5yXzfC!Nbt7zf5^+7);wiS_ zPas#;q)QAaqbg(3CZ@a3$A(8QEwPkkGC0{=)q{5ZL%QXs$1h~8*^o=eN0UT zW3~lhk!%;P;6=Ya+8UMj{&As;-#|HDy=%0UTrXrR#V>2n;SqPmr8yZ_`6l>xdAx>7 zw@`xNZ{VY-o+$xggKYuL;19PQlAphZhkJ8=XT`y$0oqY+{c*rXEiUfw$oBR9eZ4+Qgc7@(q zXH4BGT`#Bo;EG4E0ep*k4br_@{m5^+3bgrVjQkv&3*{f!auQF4!U8toWK0^bn5yKx z^6+bie%4$1gLnK$cYm0w7btdpk2_WHlQ#}v{&TjaJ~y`E+PGpoon{KD;?~dir+Wm) zB!1r`5!=JM%U4$i^daeYAPM1Z80-ZnHiz;(8rkkq&!4}nd_24<16OO+Ma|o2C`Lkk z1y)%a^*1Q3iAbqBz?#K;gO)DDjElCz3PT<6cq&4p=A8Fsfk|XoQ?A^>YBHerl3uf= zR8#qR4@nEWR{HK>CqTp%rOdrl2hu{?UQ#J6a#m8RO1DcsP%hK)kKM7)4w5RNNEoH6 zxE!!A*X2HPKN-+T3-_m4n0G8Bu$=0gZ&5@;EwXQ8uS@DEazuKhFE{ht7M1k7eGI%a zbPoQwsLl7M-%pT<4C^YnxG!OYUs-Kx+@J~2SOQz`BCXA5V(@D7Syazp0p;-LW&mWk@5lsD z5A%uUYJYVEdBPp~<|Uw#HDMKd6alDY7&X=ajUR7#)EQ+cj8qa8Go+=ngG^NJzmWuR z*Ss*C|6qFGvc2Za`2qEkt4_QE+1R#c$i(!zlGBAeR958b5{m}Gozu%`F3+&aU{$`1 zbgJn*$qi?@1CIeHm$`sE9_KOa7qMosU!ExtNcW~ux91-J{O3{+V`*5>1Yy$Hf-C-> zR5dRt6?>=C{*t;$~^hI>Qm!WPq-aG8Myv^m> zPTKRbI6EWML^c8U*gmE@u}c=$CL8oaO?q>bvna%v#`ZO9@=O=$)*3~tT7;*cWfEj( ziR#vWC^LIu(z2s(h{tC+v)q_;?8_4q%uO@~XSFa=I$AofJ$A(P^gJ+v;Y9lEe`Z$3 zLpop~S1f(u+K)=Pnjh!%MZ&(N?Pq=8x=A&iw2u>%U9B;F4^-eU*?r>*IIt8au!2FW z7=3*epSc?qn zsY^qFc9qO3cQw@Yv&?n1XF!T0%@fhispl(`Nei7iqx?F-NS%D?mNe?AuY)#M0WVSa+}-?v`SDBtyxuTw)!pX|6lc~zaVx`{ z@{W22g0Md|(NF8}IqmyI@4Y65WvP$v~JYwSr$t>(O;K>f>L2NR9;ScGM_pl!?EK~E;ws|Vh~2NS4roT9mBE?Id|HFij}Pw6326P>b6%vLd&-n?si%oDw+^ zNZ!3CyOQ8IVCcg%05LcNc)Fc+Z5kEy%>w!Ws==p5lKgBW7hErY8uAp_9Zkua*t+p1 zSLGLHN<`fJJJ6tBlh>T*D}CnqPuUd*SEu8lYrYJ=sEh`d^!(Y#4-) zD>)sRGo#UOFi0v{M*CTbU;l2>%~_K-8lK7gTjAWX%T0gf-LB^&LhJM{+{2xsrW_B# zi9aHJTL!jsh%ftKhMmfM6bXZ|zZA98!VhOgnc|~~ztgHpKeW#Y%3CxIrzd*;Vz%SD zN{h;Up4id`(jutxX`4d{=Ll}4U0n{ukk{Bi@3Mh$JDRU_bO0Y&jIRwp0{hzjTEYi` z|HC(px6R-azD-;8)zeiw8)NIXs>!*IfFlNLgxcu!S@9+i50w-OlY;tmwzSD1U&UH+ z*0PRY`dhLbYB;K$I#(*PY|O04jch4?@K?RpnlqohRSD(2GZ79yNEt-gf4&WwczfuR zbRlAhI+|D<7^d6oa!iXGEvk6l6w&yiNhslH>aQTJ@~C61qi?n@#;mw*@0hdo#2}hN zVXq;T*R2z{6_30q??x4Rypv>HPf*k2iuW7#OdA4h_o_U%%4$eTEg2#;IPxpW#k-B4 zr`pv?);IbM$Pqy%9#TEwQ0Din32czo18#Y@KlLE}OGY^`5*l|~F5hbH&m_lz*-2Ei zyeDA+zg|=oXx!~zqr`jr1ZU|RM>|AalK|7HEYJ7+z@Da@+^br86IQNh3A(3w09j)n z-g9yjd`8?Q%Tg)gEa2+kHB{2cc{0E>9egt#_zit&RKs{gy9Zm-nS^w@{n14ncTx6!_^dM}=U zA($JhuH00|g&1A<6HPRt*yCEoEu&S8%u7kkE!0(uPvT9{vXyli zZ~=l^9T0E4!#T^w2=PSNu=SvWY@yb%2vUj>*#tZ%L#D)${u;TF>i^i1odRz3or1F1 zm2(sL>(A+RGwP7!Rj=q-rHXCXYQh2AHbPdPW8!CnnzaKc%_;5$+c_LJ{3K89ph%=Q zPb+efX+Nl&kyx;)2QL2-`AMia6JTX$Z_{U zYl`)jluk*CH>ia4gx)0BmK-4>5(s>&ldLxyApYEh8I^Wbx!^-!iprdfhKP8{qh%pz z-Vz_uF9}}tjja?A<_zi?1qX1WJ&gjR{9{uu$YCoN&)({tz4hOFZxC$Ibv3`U?ZeTK zzj;$TjYb8@Resx~H-$#%(3VwJ+pei%CrZ%%ODqPd2V?7sD{TA$vVQ=Ta4BameG&DK zbgcWeM~Z{O!psCHkY%3MI>Za?UqMg}3^Mg^cnt7K^p0Rv?_cY}#^?4`zk8R?n+k>I zk}b>5GmV;v_1>VDz=zfWiWiqy{o60vK(si@i(>PWaYA0aN1LIob{Ag&;?St&yR1C! z5H()Ro=inop51KWUDKFYVl8j2C1BWSZky&uR5&>{>N;g{x(8liC;=@^g`6@!k+mJ` z3A#B?*?Z`!G>9KbM5xX6aJ;Q}7} zqNmN{$Gcly2Uz2-4%WcdBU~vzG&`Fy3v~~l&~qzhDmocfw2V(NcLdZu&iQwe6?ZQS z00H}VOvvFrX1*r_GSj+4fpuXtqok+d_o??lUnqO~F}IAYNjVZOOf!viQtnPp!PSEf z!?xh8>&*7lgE$YhlXL7V2eIm^3W%08txxJl7P75=n0|b%>mnYB0vh@n#q#e{+%qLI zr2VE zMGep&(X^cS$@qX*$NYq{i&7FN{Sr_?SOjY1h6Y-b#PJ`%q8Ynx)N%d~>Dwh6k&>LI z)SE}wN=W3ZnB$jjznWl2#xXCcMugK&Q-&*cM{Yvvp8bHohNdBBJGOT3*_d{GP+#ZC z2ObYb$Zubc+Ldz<5C&R?wJNztIocnNaAXX9cPGVwY4(w+>MlleD4Zmbe1uHwB?9-V%kLXU8d z9G3M6kltr}1ay7`z5{_eKjbo@5KsU#4+cMn2tekc(C2spc=IrP=dc0%c>;pxZ~;Pj zc;Ry*0itraG1pcZGPh`WhoXftXlLVNjEZ$<>q;Mrs-{z4|&MgGS7( zrZcj#i8<9b7`Zozx2kV5?%XBjRo`Re-zPq(E?_)-M0{NRgi%;TEUqqLJbgxdUj2em z`jS{y{fbduL3~~PhEZ8Xtge2`sHr8^Ro62b8i`HS&5ZwAi0`Uf8Ex&vj_OWES2wYz zx|h+{Pkdj^pfEmsBz~$MUKbvq`Zr_a4{@`4i?RKexKq8$*xM%_RC9L~qQQ?u z!XHUhDk8h_sVH_jnG=(q{-8Gr&^(vX!l#JeMhqKu2XD#TYi`Mz-U z3o>eXUCn}I4ob2AWM){zIN-33^F=J{E6nw)cpKG3z!e6DW&m;>mWwWi#DWtzD{O?# zUK>zUg`mKM9x9DA4gC>pufial_h?T#iyUMsjWQCg%U>f85{?=eO;ly`7&n(g_Yz! zh4AIifl#{JqO03Hxvuf&yDavtXq^8Z-shQ3`kfcM#CfUJRhU`m3e;ZZJa974@X;!E zd25|0r|904G4jcw&hsyS2krFGnmom>120UP@DLj-!=Ikuzok%ylfz!GS^*J?-R?g| zeA=2AnnYa{A=`(I!yQy#DW-OWKRK@bIHRj*SO`_16uiH92#k~7TuP#|)7qxMM0@P0 zj}@ewT!ECVvAU^pDlcgRg}Y)S$Z9v|0$8f3IXA0xiBQQad&?$6v%q>V1VSdtQ78&TBPF34eV1mx7!}&x;|MXoBStc>f=9U>d* z!ZuOL9pj#T5ZGIgl+-H@S1xInxNK?-%g@kt@`k>#Frze!Gy-cWKvk=rI;j%1{`nGD zG+%riVN9a4%iPZM1k?BcVm@fR|1Wf5_1X+H&I641(mq(a&bKw0J?lssVBSOQ5oa56 zxIjr=o5H+Y7~ujCRVda5%lsvp0+7;%nzgfP{FClCM1!=UJ~VP)x%(*RFC?0n`~Ahc3QV1mn%=bD>y*n*#uzb3oX`2?u9q&2Qs zgdNUSH^Re{>pxlTM+JBlfA^FIlyAG=7~(wHG)_vUN9mIuBu7N6$p9jFeF5=)|EV5Ujf#y5BzGH#0@;4a0|x654H-Ja|PmN1=qW_P^7W5@^XsHEYRjk!Sr7! zvkf#Gffz5Cx+<%)*n0Fa2~-It%jFMsbi!&yz%3STBrUwyg9#rT)#yVIO*u)ZExKal z6=idAEyGAeZ8zlstw)Xz1mH)A#VOfG%w@-n(}eo-!10=WSzp42#{imBgH%YdPvIy6 z#M1r@{4_Mb44U}V28eBT3zo=gjE5Kh`(nt+6h44-ciSAanIK!QARiE-IQL=6*)0&a z2I{SsKE3iMU8a-zQg%@At0VCOe{Qr>JiGLOCoEYU2C&9&=2$XNRR(_ZK9o<0L;X_z z7l)G(=ZX=1jYMN1YY@|aqP*YJw?Tt5`HGf%qI$%UR2IVm2V84#_qd61lsF8G=8*~P+7za);SetB zrNx?WhwIjn+u$=d?`eAY;bgh=aryvTJq1wwPKf@6-ae(J-9M)t8que{$oWL~FzXd? zG-$>3({FAUwn$P#pMP>8$CpA?}Q!}a4>dy$F``+s(H983-$i5Na`9xXf4cfkkad1{qRxq#^ zx4s8Clp_E6Kuvh;oN`Xr7ze~pC<%clEky*UEXRZAI9Idf6H|U37|ftQ#zBCsA@W`V zF4htTIwqqgfuP|*K6Ac658063LEBw}-WaU}C7|+lP5EJ=j~P5Gw;^KVdmX;f>_NrqEjlt*yiCwF9;=wC;}2 zi+aaI`2-_*`_cpOkjK$(2Nfu){l@D=t)X9co%8QIfQTNe_Tq??vJPXbR*EBn9S4qO z=RD4gRafo>Uhj0<4W~cO0GNjn<}dEPsk+;gr+@U?hk^BiyD_J51E;b618EnPO+s<@ zTT055zRS91xPc>G{>mPQoI`Q0TO!JR*1B%EffERSWvxU1VCxsQAj*A`x{ue zOJ^a1e#bJdnHUK!vz)>Yodu0SLwn8{=s3A_>PLpeY^6)~=)k{kr-(}$J~M{kNy*>h}#enu2xDwZ{7t`&lr2K`#3$zMX zh7YrA#Ld}szh&R0;ArnJ2uFfP zZf^fy(RAR^o6*5j!-(sKJVjA=o^a~&8cYvv7~G|GrcQ}C9|v{kzt8JhHT8%03*lA# zP^P;hFLK`xtbPK03woKV2GA8=2mAu4ryR4YTdCGmvA(bDg74xwO2KM$3aLUiYw8i+ z7Axw^EEu7tNdb#_rowEv?Mc%BTHMa2*;DEvWdnrss+%4B;R226Ove+*0HFKd@qaEW zNU$y$dBh7rLg*O)8|zYe4tZel6=}#kNyw#?06}PY%2r46U59!;`aRRe(g5D7db2;m zSzKn*ifGm-eQ(%sx@p~ThdLv<9j%g8kN+3%EwBCy8tdmP+>o^;AoM-%l2jN4`7-7P z3^SD@L)U&6JnzK@L~+H%;L?bsUv33#?m%M_AZd4JoD`8!7lGQ{9w$MdVSlhJ5Xaa1 zd(u1>d1k9jdKHs9rXd(yX(esXsx zNxu$`-_uX&<(i| zPK9|S_W&SI3c>$YGQ@O#9N0hZ^PiT4nj9dtc_|d2Zp;zR6A`8ay$h~Ef7QLt0#3ae zU+~;Rg~<5z=y9iDBs2#y{v8&N?mz(+cR}N`o$*Iib^ky|&A;!!9Z#WMEoFDNI9pTG zF+_LXsLiTF=v77Ll#rWZ+qh8B`(jZyi;7u?uStI+l?o)QZhPNz!<+n-J^X zbQv}9py~Tw<2)t!+MflOUiTltS=6J-!0(_t_+T55`GNP3KTb!I75AquwW=;yNGCRl zsIYrr%GW>)003<7nsjO;5a^@co*~G8;L@PUpZP8s`W)Y}(?*~2L=^bb9v83rK0NEt zeP5{dWRAuD-G)27=bkM-$)Y!_nVdVbNY7F-9T=g(1&9>hPMoOaRXJLURjw;-?K3Od zq7Rss%47v2Ko*Bj&w5q}q3?pfMLr1SUb?R%sn!1gI}wG+kiI0HRhf%#LI67@;aNBF zQ+TztWV-h4VrO4d!C zE4=khDQ1eh1j6M=m^gv2Tn!zHGPn`xI}{oKt>7H1y97V_!DG zDjSwxPzEeq64!D9-PZy=mXRT}0S@_k>?&v;zTkO8SHfyoP$=S^e?%zCmS26zKPZI9 z6gv7Y5d%toBa)hE%gcX4SjG{fURquNc>ExZa!m)|;9VdAsrmgLG92dl=aQC07$KD< z1fkT=vLaIe$(Mft)cD8kZL%`UAjo{jBi~jU!Xh7B3_h=NwqC}7$KpiS)QS?Hzi_5w zV0HvBv=!p*<{he7PQ~N{I^#@1PeY%MK$9^)$;XabIBn(}Q&BM`13;c=O^j=yxbQFq z42ADR(sgnFo+-Qyrm73D*PwH~f$;0XuasS>&*XpgJ-((c@Gpz1#BpNJp52Oxz_JgcgbjamW7qlw-{Hyv(`%8fU`yxs_H9vsk?{vlHyOc@9QCTv;rdI$)t=T`%i`>XO> zQwMk@3<=%}sLVZBsiZWGfLU$_lo(6n!%_%pSSxKEiL0r?Ha{rBLn~JDg~Z7w$w08I zWCI^8nzgz53X*GC^JNR5sRGKwvXXZF#-Q)T&7NHVOwfc0_yl(W@dcH8K|vC(vOb3Z z`}z+)Cc?{5FJlJPDiv{~9z(7Z6JXi5d2d%`Oe3Au2RAkpw-lsYm&O0ZJL!^yTb<6* z$F>K7gF*b6Bg=yCP-w4*FMpg9q~2jWdx${0svu6vTZ>;9>%mMsY~+VZeU}-X?C@i2l~%vpf;vkO6rR zj38%G#-m~?U9~N0;jg)YZ2Uo6=l7(ojM27x5cO4oD-LJZI)J#sJTuoHhRT)Fx;o2M zU@*BI_^GD3Fe6_u`~nY;p?GFs98&Mi1Q?GjLo1l7tNx`AQH1(G9rf?~dRcH~>T|}} z%7B!FRrtZ*O6{gL@NA&7;i*6(B!LW=6dRgd0f@em#3b*^LfD!&hPIm#zFgm!#r2|1 z-Lvjg&Chr{l<6Ii=}tyhB`A>cnA!bw_QVx&xls9Nn3!x8DSuriTDHt`-NI(1Y7g{f zUcBRrRb?iV@)?E!mMzL1=Dl}BIA=(_eiO1apGFVq09&~{^Xhb63aA6Bj%l7qioC@> zA~N-DzDR5ex=s1Gau@A zS-jA8HrT;~#ZAts3;Zk#am;-vOr-9}b|=D;r5aXn5}{k4Ox#|DE;`#$=IyWD5c=$f zSaD8py!C9&}`<qp5SUCa`sg7x3@1)Y=$KfC<P}IyLURn*$ybsl;13vdCYdj-`T7^`G%^!j>qO`64!&7LcrG3VT#~Qy)LhHo?nwD zNj0w!A$MeTK34EdEkcB%BQ6AS4??Oz!P}H#<{=2CQh}RyvM-?xR+D&-eUS^fnQ9b3 zu&*oVZYX=V`2*_@Jp-OZ`b)r4`{qwCrXJpmh7C+ENaP9l|6F>!u=3}^;KF>C|1^N- zv6TO;c`hbrHg39W13=9FA>$uAnFRGuTQNzW_Rn2em^D~N8Ka2t#7NeX1 zY=0a`Zaf4O8I(nhNanh?ig5LK68nXiw7+~>5hJeKPEfE#(2f(VA_Jw`FPEy=4>b*| z#sdQcO#n37QkToGAs3!J&zR)ufB769PYt#|CW%vtPdTmnJorNlJ z9X9Hf_qnGjZ18SM4|sk{B7wyPZ&yQ?IIG;}_2fD2{lQszzKlUQ7d=inVCHNKy~T+d z6QKWf*l{o69Qt5t0!$O*+wlMiFtuE~hI-Z{^1nOFg5{aEyb~R8>(yl-I{~p1wdgcV zIQ=}e-7M+8QdYa~3<(K1alI};c&;a&#WQz>|Lj_@)ryU2=>%U!kd%kz3dDKeWR>o^ zdxEyT$?H5AEwTO%5kZkIfwamD(_L5XfrEEotmCIos~$tQn+*PjBs-_OQrD4>%i(-Y z3xa_~1fS-~B3WqDbdkJBp!esZBE`U?^LUp@#S^hOa16%mg3QQZipwfbQ2M!{Pv9~8 zUk#qw^g%v9DIupT(1gJs+#H*yC(}JTem^ZXdMZsY+b#j}lAC5Qn`7qrEY@v6N0plf{wqT3C^69df+s33 zk=yMvs;=h&2v-R~XNn^{<)NbI->Ty>pVus$&jJO-WF+f_GfC771;=A9c4=**{;E80 zE&t7bC{uX1fl|(^;);KuR$+xI>=&!g#AFEXQgiAloAa>Sy+LpoPSB<}C|!B!-~3_EvJi>0GPXJD+jPgJu74$Ov8jLK z)zPy_oyM*kZg#wxRl-&wudl4dk3xOfGV;8DkS&R8lm{9q8WYC!Cpv|qY0)?LMrWPA(c>yHbtdKmaayakDj?Qpz5n|$Rja1)hn1?7(TAdCVqw1fdA*)F^9r$qsCU~j^N=o)^t1>3x-!I%pu8oO9+38UD+h|Y z%UW-j;Z`EVbwYWlBtLX$l)>Gd;VOmB9NkaFDL&r*L! z$MwT-_V2`R4CFNK$ZvZy>2K!}fZN=ArO7m6cKm7FZ^YRNx4Fq6#evX?^3G@L&!(m( zJY6Jc=t+#g@0>^qX8VCvkq#(bdTJQ2#GKo-#Nv4>r-grONea8)@m-*A;(aonANC91}~f?^cgfbyTTS`c_WviqCxg*Hvnz z$*qfP8P(#-OWfKslvq<%>-p3~vYOr&f#Xn8n1UKSWeiUOpZD!)vkmhtAya#b3{)K` zg<=hB{*aP4jY?BhnmjUpz|}e0=1KQ5ejTYtPN!UL_1$=D{PS9c^(XRACsk8ggMZOe_~H)t%mlF~ zB#;b!NHbIH!1MNgIrsC(MvB-`USs3$SZ!p#+Le#B#-r19tPJ&2RkND z^QXY1`fcLGW7JUEZBe>?JxGneHcQv?eWyf6N{FD~`E}ul*M%hzPEcuQ`^<1UTmnwgv1@B*6~IYqyYaI%6_WX^5Iv zgzD!_=FQ>)wI*@j9EOYxpQU>|wr#x*1!>xo%fg(IV23uIH$C`$RG4Q_w!?=eds=ft z>a8r&19)D4HKxE|c!zFAvkq4}?FlZ=n>9F|MZb3`8&Ge!(1C%4d{CIqil@?dmV29? z`wQ%6xtR`6Y1)!{Be-+lIyuHB2Jg{-xoXi&%%ayxW^!b{D^k|wKcRL$C`8$(Y(nT0 z(4_=-Q7ODIQY50G*R3mN>T_Si3U;|R7tMZ{k+a;ZE>`p$f~$^lV-%=6{)Jb+JoWFN zuYn6W1N$q(393IS#wab{hq+53#$$cNZRpkuEp19m*KMnV*qyxCHNlLK z)p`f7QPWO-{A>R||4fTN9!2whi=m(U#PMa%s@=aaMg<#JC3b2%O6CtCAO3+x5Y^FC ze2jjEjByeFqLsR{@Wk+(NuNsS?!}5dX_=9gcoNd;Q42?ZI!$|UObP(~NWukcxa^C9 z-!u-Ws|~A|i?U2B3{@X)^dW2>7{5WJb1Lq^w}1k6z94sKuHXbZcwue+>{)y7sizF3! z&PsqMc-UxX*w6zt8?HD#tbTv&lI*ksNoGKH>6{>JC%Ro!9&>a4=927e5M}F0owzwj zh~EcvWhu4TiEm%yFsBmhgm16MR!vB4C+1>m;Q}c;$+J&vGc70QZhxMupjGt~$irYSu_L*F z?3g`~Sc4P;*s(J;f)7d+VpI&zEeUcvz zG7c%Ao|=V3T(bZmE`uq*@m@s=@$UgZb-A{7Jj~v~(aG6{wfGxZVaNWD?Brc3QJD!R zN0k5;p0qh~>$d0^ELLC^1~%^AiET=ah>x>obLN00K;%1`lqIZpo>r?;ZBTht$Q`q8 zM#h*)h^eKyMW|H*x@Ns6w$^up-*R-dc+bhb?(1@vKAZPmv2qpPkV8%n48T16g+3ye zC9Ywp+f6`JYGN$r04Z1pVal{cmd<>EOWoqPZte?0kEQE3crh7;@efw7Ia%iEEfcR4 zaahLy%OKn4RY`cnrp>uq8gru_H^s$w$v-uclIuFtb`I{^4XQd;$g?aU^jaDhZVE!I z6W^w6QkQF>fmfAQ+0H~(#Ui#i;4E_EYNB@O@r%+^A7IdNYMMd?T2998)V6>&Z`=$0 zQ_sMDH?2i72*@LkWASnD@)Cd`LW533F*?58KQ> zPJ*A~hqA3H_T-as_VIUdW|SI7t6O}+eg5KWd6|(n$+1|85{?5Pwqd7H_$UPq>r(t!aFiNItQH)=-yjv5 zDUBN61saxvd(1zd|6*hFl56Z5OOr}UY06YG6E-#$hS~Hx`lxE^=NJM!wR0pE=u0saGy0xNLeU9dM7rnD z#K4^j0_ya!BwJ-g!w~{uLt~R-cB_OS7)<964dB~;%yGQ0smwIC6Oz<*A8gle{bXx( zcNNV6BD(78Xz4zIXLR*};vfng)X+DeJ7a3vDh~8TPMR3gNLLFw<;22<*-(NjiSCA> zCeT(*=$I9zr=z{jSKWZthiCzN3}Ld+^~R>!TGKvOs|}gcOD#G9cE>Nu^R!!_oWbk2 zYA1><b`bR5{B)}MhWWGlt?CG$=qr`-F51x~0yhPt`66AWJftiGEc7b_r%;n(m7JRu)e5 z|7eD$h(=8A8gsPK)`o6Jc6`f8Z+vBJWOW+| zB{&9CHGfgHg=*FQ_j)-8X&d(6LBFwbg@{d6omL+GRllw8vvEiQPQ%L?i{ho*{;Yx;5%N z&_)3y%Yd`O`gwYXMy!xHrwNSgadQMfP&KM|f)}+A=75zIzS26zP=>&oH>h&OjG9b_ jm%nCGt~2^^5_}ws*GJJK0%#Dr0Lz;r0EdqrzS#6X;(6fU delta 36461 zcmW(*X*AT2_nv)WEMwn|HGB3YOZFw%mt^1fLCg%Ld@P|ch*TVsekPtHe-}&Dc_uO;uxo_@^=bYz0&&+$s$a@Ij$t-}Am;{QY9 zf0+|x$k16#+gtx^R%;Z##{iYMm-1S2KZ zB6Y~qeo|d|E-1y59_67J;n^Z;LL8^^J(JKY{lGmS$r0jd#^6jTF@M8g=qU(Yezr6R zBoF9gdy(d+yMuGHl3E$5i9@-JTpty8*Q;gZq`QRpoUb^t{tbuWgW685g`(sV>-BGf z{B(+5!d7gDKzYhHQJ$8nZnBWgk}&mvr0Oir9wEQq{XNWte0UrNrTX<(?BppY&wxk! z0~`1BbjJK%7(HI|=4}#&{ZoQ^?_>e;S1aj)$7(@%8In;xwY~RRQ?4wVmPl z)WinY;U#G)$Lb^7ki9N2|3*th6W-olmm`==N8S5Mc(F17`V&SQPIT@wMl>^oQe1o| zZpQ`;OD+$pAYwHF11jr;iY78&$G0^ksTCnkjJ9rzBPlbU5Pt4o_NHKGOZ9b4+9{%e z9aY!Z%sC^uo{@HdxR*)=pp1>m0~5{7{HiKVos!yPujye)3gn{@a=H27sl{kOsN~4> z?ydYbv4xzL;k}=FNj=VWQg(?~a@dfu=7XCLwl$pGaXRX{;t4H&Wdh5m!)(dk)UI1vynR2D<<@4l~3By~v6 zAoRc2fpF2>ecwtGF}qM5_*0QN?p~w>b%qCQlqy@IF(qW^oA)GYH6*b_NW=cd?=5u) zE5}b%ejWLXPE_ocILb}@6Cn88m1+jEhcA&0&Lajs>Z+dl?|_+5@}8@+``?k)4)d7- zKR0ZU*_RN40}d9M%SYk}lyi;4W-pU{bS0*5&@oOVMjIALL~9QJE@JH;lUXcM)rH`!$NH)Ou)Os41Mr5~tw1F_$wnG^%y+8( zuHpaid-Qownsw04^r>PF)eYlVxf2lN>z8u}JKbQSCd`&Nt>3rrzb|3Ibp=ebMio;$ z@Gda0=EX)j1mUmWhoy)|_Y7d>8OnIgOB<}$xY`+9(+5h7&Kv;+d6n5?9nX#r)}xe7 z_o)N@{ta&{)X&?sq>g*(%S|}t$}q`DlmyWMcYFZ?YC+b-J6AXTk^hgr-IBaxSQHO) zlF+j=X`L_;4^(8sBddx^{s{kmyHYq(6fMyr^_#s<+M+mb+&-EsVbG6Q*8<>uc!%D! zPx>cZpY%b61Kb7nU;cKYP_r)F-aS}|{tT$0(vA8mI9;h^$#q6c_DPCa3qSa;$Z;c4 zH%%KcIiA{@Xd@FPS0xz*^(otN$Yfd{Tp`Ogsdi{!%64RI%&I(nIC5kdg7(?1E#Zk@Jh&b$jQ%F;l)J8N1C!q0%{a**)+G)tQNw_Lnev@%}*n(RIkk4S8 ziz~6|u!@SKLS7_N&$jv6?p^c^36$>lG>%Vm?k9=w5YV$DnTe!{sFx5@T+I;#WT!Z`?a( z_rIRSvS^G6PfXUwoq=@v@95j0^Pi&Sx$gcTQK-@MkCu|q%Gc2!+kODtTiy5Q>Cv~b z=N+SYETV%yhL*)n7r+{1BIZ&GFHchPxuQc-KR|Jl-`W04RIogHnHdGz>W<>{YHo=- zC8AeUe+t6oXX~zSXghBH0C9db(RP_Xgtol4-7syZYq?6dGvvzFPe}9rI;G%oL(BNR zoG-78K>ITFgckc*)MG%A`olUiXVJH1%~2lQVy?!GeRK?_?Pm8F z3~6~kW6kd`r_UxPE=86E%SxlD+A_uL*O9WrFCR60wKD(p4?Io)+h_kC+-QBcfod7Sygb!Vi_YFFRDH~(AO&M(;$ zWitcVC2JDK5y7=c!Rm;XTl0R>iwBRPmdo~PGi15bCjLrcsbXkCpd z`dV%hA-SMg1a9!G9x>YWbF_1JH|qzD9Sy%5%HIjho>Aw&IR=>Q-HJMD7Tpmxd;+Gr zp0f|(G(^PnY`T$9Slj|CbOam99Ur%>_`qPXmD==QZ(l~VL8jO4oqLCGQ|C@IF5P|b)7 zmuZA{8;~5t%xU0oK(8c56eIdT_NT}_9TDJql>^v=Jso@Gygu615tQ*JVI7kA}fE#j3vcfIo*(v2IE^{i| zmOq!gljg_yJp14N@}Voni8;bfT_A254J1#6U>`b~e(3anZsVjU%C@`-Weooe!u_3} z3F6ipMViynS6z( z)!b8^*?#m?uW;-23`1+T%-D1Wf370B7tiKSyPeX?gYp*>E9mZUyI#eb2<$N%4lLov zbeV!>+Kjbm7%)3NA|Z+pjZ0)l;!+Zc$zc(R=ww{@zlan2zBjC-F znvdbc*w$W7t{PhUxiaD{#H5JGxQvQBN?a}LF`>kyDS&WZ0mSxk^1w&nO%;B{6FD54 zoi5va#X7XXYUy}l9_W)Mt}f|oYHIRh-w@$-7o3*U*V2#Eq*B&sNPly9`2DGrCn;cK z$~pCMYhr?{OIsNFp^24VnxM!Aw;p zbEawnx?Fx9A}7*6A(IHMRGp}Z!34`o8*#XV#1^qg>VgdD7QD7Uxb1vz3xc@VEJW<} zjTE+1!(rrSq;W}=;tjlAKZmo2L@c&A-cPTGM(D;Rsv(lq#A6Ip5>1s`eiHX`IR-_(YinB;kqWatAO2lwTQ*j^uMOxR1n7WAY3d-3Dla@GLgI!}C13YR#PfsH; zUi8VQQ^y;UWkq;Y`Qah5bgjy6!155@$&8N)-{!WNf*%vLl~wlheJLIl79A|!@-_rd zjo?qiSEo?KeC!KngzUy62pc;tL;_t7DzrX%_Rr0G5mIT<;?1}dNjIlN&VRY^Kic;J z75y`q{B=ZQRA0NlU%UH$Z&GxAQRV4g$y+sKkFZd#iqBz~)8fk!;SO3SfP`@RK;Yfa z|56yeB+}Nkx}jOMyJGQ5Nw^Nu%JVuC8CCb^c$! z(BPQ3lSOyxB!w>-CN)(>)c|pHe5zPpd==)6*__Nb9+3Pv-l{~*spo7xF9P znG~bJI&%1ew0c>6cUY)?dHl5(1{d{_s@m&)`?|dvu*ewLdD*j`9K=%k9b|a)U9kYX zgeT_bzp2#3{6}bBgR7cp3WJceIvgdUHY3eKjTK!hb#zlA!R(?Nm_EwIRBh`yiYM~Nldn<0WoS&0eyY11jbId4hemIBxFU*2K@~aKC1RUM$J`>=sXrtw^$mY zpP(N-Gw=98<|$W*>kDH;&6}PsH`MAmPdp0X`aOxqd#jiF4-Tyd+mA+`1;5B4LlwF% z2eg47#=6xCtLk%rUg$*e4HMn+^V^qbs8&VEKW zOoU@*0=^Qv@{7=D{weAo$1*Xf(e^6x3^|Xz#WvASc4@Q-2#{T5?JolW%Uc zuz6P4|2Tmuf=NzC$1(F{F5`9X3?9laI1>fR**Jh@Km&&FH?Qhg*&@B4jWIg{uRPgd zPc^Q;oodNpXRL#XeueKldi0dULx%Q?I_%lSxjTPgidW#NOV|SI9dJ%B1T$rW=*xsm zKl>5HpL0|=Lhdfgn^R%zNefLC-9);(=l(pY4D@8Xiecwv9X_9=YWFFosPW-y^njhflD%B$hgTvH@B!FqMQ2YTC<#!<|&i^h;ElFT_k^QY`Id3H?+5G zd9Q5}9J&C>#0^az$qo73i)aaM@4Y^Jg)2%NTDJgaZA-tdl}~mBCA*%dNdVQZZ%#R7 z#t3dsoVIrg6EhV1oR97)J@Z-pKgYS_8zz|qM8#2eCSej2{5ANpfo&?kBEj#{;Mr_m zqrWflwrsLg8do9W0vF!;$J_m7D&~INJr@R%{H*%v_U$%}2i^>(V1BfOu{_zkZck9+ zoQtKIStD;d`y0p^;G_|GGJ%7-Ng^M1keh(=z20;QU%1*NMG~8wM35Nlb$~Sqs)rGI zi}`Z*Nc*Ep!fIfNJ@Rj?9fa&|$-Z)`XdCX5)3dHBSIf80k5{W@*py&-tzjCC+**v^?AU;5ybg)o(5gz*4yt@D^ zxR7*kH+r4>2K8iGDum>hbDBE=3q)X@OZYhBe@i@Y5Iy)o-uL%bEZFVV{MmldacOe0 zllRF))<|r}OtR?L>z9;0Ew)v>=idL?r`T@r;>Z)GA=4+xg2IhANzvlvw+iW}hlHi921w5MXso7g+0|Zv(?Liai#JedB}+MV?U6(`?bAAkd*s9l3bBpW_OBK%*~%Z0 zml#d2e$ZgS6_W__`1Np5ywOzy7hC0UEX1;6R7*7}?t=2;HYh4mkoB&~oGSo2VP?TK?FE zb)9(BhPo209^(1gK3BqFJ(mjN?N)vF0+h$!joo)XV2&TY0KZMKj%?zH7!aWv{$~dk zgNrPotRt0{4z2R-L?rQv89dHTDyf2!`kZmy;sm=Y&JafTwJpuFDDl-h+sIw9UH-F= zIa%&|eR5Ro8^Da!*}l&rjfSbG$o)CRBQ9d6PXrIy4&C}G)pfVdL1F%vZFl5LVctNI z76EezB1m}Pm94<#-lVRAY$Nuh0eRSYvg_to;ja)&<~x)7TLnCaNR^t`T<&T z(M?}--c>MR2+g5UH!btzXH!PgQ_0BBxE(fu1JdH#x(QUtmifv|h=!Z1Gkr6mFx}Y5Ayw9m-R542e zJLc|7x&q3Rd&aCMfirqwJqjgANivX;XCePEWF>jH)-F!$j);kpp3jD&R8gSNnB7d! z8SYO%IrA159VZ9)EBB-oiv#Yz9_Zo_(D_Ws{q(pvE)F2TjT5khGirK@GV^`(&puxm zW%D#IZt4>!)ak}P_>2M%1xV30OV9X0!CkH$0IJ?O|5zO1G9jhdGBh4f8GE1U+A-Q7 zzV*hKM}a?7Tf4)8!ShYlPGz`LE95g)D~tUoQ1`Pvfjwr!KdtD!$45-sQC)?bBZ|?r z0`1y}MQ$+nt{o{BSl^qYDjk4Cyw~?k$fP}e1_bLXoK;y}Ivcca)K8d`DLyh9rxAg_ zDz6eBm_k99p2c;oDgVw{m4-h==iY5w#?-=Rp+c98=tNFRC9gLAM_{nt<3>_U5?iJ3 zc_5qf&qheWj(dBYG{b zX>oP5yLoH>JNd)f`{pSHm~-KZIS+th0uL+<`7--JkmYjn6xty4$IMmwk;*E99gX#l zN^`KPoQ2<1E&E$6^>$?Grqc9UDdHdTF~5%)dO9kY8@cr=WosV z^ef4a^-;h`JH}8UeyLEyo%ME{d(Yv6*xE5#KKIWt+x0b@`|dW*L6$I1mhiPZCS$J2 z&J~u0cHn%w!-(B^mKnFOYZBG>6-tNA`EC_sv^Te(4#qk??#1xvJ`wx`?)EKIye$P2 z&-!hVSz=pCQJkH2-VirPEe2>3+RP=d*RBpD?)D<}+m~boTpx@cBM(XJm1}Mji)g+J zTSV$|(l43)%@<}`S$6yOXPf`-lrViP*{o}dnGuLX;njmxv>ieT96X_o^;|Zv$hjt( zPqJ5Y%GH-0Y#!^GnAq|gGxP9HXN;ScjDgH6x2rR+uCU7MJCI)i;K$fR)g7O4-)nIj zMy4WuC%9cz^Q0=1xzwG9lDJ$R&*XqI9{n|L@%|x7&voi@F%KS^-HzvO&7yVD`L67_ zAhKjuJ+*m{K_$z~`Nm#&+@pXlK7ne=h~)Sui;0oob8R#m|0yvj!PhweO4=#(=w168 zoEOIqJ=x{K2RSYR2(yDuM$u7*Isc|VD%}%%9FHPlnFd6ET@|7@_6W>0EB1YU;;7gRwtXK<-jOo+$8=*ea~Hn7 zNM9kOI^3Pkb8lQhE0yT?XF-Di9+GMsXahV19um4=wFM+3@HI(20Kcw!%&wNswB6*% z9Pi~(nNR)Fc$%-bNv%?)!&eRE7eAy5&3~r{`nc5QQ;!qed@iJLHg0^~rnL0S*YO5N zOrZ@3oD4 z+yb;Sp&)~pAqCvduVR{xYvU&WPACu;*~VNXg@3V$M?)P;sRyp0-QRt?37~gZUFInx zkgP}a6F*Ou4i@d$ZUabS$kg>z|D@M_$^qt(Y``g7)aP6G~rxE{o! zv(#5R{1it(n@p8=!r@Z_!l)vWfPT5KHSf!i@jCr5i{-LonlDsCD>2gt5y5*{=pwFU*WpRr8O*g0(GtUj$8VBHi?8^HG$%~wbPw@7zwtvrV*et7xFBqsJd;v; z8FX()IaAS@OTnBg8bfKY-O1IVMVky;0X@k^6+Q%v?a~@|o1pLT_rB+cxo$_W-A$wJ zR1I-=s0wSMTy?jN!{^$cw8_UKiK`Im<;=if+pKMyfKt&n}m;kLp1W5s=- zN705kc4qqAn^y>#XI^+o({DzE1F@ypb8Axs3z@thfmU!&nTJl%tK!^_J3_f1E^>77 z?x=FU-`gq-+%}Wk*;Mg4QZrBJE^O-5=f=zg5=`pYTfiV8x~{>M1M%N(NaJY^;0m3c z3ent|zcTMGm*C2CI;`^|MZ$b+fqKf-aw#Xjs)|$*(+F&QZ z$>VC5ivH$&(v~ZO#$C(=Yz1jM*Ho@8zjEo4fV~uDee_4KlTDYcO&q`S;hH_XT<@=N(TJfu1x76gQmF zyDYb;(1s;AjN;9<>Md%O%AjKLt}^GWwKYrr>#0{&#db~@wC2fi1H@z09kzT-fxxoY z%x_M;k}}-)Qe{xaF^Kjj9IS<{i))lp9~$!rS!HO6T9e`{m#ZrXQsC}0C+}H4YdN;( zy$@Vw(6sKCjDxT@8mesKv$3iY>+%~5RQEIki1q4%HqRA$dH zwlu{kS+3bT^q}8GQK>Re82^|*B(4%E1T*kZkGwB?{hfg9&~s}i0l;1V0#^bwjcZ!Q zP;TUrDYNIut^9wt(hMH&JiIcVc~Cl);t9(iNHNbINHGJlPEp5T?JrJTp8e0LBas{C z?VqKiWG)xl&1T*NGhHFf&Ui>}$4?N+V%D}I#eNocBjrTkb%J3~8BxDu7l$hxaI>F+ z&0mE{VY zDiUpW3R5g$bn*#+LOjS8Y z$4oZe+iOJ9htTgGtr6k?M{WH-vl4I@cGP;V-ppt;74eU_Y}7@XcdN}3wwm!_D8)O9 z3RGXUb{@X^jAVp2qbNygOT+?D1cbI=95 zooiK^PHg38$GFVQUENXT>BY8;R`RTOR${h&_0Y{bHN#JifQ07|D3MBF!x?IH@Kt1gObtOeiHGaF_o{}>&n3d>H^L2l~(Wt z`FumWkzN+ZXq!V0pXTtY~)9)^2c)~VZz7ZlBOFbWsr z&Y!6*JYLlGF7pg;a(WQExzQIo_JZSnRyDxg{>9I|sJU1}DEEDyTS3C76sfGb)S^7| z)t{hGsfDA1C)%{G47k3_*r|WQh!}3Jq5!O=fzjW8Z~?{- zRWyr!c5kZH_tp{W^EYq>)Cq?wH)Lkj7{N$Rza*?q-Fe%YLH%i@y4mB$e{m|N9pEq8 zyT5l-IQ(E_7?N5an%R-aBS-i#RDa^@&t{HFyUMB8AZu~mNo>HqV_k$d!nn@-UYKrA zY^Sn$7&vS4)AHFl?kp&)TCY5S5L*V^uU!Zz4D-|^Ai9KR8u?Tsg0=)cPdaX-Cui;EnvbYB2|BHe)1 zjZO^VesJLoYrav7_TFY4*FcLC&%eh3R+`Ux5WQ|=h&%ace01F};4+E?BwWG&Gw~;! zEU-eUqMxqYox1{u92h1_rA8P(QG^y5cm+sV0_m(y;yJAm%hNtqMFP5PDOl)(U-~8q zDZD!-At|aM>qO<~eb#N}XHet(@nbt2tfOKRLJEgTa#P(L7Z)ot6+=LxtrIy}F3e35 z$Rw?%bs=HHD_>~4)g8DXn8227yRm^hyB>aY+B$k`O4y=)5mKd#C9);2zF=yxcTGq{ zE!PZArXo8PxsF0IC1>~}iH95*Cf`%PGCqzsC?=9Ei&}SP9vet(43&0RTe_feMiQ{ua5q>+LQU=iI{{*nAmOiSQ9=*>cg zVtc?P=l}LMkhKf7hS$$!@af#A_{+?QnQ(PJ%w+?UJqegzm{Rxe4HFK+dRdH1LcpLz zU;aLeJa*%FiYN|O-IG+p#ko^Sy$0XO&8C&z%rvsz^~!0<&5@Ac)xf?x+0Oe!_=bz~ z+47UBe}6wA0RP~kA95zx@Hz&ss3xXI1zaNLAHgA5`cmBG@UsuD1bdHxe2vxl-S25Ua zqto|=#A*{&@z-Ph4x1s14+z6`%IImMtcJq$yZnMO=t0EREh@iD=wk^jKf(xyEOu}H zI|Ze|*hkpSc3oio@*5gs89+9xg6?(xZX_F@;?34}IroYmN7d}84SogrqpM|BFQ?m3-Fo1O zu@W5Sx|UfF!jtiQ;!#RT!wk6Xo#Zs9g>P8_y+4Yfh9W=G0$qq6Y+%E8i6)1A&dYkI~?)h7cruDeR< z&3#$LdghXFFn=Lh{E%Wo@z*;$`-x=`&&MJvjI?vIh5>Zcly+a9O@l?;abFw0Ppxs6 z07$c`%22#>8e>Z$R`4D4Ji&GyqL6@IFAUteVc}ak;a&J>(E7Jvh+nGE;NmZAjfyzx z<8#tMRFQsAuSZ;NbEQmxv{0*Fxc9^w^$HAln=L_Hn}U)&Ccn1w-gwlZe3_fPp;9Mp z;mr@d0fe08{;Pey4-~GXQ10L;!}WHds=xUH`?LpxJdJmKg*sh2>Mnx`71Ut;apjrSKlM2mv{n%4!(GWu`~Yu-L$29^8igtA861Tm>C5Z4dc|vISD(xpmiMp+{XI* z{-%iG}(F$tL?oh%4f{j~i4ih_P=?O)@$XY~3y1BBSmHZOlcP4DU(5{nH0zGZtl>KA(O=9Hsa4j?J#(QA zZ{8|bwIRn_99%!-3L^+0O~)>*D8WbWcbGV#my2aP@<3#z2HHnZo<3(gSw|Rh@kr0s z?9?2AM^vUIqAS5K!Ye^#1LeC{d187j+d^Cqbeo z^VC4s!!um`zd!n);6t4Wi{jrt&L*vdeX}h1J~Yy-5h0TD3tbC`@dXI4w~1jwC0PX?KTE=& zU18#h-vom%S?_l6M#w*p`&Czf5`kRt*KrcW33@FrhN(oUzwZ*jCXEGWxmUpwNAlgC z_sEE+83~kZ^kfOyoi2%ZMsovHkvj3u_$eypS8Qu&xSreqBMK*IDdw0(?KN-V2|}4d zNyKl8EvSk-vLrfWa*of(*5=$;*fX9UzLG3ktFQmF8>V4P&VQ9lmStRMVAYCLOzZdZ7napTrLzv4r3RXM!O^E=8uLud?NvvADknHY>U*-sfuY; zskHr6Az^1f*i)d%l{{|0ZwdpYYI6*72&5i%#D-Hc5WHWsP>@{f$#)8MR&Q zA=A2EW?8?aVZSJ2(V+l-rYkA;^ZT^{M$N#{CZlVtYRf78+|pj zWlK@9co6|X->`eG3buOL)d>=(Bj?BiF4TIQUDqxQp(^GpU{`bEy4}-u(HSd`3;N+E z>rEc0lAKOpom}87GsIz09toK(z?#T>zcf)omA6SzmJ=-($yA)nR6tH=3%n@0n+_8Q zLsK4lVm>t5Y)~gMYgf3ys+;1d6FIkMZOR4OPR0lqPta76e383U22^zM9OCu^|1#xv z4^6;!zhW+7y8@LR{37cv6(ngcv2e+d8fZCeu$E+Cn-e5h68IFiyzO=*LNH*N}~b8EK#0apQf24>}d~br9JiL4XuVA8h!xzD|c~8l1_Jedw_ofpf7=ghj>! ziCgsx@yqx%qFpyJ{*HUT`)i25X>`EGeYwX)&*@ef7bykcq)11z@?{_0^T=CHK{ z?cUO^U+7Iea+_B6YJTNgUZ-Is5W!o*XUjQ;!4GmDX)wf8ODsIaO$1{j0Dclg@%QV%!_r}A?TnVAAwHI4W%$w(A1Xz^G=V!tHh*0Vgd&JyzdlEWc zTYT2>rs~3YaAIq(9o8M;e!natvfEdR=ziDDE>p>7=g4)4l+IqL z#qVmBf3)Y%T^cpt>L~(`Jl_gkQS|C`9yEa_`+O;uvTfSmc?$vu8F1lnrl|{jSr^$5 zLj&Tm%!{xB)1b{yV3tIIDc7+~F)ofa46cr!S3Mopi8e_fBD3bdvyTFD;hD!jy&&#e za9Ovnzp%AMHdS*OT-hY+PZ80XsfjVulpgk@U^Gtg2M3vO8)!SO);Yw#f>UWhouMxOhA1WWA8x?wlRL~3&*K)&l9w7a; zvd?7WY2FeILia$Y%kISPRL;K%5VSjIm=ij2Rf?NEQNVo$Ej_x#fQ}C6iieI1nPzZ| zFCJCpU#C53p8tW+b>$YA_ULAVbvAgC3MVz4uspzX28`_^d>S#d;{8ocSP>PoDw>Xd z&Y>pdYR5JahMT_R%eJGGnDg7YviWkA6K^<*^ZDyOt)IN|r;k}CfT>nsXWpdNq;Wq9_~I%d?u@A6)@ zdt<2u4Zl|5bM#qVEwQJ}^%|B_0rU%Yn|k_kj)jJ#5U?`(x8|K<~KdPM(w! z=!q61R|CESoFi!>o17oc+hxPA-Vn!50ubASoJr6C5gY{(%uM?>;tV9XiGTA$bOCqw;4U6-HwJ+T-7GO2ZAWO$<-z{uAy0le_^yr+F~L z%&OM_|8UACYc3t>98H;f-m5bbxDqfhK<-CWHYdZ&z2!HG>g&GHM-vnX-1RN)dWWqb zUZWw7BP3Y}TYHtIHRV_=RN9KMI9@oOb&!Z7&9Io`%0sTa*k*{(sY7$kozZ!7J(Zz{ zP6{l@z#s6CI)8Vt6R5%nfI^2^bvj=(#k6LR^fl0xH{;d0&lR2SeCRJ=G(e~q;2x$3 zTN)?gsUK_EN1M#N&S)WLLxow{ZXhxQd8ohUNBx|D3$J7p1T z^YruCLoUXSHkx!Y>AmLDaeecqfL3XO;a-H#H)YGfIL+xmEm|bt&I<3-_p@i!w#>zy zc(%j(P*1AjK45jQ%+4B~{&vDge<&`-w7Kz;4Y4^K5q_S3v)6?;hgd-IPu z$FnVD%yW+S>&{8BidlN^n0W|2LyAV+vEH+lw$ML7{Qvmayf2$O7Qo6Km4xO^3UsKj z?j9|{k&@q**<<_nJSY#jvK-E_%7i%aQHbEGYP=9)jj6zXjh8z^QrIDj|IdMfPZh4i zGB8|4iEvrhp7yzNrahavyk4ub$qQ>;QTZOI? z%#3=Emrw-2ds{~IR9`4Vu!i?hfG7s1Z>}Bl`>ZgRQue8nvqW4lrrIBWO929^Hhr+p zvS12*uQL?aj^zj!LA+!NKQ|>kay0~Y(F)cNE9CP6I*pUk8ngUPaJWRKJ{BfpL^7uK*U))7tW%Sq1#8GA!@8}O`FGT-#;4kEC&NtAZ@i!vGxEr4{fO7ZiXb}d5BkQ!$;fN@2gCZi2BpyF`jyv+ z!&DFr#}T0o-aIzMH}^bG74$~F;DM}ltNj%TO2qchU7La$^!CH2Ip;SXQDF~j;459^ ziH~f_cao0^XcEQPs>fW`mdU`%6-npBnxP5A#<#=b6=Fa1qCnxv{y4w42#9RcJZL!f z$j-wcfP*8CSk`q>c<~F`<}yu!>&>J9+i(`Q$LgNsmI@wE1!Ah*Lo>7qFtWl+> zwYy-muH&i)S4-M}e-x$_`^tQzY7u=v^riVeLMD>Qa#4dPOh-b!aJGt$}{b5bXTJ`2%VGr8Q{t|I23q%1Lo{ZiU? zI_N3HYAG|z`I6YqJ6yd}9jN(eqdZrAs?m}#JU=qr4`ivtMjEbjSstzJ*d8473Oold zn9uwHsCN3|*EtxGM?WMM^d)8Y_RR?{d zHGPeUDv%><#ns@R3Tr7?C>gOo%8Ue?4GQG#beY!CjLN@ll?1(lm?zd~LQ)bs9)eC- zm~;67o2v7aciuKLy)VCk7u}$&EL$l?if6TKC`HlMRg%38+pIWQpPLBpzsTuj`h7d> zptk^-dmSn7w`X`bM2sEF5OVv#G4_BFqCqErerGf*oW2S&oELnvjrrd@-2yh&}aXZznbT ze!Si))W3QK`S=%mA?GlonV(%_)pYHjpQPJsHgS0i$(-i8zH$*2`U&0}ex%BUG5q!H z^1`9>xlXX>!jSv?&fdfajI}5`rdVvlLq-7Q}w5y%ZbJv$qCDG?{_>AQJ)+^^Z(Yc&IGYA&&wS z?O7ovELEaNg}8}LEwp=T9~_A6VHt6MR&kKpVu=4Pk$rq|#4Pv6;R%zlluEbet27To zn3iAO$jNqdR^R-G{-i921I0{$=V3gRSMk`*XU}0gK8z~0G&42MuPJ}uoJf8DKM+N! z;9PTJ@B4h^<`0L8BD6v7anPcgEfBoJ^$?9LfN0c%_;W4MLU~PyfTkl2?L){ghZvrL zGLNj8Tgm(ghh}+5*1B3*N83J(ZrDft6F0$tCpmN8y~S9>*`0;^+X4LFpKoIdI~amn zpz2zz7yX4%Hnb|9y(w4-mb}4yvv~%V6n*)jFn)Ygl}_)KOTQ%+TVu4@vI*Kdv_&aX zeZ(=S-xafyddl}^zGh0QL0UoOTzRfxRCp#*ra~&}Sji@;7(z!a|4p!gvRAag9DX*k zC(MK}ywaisJ}x1E+L9^#{fOQwv%9d2p(QXhMp&!T+!v4KwQ^22pl)cQ(#y^S&n^(u z#P%f4QtHK|eFeK>n>lzFEohH8;)$|K9)3`|wS#!hqGiv^rmlV0%GJw_nm;}I)AtxW zM{+{KIkmtztIJz!C(|1`AI5HVyY@?EJnI{z;ZAR_P$7UDB%=1V2|-jCAhnzLINpyKC zm@WhhJ<1UdBhf1luRhXM?mv6EMJ{i*t|a>;6|01JHyKZk48K}j%QOJLH9px!q&Ul+^lqUzo#~|_ zV>6?=!RGb;t__fxngVHktS0;Gr|4|%P?7_h^;EeTB*b3aX>fAVKmzl{^cOC7EVQzE z1Abz{&OYU<^1F3MrVd#=MI-ki@}d6&e0M9E=!^7IxkExGn>63{>-qnEI4tru1(kxZ-CO7$MYz`a?+VK2 z%TgbrlF59wyIBbw5Z3vH(EnrTJOiO{<2ZhMJ7=A}NA@Tqp`2N%oV~Y0b{rbGL&iCz zbrto`Xh;;1nZ47n!&zzFIV%Yv_wU{F`g!&IzQ5=D`NVNL-Teo=uJ4S0`%Ee;9^GS` za?i!rp1SqosO!}{?G-6qB&X7`5WrAKpE4&p&Y^DA-H&Ws;2rFXTZlL`tY4yO4M|Ik zq_E?>KMFt=yR%;~e)U!#Cs z)qTxv^muG~~?R9e% z_ipLO-xpnx?(%xk8VjmNtF|4()fA+1J{K2VlKz8RAfo{Y7x9&RtM3}zp@sbXN2%`I z1?9K3WFS!Hdc1}nIXfItX7d6wQU*J--T;u1lveOnjDcr*7WXx2DgsR||D??4A8yYV z)Zosav`1(Gh9FAja#m1}pVY4kRTmWEGjjn~ADA^_pUoph;PK5>5d6q?wHG>J7;A_+ zhE%v^;S<8cdM| zKmD=1?=RcAl`D$vxIS4w_iT@D!YR6f?0Pt7)X=W{ywZLf$3Du1S;~Cb6b|7Q>vm(J z@;ss79pLe+a_>}LEBU;SN|@ozn+Lw_?I<3zo;#dJ{9%6E2*)xAq8tLjx?!WKHa4Q? z{7cauM=*$uBq-f!LJ#yQ@QStJg+s*x76b}S~P72 znZ%nglYeqjl6T@9`iQT=sBFGpl7WpHqD@;-8!Y88nNA5%`1rPV3nHQMX-p0N!%UR+ z)$jXX_wziGwu_jt9B&6dU*4JHR*Q2YUv&oFJ6V=wX>j|P`Gd6I%UdG)I%VN$vrL@K zQukJSm|P#C3jjLSzUq|*r^eLFYj<{2zb_dFDdR^L%|?fesdp+B1id8!tzI`H`CZ66qMdg2&u2Sk0<=g(LkE9j z5+Hfz$bGspKjSA9TE+)x*X47C%*Ew*C*!*4-h)#o*BhtOE=}OT8j;h0>3l1*LhPNXBQ;S1)IebK zt}yjX=nv&JUVgU3!9{+L57Ym73d-S^xhRW%K?CQ8%SeF4R0b*AEm^cV;+$|_#$wh9nq+-0fXd^*!-ST3c}ZUzeZnD`%nH~X#h8a0YhVEa|emFVbjDrF!%)We3BGbSi0_k172=((Xl8{?ZRhdd=d1XLW`^tS9(EC zJ#?tLSE_AgqOAel%uPkp4zl|HMJ1?MJQZS|qSBEFy9Ee{b z3+Jv{O8OzVEGoAb0^;=9B(s=#T%t5i_SUk4otsJ4`!_!)vWD%N1DhMXzOil$8762B zc}X49)QN@exx5dCLs@kqUaOoy6z}W!6)h?w`mt2A63Oo@WSW5&y1?ewI4Q)0X zB_Pqs7bwW$?|5*BFQBAX^=;Z+zjyZTYImYv;F+a$ps!@ytNIEUG<3SO3M5DdDjX>~ zc2=<2aBe-2OS>R7EmUv+sP}nW1;-$`w_3@EE zRl8{&AZUH+@MrtrdN8m9JZF$hFdL?RCjT3P`F!-4XH-Dpfn)<%`(W}W@F(La@7u#f z=?j|2}fIWI8akw*plCm8hw-Jqb<|wCI|b5Td)t#D+Oc+ez#AQ9QOO z1e`!jx6KUnfa9H=QZ>j>eUK&SL~hp@(BQUxPDj9mdI!K1l5>2^LKd-5K zci84BV-7fn;29}>eaI)&jS|A!t7xv9>>{T|3%T9MehN^R?e*nXyes(W?F%gJ<3fdk zW~~i()N#LXQ-|q_^cDPq4kmZ{QRu3*d^l{tkdUc-D26y4+dQgj`G=s%OXEd8^?=%@ zf)XenEVRWM(asJp0l6vx@$epFWSk{8<-*%b`%mWC;>WrH&-27Rpx6-0f?GQvL%5O( zV1mbRFwTa`z1N?IF!mhUrt;1l$wZc$9nuR|4>HlPu)N!vlk_)#nk-Ez?R55+sDEX( z-$C1PeU-%eGh(l(zke3|?dpipMRw|e+76G}4a>UsBg^R%l;)CX)o3-t=9 zUNvec@7kVE9E}N{d@y1)O|}?&zf#oDCClX_vsVumwQ{Q2@4Rk=JO_`H=iPBvZt%Ga zt(?%E)Zo~=9?BY~<(;LJYl>k9AAQZt=^t+f-F&Q4GGcRk;Y7})#1dpLn0G`3Ol|i= zcm7}s3`xV+hM~xrilgEVmp&m*JYnjDH1K>AY`e;1I2VJg%gdcto)~!Q+Zk!M-WoG<%{$DmDY>Sv$(dq*SZ1Oinfk4vq;kYbZojn*Bl#pi-K=co2tr#@o1@AL*e8Q)+cxl z-kY1~buekz7iXJxZv|VOcRm+A7Fr#H}CtbQ5K=l+4Ed$gGRrJ{OFG= zKQ9>mLSSWUiwg;G!}Yz`ha7gAxxWPT=qoi#Q)OO&!DAKxs2kI3_BisvH0R!L9vi`% z#16aR$3p=WqBuz!nv5ogK!b#|rVaaJm_7;;^Tf)39nG{qFz?*Pt6am5gwu2<1bxQ~ zW=I*1cdRr^%av+|3!bHEsq}MuEHPd`+#ud1O2z0&O88gaSXY8as6`|w4)Qfu`K`)5 zV#tTe{n)2*V~b6Ezav}(L7tsutl{`0HQzjb# z?<&#Q-evO9Dy5YX~~owQZZ76l@#@td9@_*6nl7zZ3p-_rjX7YP=QXg8|t zQV2K=ia$^D`UFBit*-dLz8!fAECfuY7*52QfT4uhO{kH}hBhTtG{5zbEygH8SP)?} zZjE5ZSR?ogQ7B`S+VOP!h(FJ}kT9}VNwlin!^-v6nS_VmIoP|03ol{CJxpUL@lUWQ z6E$C_t<9bNT>FyP`HEeY$>HopG!Nm~L+{j()iU5jpD#C~2d)*CY zu%)ufG*PlDwm9%yh0w+ZX_6-Ws<*%F@hE;ikHb^3CSi z?Gg0XM45N&5?_VL$Wf9;f6zS__-V!w`rhk&dE+bZP`v|7e*Br`N)(gRLyYCU*;*yA zi;PA!ntyVLd`ejd{L{PfgTZUlrE@EbJ9&VxOBjF3{ln@HAQoNx{g%cjoK)p1#p@g| zetHZ+8$xDPc7!x+I7*U=7E+Kg2x>*B_JwEI`KDr|O|aHt8?eKnz5Jm3 zKe{u~%~}tYuar*vP8mW4D)lZtX%`q zYU@-1za!>#sI9 ztCJ?>{5(6|k!wRe?uZ1(nDiPG$9G;~Ij}q5V^j`a2{f=!S))T$?|sx9b-8ctFKeE7 zc2~Rk1D?|G%=@9na(#?0l*1jS?h3@e_Aor| z{afVcZ=?r1MEkbhv}~NPlPs;OM-Oio>d7Hr5Tt%&#SVP1+Lh@_Z{8Q09>Upt-o}xy zw#j$xLjSX~96(sG#j#-1T0u{dyWLRfdtL^`o%l!#scxC?_mD-*>Kt?f%@g!$(makL z_r9k?McrK@>xyJ}#1+{dDebE;P32Gh@&;j5AC~j;nAQ>4L#P2@9S~~%8qjm4Ub5H^ zTkicLZ?R}m>1FeuKgr0koH_jm-%-z2?Cc|Sh7Lz~OEf3|p8TPLRRn6M-UU%Vvgp9T$?tR2!D=pAfl#Uc#fQ(*T@^|(jo9LTKy6@=YZ{=&v+H zF@L?T1)$4qAfB9&(fpZ)jA~#%`YUUjg0wU;_~U;oh*xGh<dH^5Jv0RNjM0f^hShpP(riB z?2cNeCL8G(aW!}WGpA|ZYufGY#$6#mk!|W)h3LRmX9_jcRN~#geZWq`kj}%vlUHhu zt<*^Jhdl)T{n%h=!HCXw*yaPpowTVkh*(Xkxb0i=vhKgqKMtZxk_Tk(%b)t zOB=rk9i+h1in$j{NAQUPH~TEL!;tSFiP2rbFR&4EtqqaGpOO7w>QmnexQEsZ=rB`X zUQOUM^7zl;1-O>W?gk*8o89@w&r)Kqn?DE z8tu@f(R>Hg^oP$JnNCo;NwW$bbR=&IWD9FY;U|=`cdoAB6@ahTtb3z$+C7EfWkkw0 ztoLLYliNXn$ITHU(Hv$;M|BoR#aQW}-F;m!y8`Qxhr(pf`A~1j?x}U;n@dP%gGA^C zEz|Z}-R>JEgOI3?$DPq>j~wknd6gYkUOa^H98turot^QGPBhGxP`efpn0bP%+zfR3 z@7qAT`W0dB1^#A`$dUMJ;q02oUJ7UVrxek|pga*NTA_RI^TJKTPwmBdx0PbMoq#iC zrg0xldTmP($SWcH7X#WJrvJ)&HL2Nw=C&gU^@=i_(jsS3`kj=e-|iLX8nELh4?Eu& z2;Qwho)^e-Jn{BQ=5I{Iw9*EMY@!x2%Llhqb4xVbAu9;78H4EpQK`V^>lCttX~Gi= zh*6|o95l0#J<>lA|=$Y#cUP-S23P~km zwOfdvL}lU9p#|v|e9$x(T6|BZqpisR zwXYuI|F)jF$?J6RXK2>^xjB4t)?$if!H=Q~GU_kzFx=KBB_njHL@O0wbZ&7TY1m2L zL?B*1??&f+3h9$UgTnlOiTh1Td!$it;ZPz}dS)pycnps>$x))9>L$F$8cHD&{HRcr zd+8?;r;>;Npf%^Xsh$_ixq_(zl|PyW;^zS?bBlk+!Z#1d-@4=XL5~%;(!L*n5lkac z{4?J3PG;Xr#FNQSAXS_a2URGYYROmSn8|Ii3Kka{_SRJ}3a=6N<^>S7V8GNvH;N$D zM$7C=T)}qh;}mS%=5&rVC^$W-q{T`cxNeCHd7LfyEL63$(_*ODYCGM_Db>~G>yIPL z)TT@b?R5UoxPpe3RKoSi!r488LTQgd4E9j8yfpRm@zY47c;Fy>=$wr$f?LS^QaGjQ zindue6OBE=;o`%Ps#u#a9(`c@+rid*?&zEjgq~s;1>2hh^G4qrMf;xTO!;U^-MN%A z)X~U`CpR6-721$IuAPSVx2KhBaJf4QyvE3Q5CnxNC(1XU-~xCx|0*jjTw5enFvSb) z6E)n^`(DKfz}9&qkdXRLXcRK^%6{WF8JjQPJwIxU)ye)WVb+)EM3iU4dA);E6V|IaE!m&|1~i4B4XI!P#h&<%19Nd+KV@NQ+b&+JYl^=E zQf%%8WaNs--5 z3dx%iUquiU->tKZnqUNnLnTf5vqLA}6;;ITm16b(;14QyP9$WSTw*y{7tc)_Zd;3IN2^>sJJey$sSMptH# zcg&#Sc%RMy|Cb0wT6gn5Xf2itmEZo)LH{b0hqMfj!={Ugxk%n|jl6R1M}2lLc(7_~-F@-4S|QK4G(6?w72&3>(2PmpS-&i6vf5adie zFIk#vt+&wDC*vc*xyMlvG!F|sw{_Ko+wdla)jYX{{D8(R7+gv;{ZvEqSq9@^XS*7eU$bRt`uo zyG|0Z^+9e>)^wJPMc>0wdf0s2c8=IY(jwoLYF>e&(X`}35DXVq-8(EvS{4#ch@eBz zD8KTW+i*}9p*f+0RDP^9V0NrS7MZ?nB+!B%rqpas$*!WmujdZ2Q8|vaLSF-1b#fvZVk- zD_uJ#eAn18A*6|zLC~jwx0mF4MFov=vYel8cH3ZoOJDe$UHuGk-Gjcdm$sx095uJo z@<4D}AqJ&s@PBtYCLFS$|NY>bqxdL-X#6)7B6G>4xH^CBfi%?KD%gbYk^W+zdKQ9U$3(oLgM_0A*-@~Jnt&?dh}Wm(Rota#^G^ij4Y1T&^=?TxHfm$EhvOjDvyEK&>kj9f~gnl?xzC;JvAg<2*$VP zpt|E0pq$h@Kn*fm(pcWpL*dK_1XwQ*KK|fk{$GkJXZDMTvF|sP+9(XF9s&u3JpMaq z7uAYY+KTwM=^4V$FoX}{VEEuY3vo`P`S|J06d}RL<9YMY=1s#P@{0OjEAvYiznOA= zKGH0hzs_-Lu-fA~Wn8pVvge4vJb@e-uQMnL&Q^Cb#`sW6wV7J~)p_}&SR%jp7sk;j4TS;yTr-el7 zvL_$Bka=(C^xvQ@tyB7YEc^u+6yAx)cyV7qqU~ioe(>Tip3CC(x=CBVYA|Kw!aV1y zJ;rn%As3i`!yVD6x#`S@=O(5vn--G30f}q5INBe5EnT6(vDTeW&>2I^6G~N(}_Q z*n2{8NyiYMhjm;7lUOHTt_8=>IPdIcFeAkv+N#VVNM@+@9=Fols8zAD*9Rm}Ng)7v zLjPJuucoF zc$Qn?AJBf_G@Micknw++0}08V`#Hn0Yks4y6l2Mffal*_ZZnw-s8i;-aWaYXg>xi5 zY)IRSTj6`R`5pUI%YOCb%PSRUEGbYexdRA$@$kMwHPl8uZcC0z5qD~raI8Y9RboL5 z9KV|&3123n(J~DN@&mMwbP#T=JU0+mbjm;D$Rm{MZ5S=NNGs~Tw%ejh zr+v#~k81E0^Kxp&Rqca*QjX^qjm~b_rVRrzrceF1o=a7a(CbJ zd6bJ`_z;XomwsxRmNankeS6p8h(mqWcJ(heUKYqyzT3D7m8?Q-2%O0s){rsSZoGVi>3?xHAV`KUPR{B8tQwQC88&PG{!FM})mSH&WtB@hI6xIxT4 za~v7L(yaHy0<5=bgN~;^sMZ-ht9UsM`_`hidyZ^e{La$)gx_+*)e!Mgi;7i*;{<#i zA;Tk8vY|nvmWlISSQw=*atfocxj#pVJGf!1}R&gQ0XHq&XQQQ#H3%lMe^QBbXG&KqEcahc7 zt|gl)JU^qVhL=B7bX-D~Pb*ve_%)#^=&~>GT}NDUDO*{eHg_+g!Loju12U*B9RA#M&B!M886Obk8T7scsW6x|P_y$CfV{Gp z`;=zH^I3?8e5@IlY#8?x5dBw(naN@dbE%19#5gq2@6>V&&rk1ZeCc-IPb_-|&DF-B zCgNBLF-c3k@Gq4T4bU=(#p$Cb`*yrQ$=50SDknRKQpSCgQ@<0=CpyGw$zM?q@-vV| zv1+mcGb#MT){~}1Z-gyS$*_TL6rkZhwxY-B9+2OuvmqCi6J}I+b2EU4*)f1Jy`NRU z958N9xYSMrd@u`dbfbM45hs<{6N61UDLS8cC*e?gV{Z(aIjAV%^37(_8t|a zHM$?ad&Ah8oM-4%XQq|G&fhJRynK*uL>*7&eSbCeVEwFz5xeu!L4v264I=%Dj^+d1 zTuQ&y_p}!U`sMomC{VnE@hab6%Ij>zjC!m1A>!LAagq1>yFiYu=x{bqX|q#nw?t>~*N7s7p% zdc7Nn_F5)H>*l`fMdwp5xZYf1)sB84Em6LJey8g&6B9|#GyR8!s*01tXOakKK?tCG z%477hHktF$4>uULM-}ILr#-f_84%5>YPz1uEj<@^4QqXVulPAT`l82qwTLL%^qI=N zg6q(_$KS`{Lr`SH!uH;&I~NI-?>aW_rbp-?Eb^9O_7v>=`B}h-dSxf66?@`gdkS}O z_zQR{rR(|)RH>B0AauJ`po5U7j!E*}aB2SH!K2H^;G zigm}J5@e!|U^ zL|~YiyIGJd8CKSACrPImr)}KMkj^sB*}4%)b_{z5H%F2a!`a1+;!1L3xO=#HlDrt+ zK5o7wKZbvRTOf(V2nu!!A)RN0hPj24A{dcTZqcL*jF?zAGAWJ`pWt?pbcu2Kid!Nn ziIGfkqmoh>scCLkN$HG?Ot&l&jgd`v%OT}5@~*k%lddyv+;qD|y3JtRal1>p$0#Ut zyH9$+`0t@x5rtIDC@FP&M0(74^3<)2^o&vd+^vFC$$0V7t%_95cva(8OM1YjWZ@b zxlNL$7}HF*8PY6cZr*Ky^qH~v#qBHU8{_*AHx`M)W-M{smPsp&)it+u(oe?5FSkw7 zZ^qWP+YaduV|UMOpY)e;!1Ym#1wV)oc`zZRgcK?1h$lqW((sdDchDxI_9WO$rwn?B z3TTl{lQY`IyCY*vbi18edAfK2w_RRB0aEn-Q2{GjV=_Aw+G2q|+d)fl_0Wx@ z2Q%B9uPA4tLwJxcZ+RVrSxy_iF6FHuL|vdI@B3T4j`9$QH#G@upHP>YOD&k;lTj+N zi>!Z?56bVC8009!%RFveFpuY~qOvGr){hWU*`Fb(h#k>|9ZY^jWJ5RY=pK#p=AI#@ z1N==RVT$uihn7tcs{D0ifm7g+ak>3ONqJ3Pw3X89Ccg6aQrk#)CHl-yi<<;IQh0be z5;KW^_Ds8+FT4GqQ^_w<*pl*-@ZUec zRc~>wCC}OtVexkwYkirn&2E?lgi3+L?5!ptaj%hel2+H#Pur(-Y?_791TM17xiXTH zK+n_4T^G~BFSYEO4lmRJ!SLTKSuVeZYz>^;7MDK{TUaZd{DAsA_MR`qAom|cp$gQ! zF13Ug%2va1E*3w?N6lW3qnNs2veYdFEw60XY?qE5j>b>mb3!xEmU7$A?epF6E#-#( zv!<*saE!Vw#y@T(zn~d>%Ypj>N>j_Bu>Qr3EUVJQj*EvGwhxdt>l@VdZf5lRbXN1U z&1%UK`{n^n@uD?4|71p_*fg@>HLk9;xVMQ{W<^-S-Sk)5bZAuxMO)e9aA_nTXNWCu zUy0>>^a&B@c6TxR;Y>31i#sLTZcD)0k8PT7#qD+(3+kIpN$Hz~t8|D<5VEXwvk6s} zKB_O}gf7gAGy;L;YI+UTQl(J?rOs&Fl}MRhiQX=Axn!@CCI@p6bCbX6fF&5K&*x(! zz+_)gwNA zj>1ylnwxWI><=AbsZLB^l5mSz<7$b%Lhc=7Je)xBXt!$oOVTR&<|PeqUedT0!uhym zlG2kJ({!{T)gV?wwjRLa2e^}X8Ni^(5Y2cKi%pT58OM=&){dtK?f9W;nd&AgF@!# z!Xb)yVRhy%HLs`Z~$Ex*(ZtX8+8Cz)_zg|G=?UL^X0n9K`%0%(cx$!+y zv>1jDSi3)GRD5jG6!TG+3RJc)6WVQ}fR2m+$n6(bsCDh_RLRO|SBab#k%W~JWw3mR zRspbH$9{dN9aQZtTyRq2&%KnQ(w78$xr{>L-9Ds|P(n(7+HMN!^6y0cq-z~6b*2XP z2FEyq0M{q;*HW{A9kLw{@0r6FIHPJDC=|g%5mWaw7Tr&PKnPiIi#yFxky;eajOy1b z{EzhPz3#^!drVqxaQC==)IRz!w6(F<>IFd9@MR8Pr-xdbKc;ce{JaNNa6O{GB0{gM zw&009v!1Vxk+37s1dlP(J_fCg@l%U)JeTx2(tqH)E z>@TJmMPZ*lFw8rmq|o}71WP^HD^H7po1S#y5nvGYNpWQ-qn*cVGlN>7vwaRoFL zrK{@sxihKy*X_k7iK-M>#sQ}$CT^i5#H4&-2-5Og^g}}@gy$7*p^-75p=3IxGmy2; zdVHs8pwR&t#peRa_z=$%vNMP+w-XZQH_S@Eka|ZTj;(O;&%@)UV%;6i0rBDN(!5gY zmqXK5QW8J^zMR{pkVg4&U^owb^Bw|h(^K#+=P$+H*DDG;90Up-g6q{tdhqEr4*fl6 z*cacbqYPBpnXWjD`hFR+eG@|0RF-^8Y%uW_q@z}}&-@ewCI{Jbdl(2cZG$?5wnew# zYKFEGYHC`oU+uX>BSYvAE&26f84a0_4VEWTkmhl5=V5a)E)-plP5N<7A?D3ohL5;K zr@xhEXqG}VoC9PgXEqQb=Dn73-Ql)|0kPbWY(( zj@S8nJNps6lLDf7_^(sFcGEiTR*q8k>=EpXV0Lrff7zOERQiBjCtM@x|1q-w<}zW6 zdnPTnbDECU9Gidl@!8GWb*BZ-T)}-5zo}wmDi};`RaT)8>dXXnuXL-3o;Pw740di6 zQE?*FxeA`Vf>04XVRTL~IItC>qDH8T79?NUR}mF5ilGPwUu@k`0TLGD^E$6?NwHRL zeGt%}R`!(XxCr!+ycHm5tbTm^Up28y-(RrDUF5XfOsmxCZ&^rrfvi!mrj8 zP=LIIy2O9qZrCtFB`!gt!*fl(Zr+#gpvyXnjX~S|PcTcp6kdd?Pk966`yXHcS@=9J zPI!>~SIpN-!aJ#&D()Go&@G%puT$cB)7!F7SiABy;d!%tz{xF%Pf!i1ToLhm5D>RwrL1q%nhOe-cRonsMro$36b#W~s?LNLj5a0k|9v$Zyacde}$>^ z{1zBNJtztK2D*g^wE>y0`+WcHpqr|+Hk;6<_SHfniOv_!J);$= zONnI3QGiYj+I&OVt)?Z6`Sy1DtQSGRflT{v{Y-)CF5zAQKCkRydc&>fJf(V}w35N+ zM7+u6!klbeTO18;ktWeav4rV)AE6a})fakmX@nI^jQJ@`j%S4;hCvwPW)GHkI2!yi zTKq0I@O;1Jvd#c*B6CqErOgh13R3+Lc-aQ_@OK^oIooU-?OLOI3EfNr-uh(p?lfZ98^UP>X_>k-1PE4QrC&)#yc| z`VId^`-PKm?o8(K^YF-H))6uZ`G9)9!!m&YA7$0cO)5r#?JC&wgen~em3$qQWm#Dn z0Ept}p{RX0u*OR_P$EKr;QV4eAS%50oTgMjNLV)p=k+4l7pttggGe?#H!PS6$JsAw zX1CLkUkcK%PykLs>vRd5pKndidU@Ff>)3UMAqbO1>!&*ltZ0A%bq&DJJ3a+zO3a27 z-Okd~U2QOkCC>f)4c zy?;bJd7v2=d)(rsL!STfl1C&QK;~?f_L4IYUNr`$5EGW@`hrl8HN3?eOf_KN=1690 z1J?2HTH2me+=f;*Z&UXec+Dnqiod&i|L3x)9A`+zNy~;Bv+CrwhxSnpvD# zhY9^XIG`=x6hHv1ycL?8+Zv#7q-?_12_31y0!rrF~DO$ z5zF<@WJ35GNH4qoE(IHp=7CNGhF99 z4bG~_SYDSM`e!is(6Xtttq6B)7SEZc_(^6d)ZB(^Ztehw#CYXi&suc8Nd0l{nW0;e zfZ`7!JmM#`8Y1{tbZL||@tKZlfs02#Ag4`1l^v~fqjT{eT%W%-g|<5aYz+K9Hocd* z;E+%?dZ*g36M%`Fn0Q|^?mJ{;wK>cOjaP8qnjAZnDv%vGW;2Zc5Gp0Rl=nV>!dea+ z4!LhLJOHl(HO0W&$FvT7aQGz9;kFQ$G#?mb;3FUlf>_eUWasmS?}*xo$aHQtUZQPW zb-5J3O55oLX}lZ@T6J3g3@j5=JlyDPRG}ix)2vqw277e?V{4nsvXsE$ftWmu_*`}n zlILa5G`Jj|IwWMH^5x|G!=N7DTw zJS<+h1^K+aNDqq!TRn5~j&@#NApz^uv`@+eM&`0b48ANDizPt67E5?MjnCWg+Ao$u zrhNw|1Z0~n7G;4yFM+d}7v=;Cao_8*I9t;>VAM3U>y8YhH-d*Z!l%eSZo74v`^{i! z)vPBwL)z^qpBqUL{<3+>2*9i1l^xOtUnh|o<*btBMPI#((dsJ?;+LO8 zSW$CE{i);C8DDqaaUkmJ?mAFIo}*G`7{IStc9yW`Zs=4Ho@pKkCV| zl6XRaHK3NuGsLB#3*OdaLQs?5mv$JU;XrfxFei!VZ*ymAr?~?INaua|48{(fABF&O zra=Py(_)LHqLE~Xe4t`L4Bf){4u_VJ;(Uww61 zUDjOZHb05`OgS>NpfJmu1?K`0V2az2EfK#COZ z6=r?F@Ldv$U$a_$L};3(Ze5g0w|u<{u{B+vr#qjdRqbqzpAC@UZAB>UN<%1z+a&4E zw*T6Qw_w;4SI=}Fe}fj}`2s;WDu+0401EQpgS^FGgO16F`_2?M%R%Rgixq;>cSnkq z1cHheo&0BlPYRO3@mRS)$#H~}_IiIvgxd$OBylahA$N#A^wVF;N&CE4(swSxhV|%F zxW}(Y@+FTtrRkIm?>hRAz9|a)tA})6IYWC>GuDGBukkQ4D-a4-CXRmuTi6&%x~1>hBC)x+gBxh3Zw*DddG?z?*kjHSZC zQF{Cd*@b@Z_Ny$5u3H3Z)E#RQy5%vj8p&uHc=_DirA(k>+jgr20Ncl(aQw|E zkVnnxm6$UCX+*<;(ysAtptr^U4PEy?)c*s2z3+X?4RB56!D&$6hWb=;DlLiY(&EF(``dr;d^~@6{_uP}hg*>* z61I}7L7_!NPs~h^P-ZqiV)RdkxVmmft)@?gV*yFmn$KNVsPiz`sweCDx9W&JTHK}* z{rYQ1s!tY1T*?%g7bKLvVZW#qKKs%Ez`ewqCHDQJ{DyEzTWo}X&~a{Y%739Zsz>So zKyE)QO#KoSIkJ~^ZR|R?0cq^W5`}K}^XVd%Wsl5Xp7k*XHGe9wqFb9=>1%6*uxWT>p_LZ$3`` zD0(7|K9565WoOhI@(a4*F7IVWEQuqV^;vcA%91%lC;mHkUwGKx&gxDeD33(h5Rpqg+#s8cqyueYp?kVJ4kjEfG`c`1_$LQ(p8G zT(cWk3@nn$Ev9X6wWLkKyWK40etIq|2~PdTP~!#D(sao!g=c@pl?1#hb4T1z1im{G zUC-3`H_zueO+DY^maJB7*8VwQeWFd6LeFZ=TF+Em>`qo?c%mzZ9ve!904KIa5Uoo{YWdc}9+1*r_G`oNI8rne|)BDv(1umn}SV?w~*3{qT%CpP^c5^2a~b zUsoFiNUJ30ru7ISIw>RV%akGZ;^2b66UOpQx=>bkT&RyrO)uGTyWR?b&z(O}I?XI< zK0Y1M(Y`eIHPW9=uDQM4B++^mfWveWyIjay*w*9GnK=rfv|4gXN8#_J&Jed>a(^jS zpJVFNABX$^8hy#~yI+|10v6X!qM_`xw-J-d)n%t5x{K0&#zx+euasQajwBrl%OUKd9(&?g@&hd)T zcO|CHxflE$gq@9nu{)eC4_cAq=skO#@Nq6l(OR(*7gxD+LAOeJ@oNDIo*^xjW9%SS zygrJ2XwclIce->s_;0a_d9o0|O$;U=TP>M5GBMP>0dG{rvf%v>B-k)5+`kj@9r_1^ zH%1@y+G%j5KZOD4-DHdsGN9Dhi}tNm_C>1j&yTIr90^hF4yo#c;Vc?OBqr%2MMl}2 z(4B@t`4iYtVqeee{q~q#kbL6IpJW^VBzHh#koFT^_UQM)-=AY~yO*W? zDABcN+`|EJg#o`SBeB9p{HFb3+0`_iulFP+${J)GH`u=CD>JLARI9eRx(IIXzfCMX z-g70DT|~rHN{0I0mPgLuyM)QV4w&>xFFPg@UTyXA=I{t#3hv@{QhUkvzf3$|O@!v` zE1e2O-$Fk^H;mjlE8NQd#5^(ererHPlh?0%}_V6{WqUwWM zLlF>_Ecfak%2`|A_46@ux3l@bexC{e&-D=dD1X0UEmoxl+kP%FzJ_7(iiPx*W_r@A%(h4 zSclrQZAX%n78<^CC3l{qY9)clJOS?>(HvcxECK(CtvEhE|?7+f@NJ_vS~5Y%%a0032yiia1j z14s|zmx(MDAv}>6~q~Uny zD6SNx2SMfGmEz%onv*6gOzc={ zm1~z~FH`K7$qsnR$VW&$PIKe!u1MmY>>2$B6!GhF#g4IjrOb|=4_Yu6UUOLr5lfdD zT#aXX3uQ8y*r1;>(4vz(I8^$IM4f#5LXW6m!km{WIKqCI6zt^j zLX%O7;u~w>>cL+rv2z-u;p&L+q@|~qR0sMcd7rkg7FZ@3H9mK3?}opo+-5ZD!_{lg zdU?N?vyCJgb8YIdp&uPT7o~!XqP%C0T`Y#h02R^54WNZim;ksr%Wy9NPKyBpc#WwLFK)vN z0Ipc0!eN3+#1p`6oZTjp8Sxt#!n8|b)p59ambtT(GA)afyV~3l=Lje6MgX^|E$!{C zOzN1eB$PF<{ykv^PX2fpkn*q|6npiRgm$3Ik-QVzRFkdsgdDdTv`|a6XfNR_VTGLf zrtmJore;X+&K2MOO=cH-?zh&>-a&#k=h~og+grLdxMRzJ?h-lg4fMKXFvl2BzYal_ zI>s26={`qa%Y})}b<;I8<>*1!K{uNZwotk^Mq>J)=FmD_4BBsPWT3xnxmugcK=c6W zj2PNPna!dW`g$SOOHE!I23S3K16m`J0s~c6)XK#(2+j88a8lW`jGH00 zixxngz-yuEGNMgXF43n;A3f5g4^H6Sc<^FHk0Cz%No zSPsyXLMX%8RsEn+8P1Qoc`sPVVEmcdjNzhGnXYXXX0e>+Vy%=*3|l}yE<7R2PG=0B0t&ts9?J60u1=lct z1LIiEe!AfRt-?wEQEjxxpTsd8L^(7=mUH!lCD(CJtvtW(a)+^A!y?hFEsdr5=~ugA z{d=>tRX9v1$lWQ|VeOAIGf+py%497|c~?do32Flr0LJ)7$g9csUV^!PHAG!tDt3-1 z074=w#|@62OSlQFt+Vk0vy?bw2i(<^N0HZWSK`U|d=Q~v<75?hn+_+65m5~0L3QvS NaRh+1@9+uv{{fnzi30Yt^c) zLkNm0ipuZ%{O*r??)~?kd+y%ny}P_p5WN&caq*`E905Q82v97<<#PD`7yQ3T{Lg~` zFk~ajp;@4az9aRlmYUxKg#rr4q3f_h*1z8s2S=ze0@%dGtFJ3D7z|7rj(ZOQFwLpn zecnVr8D20LX&|mH)_1aW`0N27{0iVK2nf*Uk}jN!BVg&dNZxH2%4TPSRy@nHB zF#GpYiACZ@%Sc4&c!-`pTU=0xq-T41B}|-P#-q}zSG6U6BQ2FLM^A(fr)a%lRkqc~ znar`n+^RZl@&9e{C^O&US~^GE96YqQonPyD-!iGGu|2Kqe<_*-J0&HzY8BKrBe88L zPjv5fR{e>JwrpepjufcmyLBxS z$@Qy3m*gdkeRg9dW?cw)zA7juXG_}ORZzN!2);)A#F8K?eAjXT-@{Hg3+1>R70(yH zyy8;qo$&d~e=W0`CR7p(dDzen|7wRGg&}MV!jcUX1Dw-#PhjQ#-i`fbN0;hBZ0Eyz z5jK-Q_r6as(b4K_^UmZv`rXG{^v0`?)_mB)H~S=L@=UtVt}w8p)>+Q1W)<7S1(02N zTK2DH;>nZ{OA|nn5!w^*8NT*8eO)ba{ezR=@r+N*8h*yW)SQ9ucIR}`rnd1sB%zxO z*nm6PJxWCruLmf>;$09--4=`D3rE4s0^W%pXgq zncNX-W>Rou*iGk6jl?{R&eZvoEaIw;6xgjOkXZ(p&4m)_6o-@D3>>8MnC9D}E~beJo4 z+d7Q{&OvXl=@EVNI~hZaGT|?u50u_uX0^uUIt)%7<5`1rEH`Y5#Ah0G+pNH-fEmcS zr@?Q}7o@5hKRsjyw2HN?1^IY}$4S_qzGnqVWqLlp5zV64s_Te89VR8_C zxe5mYYmg|aFd>5AUzBZnewUrdYyEVuV|J1QJXJZ0pD#;V#_7<7MNwo0&^3dxYJ0~n zhLBC6cH_3pfmDZtqOmNjO|}wp5^kif=O&R~kEUUCO{RN~$r z-*l!7bB24hhwYkHxqMI-l#k_8Kr0_MO-kB|Yv&W5KevBBY7KLPX`Ye{N(z9wVB+}G zBCoZbx8BF{l@|utnx(V@aqa(NpZ7l$Hnp+ z)T`2^kgczF#ftR`S~>;94Hfx1YHSK$r`gFm92UngY{T6epDK1=V|vv?1hIs&BES2d z^gl|Mn&O1of3pS6TTj~zT4QuYxqN@N&izQ!XID~aPQY6L?a6R~{hYt&@uO>eAK5v8 z;hc4pK)6(zZBd+tDI=`JX$xsTo^_L7jVl;%cJ0*B5d^qHALM1^(4Ou1Ae%W3z^bLZ z1QZF5^HW^+m&OmgZvhiu+SIl>_mwl@92)>Zy!;ywaVqvUw zBn8K)!ne9)xW@5a-4xeC^yBYtora4y?Fd%%^LXr@=$6#^dSlx9bGInD-0=82dM|D0 zoZF!DQ0Rc}0Bdopb)m{l;iwJll%z-k_K#?TkOfF9L9Vr@iu~85 z*3noIP@GkV$(@HJep{Y9LQJ~IL??Xz4FwswAgC2KM~n+XqCi#4F|yH%5w-r3IiKSR zToNi8njFmZt0c74xe!ra)aY z>gkN+QuM&(+X3mK&7 zIYwheWnpw21!`Qt-%0Y#()&h<)U@}4=!9dXuted+3MTY1>pnoVe$9m5 znzUQR2Icy{&pLtk>79JXnlm7x=dp=4t1q0He-C9**ey@m1Yak&S_rHHa zL!)N!IHR^zG7kgGqw_O5SP!aJbEf(n*XOxmFgM`OdLCNw4^DbT^tWz(M=YE^Poom2 z%$5%S5pWrKQtTZI3aDMJmT1NMaHc|SX5MECk38!E?d|qbsQi{?k#`Thm9O{+PvR?w zz$ISoW1n&gky%a#)rr$r!VXoFVB9Fgi_<0r7R1b%J~hX`-BRz*d^7)RgwTDuZRzyZ z_YvG?Zl&}4%MeOOl$^x`3xqholi0? z`U1$2rYN^Hi?X;>9Hb*ZmvH{maSE$P40Ab7}9c7uuqD${@N1;J|09CFF7b zLq4AbvIWykF_mU)^r9J&{epfGM4 z{u0b&w&xtH|7GkafBdvq_)|f}^4Cq! z{c>jrzBzW=uQE~&hXKt)@?$1?{=ZCnbc{RQgLJ51fWetiMZ)&4Le^1Y&4%FiNlNso z#-+KW2pPTeLtxEfCK0f@^SgV8(gf&mGn*Sa0)n+M`#+jH^mlFB?NQ+5u-NO#ffMI^ zRgZALNIZ3#9n@iMzN&LeeZF*o#{fkkuZ3{hI2tR>9K|8P#*%82s}=ALpR?4htz6Z0 zVzZ~*`|K{Gq5=~xJAsnNDhQs6I4|9dQwY;~cI=J{3>7$$KX_b71W`aq64JkQ#|HZ< zY`jW8-157everwrlcngX{YZG-ozp$qqCbtzE#E062FN@)`fX>>@!S^uGlJV~*j^iO z{0b-Vp^}x_A>OWN$@iaDs`d(hH!c4|Or4@7W71DCOjOy1OzNEDKwq+NoM=XvZBR;HVSvOwE8s)|6P2MPc!p>K)X?ks4Eb!VfI ziyx^R{VfU8C|o(1&^>Zs<<5odY#6mCAK~?Ix~lrkdP6qujYd%pPTex=MOI{z)J0i~ z9IV3un`v1TI^(-rBwOBP51Ms#w`4`Vc2Sl2+Vn=pFUJFL%f+687}pvays%^e6g2{F zaSO+v-y0A|IC<)Dh(&9JA0k7?X7*?mr+A1 z7QFtiFz*b22KojeD33(%R0Q^8o3^Q2_hS+e+}_M2~7xu!G(_*130j z$c4M-K;F@;c)k+d>8N^^-u&!MSJ4{Qi@rqXyWja)K+KL`_ z#&@XMP5d)xz{z9Al$hMFs58p$-+g~4x#<)UT*<07zy&2AG$BfXd(v`1s$n2PHFfc` zn6_k0>9g6xCp7+SAB#)>UP6HIe2ONNGDX zH1yxS8^bE8IE|zgEgbq&eiLv!aa^l_VbMz54h#8hcbX{!hAayPg7iuma0uR0u?J8-ap7H~ZCfSWr`-7ik`iiccIw9w2< zG}FjXV?sP$a*k#Fmtxe+HG=pDLXMUKuAFRNy7>>dLrQEuI zckA~1>gF=VtQ0g<1EtvlIss|EtQ1BT$)8NLg3yu24jJV0%}*5C$goei@tqlv3@FK1 ztT18vSW;sVuTFlIjCDo6Iu)~@l@Oj34m+DOlh%AkS<6h# zCvjZ)wD2KEj}y9-XAn~Z7;i@CTWmLfkyFPS!4(h^e2Q6^S`{l8s_iW%y)6fGrcE7_ z{J)rOg&|^FX^(HS2uaPxbJ&y`*|wK(an&$&uuhRmM$d}8#rJ-S0wG!kh>&P5lxc+@ zhMj;DtCZ9;dgK%=zW-1m#B{$U%vrU?Lxr!=D4EK}m+4(Nj_Q~5av3{R<;U*Y*zH~b z08y%@-!f`Vn$wju2aLXEE90GDLT9e<3l5e)8{z$7W?QJNJz3^=9~1=MB=VWHWLg{v zuveX9xE>j)`k=7FBCv3MEDJvSZI5z0B)3*YNr}SyzpC{RNL?WW_iW+vLNKovuiQBdD1op)!Ucv)B#NNCxqE&mu=I**tzUU zGbzDVk=i8Ca}B=?Dl+0N?#N>>Wo1&ab_CVbnKYv#Yg(<%>u#4dK>R)_~8xgg&%rd5md_4D^^R zC_I9*>KSkctFf(2M5+pM(k&JN-JkM@6Z;#VYUdN*rEfbuVdDk^%HtT6Z!LYpMpAm4 z(|4E7aPNhmM?;k(PaQ^Hm@-z(aJd~`HYY8c)rv zTdp~D!8LxS*n`QL4Mu(v+Cu!!W`oa+C;iXeKIDHe2Gj=^OJZ-GU&`rmGAR+Dc4 zCFl}ZwLb>UuoyZBla*81 zFqQyjR!!~ESAb!@hSCx$?OL_35ObHiuI}O_V07&{#V30k*&os=HgTkVz~aq%TPUOO zJn{HJBe%p<=HTC<)+PNGh!I7bwsxRpoV1l@Z?L)(x0M0B?C+F!o)$MmdAI0=L*363 z!^i5aT3$jyR{bf^W2*+7c4TuH<|4(HQ=?5|*~&2qZ=>;`2NEbq*&Osy>6)QXra5Cc zY%R!rKrl|Yg)(juNHWhO_9B*%Yy9U~xzNJ>w|L3OC1XWuPl5h9d=_P!(?VX*9?EjA z$|+Ee;^GmVk7o5v;u5hYt6_<1Vbf%lD9)cdOP>Kzb0JFGC{E!&6H+3|Bq6$rx0#ni zO-8ff$lO^Tj@wewH-Je>gU|f*x;Kt*%j4X|(liPQ-}rRh{6xfIf-@*~j%>M64RX)b zZQ|m)UoL6yb4`-S+Qb%~B}HyBJQ)FBl8h5PMLtBKmjt{tt_jSb5KC|_KO)(6>EIBH zZ)?l|Yfh6qgv}=R|8+%eo)}*Mmp?GOCtlPa)pLl@ZClnX|5zNli1{IQ)JQ<4q^8@6 z@{wLJrj7am2%b+@Y&w!Q?7Fv3t6UEa~kQ!CwTaLDCum&@>fKky4#gcUj!?24> z78RI}!W?-5|NK)AdCr%W+E+cHD{=LOg%moCq3r z)guv-Yf(5v=ecoESOUWTd1M{vl!iowX;Uaqs%s=Z6o3?uwSxhi8=fyZ;{Mrsc({4= z+6`C(Yv&>ZdD3}OWmH=7(`>I9dEs)E?YXA$P+_g7IKRJau0#=j0ZrK% zml@D*b6Pmyzt(t#QY35%YhbhypM|GbZf&@g5f!D^K#3AYP5dDSgBQd~PgZ8cUEr|g zXKf_=!N;?H%JmPnJ9kfya2oT(Zs~D;i%xgZ`&va@u1UxBa^A|NDhUcBkcqILn@q^K zo04RHK+q5h!QVXhL$(j`lE-k;GC^dLIPugG=+OeiA~COl4r$eygOvCRD=YaV5i}-UM0zyduZKlllf)B3b6rnT^G4Cjnsq! z4EeS7a(F2IS#ZT<&dpY6fNdO+^Gh;-mt$a|ykXSGYx%o|ZhRb@spEG3wIG41)m$0+ z8uUk3+pKz6_wD0`eJ=rIwu1H57%Cw4WUQUQ=_%zwHe#7WGNWew>mLHH&*`oV+|YGw zVOnZW%H?U0{I!&Ck6B$Ufz_|;4aRL3)U`cNil)qJ*GDbG&f6SaU`6S%-uv-q;%Ryu zs}HQTkO#gi+$GWrn3B~g!u%Wh`h$CtUCW%u2Yu6REdmeF=)rA!t9PYx50}lp9saCs zDYdCeUw&I|V6A>XSh;SlJ6x|VLlS7ymQTSmOc;T@Ckhie1_1&bNrlWf9?oE9(rKOf zZi?;OB7e<6f16jIqNOQWv8Jl`23)1sBuR1M4(jv;yEVW)1tc>+c=JY)PjQ`3epG+) zZ>V2D5N@~s7yt7vRmAegq~_BFWBOfR!P)FO8T%ky!$@aD^8`~gGN1b%B1xW{1Sffp z;$KA`FMD-m0YhJZAq^1@71Y0R0=xL^D5atDj?Y;Ph>->NntQswh z*mNFNwUik<3EA+%UfysJNdny5$EekwcZ&qI7*_4mKUcrp$1Pa2C@|6dgwN++RqOW) z?Q!{wYBkCvQ<&rQksIO_PrM5pU4#>3K_zWGxr^+&@t!GJVUM?ctnb%!c7}lk6o)V# zI$?6ViwQVN0X8-M?djAUH=uvcaFktXQh!(wTt(=~sIEEHCIzi%7)Yv$@|M zvP?=>nlX{5bVZ{eGaV<(0#?OOY7~d)EITO@+T}LL5sjJRypf`3_ASIoKB8iN`jBeT zLAElpf^?id<#RKhJ(61=HN~dDhOnV3+H;zM4Bh<4g9VgWi6xW6#yG#F^nXy!-A^Wl zzt%Wq=;9~8RyeOLuHbyWdD7d}2M5ro!JlubB!MYfJtmSA8kL6MAT{yY4Rt+QR^0&i zl7RQZzmh@+9(k&1L({c}puMFV@knc+Rw*Jv7+I`c*8}PWNXC<^DL->d>mBXB$CUOI zrfS-Q;R+W%A)YO(f`svBh^<;Ir^Mcw2%?5!En~I5IA-{3HzqZ#n}hzXhu7@)o{yKPwhs6xo4tMjf`;dq-ADJ{_Wdb^){J=lCz#eYwB9 zIph0P{zaPI*s~WWciZe@zZf#s?G$>@;~!pG3@Oj|#*6hx%J7Sd5w$-))O(M`4k<@{ z?iE{C#BL2yK1YffVX&efU57R=EU1-#7X$8k3M?7zSPjK~G|bu_x`np-ImA5}Ob$+| zOIbgfl9vL#CKB)*B3(iuj+3O;~BpQbYW(>1m6^az(kQ3;Y+1 z>MuYQF4!WA4JEPG35B8SCuwpX2&KD;mOlq4(5Jh|~ zjr2S-87>f^bE@s@44(-o+B4Q zFxw_7!Msm_FE`>4$N28hsX&Q;*1s=_7EY+aftsh7Y;d~RZ-fT@cKQg zidB&!bpS?h0&C}vT*SZMbOYdYkuvcsa7KeSnGaS}rw;&xESrZ;XcWLyi@SHxPV>A)2gOP zE;p-ai>sgopvw0HLedYQE`o=r6z?+R$7R~&lFpN)g&WS0DB`&5hcA>mcw!-m?7*`)Ir)|VFG}O@1($YCnuL>Zz zZhJdB6C@@R)#8Mtcq-gu9Kvmr+FavD(b&~^vNgYBU+$BYbpS7FpBvH2v_jLi+WDy8 z8ott{LUt7Mr3O|Y;3P{5u?2p$R|D-^fE$nW|0CeQJ zwB)TGR1tpfzbYVen+h^;G1F#Q^l7tSbXdVgIu~sD`>`tP`SVq`8ZEs!#j>H;eZ}Dx zS4zJLIKgkEP|72Acl$j67_!GpAebi43uIj4f(#AFO4Lh`Jk!}{pMV^RJX79TsyJ_3 zBbrbph>bl8X+fIAk>MG0Ke&fqO`(Y3te51yO#DI9%V|41_~R(vc=iTe|92gP`e*jc z6r;0jcLqXG3>~|0$Es2NpoIum>kpt<{9b=fGn*YiipGUe%V!b!n0d%_`8U`P^~KpG z$kA2rZN^FikHj0}#q4`WK?q;>EpL3iatnT(&1nvX*GgU)Dp@E7_BKuw{aV7o4SaoZAAZ zbJx5>!`t9L$XhnIFl^S?nsBBjRrH7_BnV^K8YxUb#frRGoAZ@h&I*-nj=j;P*2do@ zk=icq9m2ejlFB}fP&4(zBg)%Xf^G%tsYy?dQYFs!PPEkx=y-_V(PlDhb=#EH_Q;?n-Yt!L{{*ab^g87g{bF1w_CzD?jk=)l5@&f2Oy zV$@1(me!*1jPov=!gtsu#~1*`cXC>NINIAjdDYxtu@q$}m^zjrVIqJ$nU5xO5xb-i zCd4AbeBN}`c<5;!BvWe^{(Yy21e@%Xc+G)0weJDISE|+S^V>-cf+e^15Xyx4v5#wf zmax?hV6E8q$xs{;a`KRNCp@XG^x#UFuA1|Sta`be9ZFSEra7CrQly{}Js-M5(hD%l zk2~Nrez)e6Ellt%*)D}n`l4B}>bUn`6-$Nc!Srt(LeV@tHddKiOJQ7Gkk*jmCs4M= zV=rmluM#LmMiXzTZ!uQ)?t$#}sr>wXvBIotMa~Qj>;n7A;ki-TRRf_1n!u-xyxx3* zKJ^S2ifcjcvqEBZCAJ5#7>|O>^$?VU+dBX0duw>KN70X334eGHNx=ZD1Tsg1% zl!(TCyNLx9QZ(x1HHH2PZkn)|=3r7_HB|P?@}Z~U^8)ApUK;0ZHY;5<_&y%|_yk3- z+Bbga&;U3;uw~|90Eb?r4JMYEsSU#Rzx$mP`4Vu~0K47JQj)ZHDycAtySD5JI-*h< z!+U%ApD~=g6Hu7WG~gedp5+GQtt~MZ=;;3d#<9}B##OqD%Y~v!z5U~kB`EMVz!rB| zkOWEfb7wnz2Y3}gF?gV+4Xz6^MB(4OZkz!VO$7vIV4D@8oDomF68mO0SCOj*!yxfE zERsz2_$`@DJz%-2e9#SJeU0SFGX5PJzj0NjIRLpJ(6B_!1?iks%z;cR>{C z7Vk#LFVe4y;j+Eu2N#YL49{ut+M%d;19=}uK*g1Ot$8CxL*LpoTiERBXy09 z2Kb%*A}?WJ{NmtFJFtDroBs=G*VO?Q=yx-zPtIB8P;7wnKN0}}9t)<879+1s>Ls@s zlKN*0?--3;!tzT#*c(Yi+IN_Hv@^3{Txel|_6O{t-CM(r#iQkZ-TKZTYN>BsGt8-t zvN+x7l+3ANqIQ(p7b!CI{P17@sFpN?7pseO2nHoTiSE=UtHAa4Gd!Q8Fbi){FDB@V zsqY=)~pjWW0mlKp@Pk{t73K+FV>anPzs4ywN#m4k9Ebbb~ai9Ox0*L&# zQ2&#`kw=??a&T$qZEimW-Q@mkf12WO&RRH?tEYbr;fLT&At zXNmPBA&R+oM20%k@NOsEPmdBWbBF%hOI8OQP_{Q&`A@w>EA#xwr%Jn=zl0UM>-zHp zwt-~P7DCC!qncZ0RoeQmrz7Y)$I_V&q3s_^6opjNTh}XBD#PJB!7F0Jp?|)r^t@uA zdR-4kQ!1Nd+uF~LbMCk`+PX(;VTebTmc}b?P*yDgm*W5V11Ml9;mD@c)h`|1Ek-iZ zchA=F#Ptan1R_#JLTuvDuG@es6K73vSQFqAck1f)ukS?)hMaGW*xZfd+BED4qnx13YfK+YbtPj?_y9@1C{20Ovd;jLaR?B*<#di{3521#}6Y%#xBm{66I0brn=h#z30o%^0VN(Nn zwignuu(rbX70SMs4?fU3 zUf%Ao&8g)m^N1>hHjJ(%N^i7RnQS@z66d3L%q>ZCL4W9{F5$x?xa&)l5U!`9$C26u z5Y7psa=-e2tE5*%NRLc91VwAl)b~Zq@d${G09G}r0|9+m&{7bw2XP!~S#$3n0erA@ zNrcV<-16+gUW+$=D!7asyKq$C7wXrfnT zx6+A65F&4$rqV`!OH+6o)u{iw>$CZPY>mO7O->#SFZNBs)Rb~1hR!QVmw=sf2$bh+ z`Y1tFacX;N3W`{T$lT9kX$5EP)?Ciu>IVeugJge6Jq41&*PmLD!}M*nKR>a}={&O$ zyjEkLSEZw=xbKNjRY>lBw+g@P7y8Hq5!`mh9?DsysW?I=4ZX zi@$m8)m)!j4~McKz>&vJHvG)`qB+W$6ya>ykw^QBpMcj#p1WAu z>%zH7dN=ZaBZ)KTVV!erx9xcLfX3McmVf)tUPx8s&_mYD?pujjO#I#ea-0MYvc)FH zmh#|8E`3$}Kw`BVZ|%n<12p?Jm*n;hQW~>W+IZZ;DRi=6f$Zd6ljWd0bzi)JRpuq* z&`a8)2=B#@&8SBX!hZn7_$UlfR69$M^pBE4b0%@d-I2H=@ zlWY5@i__+x@*${rxA=nh-DY#(l0`k&wZ`%6^~nEn->hg!y%Da0n=4;|c+{MQi>J?& zjM!B5#_3?B{&p|V#kvjXzl4BZk}vV_1fLe9K)=WzHb)rkCY>Hob{3l!&2KxwWlc+= zB<^CRVn%QvJJz&NPJP{Na-Fpe_*ltNsNvQn9ETm|nH@&Kyfr#}n)G3$oQ_Nau1BmV z=X0?7Dx5LUtN5AD;3Q@sR}&n0R21mpj`u+om` z9FIGIL$|e#b(iRwea0-4Ry&hsgAP6+v;75SbwzedL5TKtqIBvP*t&eVd#Y8~+T;sQ z`Wp-|@13=fSh#+$KDzdY&nmB!4$cr}0>q0>K4a>Tl zIY4%N%4Gjz zy9B$);!YL+5TH0IozJ%6WF9mGr@;#_jRPfP9uMxh=oNiJx@~e9eDCfl(y#0mG5toL7S-vV#-hoVq%$z7 zf0fERQd|+~``S3)wOoqsUx3L=q%IliIz1|LX+~PMR8G6aU5c$g)3U7tXC7GnJIw9L z*nfvg#8IIV@9LdloVN92*{lTSkC{AJ8s}HW;X6D@q#$Zc?t2S@P38V?O9|rqFX!w+ z^+9RiWf#vX_Y+&WpEOTR=MH{tIUY1-6y(G{E}xJbc)UgG7{wWw*bMdk)OxxAIvpFd zHX5MtZWF(KaxvGppqQ5Gt|kLoC16<$6*Q%(iX(S%-HS&tQ`B zH*ap8j%y<2S(|Nu>E-|%40|=T%!i~{LY{xtcuS4aEePmrTc@>xphwVy^EWn{z`$1C z?D%v`D{AKa_xX}qYE-=3L%ChKnk@97;&J*GLEKO~vrI?n#p|tL_;KX|DM+uWoHSLy zj;|LDfF&E&&&j_fbDB{0^`VH4C{vwN>-1d$l+v*w!cT=&i@%v{`Ouj)0`P#;PTILN z+2(;XBg)3tTs-nyyGPXlR0~Fm=r;9{x!o34;C)E>IQ@Co)g6{PkH?7e5M?D zCmY7x2kpqd zzYsVZ#!yq`x3FA&APj^()<#RJb(W1mj=JL;H{<*YZS2X#*`=?90a_VlXVe1v6=MtX@7aB3c~v<|uk(!&neD`Fq!uDf z0ta@eeAA|vyOr&gViX0gXWP8BZT8UP8=oiDOSkRiQ6)mo+8xk$0WxO`t|k~2y8x)% zwozk~rOZRXqkdVxLh*NAD52qw%wN&8x;lTu|%&VEY@ufv`Vx7j(Fgr z4Pc&sF7zXw0K^ZK;(dA3d5vZ$3CP0nf>{-s{{xWS%BAtkfx!8*B=5d-EwW{&@Y!qi z=@PWAF5Gta-u~<+Wc%0?#cUfI%_cL6gM-q{QYC=DDK@2g^8RFKLMHvLC-ajJPY0*1 z)>A(2BAzIOtYA8w`M zHlywKq-K@Mdes7ZifzDda^@TUm^Qn^P&5w~DPQ{Pl>>$rGJOm#6dE5iZq&U*cB8Ep ziQF*2z`^+Q-E&Yb_gdHdAsYB8rQ05*>TTY1hHHPe@tH8Qx4-_G(Qm8UqER*XEG-X> z))OCbmT2SF62SEsHQQpHkTUmK)xSqn%eyq8lMgs|{@D6a+EtzA@>-+92dZfW#OUYJ z2OmgLs2J4jZKIxq5bIVo3GYhtYpb-su!w@jJg!k|@^OYYf{`6`p=~hfZJsVqtUP=| z$c3J+(!;g=-*H>T3B>sYttSC9l;v=~;JcQSXKg^qWXLs3a>FtoP$3`iD!=j~`GJmD zcAc*fkP}9Ex)z$$c)pUeGfOTR@@_#XaYmnWdDo_rLH?tD$J;KU2H!;7WOYS5y@(m; z3~jB@gxZDw1JKq$+xQOB{2zu;juT%m13aehHf)X7W#)Uwnro}h3ydn_fRMk zl$u#KZYWeCFDja(S(bzvoUPn$o=Sf7lY_afbN52F&eXU?lFG+S(--SE`$l$@{bwS^ z6Xl<@ZmWzBE}&YIo>fF-My)*sj&_G~^GDz_p!VZrPN(%wpbpsjn@$~U%5}s{ed!|) zC5E}LP7_ckV}+{>bBWJI%BPb!FN{rvI9>n#*kTwjR-m_da-PIjEQxbZJkb+!=u|QH zuwMm`pOlOV^x242$=QakNW;$$0t65z;|7ve=yUbxBKUThlwktY|BU|emZN`$S&Ho* zio!KmyiWIIHAIqcd-zv2S7oaOfOV%#A07;|oeDE@xwXYR{Dtl|`$3gr6_dVSFTEiE zTHX&YE;9lJ22%A+g4b2;Q#S?xa+jC2cx=5y(iBFWHuvLd6v1jUH|w(n=Eg~m!mR?Y z-#6YjXw1mWe&SxHMQ;p1Q@rLk9)v;^2gG}x9nO2p+Qv?J)uxO^k%NsOD!Y_rVGX|x zMYe*?HUvT)NUN*~OpLzEI!7$L!JCosQj%qT`*3|4aol5U1622 z?;Hp62BBQfqj@6Ky{=I1a5e?cMI-(WbfTPq1Fhi?QMPQr4B2dX}WsM(OXpZO88P3E_s=&wp48=j>+s@qJM z)rE2fsRw(4Ngp{qmO)OO&Up_PaIui`=*{kiF%>J@KGB0Hsmt(D#*`}k1z%}NfDW#s z3|7eX{+w%1;!IHh+g@Y(A<&TEP~8x=%xByuxo`fu41V*+FdzV^5T0v#*6If4_oohZ zO_gmMpjGuC0-2z9;EL&z>vtDgtqmApK}lj?gPf?f&PS$o;N(xe$ob{S@?D}wivZF= z7%iA{Wwy1n>+K=?=Nvz2+ruzl zz%isiNWL%hcTiF(4rwzdC93Efg*0$d%unW_2ZC@j4q;@ZcY}(Wx`Zv?F^4MoNgXqnXm7>B)`&f(;>I0AogxLQ9bW-ajOT#eFgI^W0^A?W$YWn+kH#;JC zhOI#fEeW*?$#$TRC0>>I(;yGf)ha|Us_(xyOWwZLL)*hhoc^wGvB4E{`E z7Zfg@%L+e7-=y6#DEU})%qGh0iXGIiVoKUF>#Mi@+SA7K9?|-6O&PDbbaAbQ<@@HM z$vn$AR268X2ql&+6e=>X$)`oHGZQT*!YC!83T02n*H?SxqfShwe8ioAl@m`Ez2-je zbN;PgP6BbLn;)+Sar`Rf0n!SMI8#GAXaC;(8&I9eKORq=}?B2pyq!xMw9WMv-a zkDdEAbk*RB++~Cth-Yr-Fw3|RTJ^uv>~(spx}3OnW^6Wy9P_&eqMuuJfFd4cP(}>I zn<1wR=Nx}5i4r~J`1*Uj*B#bxM}rL2a9nn8QP!1hpB3T2iVyl(mMcSotf(pNAC^ZF zgV*!4J-u7ct!G%(Y@Y`H+L#9MXrm6GHqQ!&ZU_`cX>eck&I^0zr4A4nFwR@W zDV$(Zb|>RTM|k2lAZvVeX-ws*zprMp-Rx@Y5k47oBj8xu5C_vyEysHHLCk*Iw;GOk zWN_j(K$tVVNg%tFU3q~Ih#B5Owv+H+s((_8;=W}~=8gw{nV{Z?%wC$K3}yX438>=j zBCcP^_}8@R`fxlPiu_djCQzdxs!s)vdIez2{MlnKx9hyVVa z+k-7>*UfyD36#m(W?}%&s(jqM9v2?G^fbUnQ?UA?tDMhMytL(CKh7jb%_~vZ`N60NkG8vnpNK;+B8GzkOk?s|YlNGIr4#UK@Vk?N zHM2owCyq_KQ$y2)v{r(px7(=Dvo>vkZUMUuG{PgCgMG~#6T3P&Px$~DL(bPXH~+wh zp}q&q6j=U*=u^VTTankigDbl9-~7VFFA8t@BCi4|%gV6q7Y0BZ!&gZf=io+dc@oQY zv6rpaNy<#1)g9jR`(bU0+&uv%NI-JqLaLky0rwqa-bEM#$FCZP3uQGFtb#hz7pa=hxrxI`4B{)w3pM6s{ucZ&`hy*wI)pvP8)kPQ29Hr=`B}PykXQ8dXj2OLuOq`jlJ+x4*F-UwHL+qZ>DDi-u zyn+4p5Kf>Y&gW#;G%I=e7bpf)In3OEX}(9f(@_X8=;I73K|gz$3G1^gNOS*8p39yC zzR&!qvNRVmCBwR6KB-yM3;hJs=zxWL+nj)Te}D2HEF}{yRO&YHJdx5G9FBC4J;cch z$^{9HC=XOt+Wh0AAIJe##g!4GA41Ygfy?*3|9S>GFAw_qZnUJhuLP2d=Ix-eoeXx~ zP-@k5-Q3jNcI==1$=_xMQ?~@Cdvm|4&o z#*4M#Qu^xcwoj5^ZX>IG!4e60A^l+jnL$6TU4#_%S>XK-B7StWwn!N-b}FMt=kEGy zygw6`?f^8?Z1&k3YZ7ZFBDX>dZ7%ud1hBsTFkEPuk3y>5b<(4$v=qrtFL16*nO<2? z2x1GH@9I5slD_8!NbIbIu`%JVw4)&Gm5DD`#&q7vr0jY861U$GY9R}p)*Lbxp_|OS zWQYFwfNtwdK#ih-3V?&|7!(?hbaa<*p=eL# zx(eP>U^W$w#Cir=N?1cc1b%SaV>n|DF3X=QlTq_xXM%n+O{~Eb@mv@FB2xDWs(o?w zaJw5{h5!9+CpTMVC9bBewMM^YL_5U~^8Lj7Y#Jc_WoX^of1D~ONw_uFglu%F~5sd=gqgMQpAixTT|Pv{X{obOpjMvJbW!TI)< zif;IUe4I;G1Ez)a2GSr-URE{{CS|kzzNx#cU)M%ss!*0{{|`+u z%nyRPZH~ZdGx7pR9D-a@O1z{vA+}SP3=+klrgHny&W-S!q|hm+ByE9nc|cXKkVu2G zj)g+&FRV5t0~-J~<3_%`Xk-jjMMpq2%zHXGt^~U* zIX{3Ll~+)CY8~xJQ%XLQ_m|z(DX19MYpFhV$5_6lt4u}SrYaI&fYOyl)`Qr9HG2D=*wc?c#Ywyp1jWz(G=r7(F_3vRf|S&n2=T&j(+vu`*@3Danmk8H4tbiS z&(NoN=_o>KPpa>(=npymm2-Jq*ohNtJQW%b?LT9D(wj4klHg)e8gY3UmMdiy_u?~l zi98`4zOfOWObQW=Z&w@qnr`@1TUb;Kgg$i6;PZ!~Qc)8g z+B($SxHq?#kJph+cYy~8*)XU{ph$b{!N=2x60qZ4&NsO?d5M`FP(m8wCp2HvxV z5trYKVMbcDffpz@Wmi&^yj&2*H#>u$)9vcJdgr?WQTYJFd`eu=(Z=j0Mfw)zIpan@ z@=Hfi?5DvGK{g$r>+T%qpUa;*j_Rz^UVONHt8q)=YFmd#fY;OhfY9_?9hBuS&Mo_+ zO2_8tci)Qa1f5^TgpK!Cwtp4IAhOC$*L7f@W^ZeNo7$0f(IK-K3H^;dyaUP%?L zXqKGz3JSrM@GozN2$zJsAtxpa>`Php9!@Da_^Kx2u;!Swq%a)!sdQPtuMmm4dcDZ# zS%K|74#2DZ~`37`}<_)ndiv91)J2*Oysaoz3K!gNq&8{=EPm=D}VBrxJ0Z9VU4xGo?LpV zbgN(y;rJPTac1to*F)cL)@uZnt=^?IIqAj0^Y6O4WljRwHc@u5CPi{)W)a3&R_iuL zpX$5Bx))65?(gA$q-XOeUlwC7rOGF;7cS3OnjM(7zS_H%7PEAupO)y^&ai z98N3n;nJo z=Dlibp53Z+Hzc;8#fH%wB~X`|8PbG!N^fDdhN_!MFk}$7t@5f+!D99 zs7Jvv>V%5rj_V0;%e^U{i0|trDVo^!tRAdUvMn$25wNk$uS_Gm^+oyBR~gL`9vEE| zmlHCLa?C|!%Ui~#xNI!^NZxizCkr1Ag8J25-Cf;7AID5SOq z^BzlKrYi5gTy{-l6w7ZJVz9PZfq{K&zbM^>xfGObFX`QUz)pu|fZd68WftsBE z>+I7>ZgLu0G*3yO3CTYTi|_naJRVEXw`ql%4#)0bxa~Pjj87uz<%&IyD}N{Xo)ZI9 zHHQjWdrWTinJ}xS!*S{&D$bkyFMPuop?bY32y80LxwQ0(w|8r;>EWxr--uqMogF04 zV9Q&1npjW$EJ_DuIKHy*i=Y+>$AS~sVniyrS<<7^009&8kyH!I*&E&<_6BO)3mw`I?&f~ntY$Tata@%!nF_hJ-*|sINwwAdg^!vePrAT8B~kAyko$9!iM{{w zH;()H_(eo(1y^mtpxVX@l_G+-T|F9@!ObDqt5#54)pMAF_pzMKd-7JPSi+?r+~;HZ z#9@2MnRrdVMl5sf0U1$A6pJDVKDH$YDM$;80v9X(x1s~or0}nYJ%cdVL5afmi09SL zSJ8^S@ylqrzNJJo-(f+|Oqvru4^GEe9yviBvGnlD*>O0bX=#^SD<3C#Qe4KoKXJ+m2++~!^IDDE*&gE2(XyB>FR&BA#C^MO^DpLNYFlexW#9-~YnmCN z(W%&{MS8557P_F2n<-+B%zbt?oN~OjifWSu9F<@;+!s&D0;e_mw3MTE<({2Y)RmN} zez{0OonNpYmLGtvU*xGzMEJmuk0N>^P6S&PdOa00ei!)GR{+karZ@34JD zN?`W;0I9@9FYxEq^<)%U##RiOA>0#(z1>X^hsU9jrVUsma6;<*sgF9gEaZc<&w0t3we-JGn#axAi42><;=@6T~D*LS%O*4f8bG;T{H& zxCa~Lc5wuvXG(jd2w@}DAKVFF*%{|9u03DD@g{{pNKaUBG=-a%lr{eh^X0zmV^lrT zexI51^YvhO_E~TX@uYv4@i8o0>3i$3Oz#w3Sl}1=GLabQ07`>kCO*eWJlyy1B z=1^-uQ&GS&q;*AF!91jtGE%cgU0 z+@V#I)tq>v!8^MI1$`^&nuSoHl^}S}+$X4a!A37ZiJO3bLk}>R_Z-=Uub77y|IK@z z8J`((S0S9_SlyT1IGN>Zl7@XsvT_m9<0SLn_7m8nn{*S=SBKW?|2$9RcZ8h{d0T%r z#R*q??AF6^USj&hF|CC7Le~%XH$R?5wch%Ud4S3jnCV~JtZ=dEf2H$F=-ytQw)+M)aT{5sUaCQ>GRnO~a42W^MkdtzoNNZBr4&wD)m0f@pya-REXMrj5@ zxAK!RK3Zo;r$`kFv^ZHGlw3-p3ll`3Sst*XPw%Y|ij{6e^rrIJ3E~nI0~Ny8-#7R0 zjG;GsBM&9~5Au~?^ztwegV-Zq5Mzu1tqo4`P-&mW#&OVF-l6_@&eZtTGl7vx=rhFe zox-%KOi;L$fQ7%r8G8jL*8a>^2xgF*cduXn`>sk7i3Y)vgHm8H$r(WUCK^w|c6R3nx#+r*S= z#xiH`wxC+Ftk`?3sWg@i+t!Y1&vIZpI#Hcjd)fP3sIDwGw)=jn2g{S~ZLU4^xk@BG{2fsZp$B?C2P3EGv#3pFmAy9cL$Q&Y?_VpXoDpobSrj~k>b&Fkhn_ADh!)^fYQtz?uvmZR9HnN)7 ztVh&lRtvkejry3?&hF@>K4EpSyL+g;tUh-C0CkY{l>O{E^#yB){gO?6#d^(t^Oicy z8ezYCPyN99$R7Pf<*>%s;}g_L))f2mGo764VHhTxsRXu@dy(W2$FRvyb>_FM6sW??gjza|c z@RpsU5cgpYLe&5=qf7~7LEh#dldKBg!@55W;NSC4>k<3}=!Ug45nF<9ec0WY@G<7Y zb4RN%GB&AVGQAy(VnCUfEDG>EEm9qGG%W}L^65*$pBhm!0@)2V@5&G#5{Zq}px^e2 zU(z+7V$*AW3%ZXzTN0BnUoUc<_9(D~+f3VtBft^+WPQT%o5@-gjy1>K^T9?30%ZNq+|J$9@7g z2w8u)?pnZfpWw$be!*npnk||V>mLaLM-p?+o7i6qNhGz@L?<1^PI>k*_WhW8m~wQ+ zOz17q|6{WV?oPw}vzXi)gWQ3K#tVhnTlV%{D`Cu3!kSJmHBkPhQdE_wX8X-1?UPYm z@Lt(;HeU$4DD$(f^~RDQy>y6Pe;S;sp1my5?qN`~pK9=Uuy$*+kpJYex$v;KZbrK> z|Bk+KloVwmSJ*AF(%b)wO;`2(<5xeDXUD6Ci~N2L<_$PG9$G2aAsdR)HQR*M1$?f) z6;RpLARFZDq+gD0>@ zVe|OEqWhy4Q0f=ZvDKVF57?-mBl2U){Y!Gqj%TU+v}i8x5lG#=TssKnq*$fsezqJD z>y6FWqK(YJ;t-g5t|C;tX8Q=2+%sP`eJiWEwjVV*Lw;Swu<+E)Da4uC=xWRF761Z3 zI`ZMx?6op_a3jrk27mWCh!VwZZa=D3nKU4)2`ERO7Pm`;+_zvVN2I6raZpiJ6$noDdQ??d^H zpT;snsH`l1QhHpxGKSC{SN$vnPZ^hx4Xk$iuGyzkC#kM&&{pd!${$V^mo7KcGV|XD zP#2)08&(4JFAXrx1y%<=SS=5!djF|^-Su&`U~nbLcZCfTLtf@4%8 z^Po?ZZgelY@Z*zQK;Doj)7D&dIRDe!3AiEoXUeTpgKG*ds~bc_#GlpVP-3+W*Ml(n zKxi+baAvgh^WBSGgd%^KEJgkP@D5i6va{p!bYIXOiu%TdMaX%y3oca!a!bHqOELzj zBkZ{SHR4Ilw_pVrcB$n|Eq~S8ijk89VXZ22(h%B;ZGgxjpd#}ma1o?<_@!r7cO!N3 zpCFB#V7elV4V$M6DOlBo1;I;X=@#+(C>2yvZmj8x@qPY3OSF1DCi=dNsRJB21Bu%R zv$n`jHVoTR2!yVT#qV4JmnLSf$mCr)U9i^jw#i=w!In;|tILeFk{%UH?-Pw#Mfk9_+Ua|(hy2qb6KF9;ihaVOv z&KCsQ2!Y`$~sT28=~nA%#GN39j30=fZ@bn6ANOJ=AM9+{wzPE z^7n|dt`+2PBBaC|W4@i?=>R`#NX+-lwmyBsp>)Hb*cYH7#DBvaVLp!*^EW3J8pN&> zqq6==}bug_Sd+r2D|Xe>Hi^GL$Jc-$f9O;sRtNu}Py5B$r*{Dt^ODF21C-#~*aFm^MHu;S@AAiUrq8(0%{ z!dlZk1FkbHgLu(f7Q%I_i?hjs2bGFMt+R20bWfCmZxvjLgDHpQ{sVu?$+-%k>r3N& zz1B$haD9fMa*)3F!O&rM^NHuF`s#}?jrH$U2Kgol!sSS2l1}xDUbxL%2=Vs)Ha%A& ztS>t<2)amgp3*aIwbip9Brf&pO_VsUht$7ly3^Nlkt~ssZ9wq~oOtVCUBIZwN;&2e zK8cD4gP42n*@)~fZ@L)TYTs|-sL2yi=>#v95v9Ds!|u6~$WXH{EGnj9@=-R&P5&u9 z1TVgmtY(pYGF4?%gtnBuKmy)z=h?a z3bWjPK;E*Z;s=&Dm5$(*PF*k3(U^S>8wl8mXI?J=ChRD42R0W5E_6hgUElp`>+Qn} z*Y-Ukx^f6_$1ZD3(1;#Z>c2GdL~I`sjW~lELkSZ;L_aIZUm8Io0gs5z9IVC==rG|< zJYt3XrJ*m9_=uRy+0q!2nMfk0Tdn`nz~EC$`)P`o7k-}?Uo=s#I(XBFXs->OFD6<( z+B5w@V~8>lP7I`}EOH;6-<=UcHjO8Pfn=Qz9Bg)p1?A0CT}J$%4i+8cPRQ?8Py2zyrUm=_HD zR(>;28${|NL|~2^@fsLjbFE=AFPyq-K{vWzixle?CEa1nB??B+CtThsmIt6Tg)*se zwp8VxhBwO}{#&4XP~9HBQ~LVs>!S>Oxsp!ofv>GjaAgD8A=$6|bM4VbWh;=eB^dd! zKgutjwKK*o#s;Mnd+Wnp*FCS!dxFt$10bDt3< zA9*+P&+N-jo+5vK0zy-^MO!rX%%?)7sFH`ud1Sl`?^o>h=ze)A;z+lk==83+)jn7< z9asEdMD~U1XMbPH=QPExvY+bT=|iwSez%vVy4L3lf+FgZ2d+S#le!Z6???tlxk-!` zump*DUPXE)ts;2EG30=~bBy4*B2mKOdZ+=AtMj?O!pxjpK^9`j7K^S|N0Q@xKH5&0 zrVUlAB$>8Y^&B?2T9!-`bsn9NcVbTAG{yIAH=Aqg@))?6*CtUq9>8&cZvgUCuW{w%i7|@dl zv(_q=xCkU_`WBxa@(~CuP}IV7mH|})l33B}_w;)OtYAz0UjVSFle-7Kz>3mS(K)W+ zo3P_0kN(nZTs3UpO4JG6MlR?SqdO_hs)Pny@R9U|4iZK|LOUS%5!;Ns22ObB`U-E{ zl5|>+0B8g=!b=TxN=MynTc0C&X2EFzY^`iu*FFqmdlX@C*ErB9@6;3@ zfxP0S_Zp5*FvA7wkhj~7?jw!**&ZLX?YskMo60VJE5O>jR%)Po+Cwb;$2x}omZN-r zA*#3GV-_+#XtiIk4c!jr$9Xxk1YqB|H!kjh^Tl%nB8=7;=WzKI|e4e6OY!DOJ>nAiP zipk=It7X_ETKR)B7TtPvanWp;2ituAGItI|I)=gp zFAofOgZ`zqf~W6ZIyBLF<3cDD%v@vqfI!Cl&mHT~q}=B;p}$bJ&`4b91WC7Pd_TW+ z1(y{(H_{Ef9~pM~iCg_2W^H(6?TN$~6zVH) z1v+=d0*7=K_!5$hT~Xa%(bb<$w{l^3XU4vQWD~(pis2=u%TwqjbobFQ^eWoaR1grF zhcArH3}nu01?i&fg1%vRUi*X`f|S-4`D;_3$EblKFINY5=`O2HkrD#b#z@E-B>h_f zVQN{3@n2Hn9g!A9#q#Bs$n~uFj+|bOe?{%rlk~`)`EOg_=I&;Eolspa`1>H#fqxVH zre|L(?Bd{ePkQ6~->dN?li&aAoY(p)m`?3@uK5h@q5kZ4_B5)4%s@5NG7Q;>z&Oa(<@U>|IS)Xqx!|xHJ zFP_uAMq6AsItM z#2$;mk`W%DJO_T@W%c!nDTJPJEMVA!sN+|1za5*ao35Pvc+sfW2#~#-`sEqVJ z20ri&t+}J4l#H;*u*TF0Rb~wG5G{4ZmF~+i2{Nax0s%n|7TNnSQBHmIhbrPrxhAvO z&21%LkMXv6y5tmI2EfaojzshVmxKxWg%P4AaBZj@G>f<-{4jB$nY6RHzi3QMMbv-?eWF-Qn zWfK(Jnn8skcFO0%fDiOm9SAV}iHQe6pBV}vb2|#GnL?R025=tWXcB$z|uQlcgVIWsaO zt1Ke{yJV)l@l^+wU@f6d10hw|Q8MhjmOLaaAt@CCf}A7tD5HTwZj@*40lVR2gp~C!GJod|HGBiJ}%BNDKJDpqNvzB(L3yyLK#1 z8%h_vG^Yvoo%Qh$o@f@RD3ncxbduG4giTbXHl-y+L}iemASf$M5s;M(mg3q}%GL!yMFAnxUu`4-GJhMUfyK&# zJxcR85wc{+M#xV~!;FAmRZ^pn#1`E>>mitd9>EZ6s>{qY233?buSqJmo*PyX0WtsX zYWlLiyVO7#NIkZ|aZ+u0<7?Ej zcIasjWPHLq8DUvS%tx!SQeDxo^=t)$bhYu3LeI^8ZaTtZo46l;JD}LOFT2`8;3P-L z+$huFcLS_*IVmJs^g*}PY9{jEJp|=1nE*eC6o*ZHHxiU@L<5pABZ+_S5&*=?-=Asw EKS|olaR2}S delta 26617 zcmW(+cRUo1`@g-NJDW33_R8LSlXbRip_CbS=u_O;&LQnxq(P;~c4ebG&#~~zy z-0%DPJ%2o}=l%cl$MYT!y$n=P2BNz77XTaqKmZ6(BEaP?^Zsx6{}TUS2LoWpX0$`A zKnZ`UJjfO54wzyL51 zReKsDivAzU;(ZMKA5y|=BB(FF9^wmZfLXnuX^br_p$|l;{E-<#%_;kR?sJJKF}L z`lC;_cK>nJpw3EH02{>1 zZeJvC1IaD+f4I~MkjC1DL3HLV5svljglaQ-@pJ1M^J3dnaUTI;5Q zh~veg?`ovqdD#aS?eRy+vIrV8!V3~3Ucn?+yFD2-WcvPJ7uOfv_bv0+EfP}Mk*<#5 zFPlset&JF$sil3)VsoW!OKf_gcX?6^Q}lvvluleoNYbs<|k z&u2G0c0SvM09>zza<&GfT&<)MNq|JsxpwhKq&FHav?Sb#N#_!mUa_-c_wrl{F1`HZ z|MbSZy2&Dq3_H~C0Z0>7h3@&teM_r3=z$M=L~vk8JVlo7vl}XCQ16^=mR5&r;l2d8a?$OSE13ie zm1Nn(Og0Slgu#>7($B7kB)!XY>ORKvv2G5}7+PFt_1*4C-u$I$3`y)mP~h+aC+!DQ z7}C{g1(-`3f~jM%d+D5$(mdv9hB;$ymuX@Fuzb@3i$CtOriR}(rytTKUv8mP<_Y59 zK+vIKR}vq6r;wl+h}mU$Vd-;m*QlH?V65-blv1Y~Zt#j~wAPI@N(94(DHn+44REw& zVq)k)3Fw%foIT`OvjuRUQt)0pNOY!T}V@wN++}oeT z7(A7@Dz0^G{`x;Vc?Prr{W7%4yCgG8@C)|l{@ZC_fUh-(P^>UT*yOnQoXv@e1ZKctb&HwtN>F~ z>hD&I+rRN2rT6I&zS`E?&o>iP71jkD!}N^l8wysKPG6&Zg8c`CXqbq!OwD5l*f5CJ zD<|bzxK7BB9w%B=!n*YS2Lz@zLnEKKx^6vqS2$ zKr6bp;`J<{a8r-y&HV)2fgNDIaLP_mKMYe}YjuoSKmJiD>mp?KG6Fd?Pf#ct)y1fu@jKGLupP2l*WM7hgxoZ(lxZN`+N^{X$jofruZ^QJ5 z3ir5{Ao-qhW7E2{{$t6>Wh(LK)S zd(Bb(7A|ib6v_+pfy8Ew5=@iVx1e&oyMhV({&&~F*It^Z&Zd9@pjj?)*&&fv63$*i zhyGspYB#t~-_u(3(J$!I_}S8O;cM_z8*cnb#=uCcPvVN`cv{&pFJ9#*KK;AD_84~S z8B{tf<5QUV0=-K3dU-OzrsZZ~XXp^~GDGKIHCW#m9TkSx$-aNl%}zsT8m}L#Oz)J8 z?${N5q#NGWV^b_9$E#U~mwA_3u;K-nI5&kqsCvTSsN* zg{M8TjYGRJ!lIl^k@!W{b;vR9IRBZ+HSKzY#m896+I3j;P?64CLz8$&Lhf=v=s+ZPOi zhG#~AEAQppO^sRvVe}F-y0CAdXXU9B-T7~>U$ik5>X}hRXERbT zVMF6L(?yn`;3!)sqHE5c>PN%5wA>hfmh2m*Ye0>(>UMM*WAiPfdSiv3xFC|9`=Gbv)GDY+T4v|de=vyIRRiVS zu0Otv@R%eg*|Cp4o;LRJ?btS4r@ZySSeyd#JhJkDgaQ}-TDn{z9$TjSS}%LxdH{Kq z0_(d=wg1AS9&a2jwI7Dwd3XcCUd{rqXP)6*U%I?;C|_V>JWo|j-Uoz4cj7@5rr>4U%A zhbVJokY!H#s)4hti-$qVFm7g)^5Cf=3jyNXYn73|XCUfi*Qli#yQ3KKIquB zS?Mv%lce>GIVfd$W=!~N%b>*H{C7p7RSf>5I&L+HiuktC@`(LO-&C?ODE)c-q4O z|*5JBHqD#Yi-@gFABg@EdM0ur$j{i|7UpGnJS7eB9HB0oZ*44wcr(YN96U zh$W-v+Y@b@rggyNI#(t*dD~O8cj|9@?l`x{G}BWS6fAO-+iG&E6K??j*YwF5zBJtX zqt>*Dv5cY0Sh_gO;%|Jtb>82 zLu&W!HfQ7bB+onmmq$M4K9Zu@ZSc~1Yj~AAQpTd3<$9x4m91LqRB;V4Ln`oYtS<2D z&F1PF3zZEauVcqqtZvBh;_;WY-A=~gRqeRvTGv(aHC$tdim*|UJpzKtxZZ8>Yb~>D zHP`5uaj&27*~Rp|yjTMC`mW%Pz!9ctv9Q$xCjkh6DDmZ#vGa`G$!|fjktX0lME_~Q z;oR-gm(TVRZUGG2BLj(jj5)ZA{hqrxd+cdn)cCMWnRJ2i5} zeVfrH)!Ts0mu}~0pPfU?|3XpLLOB0AIclvOdD@8NlCr+570{DcN%Hd6VZJh{)l)_| zr`NEi#N;hEk+-0Ir6_pjY}QJvker6DwviIC!)daRJ}h8`_(Cm8&b`rj%jPA9C12ei zZX%?{DMIqPVd{=2EJMPn=}1p zss1mYrt5PZ)gp5~5`6-i6(IdochWX(yO7H(Z^legnr=GY^Io7X$6nWW8TW9c#A2-U z<-!|rAL_F(3un2^G{;$;eud&bz{4nmEPFFO>_$GB=KI21s`Gke#F z$4qh<}8mZox70I_1EVrgY9`4WNco_Lib z`jh%iYeKSEg;pjPHGJCYTLJU8o7U?RVj@ME$g9AIsU=#fa4m%-bB4Milz&cs63t(Y zDf{MdXGs$1n`drpWvCdp>$AtrW(Y?M#qEp@!F=smh`vB((_;CLsj%nrx9eTtRH63g+p2)C(8)X(0`EW zYokg+(`qZ#8bT2tLtC&(-7JkW3>XQ$9fJC;dum=94B-z1xxXlb`$1+s)sEhldFD%0 zE^!$<{J_qJ!ZR1xE5A5HPKCD$yHp3tw_1le~w;$iE zS!sPJpGpjaqGHuS`^O=zc?uLnYhjWV3qp4@Vo#iF%A@zzaD2{|Wr*)6LZ#jF^WIkj0JcaK zLq|pU-Mjz%(|H#zcP7s*ANxERxW`R!(Fjr={ z0x4tf^5oi25&;bZ7J&ckw=b7#xNw!Il?ps&79Hjua&~zBy&A1$=25jQk@64!w~6rnnsz=$7>t0(I)e9s*lcycp>%3+7_) zhEg1F_{dEDLi{J#u&VhVAg#Yu<=R-B+%0)pdJwAkucfAiT&0H zEaBv6keU}WgJvFB%YXC{m`d9|I%^TD{q06-4a2zkcrX{rC#^ZkFft*A_F~&itCOF zsr9=9%v7vQGOAOGaxGSV0zRe`jt&|%YvvD-y3@C7p0Fc_8_F3R>eqqM--ePwo41!H zPjkbo&$fF+<{qAkoVjcqkue!wpeDjS>`7mvnW|B$O-xHYOhT0oYnq>Sgb!g&kKAKhXPdEDCJOqkPi~_-7mn3e$mofxH4U1j+e~F*I?c4T> z*>l<`b>lhz`3t98_^H&Q`@4sQ!~7#_!=Reg6SP*|JsI+k8Y8iv4WCgu_S3}ID8S&m zafJ@@8)0HwUN6LzF_ed^IRLI^d`vtZkIevcZj5WTtAOCpA$ub~3yFgxVMolBjKy&x z)oOd~^c5jreyL3HD_!W9h~PZT<*;4xDA;{Xc~tyf&bxFQst|c?A8?)A2=yzjKMQ$& zz`bn>k~%oln7*I~G2~J;v(_|>lefCk>{nBF;O%Up3_D-t2tuj>}Oph zpu;6VPCWRfXA+l$+cpVHQVCn5C`IjYZY_NR)GeqhY@;}b#U|t=lu2TJ^*j#`hn9jN z!;v=eXpY;`Ml!%8^?B1`+`4z3&{mVjf20;_8ll;1UFvv5^Pw|PZ-HXDTLY@-)(PSg z!e1=?)8v{YQ#9vE=p}h>3KNciFEvW=pQKPxm?b`Cp(}j9P>3Zs7lB~9E*<0_@^rR0 zgV)r_1s)Hk_y5OWH&t01VD|_2?ueC~it5ik)CpTwcklmD{S*FORMe0zP4e%Pqkib6 zPiW?R2dFQm%eM%pop#+@@7S#hG7P+$&gXo8f+4LhX2KI+n!}||PxWAW3m_W54@^+x zHQCeCZbAH~^7gtppKn}CDiOtb^k^*jJl@zU`(l4QG^hR1^#w!xj$mlx!aLD!aWuaB zr8zF=$+dAe*Bfpse}8kIkfEaQvZ#|>%NRBereWQK0zMKGjs)dgiYBBv&5 zgJ(rvgy@1r)mRy>Nj1l~Ctqq@J`rH;*kLMqxnhOK<_PjS{h~>nVFI0JlSiKsWthPr ziAM3AfZoN(l?n3MPTbqQVoe28~WHc^d5adLdPmenN1<})nu9+F?D+I}_Q8i~*s zb>K%m;h(&OPhBcS_@y$0NIv}dKnRZLUV-12q3a39mJ6*c*>N9_FiHjHuizVnqM2R4r-Ged#yWXC*HUNa{DKCH_J{JIZD{~Wt zI9V@W_$JNE3 z2WV)!8YK-KJR`m$gzEtu`~H&q%eS7^k^M)Jd09_%6a~5L8Kw<_a+k*PZ6jH3-=Eg6Z^6_Z|$fM>qJKj(ndPnS+lE{U6JK<>#okIMaCqf9w$ z3l;}&ny1nwlr2Injz)-=yfbQ*DmIw8l1r1hAUz;+mEVALU->Y#QBP zleAxh%qA(?N$84N?(YdQ6sZY+nh6m>Ir#b3e{Y4r(xmGMVR zQ>tcE=lG+UeJ>=^O0Mj30}T+X87sqgDo$~j4Oym;%{po6gCoH8b)Dp)YdW%RvzD5d zrmq)}t26|hC!{Zvz>TT8!*Sbo4V`1jy3~2iy2fSj*(0J~>e_Wf->Tv*d9rlNIPXH& z)bDNka+k=@!3xsa#Dv%M^^f-?{`7Jl9YoG9w(;GAVWPInt>((`_a7{OJxr=^Be87K zmyeh0m#N-;rPz?rr>WcCDe({(R%lLOm@sk!k2e={s`UAaIdYAeXwI;u@hPo@KB{eh zt(tn2|3lx8(Y(}#Y*XcrLl<4yWCwtj4R?)%CAxX!NZ;A_z+#9!x8 zL83J01Z3kG&U%C4kW6#h#|k#pyG3TSiC6F2us*%mXM7=s$T9OVC7%plvh)TE(zy5% zf3;x=6DG^%{aDdsPj5R%mxAWlAy6mucRqWX)jxwrc}xzpZ(h+lKME|OJ_>`D&||k} zdjZY%|eXqQz+th2jVBfW-HD< zCLdd)`#8n3&*V=>&9JFiBM1#8k%b%l44sj};Ue=`@gcg$U@G`K8BlX) zs+v6H=BbjY*`_f9jVw!zN0tF^mO-=xA^n=u{h;>%Ye7f2fIkCT4Ak07b>*W0f4H#Uq;X`RfKa9m+tja15Vj~0Dx8P{Hv zJERIJk8t}F_+3bSf1Mc{!Ed;gz)en-%b^r}pBrJ`jr=6?8S?8*!IV5-Y0O-e*a$WHtt;Zw>U8}8pcB2Ynvr0K%p0+@Gv{CA;}&;qY-DUr%2 zmI##NLVjs@)7H|+W!c-msyhqdpuch8K|!3L@@8U$ptjmKYe57vq6Va&AFnx*=U&lM zg#5}BDCy}Gf=bRrT0I4-X6Tu(2r!f_^6j3t6MJ%ksD^_Gz*mlfWz}UL;YqTfd`aYQ zh8>`sKJry`$mBPlpPlX5&*7DqdiY8*?5xLS|6}h7uabREh^X4BHO(*JTkSr(E}xrI zxjf}vo;KlC^L#hVPVcip&-!J%Zb;t(SOI-ep% zQ{{30jZi;niQdKH3O^`}Y?^$zHvEkydf>^oWVjfuP%akERDv+4mnyms!wE5N_YwZB@pNy)|O~-761^PG&BUs_vTZj zmI6dclFF5NS7Bg@2X`C=Y`{4c@YxP~kmsic%PcRO%Wpv?HLLAM*5%7eA@18JHsyEa zi(wCqe0|4M029_7Z2+FmUvT<>8;eP_?>CM+2?@HlM;65uO6NZLFE+wtwtfsz0&kn4 z7d(*W0wJO&(?D{(3np@3|4f!JWvPN6lw=~NLut3?OE5f8AScLT9tw0nyV1pe#R|1DBH*{%ZTJGsMTgGImmhTVD)ctxqjQ-f-^cV|9s z>kc9_^hL&9CO|rkh4a+bh2;Gk6)v8ibya~7o?Vs6n)hUzE_*ZLJ=@rKsI$TAcOXX} z3zrP30#t>Pu&jGiW6-s7+aFd!1ZEfjhL88Ghtmn5#zElC0! zZ2Rr7O!mehDTwhwc%wKOR3x>(?%!l}0jvQCdLZKok32zilYyk4jEPT?CSF(a_jH#DxiabQ>@rrid zI;qKXYkMC~BtO%%Oiw@HR01mW{BVr}Cwa6)P24S)x=ZywRXwEBnnpIA%# zdUT2|DZC?JxGy;b0ngx#iuK&SE(@}PT}OwPKLV+c7`@N9RzGtF7Nl>|uCm*2Jes!UNjLjNuYql}rGqVhKT`hJ{_L4soyHqo`G+Gs`|^#W zuGB%3J#eC5vE;Lm*zLCfAbO8yjYu?I4oBnfp zhRf)AI0qrhhl-tJ-)as~Z6m=|xPw%!_TSI4%;tHJBCxPkS~=ohA$Ac`{&fWQ{o~Ii zE<}6Po3YY_juq$3VOJqd+y~~SM+kzWZ0Qox+ZU>GW;~&t8O`F0FZ9Lt85^He-aEpH#**mtT0tuqlInD5 z_BiLqu8~Q2QduQFrrp!M43--=V$QMP^u5bUP=*hz%F}pDtC_gl3VxN(@VqD3MXJ4K zPl_L_y@42|^e;JZ5z0hT)|vZ$<5>O(uqaFm;IRsJtNg`=wvrBz@B&b-Ho*Rl6;dd- z9u`!8cvR~EG>j7a#YR}1d_GTIrhS0sWOzzck*sZ^`b*imdx(GcOfbNyqO9(_TL3h7 zP0%k~1Ag!Omb)BwojtJz4OK6N9Tk{>U@eb#2s(i72tQv-^+hZ$iyTppt+}R>-Pvn@ zUPElmmpOOtywNEHYTT3nQrzzfx*@Dvup>2YPg9Kp0d9bE{YcCOC>4(Amhjy$K!k%j zn-PBrw%``7CNT4Q-;qHhAxs`lw2RCq6w9PZt$#mkl5X1hh6!kAAFbo8WJwv75t}ln zI%}_C5Rz9|zH3mV{S*hP6 ziLDT_HGbNrIPbElyfue1bqo-phqKq=qq*&~Qos$iOFaezhfWaP#D$O|g;^AH#4b5x zhzSujH!xi#8$Ybb$i(QwzwMN4lVLsLuR1tF?Ro+83vB592m zXIZlfR1^J{9Lk4eK@LkPw3dWtYV`WxysXHZ^ylm#Xl@h1u)X*KWkFMEt z;Q^<)*&2N0F+TTj5f>8V8;fagP!*cmmM;?l&(psO;H%JRJUVk}D2(eD)IM_aBT7ik zt3+y4{0xc#Y0>k|zGN|U`+#w^Frje&WHH|rOASUNj{SswINo^~qwk@f3~Vmd@iyc4 zVa!ooe0$-)&d^Q)EqH233ANH{j-OHr|F)b^_83nELkP^W2nxf+c3t7rMq%z^_=TUrK!^E)@xsmHB%&870c;G!cHb zFF+C{Y7#2DhXQ%7fvO3>HXET{2o=BSaCJ2UCb9+y%)sr+LpfufaSH6~Tw!??*gq_i zAcR9sP(1iQW|qhB(P#Vv20;H3rUI%VxGDKMv_PuZ5k9Fes7iKkMtBtIq#9JPkoQ~| zGTzLMSfZuZQNv|>T^T->Ph3doL`S}<80z^8|8D2_(htzUU?bjqlklUO$7HD!|D;}F zYXZX0$dVHDe?33A1?=M4!uyilcMTjw1H-OQ4#;RL?TQX@rf>utWH}f+ZliETHzuWX zad5Qw!Y#vz3pksUdwWSpZ}IL!k1i$*#}z6v(0pfkC{nwy@$+MOXy24G2$btP-3oJ} zqJK80IHlAvU!ZpGc2R%k$`uy>`UiyR^FIGcuY+J!WHGTlnxB>6WBVD-&lHWmpa!4Q zf1W(Q<7h&or%5FJymo0jX;LzPpaVTuwJ+CFPj5sC`n{;1$tbg*vsUg7Gpu1F(JaC()ZKM1P$3WlM$0+z#c ziH%s@v|Ad|x3}Me00USrg0$|-$MqoRp~aT+v{?&>Z~%g zDj+{enk7>O%p-hMVJil=d&K?5sBfij(SMWjwXgws+k(dvA!xjyT1e?!L@e% z*>ASKM9C21zKsXB=OMc6AG}-o8--)3LFdm}I}|So>7#d4b*_Xr!h=`lMMp!Y#+CXR zOqA=PN~(X=er)F%Ue2u(Zr^Qft2D3{ElW$R8`Nu-fW`~){(vni7)oSrN?z`E_c1(> zmb!hWPBm`8QU5GrRX7CSgmK*lnNC)i`r%BVPwZB~zgXW&S zmYo(XkzFyNccR0mj!S`QpNM~GCwHm;%m4B$F4NwFZQqR|fNnrg;)|0;h=;mtF{g#i zM&uc&Qb7#JVLFA#lz?-rb2vr6y6VigVG zL5E7Y123nZ#Zg3uUJo5#TpN_yz*KK+ss0i(r*|(&(YR>(*v$*^;St;=YDhcR^QK30 zeLu+03H#)3FP!wUoy0FK4cS9wbs?sG5onr|D8N^}krpsu1?9^DStF#OO0YXa|FXbK z>lcLS?I6#NvP1DzC$%1^(iZtWJ&}F`%}9F=iZ{BT zV{W6o9&!5_sYAcmB*-VGyjUab)|WerF1m5EoH*W^SbZTGQL9kxj)2w(_G|Hy-O2Y) zcI%wb&X7yy+e)33S~;HD(&p*kz2?SG)yjr9lQ{(hUQuz=BC6@dYB~>@E-soNEqj); z>8Bu2;it0qLhdH+dgLdWw4frp%C*xv0gZf?;z10_whACQyuzZL5;kS4`RTT858-t1 zT5p|sm0}eSAwP;NZvd>$O)e9+I&D0bka+yIFrwQ581+VoyRhIgRJuDZp>+6Iqicg0 zpBZrFPW)o8qr)H+)D&d1d0c znF}_baAwy`#Ht>7#hJ+4X9^f5T|QFXuA;x?x+z-Fp%yxX?-2G~yoCe4*sTeq1+$ng4OSQCnWuX4^1DfK;C#a3S4I#eT zEuNISU?P)~#1MkL3)Z&!o2dcQwC%0g2S9zCUMHD?Sg7ZyiFi$nYDsxRii0 zwCxr0Knxxzpen-?`ytr{!=8P`b^8ibjEUN6CLQAo{W|@H;^Dnm=b)p17uHnKeodP1 zy~GxjnjSwf$B5LiZ2u19B}MhmB_fhwmGWs_qhIOhf*qibzLmGVl`9Tc$}anAc>>qX$|k_Fdws?PE1t*0km8*50uhQ`3nQ5S(8YGUx*^oluM zo0#`;7;N6(mcE79D?=T6F97#mT(Ck<2J`=+LS+xtBMf(w>rN^1i|UIMcKUD=X5py3 z+Yoq6y^uw<-7HkPvFc_O#hPZrHqr(fq+Jl=uthw>!a}gM%Z7)^T4Pc4StM}%O+-o| z2g0Mop-nuGZ|n)4p`Z7{NYQSFrS!Eik`u9lN9w>P0t}q#jYtdVdz$XledadwI`t$h z+hCYwU*u_nQANF&`g^D*NgDU6;GU3j$%p`%%8Tw1Rr8H-;-d*yvI)qBLFmgA3~O_v|Ul#)VkUg+5a-k;Ps0(Q(W6_v?w z`}%i!mauL&#kXKR8w|jg&;}MJrXL;G4TwEtak&Hx^X5u35)Ny}zK2jHUu_jg_`B}r z<0ES>DfxuZg2d8!@o^^#pu$3GJktPEP)a3s*vwqFq!#J9`Gw2-`q*VpzN_F=(>+~9hEjq~!{Gm( zE2c?ug{3~+74l}UsZbwSfM0YWDK^m6S?SmdQp-p9WeDz)T(;g^n--jT5N~yu-#?wP zk4kD6qPY*ealQcKtQ)E3B%;61H5R})zos(|3FKbkqKQo7ZwU4wjoS+War$Ov*u{Lq z?NTBx=RMtz^X7lFI{9xlf4J?~|LiSt5c~Bp`xLo>W0LzuRA*ok)OY3MDG})SY|!jl zgiRCtCTxa4-w@sWO<7Y4&-A~2RnMY954iWmZTbci9ZibCMUxJS<2VC{n2X4d|z|8 z0a~tSlBicZz2BN{vcZ7Zm&@(ESE;yTpB}PmqrgSBZ9Sb?9Uv$OvoyT8@xSkx(vI<* z^j%9U+Tzj0WAzAFJY7cS%dSD4MdxtTv8_wQa)V4Utt01_JYKnh5- zTYrxMgr_uSF4)&{T1e0BLz5BRrdcP|>AN5(hPq*yiU)+%RK0F%de`Fu@E|!&+j+5C zY(WqAB3MUVRy+zj##2=R7KobE{B5N9hTRrW?(JSVi{4wgqY6Uzcw9e@d~0#>-_kt5 zrrIw+I40EO2yuEb`LspUH9?VQ(6u{9C{E9YPlEnEF9Qy{u#7Igl4_FFivdqSBW7rI zRDIlwNa^;{tLhy)%}Y-KszAFazIswf=F2oJ;4|Wd|Fotp+9wK>fNDvqP2!o$e>6swfYU5)Z>8LC<%{ZtVNEI3MNdu^OwrRn~?b)^P zi^*^kr0+i#_pD^rMBkJ7rH4~IS^wkT42u9LHp&*+e`fcIrK4i$c#q)##pxmU2Mu9t z61Zc<3pOR^^_3}z$H*VMDr95(vDHD>jI~IXn(N#v5C@*QV0S>@1>~O5z5L$L#s$O$ zk0XrNmog7Q)e>|!{fWBq6~)c`>FCK5vF5Zt_m;vAJMwE@qKfk_hS;T7Pn%Moju+XH zt86~HKA35e=IY~@)(&0OQLd-OZv`nvDc{lq->~rK|4@&ADQG@&VSc&YW7+sDU$IM! zrU=%94MKmbDeT9|zy6kJm9^rL9rARe0F6% zT|BE-h_JmUwZC}*vb}s;-bN_Ph9`51BLw9!TNMZXrt<0L$VO3w66vUDPo|HKdN-%u z6DiyDUkpjWD!)5~@Cx{nrMhhl`vi%t$GFbJ?E#5@h#Of=kWR455o&^ArwTzd_P9Hm zuVt5OIFzWWTqmp6BU~*m$u~6l!#hhpSZ+e|s0jgA^ZjGeu=cgLXE`ID3QtL=ls}Zi zvbM9#$m@p`ln)l2LMrWH=v#c670+K$*J(Ud0ZYcp8V`e$tn&csKRZa;iI`<+Q$Wbs zPQ$L`saB<`S>+;os$kr1%4>vujNKt3R0C}xFPrL)(H_hJ6&bCt0f& zf!AiRaB%$DJ}5Vn>(+aS$pL;`=(9&vn){qy;@US?RQfUpm1ipreP;VAVFIwvP zyLEDuVvNeoG{gSH5KKpvxQ}_`m8`!Fu!v^;0>h5Olw%BU1S3fJ+;B3gR&f~JC<_;e zbfNDm^>b}88IMbkOMmI^crq7DT`uMgj)6_4f-nb^(ogZF}WZvn;cT&z`}F^7=PYyoU&jFhTeG%HE#9Qpn8kDQs))iFvclp0mQbkJtA3f%^txQgF>yN zUYO+^AE8|3crZ3k626nv4{UiQk zCCT3DP-0CFe?cX~9zTgdM^!L^9XHPw(9E`G$7H4peOkBdx7Q64`ldD9hZ}?t#9Yq9j z-;Vxd1U~0GZ^1GNUaveazA*$S%v)|(vn2(lT^m>1+;6Ir2fv*2ZxrTZk!g-z9mig6 zH|r-h!%%`x>@*7Gnqx6k&yAdWG|0`NGyP8wbG(lTHcWb@j!l$N49`Q9cBz7uY8&D5 za^*IVDF`^ts;)k8F#0yIldiu%RDux0Y?WTnZ3FrpH+Xg^_{c`_dKpw6RdXDflw(pk z9Cl*is8)u!QKn9gCdN=_OGmr6W^MgfYn3z!vIUx6?3H$J^0wP{ygj=OpiYzwMjl2P zW-@2yN0)!lbxkL0A&?(1s!%Hei8+8^ffG37JfDa_8brjh;WZpKAO_9&A$8VX=;KEC z6hkWV2PZMpFMrR??et(oO#W@=T#ohsZkaQcrgA}nzZW#y2VTd87iERz=fq9*UANK` zbZ!E9gOtu1U{oV&Jg6qOIGZkK5f2A){Ss^=^uzz;A9iVQ^E>HO$&AxBc31bDXl5fJ z?-ET-NQAN1U$7il$V#HpYySPF6R`ZzYU>bP75otQlg*JM%jP%l=t&~$n<~FNnBGj( zp+Y&sv_1ZfhDadL-Anc&@d>)+PH}g#PxoKHxyq3^I z7$4Wj`;#l*{wp_ap=*OV4X+ETlBu(I!Zz*!tNxpCfO%DVepOdC!%X=>te-%FIV^j+GSGOxxFC6%^k=m=L`9A23ZwVx}z2@Y#Pajo4olTYmjr{<|v_ zF(;$;kQ$m{myw?1Fd?7hB;NE{7BI#Idrx^1K5OUN_~oaY0-1b$Kf^viW?#|An@h1V zr;B?9B*kRH|F@x=H?aC2GA)hp56w*M1j8RoC0gRAyd~Ejen0CF&4R1vdC}6NHJa{L zn1`mQE($? z@p|DxLH6bH%O!~pJWp#j1HWw1gYnd#nXl5+Dtr2coAjLBnZieuApM>#u}U;AK|k-u zPQ^r%f?M!w_`;;Ga29x}VM58jOg$)NUUaqYsF^ed@Y84njeXHwbFuOr;FP^Dxdu*r zFmwDSz?ZX`Or*G6ET|&H$BZJohR6gST5?p|t$kSL@Xj%OzMHP}p7)NUH1%n_xWMh3 zmfY}=sS{~EjfDhQp;{?w{d0a9_>!sUyW7PDf4(=UexpCjQKbok+1F4<^S$P5i+}&_ zCt8<-UW`Ta{E;Y-RZL>th){=&|6}Qcsj%14qONW*z zuEj4s`d7|#H3Gb*|Mvu7nYwzk%{E}}ouHbd0IYTlr`~~~eORE;JEfk^041qj8}o*4y=ot z4?3Q|JnIfjqmepRq*Av#X@Y0?1Caq{yG=yQgX0d8btdoaCS{yu1G2wxrUtH$!AM!Y z%=J`Q;bdq|di8I-4fL~cEjsctkUFCXt7m_E2o!&5td;;?G%OHb4v5W@ z-X$wCfzr2NXa9wD%Kzi?F+oU))Z^V5d8V8dY3C)9DU^Hxc?Ete*qDFq?gVN{D`SPw zXfcywK^aVwc6*8VzlP4kAL{>s#8b8+|Eo6Ouz5j;y`~7&o-tXu0^(N;6cNV&m=YJJU3~PN{T<)_n zRQgTjm4!6s>f;^H{&`#I#}^uduLZv_8jmTaO`10Ld0xj`IK9h>!M}`BMxW`4Uq4hV z-QDXHXk@T=W1OjYCgr0tah_j3$&M+746w49Mw%F~%28{ZZ`$Aod3AjkHLbLuzQQ4= zQsjG@kbjcXM&4Q@(JF2xJjkg{zJ20B))0)udb#Fdk{xSxh${=|eMZOFgK%NMfG>wt z#yBmi?)jF_-(OJi`CZWOE@98aBZ)lFVy=gymUWVw8x{77B^;^zP7 zDzg`5#HM_Rc&}{o7MB1fumO{4mj%APAA6aK zpj_ck628rZzNKiG8X0CqekKaZ`cc*>bZ9!m7CgAZ?A?rDADfT5tm|%5_T}2%^HFwnZz{%`Z z46DaeZl{wBEIM!X1c(|DGIX;>a4DA7ngd9z=Nk7vh!kFJAdl-z3m{I7gkx8m(QG^&b|H zQkl0u!#2+Wp9NIHh8r<2C}9xx>h!0plUn(2STp9&@hWyx5QVRgRyriuZ5Z=>So`-| zbZct|>K%F*048S9{kSPZ-sFWKuFQW)c_WVq$Oyu|^8BA}6IW`{2A#C*thv$+MS|%n zUpyRHgRM8kSwIf}!orSfSmFXqinUN!Ea}cj0_)~|A1ts$tQ^;PNbSd{ndP;{M}I67 zd0%H=FUBag{HSQ1uF$Or(`@&KYI)obMt3D%onoRqoP8C&2|c`r!g8HjZPI?juv?;H z|GYkGbcMU?3*Ii;$NQj-?IGGNF)wTb0o^9WMk)4Oi|$fb?s~g{92eSVKpC$@i1!RXO+%#L*(Uv%NR z>|0v}S#f6tA|b*yB*a@0VKQeWy(cv18l)ow=cQR@TXHc_#fg&QPB66;FF3+*(szyvo&&^)r z_UWAW{RR}PF|d6f^}=`4_wC|ZBWru!s#Ps*%c``tlPK=Gz1~4GRqa^QPr}V2VH*XL zYy!5<5ixYO9Usx2C7fvM>zLE-4&%MtH%;%k()S}@C&O86?mwxc3^g^PuPMJY#C zm1AtXpD?Y7n>a#ye>qW{{Ge-f{gI90(Nu+mI~(HQ2G$&>Vl~f`91Du79k@)%u>FM z67T~06o}_t0Rsi{17A@NGvNUas~${NzUT|pDA-4&MqbV7JVwBG>!{TbcTKwM1Tts6P)6E_%tq-p}$KJ_G zMA&_RGv+x9pMAfE-%_I#u8q`b*z5SiGsB(coM(V!D+y~!!yIY6vA98y*@i29;EitN zg3Ao``yt*qJ9&UhtqA86uSlK=qyq9`Uo~ad-toSXhd&UXb?69uC^u{}jf((@Cpksa zRQY)gEqkfC?I>CKclR|c=6Ul_d?xXZu$kc+t{?B&>{x2RTxikL_ZC@ji*E2>z6o)j zm-Clpd@hv8{G9l;L80j@-B>K@Q^ro-m-V8$8bM%w19znx@s(<{ww0IN69U>wQWp=y z&)`>6Y)!P3?7G)!Oj{A46?~u*yAx@;HzBNDbsT#&eEplNnBP-U9y81PH#Z9({D-=j z+fws5!mBIhXWBO%So4@QI&~Gxdm=g#lZJt7(naksRg6cwp8GdPu!MzFBsC%NY|Hfz zve>G6p|)41TI7Zcve;WauA+N&l_I2W9`o3H;8xscezihbJpW>Ps!d#^jU_Usfhi)JE;#e|BU{ zBUw%(Ci|k^Nf%3lY)KK`;vwEK&B>j3tmzph-Lq9qq6ul#s(|%ZlWWwmep;rdpWqSB zS~;q2lyc}aj5iuTSL?$ho36O9MvhZlo2>kiJWu~o(po5Hhb8}4^ zaT-2#EhEKhzx1e&$oBXL=XM*9qi`cFO(s{`RN=6{q7&#{a;w!2f&Z^*hsK-zBxV}^ zrRvHP0e<8ME4m%la|ol|AQ1c8uD}fHINY`}WG9!kcz4Y^M!sM|F>hNy~q_0~_(z zc!my)?*r9jrS|@905u;~iOx^i&V#eCYS^AMvH8!)J`a%zZV8fi(4TrLi$?iE+DU{Lz@1__2XaZzx8af z%8<8vrsR*puytn!9(=q{X1Qyfx92UaOEkbGBBo|ByJ=XI3fAIw8{;=MPXZ5~&YSU~E)4vN{AYsWt* z3`_u@DESQrp+x+wYQhff)K#VPr&Gn%o0Q(3)Mcu!cKVEkXHSYl&o5o!&Gu+9?avSG zWkrWs`pXQSL=AtjDXiT}%Z+Rdnb;*PK5az7ha^_>_BZDL;LY&d9=B>J+iFQ=V~Ww& zd(47Erc?zF9$4dj;^{?*>Hr;3W8r1g>lJ~(u^Zc>2wS+@yB+G7(kY;bt_P9?) z`=KqY1k|wiQkh^a%bfpgRrO>b)@%?e8+j_UVS8eW)<+zT$MQ`UJWZPvl(rGWAAwg@ zgkjsc6fS~PEzBm?>8AhifmQuH_)qRceyUn^2R*NU`KvNm{sk*c+W#s79!nXo1%b}{FkYS3w$HZ z6Klbab$<1v%N-|fMnWT8{Q#s<$t0S%s*jU; zmkZO>Ml~&Ksd@=)(@d8{vg%fHu%(#Qek{;r`xDi?S`%P=x&z`~=5|LwU9L$NczHY? zZhbDZIc?6ZA#XP`L(qV&cb3Svdog$KuX+rXJ2|_fPK$6FRoBi9Oi~*kz9MniTi-g_ayHa1{9J)S7Q%5OOfGUKvbUE!{$#aie$fzYZ5u#-u zChHSdl@a9$AAE;kKyd-4$74eh(vK++8@~BmcshUK@$(=!dc=G6a$oNYiSECspZ7LR zLsJ*~=Qg$VNaHya?Qe zeY`hf*)X0g6jyV?+=Hbpl=x8NsH80al<^J!$Fwc5G-KG+^+EKsvy&;T1m2-^wRp_yR|Z2c<#vsY5Bj@C4ub;>+re9O%d zszZwVJk<%!dxd1Y+^1AmIP-o`vrq6NQOk3u@!qEM4p($*T&zRIH1ukXfZUFC$3u&p zF4X>!N$)cIG#m)Y%%Hi$67%q8+h!wQR4Z6K9!=?ujNsJ79f|l$G$SaNT78zQAu^b)Y@TpC-=uyHo zw(qfTW!d5(gm2pLKjIk$Ni#`|I`d$1etBB*%#pLTkteVNG_w-izf&CVbp~z z=tbX#+wX!HUVC>@=WLBU>pb56gkvYSIp%cB3H9jN?0;Ds+$yR=RYiTp1fckE^Xutb zB_&qrFAo2dyu6se7HkuVkBQwi@cmXBfWfE; zLtTU5D{$@G0yXHUtv1q@UVCtjU#GU{`iOg z<8mRCPB+N@tY`+bE56E4yVsPxy5IUt{cXkTGVvYAK1xJN08wLVG;n>Rh)~f_^;t?D zFwH_0Os3?Zkv&xdjN8=zEhW=L@y@Rg=3+>6;jrybgFLM(KX+43$TiC5yP7IGxz=b& z_z8H*y!b~Vvu3t;%+{+5UDP)!Hu-z3CjoVMw$mEz%E| z6AzwzAi_MVwe{8(V;A1aX1PU0p;8pb;3>AoyT{HyrJx z#D1n|4O3j>8bAl*j^q9VAuk!w-E~j2@bKs-2r-$`Cq0ojG+NN(xa5%|qZwjDdGYPq z`O)Jk%;YhF{$rRTD8qa#rA zmc%ZK?C?vVZ~7$+4qxtjQHW|m!clZI1H-}c(fJuTj({K?&meF>q7Yq}A;KY%=@f=2 zM@*b9!I0!gNz-K*vK*=$U7n$^rr5_V__}oo{!#@Or3&Y$(dg<74UVQ3U7Ml9(cMGW zW9V}X4CzJ;W6s`vbQ6Xt$IP5=!La05?WbEaY&f=dbbH1D&OrydBg2W~>_R`paOJqU z(>)lT9MH>~?!)lq(EaHCjKiFOKzb14270yA`Z-1xCp(9p%gE#87tqf$ z3OPj==*5hSoJ$;f3F9*7N-6y+;~M8Wc!PeEQN}5+pjR?(ajL56w;45@JGJyW#$8VR zJ^Fn{1E=u;{UM`?)7(ODWwdb~wbMHok2#%B=v|C%PERkrkI~N=7^FXCJmU;Krw=n; za9+Nmzh;bZM&HoK7;ib_@96It6P(E@`ZQyPGy8!)_b+{YT%dnqeCB-l3ex|_SmbcO z(Rqv|&hiTVJ7bme<0t(W<2UEe8hxFy!TGyM-(qZYc6RB|S*19{^;WU#GQP@u!D_20 zQb&9?1rhFdd%^Jl!j|?+)wdW>NS%TsLjN`eOGl_u+&=VW#Nb4foODbS+EaQ7pRyG0NNi#ht-sciXTf*w+k5 z3kR#%>|DuwyItR6wouV?VqL?>Yu07H@&*>u|K5SC2b`in)hl;$#H+8fH{4uf&u1+z zWUDtX)=#|Oq;7s)yrI4^=OZ>=$jh2(;O<9LBYloTz_H-ei>fx)U1P|N7sL0YU}vp+ zQXKv_d!H3LZ;b09`8;hB1OyCf7uzCk=vt}t*@7zB$=ks;NIUL2YF ziqJewX?I+ePo;;CPlc9-v+~`ISqYQa2i*XQwVS8F83DU}(Rqe^n2P4&=gLQSLe|gs z-{`l>d#vpAnC)Bj4e`HzkHbAn4(DKzH4~nG0PBEVGm;f?SvtzD2C@Zs%4`@6E@Re_Tzh200Z?LR`^>{zxmzQ4NdRVErr;Vb_XBU1>*?Jyw*@hqV zCTc?0U3W+4c_#hvcG6Edv({{*Jesi-()l#X(~$a6k3ZS{-=>cp zmQ0vRa6D7ZeOtWiExDS4D=f^Mk(d%GjW8rWi7Fpt5vWt5QhwzYUuiw2)nXEwds-`n zcq2>^)na3HV;=`V;{%j)(~OV(sTMYIZlZFhSRIrAEnOS7g2L}m4MNAo9;qj)+rBK4 z*{*zrt{g$TeOIJPO7)3ZSI%u6Fxy3f)@C~p3;kyCsn6ykz-$}FbaQQb-mdSQqWQYy z0d{+MzThrIqc~PvG7f9Vbveb&m9{~<89hw{WK#9g?l7A|h%-ZRaJ~KC-?G}$*JZO8 zgEv8TvdM&Y134j!nB!9kqh^r1dKVQTYwH0XckvlnXxZyX zCBwD+(TXQ-D&NtRQijpoow3_tlvI2PV9UzQQ_eT>Lf6col+;+PS!rs*8bVVJ5d3)* zNcwpO0H0I&Ux$}J$v|cfK1SNJ1)&WvWm9j7RW2&M#GiWkorFj;s!Z`7%h~8%_LNUR zzWPSD>-48dB|uVo5B&BT!ua_6MXQvc0uZ`7xpQ*&>h#B}Ba&Ae&#hB>T6`3#iE)eY zvny9W{B1#X!>8x5lXF*R<>9S4`))8-;0*|c_RGC}s(o<8bN&XYFv=yhrXwWK%eZMY z8~sW>F5O>#4dJnvW%^ofuJj*nrEnS!u&vpBs;(c;`g;AXB=+w5VwfOzO-A~8er@$qiDmwbuuff0Q zqx+r#_mGW2yL&*u-uro&Z`cgI8i6Bzhz)-{X*KHE-6(^a=F)26qE;cWHaBy#rdKQ1 z9qQAYHZ&o{x|>)1IM?evt6z*L(l6-=jwZ?P=1%6m=4t`>iY;>PSZILe+|k^p!c}`ulQ?@e21%V+EY-&9SGMmK?(+54>b|d8Zeklhzskrt$Nx~yhM70z z`-++mRa~~MLGg_&*g~Lr|9XX={jad>?=jPYlx;#^`Q+t7ja1!3INO4=+>J!Y4R$$E zuyGChqPq+In3}#n7=7ob*4%QH_wH5c*rWK-Blxo!Nz!4yfCMK))o>h7D@D0WOt`W) z9%piv9)4kUSB#puKC?j;t%$#BLz2P;2?xlHzM6)Xx7L3$HW%sK zj0R!DO)w#XwYQXj!4mAblQJXK;#SlG{Nu=D#IwRcymQX6Ad%k_{(?(k8~8Riz)Q#v zt~vpgD0Xcs?rQqG(ba^ecX@CjB=N{>v3Qy+X#U(FQ@-Ume558(N!MT3!zF0MW$*OU zcwNm|nwQR=9JLVdI1@&ijv7U3Yj$a+)B%p1iF#ZAo%9Q}r?lQbX^bB`A)eE%sOK1lo6! zbYKaqcFbehg>>T>@~@f&I%bl@T-sJUrnVeQx^a5ruNoN>Ur^Rd%w2+sNE)h!9ad9D z3OV{*3?Z3nn6JN8`~3dmaxkgP(@%Jg*>h1kF%V~T@?XsZPU~$XHrNEjL}G*)ftfRJ zm_joSX_{!et~D4dTx`$be_MlLqBthr2PvX!Zh1D?bWLQ@qgBNR9*K$!q3@OO0NSX< z${BL7w&DXB0kHSQ)ft+2So9x3nBC?{H4L|@QmOc31_|_GPn*4wEA~ava7h|b`!y84 zTiq(Yj}Du1)o{N5M*j2Q=ZA^X#qwH_^v^B!aD_cmLsFmrUU(E9Dpi7v%*V(~{#JN4 z-Yn-<*6;n-LbI|xKrwt-BM`Wz(8zuUq&zzr5c%Y|f`CiDRpQ1wzsROcHwR^^)>>WC z?~l*ly9s^;zXQ0}`*XG}|KY~jq_F(^Fb0RM#?A!0}=R0~L7_Pp^aHwm2ify~BGuv*cJ*O(`3!}X`HCJdE7 zQZ({2tH|K{ajlSPNy>jF(`lcJr_G7@HWZF-ei)rV)R|6b1mk4Gif+A;R0?L3F4*(o zU;{=)XIA8WO?UufMYLe@s_pn(A3!`B_iJa*mC$1c?2Wdsp%UqeA&2V-E~6Si?K-)GuZ&H z?l-&>;#rTuET#3s^%AuTWR#+`o`Mnf#*Bbj-(hw9R;L3dWe4H6r`{l3Uo@SEU?HzM z>c9;MkB#vGT7ElU^^vXm!>-u3%|*_>TflioZ(zOuO-rMn%iMh|`+per!s`hIx21~i zx@b?KHs`foTENDm%og_pe8aFs&P{WFxJZ2}Ux_}JnUCGO411I=z)>NBJW2*1L%I~1 zMi;TgnXi}iD*cEe zkg~No$&AiwiRRzh04JG9RMa2hBAj=0PBvwMh;TqoBUnRG9x{!t#LF;T8FhSi;=Tvr zc{ebQ0K(>5E!O*5uvqwevA1)Ik~;OUk}{o@o5-9OB^=|CRxWJp`EuF5|V^Dqnf$E z!=?ORN_iFpC zBb4(588+D3-JLr!8$g~-%f7Md6QX*?`pRs1Q=Y5Xe=dD_HNw+xf$LHC=M`v!NK8e8 zQ&?Y)BV!zqC#9S!s`6$rE3W#Ks}|p4_!JhdK3d`*wHS!Ig!mM5ITAW?g)Xf-R7#wR z0r9C8+17ath5wks4&qLTdR`GF6~?_w)||!@ZQP%Vfka8&J$jJ7fuWJ{ULDQfW>~&c zWG!>%fB7$_qhqLo{lL*K-to||@CYcN#a z>XSZ0*53PFoK4Lwj)0aA0JLDQn3*=f20UO8o*+%zyU)b5)ok3-YGc2(jSs!4L_go2 z1}iffvOTcm>gcSkc@fZ*OV=5>c{DiWSSF(@^F()V)X7okRBRlR6`uh9IsM-mfPAqc z6(LlFO1nu#*&p?%YQ&DPLcC^E;sCoE(bSDpO{$qW3VT;oHK9$Mn)}fAvLIp!xO|^q z;3yDl>?Cl_H}3#jLw4yha4ZX!EDZy?0r*1X;`NFS^&)sE!QvqTQR?A#;!w47spG*D z_SLqf*7OrWEg=Y_08o4k!RM?isxLAIa~4w5mmZT0*ood^B351-weLlcPv})^mujk? zP^nfbRj`U+M8M7>p!XISOn?D`pl>uL>nwLvKj59e-u~wZd{hr6X+4xG+8cpDoH!C; z`2fNGIRSt9{06>+i_ocwgx$Z6;OQ@peQ{ox)1Mg|cYgC4)bAd9?A&%w|M-}PGw8(% zx+4@PjHooRn8o1q6W{kEoSXCXUB*@qM@#NA1K#mt2a)#?(wVCNYgIxN)1-5qZ#!q^ z>AV&=HWx{tP5_BA=MaVfvRfcs*HK9A0bJw;9DqL)N<;t%1+}|KJs^V(xOVeBxr;+1 zQF8zs0Ydm)j3OEfgGjmtvPN)*Dd99)DV=bu=bRo@IZL^tB1XYuM^#5hZA6}!)(mb5 zugw3OQ9oA~A^{0DM*|uJzAs}yaK@8LOp*-)J1S7dja?Z+hl>+XsPxClII+%c)Wg}o z59T_MXTEE%3s(!Rcyyt@l6cLtvKG=sATt;vlzIxN;5+9#)CYE)aW zH0@6N_nXB5T>^n*>?a4|k||nDWlsUfP)S@uOi)NN8p$su@rTMM78$j0tMk`glY3q2 z+R46(u-zrk3lt5K@RB1aXo&k64$;&~j>1I$_sIL`&Z-_C&Zy-lnGd4yU}{*bR4XX| z?G}WPqFCX))zx;5i3E9NDtT7e#qFP2xJQSm_XBIBofK`Ls6bo0E~ao{q(`v~{NB4y zSIP@gQI=FqwDNaeQ4G~%M7s-61R(MKB6tCsxGp3i>o0i`6qFKJ&WF7fZ)lx*B2#3& zg?2?QpM3LAxRMg~RBtFC@S((i%K9IBHQiN zPulZqw-(kBdpKN({N#gK5)zB-25OhZ;9G~0u&?_3Eiz~T0Yi(jfqw&T3Ecu97Gf~~ Mh+VTP{&2qT|2IZ%oB#j- diff --git a/fonttest.map b/fonttest.map index 7e81de1d..874158f8 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: fonttest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0f25:0000 0000e710 +DGROUP 0f26:0000 0000e710 @@ -33,27 +33,27 @@ wcpu_TEXT CODE AUTO 0000:53c0 00000058 kitten_TEXT CODE AUTO 0000:5c30 0000080a 16_hc_TEXT CODE AUTO 0000:6440 0000158b timer_TEXT CODE AUTO 0000:79d0 00000235 -_TEXT CODE AUTO 0000:7c10 00007375 -16text_TEXT CODE AUTO 0000:ef90 0000010d -16_in13_DATA FAR_DATA AUTO 0f0a:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0f24:0004 00000000 -_NULL BEGDATA DGROUP 0f25:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0f27:0000 00000002 -CONST DATA DGROUP 0f27:0002 00000076 -CONST2 DATA DGROUP 0f2e:0008 00000102 -_DATA DATA DGROUP 0f3f:0000 0000055b -XIB DATA DGROUP 0f94:000c 00000000 -XI DATA DGROUP 0f94:000c 00000036 -XIE DATA DGROUP 0f98:0002 00000000 -YIB DATA DGROUP 0f98:0002 00000000 -YI DATA DGROUP 0f98:0002 00000018 -YIE DATA DGROUP 0f99:000a 00000000 -STRINGS DATA DGROUP 0f99:000a 00000000 -DATA DATA DGROUP 0f99:000a 00000000 -_emu_init_start EMU DGROUP 0f99:000a 00000000 -_emu_init_end EMU DGROUP 0f99:000a 00000000 -_BSS BSS DGROUP 0f9a:0000 000004f2 -STACK STACK DGROUP 0fea:0000 0000dac0 +_TEXT CODE AUTO 0000:7c10 00007383 +16text_TEXT CODE AUTO 0000:efa0 0000010d +16_in13_DATA FAR_DATA AUTO 0f0b:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0f25:0004 00000000 +_NULL BEGDATA DGROUP 0f26:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0f28:0000 00000002 +CONST DATA DGROUP 0f28:0002 00000076 +CONST2 DATA DGROUP 0f2f:0008 00000102 +_DATA DATA DGROUP 0f40:0000 0000055b +XIB DATA DGROUP 0f95:000c 00000000 +XI DATA DGROUP 0f95:000c 00000036 +XIE DATA DGROUP 0f99:0002 00000000 +YIB DATA DGROUP 0f99:0002 00000000 +YI DATA DGROUP 0f99:0002 00000018 +YIE DATA DGROUP 0f9a:000a 00000000 +STRINGS DATA DGROUP 0f9a:000a 00000000 +DATA DATA DGROUP 0f9a:000a 00000000 +_emu_init_start EMU DGROUP 0f9a:000a 00000000 +_emu_init_end EMU DGROUP 0f9a:000a 00000000 +_BSS BSS DGROUP 0f9b:0000 000004f2 +STACK STACK DGROUP 0feb:0000 0000dac0 +----------------+ @@ -69,7 +69,7 @@ Address Symbol Module: fonttest.o(/dos/z/16/src/fonttest.c) 0000:0064 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0f25:075e+ _inpu +0f26:075e+ _inpu 0000:0370+ INL_KeyService_ 0000:060a+ Mouse_ 0000:0662+ IN_GetJoyAbs_ @@ -117,9 +117,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:493c+ MM_TotalFree_ 0000:49d2* MM_Report_ 0000:538a* MM_BombOnError_ -0f25:08c0+ _beforesort -0f25:08c4+ _aftersort -0f25:08c8+ _XMSaddr +0f26:08c0+ _beforesort +0f26:08c4+ _aftersort +0f26:08c8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:53c0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -137,9 +137,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b00* CAL_OptimizeNodes_ 0000:5bb0* CA_Startup_ 0000:5c00* CA_Shutdown_ -0f25:08d0* _finishcachebox -0f25:08d4* _drawcachebox -0f25:08d8* _updatecachebox +0f26:08d0* _finishcachebox +0f26:08d4* _updatecachebox +0f26:08d8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5c6c KITTENGETS 0000:5ccc* kittenopen_ @@ -150,7 +150,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6224+ get_line_ 0000:6296+ db_fetch_ 0000:632c+ db_insert_ -0f25:0484+ __kitten_catalog +0f26:0484+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:65ec+ LargestFreeBlock_ 0000:667a+ _coreleft_ @@ -175,8 +175,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7c15 __STK 0000:7c35 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:f006 textInit_ -0f25:08e4 _romFonts +0000:f016 textInit_ +0f26:08e4 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7c52 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) @@ -188,10 +188,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gets.c) 0000:7cb8 gets_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0f25:0000* __nullarea -0f25:04a6* __ovlflag -0f25:04a7* __intno -0f25:04a8* __ovlvec +0f26:0000* __nullarea +0f26:04a6* __ovlflag +0f26:04a7* __intno +0f26:04a8* __ovlvec 0000:7d82 _cstart_ 0000:7e55* _Not_Enough_Memory_ 0000:7f87 __exit_ @@ -201,10 +201,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:800e _big_code_ 0000:800e* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0f25:08fc __argv -0f25:0900 ___argv -0f25:0904 __argc -0f25:0906 ___argc +0f26:08fc __argv +0f26:0900 ___argv +0f26:0904 __argc +0f26:0906 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:800e+ int86x_ 0000:8191 int86_ @@ -223,28 +223,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8322 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8367 _nmalloc_ -0f25:04ac ___nheapbeg -0f25:04ae ___MiniHeapRover -0f25:04b0 ___LargestSizeB4MiniHeapRover +0f26:04ac ___nheapbeg +0f26:04ae ___MiniHeapRover +0f26:04b0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:8441 _fmalloc_ 0000:8441 malloc_ -0f25:04b2 ___fheap -0f25:04b4 ___fheapRover -0f25:04b6 ___LargestSizeB4Rover +0f26:04b2 ___fheap +0f26:04b4 ___fheapRover +0f26:04b6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:85b6 _ffree_ 0000:85b6 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8623 _nfree_ -0f25:0908+ ___MiniHeapFreeRover +0f26:0908+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:871e+ _null_exit_rtn_ 0000:871e+ __null_int23_exit_ 0000:871f exit_ 0000:8740+ _exit_ -0f25:04b8+ ___int23_exit -0f25:04bc ___FPE_handler_exit +0f26:04b8+ ___int23_exit +0f26:04bc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:875c __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -263,7 +263,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:9103 __doclose_ 0000:924d __shutdown_stream_ 0000:9267 fclose_ -0f25:090a+ ___RmTmpFileFn +0f26:090a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:92d2+ __ibm_bios_get_ticks_ 0000:9349 clock_ @@ -274,7 +274,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:956d fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0f25:0098 __IsTable +0f26:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9765 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -309,35 +309,35 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9f5f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0f25:04c8 __8087 -0f25:04c9 __real87 -0f25:04ca __dos87emucall -0f25:04cc __dos87real +0f26:04c8 __8087 +0f26:04c9 __real87 +0f26:04ca __dos87emucall +0f26:04cc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9f6e* __exit_with_msg_ 0000:9f73 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0f25:04ce __curbrk -0f25:04d6 __STACKLOW -0f25:04d8 __STACKTOP -0f25:04da __cbyte -0f25:04dc __child -0f25:04de __no87 -0f25:04eb ___FPE_handler -0f25:04d0 __psp -0f25:04df __get_ovl_stack -0f25:04e3 __restore_ovl_stack -0f25:04e7 __close_ovl_file -0f25:04ef __LpCmdLine -0f25:04f3 __LpPgmName -0f25:04d2 __osmajor -0f25:04d3 __osminor -0f25:04d4 __osmode -0f25:04d5 __HShift +0f26:04ce __curbrk +0f26:04d6 __STACKLOW +0f26:04d8 __STACKTOP +0f26:04da __cbyte +0f26:04dc __child +0f26:04de __no87 +0f26:04eb ___FPE_handler +0f26:04d0 __psp +0f26:04df __get_ovl_stack +0f26:04e3 __restore_ovl_stack +0f26:04e7 __close_ovl_file +0f26:04ef __LpCmdLine +0f26:04f3 __LpPgmName +0f26:04d2 __osmajor +0f26:04d3 __osminor +0f26:04d4 __osmode +0f26:04d5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0f25:04f8 ___iob -0f25:0912 ___ClosedStreams -0f25:0916 ___OpenStreams +0f26:04f8 ___iob +0f26:0912 ___OpenStreams +0f26:0916 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:9fac __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getc.c) @@ -350,7 +350,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a21a __FiniRtns 0000:a21a* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0f25:0660 ___uselfn +0f26:0660 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a27e __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -376,7 +376,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:ad5c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0f25:0022 ___Alphabet +0f26:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:ad5f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -386,18 +386,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:ae0d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:ae18* __get_errno_ptr_ -0f25:091e _errno +0f26:091e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:ae1f __GetIOMode_ 0000:ae54 __SetIOMode_nogrow_ -0f25:0676 ___NFiles -0f25:0678 ___init_mode -0f25:06a0 ___io_mode +0f26:0676 ___NFiles +0f26:0678 ___init_mode +0f26:06a0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:ae89* __set_commode_ -0f25:06a4 __commode +0f26:06a4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0f25:06a6 __fmode +0f26:06a6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:ae95 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -424,9 +424,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b49c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b4b8* __get_doserrno_ptr_ -0f25:0920 __doserrno +0f26:0920 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0f25:06a8 ___umaskval +0f26:06a8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b4bf _dos_creat_ 0000:b4e3* _dos_creatnew_ @@ -437,9 +437,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b6ec vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0f25:0924 ___env_mask -0f25:0928 _environ -0f25:092c* __wenviron +0f26:0924 ___env_mask +0f26:0928 _environ +0f26:092c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b72e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -460,7 +460,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bc84 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:bcf4 __EnterWVIDEO_ -0f25:06c8+ ___WD_Present +0f26:06c8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:bd18 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -473,104 +473,104 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c2c0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:d422+ __fill_buffer_ -0000:d671 fgetc_ +0000:d430+ __fill_buffer_ +0000:d67f fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0f25:0930 ____Argv -0f25:0934 ____Argc +0f26:0930 ____Argv +0f26:0934 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0f25:06ca __amblksiz +0f26:06ca __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0f25:06fc __Start_XI -0f25:0732 __End_XI -0f25:0732 __Start_YI -0f25:074a __End_YI +0f26:06fc __Start_XI +0f26:0732 __End_XI +0f26:0732 __Start_YI +0f26:074a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0f25:0936 ___historical_splitparms +0f26:0936 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d7b8 _DoINTR_ +0000:d7c6 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:db31* _heapenable_ -0f25:06cc ___heap_enabled +0000:db3f* _heapenable_ +0f26:06cc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:db42* sbrk_ -0000:db52 __brk_ +0000:db50* sbrk_ +0000:db60 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:dbba __qwrite_ +0000:dbc8 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:dc1a fsync_ +0000:dc28 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:dc1f __flushall_ -0000:dcb3 flushall_ +0000:dc2d __flushall_ +0000:dcc1 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:dcb9* _frealloc_ -0000:dcb9 realloc_ +0000:dcc7* _frealloc_ +0000:dcc7 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:ddb3 __setenvp_ -0000:df3f __freeenvp_ +0000:ddc1 __setenvp_ +0000:df4d __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0f25:06ce ___IsDBCS +0f26:06ce ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:df9d* _ismbblead_ -0f25:093c ___MBCSIsTable +0000:dfab* _ismbblead_ +0f26:093c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:dff7 __mbinit_ -0f25:06d0 ___MBCodePage +0000:e005 __mbinit_ +0f26:06d0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e0d2 _mbdtoupper_ +0000:e0e0 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e0e0 toupper_ +0000:e0ee toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0f25:06d2 __8087cw +0f26:06d2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0f25:06d4 ___Save8087 -0f25:06d8 ___Rest8087 +0f26:06d4 ___Save8087 +0f26:06d8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e0ef __GrabFP87_ +0000:e0fd __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e122* __init_8087_emu -0000:e128 __x87id +0000:e130* __init_8087_emu +0000:e136 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e185 wctomb_ +0000:e193 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e1d0+ utoa_ -0000:e271 itoa_ +0000:e1de+ utoa_ +0000:e27f itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e2bb strupr_ +0000:e2c9 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0f25:06dc ___EFG_printf -0f25:06e0* ___EFG_scanf +0f26:06dc ___EFG_printf +0f26:06e0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e303 ulltoa_ -0000:e440* lltoa_ +0000:e311 ulltoa_ +0000:e44e* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:e4b1 getche_ +0000:e4bf getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:e4cb __qread_ +0000:e4d9 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e4eb* _dos_close_ -0000:e4f9 _dos_commit_ +0000:e4f9* _dos_close_ +0000:e507 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e508* _msize_ -0000:e523 _fmsize_ +0000:e516* _msize_ +0000:e531 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e534 __HeapManager_expand_ -0000:ea3d _nexpand_ +0000:e542 __HeapManager_expand_ +0000:ea4b _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ea90 _fexpand_ -0000:ea90* _expand_ +0000:ea9e _fexpand_ +0000:ea9e* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:eac5 clearenv_ +0000:ead3 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ebfa __Init_FPE_handler_ -0000:ec33 __Fini_FPE_handler_ -0000:ec6a* __FPEHandler +0000:ec08 __Init_FPE_handler_ +0000:ec41 __Fini_FPE_handler_ +0000:ec78* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:eefc _bfree_ +0000:ef0a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:ef21 _bexpand_ +0000:ef2f _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0f25:06fa ___FPE_int +0f26:06fa ___FPE_int +--------------------+ @@ -586,6 +586,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d960 (121184.) +Memory size: 0001d970 (121200.) Entry point address: 0000:7d82 -Link time: 00:00.74 +Link time: 00:00.00 diff --git a/inputest.exe b/inputest.exe index 21db21d211628eee70de4c393f3f733222fa59e4..e3d5e00d85a623d36587140b5115aa42b060c75b 100755 GIT binary patch delta 29512 zcmW(*cQhOB_s$+dBsMXE+M}phdj(Z{)>hhT&61#15@JPAs;%xC9XhnMc3NUqpWO+0%jKY5R_0oW=4+}HsCKJk=Dd;ohN+7F(43mS+nB?|zb z)E8X@0ZU%WfDfR6PhQ%fD}eub3xXyH@|APlMVXQ(B$ zaD=wpU6iZ7&)Sv~E4tbU_tegjQ@s%7-i%NHIep;Ka|t~9RXbiM1Fe9~!9q@s{uME( zg8#)c$RB@umYkAWl$WoR8TGs+#mc5kUt+c987zfM;Vn?NI}PeKq^_i=QOUUm;xxF< z3ubLsbDY^6E8MNFHw+^3T3eMN_MyY+otH?x!{*WF20rCx4Gs3ER0A$V6JaN16_;&- zyJn;}^A+4Z-X2xM9gCK3AOGu`OUB99Gy%@`kNL&Ov8FvrN|v^>gWVvj>ADSewcmrM z3c6#r6%>zz^L>7>Q@=^v+9U&s4u1o9pnaVSABRxaZ8?be>0D1@n$4%WmcW|E(l4>_-+@*dgVLfF4RFe8-{X4imvWfXm>ZJw7z?}PKgT|6Ektt(?#Ng zl+>ZT&z){zA8H>u&mLSgqYz;?x!n}vB!AdgShf)%MOc{_nEvrt7RKb?vseO;Cd)z; z!WI#+c0XV5d}nC$---5dW07c&?$vBuZ`Ho*%VLXKMoF6*hjqaD5RA4T<2!_5@>FTnJ=d46oG}akMghV@vnesJ!3;b7gTeVVEF(#C-aTjF?Cjk^%M+@2;7#St9s$}%fyTE}Sagp{z`Y3^Eu+U$ zx!?ZkIA9*Cvl8v@*DFcCPhTnSQr;t}pi5_S6}x+k4jZ8$J*36;Zt*O#QNJCG0tlN? ztjYSfs@6?=mB!xTs!WR*syQ8s4%8u!AwHXmAKk+BlEZ_eW79V)c$Y1m8|Ashvjq;Y z8pT0TnRXP3yJh4{ZtQUI@G^Il!i~^ zsrU08b%sS?O2(zmv_K^t3wgh6nN(KYIdN!3^G}{Q$Q(0|Yb8j;mQC6^lMf)?AHHD( zf8V9$occJ(hUTMsl`0lt?iszln}FFP09Fep393fn{EaVdjxZX>y2P&Xj`W9TayNM3 z3saA_Da6sa-7kbqkN$x$*DWPp-H{v_2Pjl^BU*D~Q>vbu70v)~<@IPl3*9a8Ld zMY$vWOElljC;IRcHb;K1kvD05S%ja@Gy1&=0Wv7DTxH3;0U0g5Zki|`TImJIDB1al zlQCUn*zSw&ro5$Wk93~0LMqHh;VA^}psDOuFWp?ie-eGe4Em)k<4t!0{5`HWUb5+^ zA(oWpvf9Dl8J1V)QgTBmjpua8>%8`LdRJ5BPseu3H$k;g@ietrGEZ_dvmd~vj)DAE zcWLV#M@KoMZ*{9j+md;L&^f4Jm@k3aHF|b0c?0?cZ6TVlD|Tyz!ntCZT9tzS(P?_L zEAHTlmN#b|QFVV3{G3kh(ys3$_}(n&)|vj*c46V*WCtetLFS2(C+&&Jl4I%a_r2lj zqrL+de(%r;t5#GR`ER{rc#9=XL;Pw~@-R;OT4C3tNx!7Ik zC}xPAGE%1{$lmDOrtz*x_I32qq@C=}W{5Bb zUsd9N%Zv6`}!Pjv>C19Yb%gm!cPoyCo< z2)<+G0!DLJ`Gg{5*BnaXv@GeL9ZKNHDzkC?RT?iqcf zXNdv8XqY_(I10yLEnRonuO;F{tU&ys2dRWmkv?PsHA^Ik zXVgF&zCGEgH6~sQvKj3$yQ7WGw7;GrLK6?0Aop(WB9DHniqV;K*N8(_@CDbs!n^#6 zjBb>1fByl$%p>xF;saWSaLqTm3QLFpo_%5eT<_9DIoi>G za&FEsaG_;SV1gyOtrrhJvH)o$;y#s6uCPH<4u!O}LS3#%Cb5sthm63Hj9Q5bB3c`h^Pr_U+PS&mgx_PPsT( zVbx96d zfy}wp`klWPIs+CJ*#>o148ahEXIJu$a-d{u;^dHkEf8W;9;S_j4P${FZYjk15mvad%LEdM1U zPH(zXod+M;$g3>%!t)d<q z(?oA{!N&#R>F9X8cjK>uh|TB)71~hZc8JafiD?wDPRe0?@BWBiV_mZ7&6L?WrZD+$ z)0!P&!`Zu}OgRDD3td`TLOQ@yp_GX?Sg+rgtOSRx4up`k9gn&2#VUM^{nYznV!i2; z>;qrlvrFbBpMao^+BdCSjqvd^&e2R0^RPe`+Wl#6LglyDT(LO> zWc&U!;Bdx2#pZh7pVzvAT;Nt>LjoIfF{~D*bf>D&MYnvIth{%p9teEyv!$;3r#D_- z=zs^^V0j>!n$wvmRgoMx%e24JIR(B?yzdGgPu}$U&^Puk7uLkH)bzqCfYv0Ec&8+~ z?2Kji=RX{R4?p;M^E)Y&_#x2gaT-td*LgOc=dr-&@#**nhKlkFf!4;dpCjJ)m|?%tPbYc-VKLV~58WFP%-Xg|$o@y{A{}2)tek`I_v} zupM$df_~Nbw{RAtJNIz&Z&QD9+}VtroxCO-bURQ~WJY1xyjUE0S5pK7pvp|q%)jd5 zPS%zxTsGtXsCXLhmrK}umYK>O1+*(Delar$_-#I*XWHu#tVaO@j84}bz;FI8W*%~H zSQFknPL4j=%Knxd`Pn`O33e`J?79QC{*e2(;s8At-R9^cpv-4oA4fozA9Xj|31m1a z{O0A9pyY&ys?Lo(X?16#VLj&Z`ZKq*w#VmCF0*{3l~7LCE=Q}~RA~fY##WDWwE;d5 z^paKD*c(@MZ?Bd=nA2xc5@E(WpD0(rESd=UdKTV3eo|5A%*n%Q)>-8fg~LK3Ib=j{ zQL;e!$86hY65}p)B@yS6l=(F@j;q>!wKxI)*>o!L6wJi5#4=t*I*=`YsAX%|X+MGX z0mRcaUG5I_yx10WXGq2KPvw_Xw%DZ%y${xDlLzC!`?PI z5OV#h7DYxpt)v>BNxuU7lCK=KQd25%bMk04Qo%C&MWP(2h@Ted;Wad+VDYJ3%hjWi zwWT&^&8LXgb@D$4Le4xCg3aG9A+bqy}G$f|p+ zu*0yDe=3C7WX4+;{J2Sss&nTHn|T=CaP&7{eitug52Ul1Kj#S{pNRt=-6AN%6@Gfw zvo1TFna5mz%NTLtIc|`)LhmoRlk%Jz)h8m}H&q<63Z4>cy>_I7zA7xrqqdU z9#F4dXIUACXdOb!X!9L)El&mZFJXN*uL29c`1)S8?Mbj5yR21zS!i@?C-c{3t1n_#v=kQX{z((<`5;+Ll<}_+(u|95Hbh!-J zB;_t|tgd&h#H;t)g8re2U89zh3TTz*3|<4@Y4@t{&;BfR>zCkf^{VEBRW@0=_+$%8 zy>*mqz)-`(@RuJXv6C^C-%D?f$^!k+mdQ3W<>_tT9d0)3fo@6aIDl{^@V))h1E-S@ zDJrYVDrNMm(8+|1Xaju;;bS+L=&x~ZNWp~vo+k`>dfjA0@UNWm?t-!gaD4huy0&6d zSrrW`p56|*Rv7~g+ZVnPuc#^(r?sThDOUQvm~ZAAYh1^G4t9rb=7j!{I`vx~3=s~h z0gY9{H6d|cTJJODA8X*RIJ$&Yw#Y0Z>cA}L-x6&KWchptcJE`Z9(dJAhksmrFMoWO zvRj(u4LO&WWOXhp$>MY-BLGtV^l*0ILzB*Sx3`d?Af-bH$Z>Kv`zny_z6@e_fXZ`z z0j83z2{)&b93fW*lMY`VBpR1-NrvqJxxzuV#}we!MF=1c!(NzyzJw&N+^9&hpM~5` zG)g8Do$pS0tK3G&c|h4|F`)a5k5FfBRiat4`3&qH(R2z@&2C!8J7Wx6?WYz%0$do| z2-cpez%;I1Pc2WnF>{U`DSo(^U0X(#N~+O z2-uU{^wf5?GSi|qAgSvVoMW)Y31b&@#54ff{guNE{o1n+aPbN4e%T~+d#H{?=2AOU zRTG~WAdfNpkc&xf)x@0ZS3yV{D`cZ9lx<+Fu48C=*PI|kUgD|M(wYAYC1`JB>bu?K6^z*DO(erq-X;-P?ZOZhrfhh3fBY`yrFiIRb}#M^gfR0 znX}kTX0(Q&s41o-9;ktfH(js{u&anccPj#rLwkmq^(wBc?db+jFG_M zX{X5+CBRPXXiBNPg$Zvo1xEUA(wW>eCw|!5V49Vy+Ua~lhxr0lb zrdtPBRDZL4S+rRnV+BRYxy37lmrC`6i>$_Cfs4lE0%&3Wq}Gt!@RbCdViR$LBArS% zz48I67(J^LD8J)ex}B;#&9A{zAx3 z?)Q&UtmY#rADs^q7&{TEyi@bKlsENqlT*C?CChwmQ0kKp{);Z_d3=Bqfc?l5$4RFE z8=nbep`ofao$##CDI)%O_(pVi!U^B~DlwarKP45^H3wfmQB+s}#SOGjd{MKtTIE|U z@<4Y1U-SYcecsuMIcvgyW~;tqEJgW`^bTwa)=m!WbrUKQaLHFgeX^=mu{{=!c;Zv9 zr6@E(ggtp^ebqewVDh#Ux~0JP5$}c!>daZPE)!;p!;xj_ch-6IqGCz~UHnGz9o{Cd z!ScPlT#{96&^EBci!BN;&5gwo^U$zY0EGM4{I)HKNlvU)u>Sr|0T5}G-<|$diws7F zeWY8)dVr}Qm0;3zwnc0L!n}Q*#IMUNN$Ru=R=4DJCL@l-4neh4XSFirDjJo@gwE&O z7!zS^h8EP4sWU76N8mm11weRWH?>}#`bWP)s)@q*n(4)O=`|22jSr_A zz!&>wm|1#-&Mb9cCr&2|7KbE1G~CY4|9X-x19EOG}K3+xYgN$`M^feMQrpT z5}X5#GN`;J(ZB2kHE*d;I*A}hVwr_>UY{1lu%qvhR5KkS9hRs_JJOW7f-E?c32>Y* zxB`z7vAkce=)ri!fLOwK`2B;o6}JO3Gj3kFa2tEVy3R4%l{cvi4P#J7*~jo6+LaDd zhgB*MeE(-wQtYFoSmN;C!N=fC~0CI%Oc6d#UxK-pi z?~M0+fc(C&pjm|LQ7?C%wYMYSsI{xZ1?`}&{wLCa3p_ciD50>=Zx+BAlmK_JqNewr zE8-aQqO8!@J^Y0uaVa}c#oyuB!3qJ*y9u- zK*-)U)#7p}m2{+@9Q2po^pnfy0x&vzxYOC105?zntFfsuG8|(WIBq)MQWxO7)o2!a zhBkcX`yvNN$gi-mlkqKaDXMA#=RA`WbLrqTeaGJQX4HM>iq>t*+NK5Mo>@KuY@4D? zR+G~?oh>zm!G7IS-&ij%KYp`CjQd8BG{uA!;eg-0uruqvA4ef4Z%=6ru-~B z)7J#CH@68F-KijNL$!rRR)#_K%f~70f;)=DpY?~O zel>mK(|4G{zd%s+k56jcZc!n=QrN=h_4c{!rb~5vg#dwRneT&+-9%@C|8mN8nG}so z=tjDUm=%1_F+BgGT92tTtPZvFUl%~L{pRGP?{#-Q`+~@$UXs`jkcO$~W+~s?$$8V8 zVMk^VcL7&#H$%mWwa!|r@3DBYEiXmeXBnj4)Mb|1Pvc)kXX0+7 z`9L0Xpu0mW1;*Bv_I0BxHNnfmarqtOShFCaWr6!!#3FJ9dzP6GE#6g;l{vvSRi?Zz z@_8*fI7Q%ekk0Ck*c|n@EfUe^$v}?Z{cUI#mxS3g3s2GrUm>YQ?QpKMKL8r$4ytVO zak#~1#3Vkm#KyW=0Ravrg`WsV+Qp+dZtS&WfLZF3mif3y=gb zv6V>fQM;)1sbcH;Z=h;@EQu#`@hMxEw`G=0(sj>3v6Xo#@D&7{Ei)~AlC;Oi&lai) zEED2;g}6u;MIJFa$9h^>%*N@c617>$0R6Xw zW--gIQcUc&e5lRlRB369HnzvI4f8 zdF87IF0S1(X43T64UFg&CQo=oTnQ*a0=azK8ADv)KY3f&0Ot+BhWaqF<+R30&Q4V; z)D}6Gqj8DP5LtQEe!-Y$NQ)CHAmi+|={4dXN-Hq_t8}pnUuA3y1Y=cIqo}w^GZdey z7GqBkc=w-(p#Hi!`n*6#Tx9s;SVP0eAqmVi4@MTi zXVuHz4uH9J${Ub`1@HsY6!~;8?;1tL(wK7AX2IvY;vb(ZedRm7?`dxj&?*5m z9=?iX@);2NmXYQ|&5oWvg>i;Vv=Knk@&k)wRMxhOT zk}qm7>Ra;~zOcRwXsm>rIUFLL9tD_PmBn2I&P~t;9_3wAYmno&Wy`$XAbmSrr_q)h z)+tJ*G>4wNKK zGQp088lB4@ti(v!LSOfASoyQmkB`9FL5hr&q{onNe@4zHNWUR;4BwW$(h&PY-Pwn*M-2x&N;pyjgqQ<^h($F|=t z>(hh{Vh!lJDt>($c@PRP#_Af7b5Q}=aOD)v)lO)jeVjYzw@jb_$H+>34P|S~j{QS3 z6~a^$y4`v%?8xA6*9d!VRrFU^upaqT|K7^3cZ?cHeHeF%0*Hu>6%sN$r8+mva#$o< z1W{Bz{vH9Y>bnz3hnzYX*1A)QOw=i&uTFvT7fjV9<<3?8;keDBrY`d1H9-`pKbwSJvZPY0-)vqjQ86 zD`lk>WMRnq_bZ~crM@=`KOXpF?HedAmhtg7R=r-m4e>7d`KiVt!_7XEoVF%;Z17*P z7SV{f2}aZx$ZPIlY0?xpdD?5N`v5L_W|;r+A@7JltyYxG9mcrAiO+=lk9?}hF7`pOEKnEdUp{Mj zd?5d8j%UmubIzA6J(xBMEV@?@EuqD3PWJ&0k*9&J{|1g9Tr#44uqt&*=F@a53aP_H zX8KQ_>=J}}wy=`xjCfKdVdb0S#Y{8zrS_1MmnEVgGd;&uuRfO=JRTY9dN|THQduHH zkrdggBV}EE;iR7NwL|zN$`&kc;{Ewvn zO4Y!gAcjSyWk@_yH5wRE2{=f@ z;AKxqk<$i1VQ+!)U+VpTR??l43EwLR2ENy8CxYRA?{6XwM>?uQYi3Tn>oEC3lB6Qs zH?(k)%i_%nRXj4sMG)BijesA^Z7cwQC)Z9b8G}Kl6*_H{&)!(d^G&}Q@xPrQ@W9lL-)7t zi^-qzytH<)>NwtWvCv(0`aBEf^Ew_UR+3rZ)n5|vXqdfS@SK@W``x}8alm`*cU=KS z7ldg!1QoRseKOE>-20=}xe^hDxdvwDeF9`=B(J*nxL_?$I5a%DP7L%=Gg98mh^b+? zX-O8Qi{kAFJ|)cKUBS0(QIxat6)IzweCZQy&PpYEe|jkdkDigjg`qoYLYf4HmQVGM zzV%~En1sqpW9BR!Mg-AU0vk?G&LH)VAlYi9vigIhMt6|l$nb~DlHctF!{3dVtbhOZ z?0cakoQ9oen{16Bo8B333XKHw|3r=K@#K@ACBssv$;`=QF>%*GNDaBsoi^^cV-5kN z=<$8z+aT?=ABmu6z%ZY65uhBq$sVOT+t-9`(L3=xSZe39OuJ`H?B{t5@_2eXx#Tz{ zJd|^*y>3li9)QBP*L~7ZEVmPi#M?@6c>yWmDYTSnC@DqcKQ@;rO@j1 zHTGY|y31cNhAw3YOMd!(Kj7VjGfVY*~O%C{$F=Y_98w3E<+kqu=y?*m^ zc~Ho0wGYvt{0~OKJ!*i7+i7GuU=IKgIq}^DbfXWS&MuX(I+Qzs5lgD+Ak3W(T+{4Ne<$ z+hpJ!^q%8RW{Teq-jT#8UXg!v{$`|EN+SwUV!hQtD^QW|OoG5pl0!jH(5Ys~h4hIM z#sT{zHAP7`35xP8MG5{jOXO+MtwRuz2qeA2oBvIN{VHREQoASs)L6qI4pXns?StX_ zf~Un-izhVTkk=;}Ebz9Z8tlPczcN1Wwpks*&du4lu8o^n5*nE*3;-fM8RNzOs58$L z=@mEYx~u`gUG^cT+e1Y@c6vQttdCFeV%?l(g{qY|QiD8aIXggp8#iJ~qN%NfSYG z@w)*nmni2YbU=4m3SOos@NQTfY-^0qI#G|MCHD>Nk;o;XGnQd@SDfplz>)h7gqJNs80*J{?F7#nNp>F6x$X{!VF z@vc7Pqet;g(n%VU0Ac6JFMz_;6)vf9Zwvd2&D z7Nji1P10m%x0DNI=awMguUJ-bpxc6IHOn<^ba)Y{kEt{KF6O^&Nk@Z1&6pYkrt`yz z0#a=)_GytDfTl8e5e|Lw_%Yx<3C!T~$Rk!W8fiPu)-*>!Zp%C@nY)i4yEW(-oL8>;w!Evn z(Bb;g44mlyxmcDEyS@1u0G$0TfXADs%nM~+;DL;dNGg;IkOK42NAH0g=>l_a=qyDF z+SSUBuM@^-9fEWq&EiP#%()*tZm>C&axmv9X~!yfuWjWifdFs3Ctzf?Ml+lOLn%-m zl>U|8TeSk&#VaSkXxA%SH8VQG;2Iy5tjY!~&ybmWPiKGZ(m!at&3BT-kIM$80ewPI z{>MGUZZ*wbA_}-y@R}hFO1)w&5Tb-TZndplFl~Dp)o`>L^Ap}Zh@)@uCbp)i698~` zFLk(uF(J!CPZXeka3OM|3*gbg%u&LGym?qg?TrGiiRIA{)V1iJOQnAAvb1;K=AFxyN)eadd|&;}7g^uqHB7ELz_vsm05aP6U+w*qzlh%B&+-WhnCPQ@b|AFA zOwv6v%_GuTi z^{o_kMa*;yJm3$xFg)nhV4(h2>t$_M`l=^wQntER%Fa0`fH#O5NtHmoIY%yZfMRUz z1+cY~+)e5vU=WUyy(gj@WiOhNg{ri#7Bm;g)%&E;amtw}W@}2HJVB-p(7QB7mbdyzkJ$ zNJ#LAk5HCCWo#n!pzndzdR$%C^nxh-O%4~kBg+5z!w4)+O#AJ|IFM=MXi;MkkcI470Lm@Vg-Wk(Fo^U>f z?3Z|X$R|Gb9>VQ7am^QbDRxlsct4kQ*nH+xA^_GCkuW8~Y90968Nnvxhq#ao(J&uZ~A^+Bq*Rjujm} zmh5HnPqdKmb+*eTSp#7oo2*xiH$_itrSRR!6HMc*azxeUwPfrw?wseXoCmVK zt}tJ-vb;Q~}_ewp1`fcej<$Zg40MiR6i9so1^MP8~p+&rTBKK;Zl1BC3IoI)%TeKUFb<^=W~l>$-YY!AZgcs-Gdw)!VH!p-J0UioY01VO}&%(Uego{xjpf zru<87L@gt%PVkeT)`TnF<0lg64t##{Av?ZC%Du_$J9GCzb0zuKVOHx0yP9P=#cLb` zb}pcpy!=O5N~Mo=jF1lgq$1X89KZuB+{G%6b4zlYMA{45yt$nVR)0T#|I6D^LD8cG z@IgRMncdCqQ`V2e)ItJb>`5iwi%CVlC8ht4?`{@gDn%T7hPBZfrJ{xqYtt^@VLS5J zo3nvTFAradqxxgh0Ex_<7B8Zt2hPF8Mv>eXF5gH(uUL$oss68&od2Mey_*oCDj;QH z{$I(-8`H6SZ66tth@`3hM6UcAr_HOf?Z0FKPJJ@$#vm^eElm0jI?_dei|Zy`LziF` z8W`WIHc5V~7%RQa6o|O3YS@vBGvg#YzgiIPnv&HcfVs*Fr`MYO^oKD_uj|ZpvIMa0 znfPP3r1f z>*vD>k4V`zJc?B<>Hr;jV`wVQON3v_8#dfBYAXdBJ{CVR%&-p{2NW;53(gK<)yu1X zETnubxT}EG2INaC?92Sx!XA&vih5du-_xyP097M^RSC3-B%X{eJRpHwAYBZJ3LX}~ zw5jLIl&Lg4nE*D{?Clhj-cow?6)oRN1$Ms92i0D)(pTVmyhjDk^YM|&>Mn?qvu%$s#=CX8bm%rQ7GA%+|~nj!LD?yenyH){>rb$mC=W9YSZ0xqIY`Tmjy3^Etq` za*DdcyOIIei)-QW5M*FlcN;aGp1ZeCRnyRjj~`OwL8-eu;+V-8j|?M8n#?APVx``V zRWd1B2Ce)zV{2hn@pf$-U#occqIPc1etehCkl|zgYtSK8q!vDNW*wBQ(Xpa*hr9nb zswNfpACK%Tmu{VO(LPp9VOg?|l!TDp#LF@y&jdFo4%?0(3~kUsEw`L6#fZ-Yt`X&ep+mzbu!MpU-ftn^) z%f+=C$TsoB;gMm=+uCn6C+8j**>E=rjk_v7scPZ{l%GxH*daf@6xKRv{ZFz<Z`>Vj^#S4hz@1cE>Tnzz!X*DCEmOarfd|09f%l=ogV#6WFXUkP~DU9)ZlNDF`;Q$T|4)uvtWJQYFrTdEBcAhRPjDv9#j!2fZOnCb(Myl_`R; z6^v}mh{_}8W4a(qBu>+!$)H$E3$k!{pyj^_YOM~UQtx<uet~Z4lOFyavIg%MG{`~t$KwrtyLOi7I^f<3tWQ^@$zgC{3~x=e7z49yTWii=gEw>%5J!@jxKoHbHz(H&Tzyz$lx0m2fLVSf*In&| z1RV6AgzlqU2|@z2(uMd(uwMc5bSr>G`!5v^&x`S6Bv;8qp(!y2Mef26Zi~G3)^~m} zCTm%nvxJvpeU}SsshfOBy@G(3HTlh;i9%AH+XKhyPv4glebCud$@dK+T?Kfc)mwnq z5!UarzBa1=O}%%iamQV1374(&%ibt!nk<`*6!?IX5{p$rJ1jFgtQYI>wbp{}JHZvDM|mI&*W9)HfkPyX9^yxFT^ z?6#WWya@;Av($I2RpDRIE5`*NDAItE(cijBqw409>W2;fSxoNlGNI$AFK(p^^k`rv0)DW`x|U+Udo0-7TV1qJ~Et=Uv~8)?{d1g$_f0@&oG5gi(H4e$2nOK zpPCk|-w8G|`aT|V@0dZo*ZmQ$5paHJ!@|=DZhM|OoK$6@0f+6*`>U&cA_v|u!fbXk zRb(8Ts|;dW$`-v4H$r1_1tJzrH{qnMzzk!Ck;B+5FD3BV3Zvy4P3IvXzFO|LTL1CV zB9X<)5y;oWiAqu}0>4&=Ac->m37r6!WPl^`%BZ6ylFpvZoWbl<$IgOQ3K)gaApskobmuY)jJI5^{s?V@=eV8I3y4atrW-_3{E=SFO2C0kQbeG*QGd(pM9aR5<{KMgKt}j;~|6$Ebmkc59*m?4Lt5B8u@E-Z^B#yNXO_!>)ftg z@{NG+hAc_`r;D9b8~8%!JK@TWOJ$*UW4q1>a;_hD`(bZadlGHk24s(yU$F)>Ux*I? zY>@vWni=b|mwG%r>I5oRn=eebFcYe+ z!4Z8U0bkOJuN1i%0p8;ms;+a~=QMIub5Y2!xUm&!xz)9gbsIvzAIfc$df0M2!0nD> z7jh%^B6;jko)^-S-gpa?<6MA_xdnHRdFBpC^pk_|Q7gK0ipVVp6tL1w)#v>|%2427 zHnbAtK7cp^wQjh%j|cCumBndH$!xsRNlaP%j~byx`7h@V3H=tBr*?q^+dvh767~us zlFq$|ZRq(Yb~^Q;(65IJQJfD}R}TKCP$_*;_{m9&N0-$>R_Y7ZlrJr}BQ^2%N}l-zxd_1l^o=)Jzov)Wq zbMRRr*&LF98bz{#W~ECe-inNE|COOZE?HWrb&+0nDm4VR;{NoVvh*No4QsD+3OaFX z-8ggeri(NI^dV_#!U63AoOKNq&=r)%j%b+@M(9Kj`NNT$pQDA<)lOr?*h5&`GKJr= zH9%s-YK;{s+|XY4!vp)=-qUL#D-D(fwQ9D6{OKrV;~d?0Imyx}8&l`ciP^`*hio$N z$aSk`-hvCPB6nM+QH`suvxb+B7b^SuY!ErH#}VQju$dD7iOKo2JH`>`tfvka&xjr@ z&+H2(yD&s!$Nq!Vnyw{_cqi7o}%>wbYf-6oHynF4-xd2ctY-R_H?XKSCZlg0r~sDQXdiHnFNSh;fdD4!=e zr+~8cC{|wd>jy-lkQ*_xC63RVA z;h^IyyPowqu;Pu7=Wq@DOvX&+dEd9pxB+z9zy7&Lu|sBtub{`ieY&893b`u`J;3#h z+%?%wKBa1XNK%4S*ma6G4@gx=xM5{Vt`f7yq2>XEWvR()q;Xq2^kK>&arX;i!yHzw zmuNT_{nCV+T>V*@R)m}au12OX3pt|Wp`0&#H}Cg`q<+1UCOY5)F3;;v>7Xa0R%Q2v#fw$&l$O9k)LEpR)CQ_ zZx(ct;-b_tikC5O>#jU!m7(%&b`b?Ir$yO^#l~JdDpwnkFL(d$h8=&%vAj(_cmH0x z(hz}-7N5)hEg)D8eKIEs6*NP6?7Tn&)f3LSAGp3bKU-pm!`Rn2gv@+Apo2X@-?rcB zo-NU{6FbFvKKqCt_&@;v6iFVHzJ&LLg7c26RG%E~dNCd>pw!U1gMO7kaRUZu^VOQo zw@BQMsc;XwcA<9%cxP==9_*B9E~%L5HemFdwn~T=vZX-Z^#1i96cD{pds#K0=SgfD zm&d~ang3=)sm@3Wyp!4e7V><4!``FL%Ofmw&lpKZAI3$P)gqg7$dfPzJ|!d^fIIU; zdYErKz{|Y7!l6wNCRpwHVON$XE@u ztbmygn;du*>MVCNe;{+0Z#7AbBBFOKbREW7J&e*w1ksmg3SgU@-_;vxcOMxi}zU2qNWQ?)%2aXXrZ2`;8Fhoz3xgP&t+BWqGjq>$GfM1aSF}C9k zvv=``&7K8V*Kq^Ig~H4f@c%36JiOU{+c2J;kcc2+?@jEz#q3ghZ>?7ClF(Kw zL8%C;iD+B@=3KXO5SWjihz-yW3xWYPfrfWO=Z@t%7FDD+fV=pNsA#(e-HYA;s_ z`>dZ+f4qSOp*$X`6jV$B><51lL!rdVIs88C5^&lQ%NxAp_c*okNiX$g%&s77NCtpkL-Jj>qFfOrdVX=yxPe)RdtIXN2e~M zaQ3#rB3Z8Q)Kp#)fHev!3gSGyk<*AL*65bZL@qYbxW#JB_-1AB3MdEPDUxu7LT+ zWWJ(?!g)`PrdzPW%V!%d1Vb*2lj}~No1~EPMJKK#DobY%6%y7jZf$q{gsoF#-Z-MO z9TDm)JOUi@WnCKli<-^0S8k%9lj$(Z-;Oe0u^3+Sc`s$^>=_exyn;?-m_Ymo@DwrK z67dlhABcI719hR9m*dRBW?_9$eA`R&K2fO_i2#o*?em*}`A9NR^BU-%t6aKA_F(F_ zV?F|^=_>lcI%`t)jKR0VX@GaXwrldtyfN>nx8A? zIyfMa5%;02iIrQt8&!Yq-huDWKiTUlFhbDQcW+LV3ritqMkkSi?9DkZlfxonHTSM@ zJ4>_wA{wKgbr+fUrX66c`JfmfZRbW)JzDK~h3XwhyenN-<0UZQgb{EH0EPCc)}a@v z)VNi_lt@xgjAH_X0^^iYOjiZLunH4VlXs02m)UAv6Tcoj@mdY>XR4T#@%N5xO2y=c z55L;Ow=qEGa9SE9(AdA>z}Y4&Gr&%7i2o1!;mDwPuhKNhz1snly$V@(PS_;>Vc;Vg zd(|aRfydUv>?jFPu@ySrvOiY&096WdqJaVD?`hYJDr0rupUKnccHV03 zO1qQt4g2`ILELFh)Aj>t|*0?>0PPh0#k>Dawhl8dIZ-ar~*0)Y|LOI?*-Y z<;ig1Trtx1QUCG*3eO=d*nkZEY-xZvI{SG-Z<&i8>h9al?h~f;;tnq6!47QHiFNWG z9!h4< zh`!+x=-PLZL5TNE%ldZHqAK)RUUWyO@DzDJIQC!S@!7QLOIND6;zTb0jJolSW(@Uk zIpG!z5^<*P7CT6Rjadr!t*tzF{+2Wx z@?YKj-sP*{PNQx;VkZsND9i>puPc|;6>Uv%VyVe{P39!m=ktHYzR`_kv!`LOUkE}N ziVu?k!-8XReBXt|yYMjailEC#M3iI?{9Bun3*p<3BP$HKs1#l@iDtumZ&O3){2vMi4V_BfJS!>kuT#2jVG}gbBb#-qkpDgZHzX zf?_!an=LrKG=1?muYXq$$m^raLPYX%$iENLY!T6Qvn6tRd~PJtLjYR?ostda153cag{)M{@;Ua%${zxc z@Fg5nEm%dnZc^z zUqQMdsN4xKaLo-5Pf-(UxvqluLWZPK+tN*2@pSYOfxiJVZV}j@BOWd`_%m#V z-eMzO?T$Dg8^robkS>)_b`4<*z9FCy)tVR!k}>ek^HxN4D;Yuy{`m%U>{)s`Qe`&@ z15NH!u@h9}uLHds1%_d2Zsaa8F=2|2Ws zM;%4`0-WLE^B(KI%c8;NuT0L{Ei*-Wq8IePPRI>=g)3e#^y!sc%OqS~KMwx>V-AAW z=ih-l))q}(LnK5hP=T1YgI~Rmtc&9K4A(ylgeI~l#R@zQC+ABfLx0M%J$?qu{-%d2 zToQkxraxOdC`Mm6&+-s!C{sD)#}KR1sPA^n2mBApbF6OU|DcG=aMIpxCvEn`YXsWs zwx`V-u0-pcQ^0_RE#8Y^0|pwUt;hP``XNzwOarciM6b>Lq{>rVt1eb=2gQy3=IbX* zK{W$YyPts(#L*4Ov8;FV3R~VAzZ4$yNDGynA_25FLY3*~S_x7H2!mdlMpIv11tSJRy!42{D&%G<>EgroupB@HX-?)s`f+`Y~m94?yf|uef?q6zYCFqKR!UY#V>^pbsLWC*^H0Vg1XE2V(ye9iG;*uxEO&5H)ai=?6c$EjFFc2~k^)u$fF!UKsG zD)O4{EX06qqNF8qfX`-abOBlFxAhZ}4TQgb@(Snt)0rn-T~|`LTFr#BH>=ILqj7-v z5|ND&8gCwDk9z?)LC)sXyJFRLi^|Do!_8t(bnOQ8fSi&?L$mXRpGT4f69qGZpC!V; zFYTJK9=9beZAPV{@1Bz<9}rcN0w+-~%@FOhCI|DdAUzg=DqH!g!l`yb8K%O>L5=gO zKL+qt=$piIeABV7jOg_6R~J%p=q8)eg?`{7bbcgWv=g~RV)+v#=ih^TyP)Guz9{hy zQWHAHbRYzO`;Wvc%#F>pA>^UqBbR(d71w9pt6up6YdM3!Y>DKH>6xCKq^j=bpLgO@ z2J;`damc>#d8@mt(guD%>0JNI;%pKn?_=vQkL@T=?uB??Y26DCOvmRJ1q4a+OMLAN zD+#P&$G&usmdl5vFfMn`c&-lsEs zo-ZxB#EicnM^^910^=O0iSUT|K2<aYR8~wcTn9%fE`Ibh)iKk5T0uPqpOWR9kD~jhg4zFAF2i|e;(R2SRcf} z-ad~xd#EjcX^N@vP}yT5ZC&=ON;2H)iX7-|5u(QhB3?JiN72;szv{X&?yd}94jYyCec&#AM!KAw1P`4P2T)E zkh%jYt;qhy-h-kn6CkOA_Xa#9Bh;5kHF?FLIVce-n&kH-VsVr!<#+M3#)u8TuL)!vO zkl^C3;!@C}<(1FH0a7NOX9JrTikK7;<%4qDu&`(G@LksWGs)J#pWjyBaX9j9>W`|{ z_$vLTD(w(oz=!f`b<$LF*o+L%wtOV-i|pmmpDKjv%*q6^K#arU)d*U#>3vW4HvkXe z=eyt0$qgd~F@EAs3*1Ds5#cq(>zf>CzspKDsunI(w`ncxX9jZG^5>b&_th>U2$~H? zeHf?Kl))L-NJCXFzt%v$+SD`X7oZ=vBju-L#IH@8%=Cj$eO_@n0Z9yJKH+fFyh=%M zg_5Mm9z?llu+VY~VqzjIU6cf>kk}h@>MQ=FE3dIimnPC2C9)-Q>w${C%MU&J((iW1 z;bhGDOvU+dEgcvF#wH%<748<;s*MyCn_Fb}YMtKR;(mD^5B^j`-FM@wQ1_Kj#ato) zTTSA8;wk{gbTN%IXqzWSbxsQ0584(R{rs{av%exH3aDb-ox%j(Q*r4h`xA_E9A%Df zLY?J>WLmt+>+=7?MPm&_xhvbL$pPfrjd5QF2;PvVy+N}X?i9a~nfXi*g)>^Iw z#D27g<~#mTqK#G@l-$wdPS+|MbHE z7y^uSS;$|$VHMjYp);~>Fs|XYyd$S}unR9tHPG+#<&!bzTpU^1*X$NuG`&a@MBV)( zDAc8F0d(@e3KCWPquF!Y4{+?v#og^Rt0~z=POaFLUeSx_ zb{G-i@v1v3aiYimu)2F0o$$*fRIcBHO^HujAAo^4qpd{aH}gnfz6UMC#$Qg&fT#&( z!*pNT?$N_ruMLwZ2aRSthtHru#ideOY2$}h?_~{Gsc3#6{LGWhDIBqLOj_@F1B9+% zdCyn2FomJRgaxwjVcwb-rK0fJ8^;*|j;^FQ27+iUTGQ{P!(cZv@{NY_><>&$MSU84 z{%L66EGH1OtA7$8wUm3~`o|PPsFESsdob9b)nLfZywLj^9JxgfF++o&A94irmdT4U zcH9|EQqfd%W0>(m4Fujf%v4m^up?v9b~hY>U9J$oll0cUxOiKrQII1t2Kpud*+y{x z>SSWql;cVB=_MV*R!*r3{td1oJqcnnOT(aIqOZ5+Py&ndhzhAe4;LI zf|fDJm9L5c|82+^aIZv0i)ow6P4ZfnL_db&I`r(KJ_?vvRj1&B6Iw3GPb)4&>exu{ z{Ee3*JZU8EEbtZpYAQ~z_L6nWIvT53s8w4-u3t24F!C*1WCW&rk>9&YEbz1Cz7QqP z8-AJo)*$n(GC;ilb6n2u*P|PS{x#9aDp05cNTL}0ykfhc_uvtyZ7F$93T#*9%H0|G^Fg=3iGPAYwZHo*AC^{;SFPMWdhF*Z zpgr$)DT6JmeJ>I0xk(Q}@mkUBwrnJX5RO!gcX8Qc2dUCMe8NsMyyXS0(e1_G@5;fP zujb89Sm{ts9F@ybs=?UM&*G7&Q|Vr}a;9_#I>47hJ9vtWW?Dt-G4MxzFMbF<|=)$u#cgHPbH0Tif(wGqtr*!Zi2yt{?;>An!tEd|{JMlB9}%&sjU+XY1Ki{$Y+^dvmvB9UYltf)o>_LN0i? zzvN_oKdzkRp1*b8CB)S zOcH!-X7vGy52_@Pj!A;(Ig3hyxPI-JZe8otKgPnU@8*_+fvF@+4=kksi@V?=12jJ^ z4sGvJ85#-GRG8T3fOOgo4w0w&lvDwmH>67$Wc5>>T3>0-7I_4qx%RJEZ>kR2<(0KL zC9%>@Hf|_E>}!0%3@%GwE6x81aSzFH&1%+a6*zT8q1*Y#pIM#3P8Z;Do9c3EuhmHj0L$6=`8mHN|`_%bFj}Y)NjM+|OR-75w3$H|A$@v*&C4&+IwmAgIPYhBmT% zd#@SNryH~7m$`GhTGxyNM@zLBU7;Fo4p~|n;FD=#Q*zm7rOaVNh)VS?56S z#N-;iRpqwlHMO#oM%ADv`xJEbr5uLYqVQ#+AT$poXg9=Fk79d6CJUiaKuURlFRp1%3yy;V*5_8MojXIq#* z96fKHhBf3voaCBxtNaFYzkxmRd4!Cy5{V>B&+j|O$?#l+{YPOKhTV;Nkcbm0hah0C zb*NbwNaE8~tH!@)T=>#2p}k+o2KSPDiw7tN3hS*p+KFM1q*^@nW^z=*an7sFHxHbz zVZT42VLqFR!UaYwIzTh`rk`ll&)R@mHcEg|+F42We>}@$f}B=tWAP8_3}@zxS!s9;O!0v9z9X9<-H=Pv9q^~;BX$iF8A667Cv3bZx7P?z3cyY*L9aGwjCorr)G70yc`3sw5>fm`C~R+)Db zZqQ00V>`R4m#tjLMbbWOd)-`e@m5j!nY}*Co|ppN2ZQJL%)0J9`oulGm!_kog6hoC zAJ#EK)KaIu8D&2%Gr9c&UFTvbv`eAp*GS91eePVK)qgJZn;zg?5Ihxrrmi4@OsN-D zYyA(ht`D&7Uhm9_XMW&jrfu?V2E;b*$w2+3-8hEz|HSQ=s$1NUyrt-yb&*m-vdy%Y-hLp98iIeWG(>fyOaQK`xd#BA?5k10RY zppUgKT93>-@(zb)ZTr)vh2G?DR{u_t;k9R8N}|N`z;s(S?PaM3~zx!-~osz zWC@BdfIkXdf)NP7MhTYSgaU-4M5rZr(Evh}Sc$kqfMk?ZiL^|BY?NGyyh4Ctlv0m! zzg>4B_ZsA_T0B~v3DIC_Y7vP{ZI+HMQIDz5GB6|>F^yR!rbIKQIm^P5XvMT<+1L{8 zm?W0H1JRM`#Bz2a?qlv}9XLol#B^mHb|bnoJy@PzL~o`K%h!+SPh|$M0)vRb%n(*+ z7%`j~!HPUWJj#q>9Xn2pW}aZh#1i9}@vMYIViNNtD>;QoW>Q$GX~a{^)2uV;#IwwE ztPCoV#>`}8WfRXcb6B|-h359E)t8Gmsppt5U(|}Ly6MLAwtiFEY0CSKv^n&=3`HJK-j5N-nG>wZ55y_v zG;4-UoMq0jKF$*tn4egSpNU_XUs>P&BXXErDr<>HTxPDYR=*S1m_JxQe-VE(|FG6K zh?~s6tgUV04s(~a2k5G#KwEB!-{Q%t@?l8f!WE@I>4bP_pc5CiFB+;;=lo|1!8~ZR(>}rE&G^j@Y0&k#uGzXzAJ->uk(uRb| zV&!E5d-xge;Lt1}YH*D) zQxRKDT6%a(2Htsxp0ShXC>9;gs7RH)-oqox-X(?b3&BNJO|_3bsw<{8=t;7!nu1bw z*>&tYtNbB;&a_9!AK9OvV$YH`F~z<&g9jXUkI{M0Z{ENx)}E$yoaEK&>&Ikg`C1#U z@yfg$T$5^Ri-%86pg+wxMsF$v#GgD-NOwN}<4PN0SjgaEDp`*&z`X9&oCp6AltXIv zswBzt&cwa_9y2quN+~YXMCSs&ailP>O*Qy9+H~{by@4!!ynEGLkxbpv$uQVj#+ZoKjoZ>hDDCtud9+w}>*8??oZCw|5J(dGvCU!qf9?(s{ z79g*KltyR=_HuyypA%I`Fv>;i#$EU({U`0z0Q>ro^QZjp5B=-7S8DcDQa-t$i*ps) zBxh91CUIRev6VwSL3y!e=|E`5TLVd2o!;djgX#n!-UwF&p=#%A_V@sZ55&p86Ou;z z%zr9>R7Zpo!L=(s)#KsU9`>R=JyTnFiBF?9Sd`>Ks%jHR$quV^cYoY1zVZwV)&grO z%0^d*_Ej$+Yf2niSiZ1#m&lLSa9weSd2?; zdPe3}vK;0{6e=6rlmHS`V$TSb~Q-)ddI9-s{@{fPn@E%C~0_yv8`JAHX=T?i` zqo2;I|JDXgwo)RN`z}aPZdu4x{qAs-!@>mF~!FlU+Fhnw)!lZ5 zj6}^t26&vBt}wIpd0DIcKHM`GaWmCU_exJ-P{gHvPlJ4woV6k6$DlUzCk6MtacCcm z-Rl91BrF@h_A>U7aePf#)%|9^=R$RiEt47@hL~r+;TGG(5}$m{hWMk;#@FZy7kcS_ zDLN8QRb)&v*4}Y-KxtK?j4?K&SKsjYE2^P(+{;}<-Y_G`@%bAy(jQcA0!)=to4{HA;o!)lh8MyJ!7Z;3L~;2SPwq%CCl-a&nJW7TLRI{P3)3Kj+axF0Tc+ott_>ryr&%` z7N8--9^gTQV6gDPmCWUsh3qTGsFKuRV|U~5W6h8e=#l9QL3w2bBYTI0KtAjN* z;~yD-3~`wBD=>!6RqSR>FeMc4xIU+MXcG_4IA*S2ZtGQPii+2Bq~mjE^{R~3nzUZ} zPMay+=6Cy%VGtu9B>&DS$u`roeu;8I8DsavD2T(bc@EZS*|-&E;@CIf9745L_I7K( z)mV%#@eEh&J&OeFZsGgf7==k0Q>hz+p*4UDS=BOQfx(Cd#?Quo>B;O(Be&#P;2uiR|0#~Y;&Xh@7%dgG7#c8P0rlUn@o`_fSw z61A3x@yCyL!8ERsT9WYO^c@Wes%0|%_^GZF!&_+Z~;RCB>)WmKIjXVr(FimKn2Da#oqxvJMElA{$aH#+6@%KWGvsL^3 z-$dUdHpYmT1TU~rCK7Ch%Eg_>FT1>V;)W_W1{hFAgY9-h(&uw3mQl^+3_x6iRBSfi0TKce?T~y3%X;^Sv zq2QO_$EiwMiW3ULkj!p>+m`AOo^lMaB^0ETjD&&|;YIBsamW5DVXQyj2(Nm!Sw9&U zn5!<}2=~MLoLy^wUGo8}T=(5nz?N5h&S*SLc0=8JU42P0UOqGcF7Kqd&)pGM)CPbmD3b(*bjH~qNiaHQwkiRrASdKw zJ!{$49j_{hrq^nqDgnZO7s+;f4N|f$=?tuaNOw|G@#xlQ=M+>du7TJ?07kC+Q*ov$ zksxT4GGY{3NV|Ru4cAuUdAuY(*K&sB3`)%#AH3RM9N+Fd#5$rBQd-152*^3<^2SG2kB(n9BcW?)YEhc z)$kz<0G_glCgTczFXbSeu%qkLuQ~+?`PC*BTrE~Jb?U3=B2w<-FaHqM8?&HJ_c&c2 zgDvBaGF>1>`Hpvu#^hV%diDUs&|0TRwnatf#oSS|5FLNkcP(sZAaW*i7dl~}wQc0y zaexPf)s#=KL+S<17O^2ZANq}qVQoe%cc<~XOVP61Frf@z(8l`~l|X9I;U@r!_8*e= zZb~U{6yM+x<3|&VUGIY(TzJ-!>$z{551h=o!?J-;M6#HCMn6zwRHr%k*?A^LZ4O7s ziQ|)b3OkrBqMmv7&DAk==qx#*XF3gFh{+wgm2N zZKh}z5E9K)_hE|S#i)y&_1|FCHIUF!Kz7?OgMAT!Eg~y0-geb4a!-MhfjCK|oKmKL zcRBanv)xQk=JwX~`@}qUBLC*fG#LhO{e%1GW{>!KE^`qF)q+9eDX&_*WZj2w;Begv z`MD`yiEhCjkQUU#y=w2H?M6R}je>}WjVotJ>495I^(fRF2?H)H|4m7{*$5Y$G4?up z5k4ad_rtCw^?Uh^6!F(xm;%evC+8^7wr1hY2?P}hM3qikRPU%A0L!81cKek&)<klO$D-L7r; za~o+6SpUjq&N1rss(BC@o%uI7sVd%Dqx{^B*WR1;HeH%MOuy3Vx-+z6drMz;zAipY z|H4*T%lo5aE^6`f%~!a(-RME5+}y5je;ydYLe%!RcWZs2-A1(QYrY>sXskp2>DeMu zfWCpDk+F%X*`B$cwl#|HWETAJ2>En(S7K67h(IqWqI>SjT&@^(9i$18cM|5=XgfXD zI<%}u1%c!NDFr(vWtF{9RZVq`Fs*s2ho6%t;2q$z-_1S!oG!`B5pZ_dci`Y53q>ij zvj-;~_I&LRyIR@U_E0mAf&>K4i>K@UtG;^x#sY#en&uXkR@R9Vb|m{B4vu~S>h$PB z7ay=t;FD2}p`x~xHK|#~k zL-{d%pf6@OFy{Ue`#=G5Q`bQ+^B}u2U=R%iUyg$bKBBsTK7|V|Uj#$HRhL!XIdSWL zdD)H96W8uvDXV=F{}fzmdV&lM7|V1$e#FRQEW-74yix3!tLs6VaML+DG|DJ@jOuzW z-6(C0;+k^FxS1B)1$8^&cR!~r?81q}`zOm9>ti1pDnvn%o#Du?jaHmkL6y;9f?qkYOdpyGy=>6)lclUTA zf06f{FEVT?=%6tq%M}l&KxaRoZ$m@u(B1otnqX2c(0|kR1R9^K`d^C@^s|bHBlNOb zNrB#cc7!0JA2faUJhTC%ih+?71B6^5S4Gw#R1ieS0s4<8q~r<>$9r8plX^<8~{rAj+~=Xz2$qu&upK1cn98LW|}Ruu~-aGgEu`xf8W z5LiA9<8*U$ZK}@+Wt*mg@lqX6;d1|FO=-A=M55BNjlhk7sGI`MTtq?$Fu}=~5u}x* z05R}&Gwm-r;N3)9B+ibiTXdWN2Ciz!N=kTn#tionuc zs5F6u27I+N%y5E=s&n$9_dF8sf(`U`aE2&T-LpY<%DYOMx5Sk$KYybPQqxTovCs#k zebiK?{&|qLgIuY~#|@*(Ju!O(jD)=i7Bl}?Us5gzkh&@$jVT0=$4j*4Os*3zIUr3G zhXE;!w6M2U!*-dvg5jkr5t&zmp2(qZ9@ul$!3bmj`(pwB%vKGBP(_{1jm{cu|EdFb oB%5|-!%(nHkPllN61)Kee>38blSP1Fad-dUkqH*Q63=Mk>=uaPYfsuwu4@B7kiUq+2YgU9bh!^<$tp$Gx@V{(cKp#O_P|Sg@%wuw`fJ+%&AXL3ItQ!Puc=jtmDPuoxkk+1` z-J;6cmci!N-GIA4&iW#w9C5=HF)L^P*_57b!=-QEu;McK4`EzOFnL4M!cWSY;dsXD zFN-Vgg%Up&4#}4ey>*u;WEJABvZ@-j7Z)WC!FfmTYwK)C2)<6P%Ajbs(Kg`(X5~qz z`bi^JkPEgG1p&R!QXXSqTgL1?(r?&qs=Utjd}Fj(V|^yeM<9xr2b1oISUTx9?Jt=l z=Q`%*Xsw2elE2&h*BRYTktJ6E&W(3_w@0tDrrZjpw&|HJ&5#t$8Y__>48Pp=n9yyj z=;O#^Uw1|}i6)ys3elGHmkSCYTx$Bldm9nN;hV}{bLUp`Bc}BL%>0LoH(a@6@o{b- zCpq0^3J9G(Vki#8E1gh~_TSMKDnEn^A&4f4lc%BZZ}*>*;mZdY*s5$i zaE>+R3RYTYhCQXHRERj~G#jFrkC^cK-1^)CdZlquZ7gtLS?>W%U%=_Mdjlj15hig~(fiEg-Dw zf9?EWygiJHn(}lZ(L}T#Z3s0gJe=}kiI{3g!Vi&YUfUvIl^hpalN$7R6Bn@J%*!Md z+ejo)cp2nueGkAH`0|<5RgvvPV`uf_W>cSPF!bgAS)BLgawmCRbJY-%&`l+shdY!% zG)B2rUQ?=vIUyJ`$IObB(wz!t+R~Ox>D#jmBQwZ594xNxlhbkxX48~*K$Cv8fmEBp zNrF#<4)og-1!-G(IOWr*ZTbm*+H~x74&^(T-FvAXHCd8uDAZbOeS zC|J^Cm?M2XF_=GZvY9;zXq_t3s}gYXs}~S{*TbLHi!}O#ufsK~3eK?S9T{enOtU*W z67lqiXIWf{>WQ3Hn_WdZ6cpo>;UhY8SFwYSR{MqT)d$Qte}~e@BU~S?W5ZOj+q!-f z0OeWEa6ReW`H4OP*MLX zz$7)Fd!v%J{ysyrZVBAH+_>$`B<_;Jwy-@x=RR$%R0$R2ZD7S``!Np@RDfU1cx-1* z9VpRM=qPfq_f;`TLwVzu&a*C1MK$W-T!JWO1&u>C9uq~RcP z-rZu3=6S;khc(8zulw$z)Aw`%dE;p|x|S%ux6ds+8LvjL!gbu^L8fU3T=1pG6Wb2d zp{KLorfoLe411infEwK6)T~8YvurVo)`xH3OQF6Aw;j`ji|lUVz(txFq}vhl+~eIe zug#zrB?e)~MOQW{w7f1G(bqbuCk$+)`gyCe6+d5=GLO}wiHIRd3ZPUS!ISIzL~b&4LHac5%u1sWvx5z z4{?S*Xb$0;xb*^1r~udtq?0iudXBs?2Yt>jvKqfzb8i`b-Ou!V5}N$e(2+kDlM>e3 zcFvkNMI=7whyNP{iK6$(a{lqtIP@~y5LHx}~N|y2o6IHLfJu5rk z={BnnniS=)U4D>hD^1k*2H6HjyG6`vYwf?9L_fA42-YR@Mzmv&@mw)#w+q77VRR={ zY0{a)t=o>=F7cLQopuuQ&-rUGg0J;bY-k5^=UFj!T`rBtRhjn%j8MpXAvC^F@Lq?9 z{a@otP5kw#e}rsI&ma{oY5Za(+~mI-KQnX|Srl0ZNg#lsIUy*y`;TyLbY%I`M;74N z&?*607_zZun-+VPzWvp<(3l4^H+lndoI4^EIktSX4zY?=hKzzv{I<*Dw@=Dq0MJ2d z-(LbOg@Q2q&b#cD2Z)X**JiljYYAYrc6PGFgb5c#B zh)C)1%D3alw!Ti20$10y35W-{I~!l%5?dsp#}9bjx8HOdYF)3Lvb@(V#?iAqexCLr zV{p!;+%fP`|JnZEY3$ajjt2@)ZBXF`qCe3bG2wVKP*A|d44ZA?F2{JW^m6WfUD~68 zadzuSj3_A9qQmI+10u$n_qH&DCOXzhf$zTI3HvTY2%2?`_2WT;suteiqkf0h`bcGe zj>B__$S9D{#fAY{?cxeqsC9%maF~s_ZL8BEx5zhXSzG#PlIJ>q5mjHPa66_ z@2ADl=t(Hce*RnasbWy@@d(w^c;}tN>iW|$WT1N1PLiw2wqYdauD>>rtW6J4S0?+j z%*BdIE-xgEv$Ug*-60scYFDi)8s+J>6`ws0r+K?C z$b3Kmu+LVaYhCD&?cMtOV}AXdL@ zL~A8)SFu1jKJU{|j|u`dcl19HWe*At^hZhmfUi9srWk_(DN!!1D$#!y#bh*iAR706 zd_qAZrg2#Pwj~lT9nGutE6QIRs#bHhI-cwGT+g5D`*$@LrT7;svnclGSbawfoHmz1 zb)7U}AME3?X}J_>R+J^EU9HyDg73lfW3}lyuSpzFq&xIO_aphr`AoCiyC|zXg@-tz zKsf|1`Dz#aoO9mvxPz|fl+Kj{QB@c>Fe3NunNFZ3Vp?6T=H5SP^80zy;(x`6UGhWxSZjF1g4|3Y9^=cV z^Hn+%MXiQTge}@HsO2$_%#?0Eyh9SHsuVk&WmUl#@#TC(>WiP1RM45v6LsgjkLKS# z)SJH~#BAsPysJ$eV4{ZeVK8~K%cG0@uPR%+nrj;9K?8}3(Yd$Iw6*EsxAs5t z!0!+II`(6N6!5vs*WvjDSNb=55YL^@@p21(!V`bt+hhv#+H8R}@>Ch5L|5vxlPU>@ zVIEvG@hW&;aB!sn@^l09o+jO*%XZ_kDOu;g`M+EsCjGXbly$FM;6WDheW0ez1PGAT z8kvAqBCsD?@nB*-RhKBiEciUS(YRvm(`6ue;`%_>>DyGe-> zYSd+uL$TH`c!I$JMGRNK*2Eu5_ZEQI;c{tq@HB|8jr;{PvfCHXn~0sv~e^Rn++8P0c&j4CT9!aZ2?E=n;WCb ztFF!EvhTCH^b7NixU2+9E;HYMGW48GbGUpE;hDj06&UjLSl+;KVNnVqpDe6%^NS_= zRnTaaPKf!BL`A|&wct|J7r+ep>PsOlY^Hcv$osMS)Hw*-WugA=h$ztvBjW?|@`kUce37 zP{C;{)ArSj_6Pyb>xse?$&^bl(j2c%LgQ2=HPd-SnW?C>B#(#HpsdT^Zdx3j#T!Lf zb~freog|i{=E?kxB9#LP-Cy@C+_;dfHU0La!+h>{uPV=5uF1u|(I_0js+(uN$P6P( zf54k%qwUsMYsy!c)35DhWo>QqKr{C*o25ng-&eM*X?hcO66H<-9&xfo5UK_Te#oE# z_2JyXg3%~9>IveFDA@$_Ce6G7OTW24=7mo+G8OTAQhnwE5vhQ+v=n7ys4vX=dlq-) zH2YuH#1VGwKS;6W3;MBq0YTSR+P6So_I2H{fq>0Cioj`O#%8-x!?VuT4>7hjeBKLI z%7c&0v?E^Wy@OM{RBxGiDBvI3yXht<$#+wgBMLGwpG^46)}*K+Uth|^-?$y>QivmE(cE>JFT}-&DpzFd`=>!Qjx)%dHf|DVo3NQP>rO!o$ATS~Z4}|wpWLhaui1W` zLm&MxnB>H@W0AI+A1{`0F59a{j&*;kJ8G8ZSC6fgIpF-R(%{^v83o@jjXC0W)HVBZ zrn!`H)qq;~r^W*j)!RSsN8bXU{yFe9q2*a9i3yA?!gN;#U_P8% z(xO{!sg6+=t-nSrx<>g9f9DRhYmTSQuX1Cdv{Chx{C^prwB&mj+O?O;sUm@!_Nun) z{buq0K1cZt4kK?nA2|=~#*BJx-T;3Se(7~1yJOC>@|s5QHHD$&owVQAd|S7}u00zA zGIh1&U!XAUMh_O8D=&4G&N>+61D?DUE_f@TohkpFqR@yT+7HE;tXG@oD;I+$qFrUr zscI1lpLTZ4A3HOqyVVdb$FCH?;36Z=mj1Bz`_n#ltPro?sf>mzEwVK6OD5D{^M2{t z{u-B{&*9?o(Y2*NLbutE(mn>r`_c|0R;jJ`%O#@h+)+G(>j@jue`TCD=M~k!Q&mS({N#(H%Vi36XS|EAM6i@{jhJ8Cfty=rqXfWhwk5 zWXeM$^^WXwZ@g-uQ>#UT;Q|62$hx^ACPyL4=3HTS9-IgR&*~DyMvv^}j8ZpuixN*j z)-NZTt*0lN45l$^A%|ZYWY#~`Z_aRmTrW9&{3sd`%x!1i0J2>{6YLgH){)-;a=p3D z-Nbr3$o0gV2G@u;^@>URI?Vu?yuLxV383B;2w)1$o_`O03n5S4DM_?$hTKokrI6VU z59>}S-A9|aLD|V;pvOsHpbqm@u;%k} zX?nirf86|i=kcxT#pd-x=kY;MWXuuJ?kPy~Hw6-+IWN&-6hd<`pp!PwHE+t3(1cHQ zqg$XgSrBlWLl!oF+v7!K%v_Opym~edN?^eE;=(IWP%(5%l1lH zYi28^nfTNuW}Xq?XmjQR*}2iFHGt-micFo?&4&;NW^>KnB!pyhK#&-?c@(OwZuY}R z79agN8^747j$iLqLhI|vAtXx_Gx=DVr=;kav(gY*!ppSL$p1P}|2UepZ6NhL=Z=<;vv5Rc~It4ZvO2 zi56kiF36>(p;xmeWTb@xMaRjYuNsHbsHUT*;w#63|Y@!va*B6#p1$-eCz1;86ym8)s+kwgJIK))l1{Ho@?x$fQ zkNlmP5e3=7oucV&6})ajmrc{!*E1}QF2J_yDxa{#&z)Yg(zKw_fAs?^P<^&PH9~=}F6dxv1v7cqp7%rYGNAElGLf&qkdbg*6n(oD!(YTq?APaQ; z%Ewm-!sBW5DO#ZPtBluJgq$J9W1m1i8%WFl%QJT~#K4}A57 zAORZCDpP{4Wc!~_(LjJu-L8MNEdJ9UWeE*xJtNJ7q3*Hn3;lwqs541Mz3@g?jw5ls z1Mx))^!OqSdn#c{py0lL{?qNUOxyQ(&$iS@&sKhk0n0kVYX)Z zXe-;?>NfO%8pl>AhEqV|7($-h8n;A)ujP4Hj07DrDw!ZJ7jryqxr`?-jVU-M_ZaRY zEbw@^T0Zt21JY`m!!koqfr++FW9;OTdLbfA=qM>GALodjD zLY4tE1M5#CoE^CyuI4A~0FLG}&bEh76RKa#NCLPrXbC~FuUhi~V5*NRwle<{!(9|@ zT#|qDeFthHZ!l>m2RgcfiRk0weJDv=l&k(&5^X3aQan$~TvSepUYICg3z>iaK%h$N3}GhSvzcPv)qvAa|Geiu!GxSy@Cm8(IK5!G44TU>N3?>W0YiU?4P6X#gw*t z#=O7IU~+ZK+%CQgu7QPA*-DZcFg+({HOnyJCeLUS6F#@72YKJvKyVclpK+6&n^vW7 z>lC^odF;iVmT!#j5B1-zdA=9AbhhceQ2qnTHbD9C!@&ViQgtx3IZi;H_^ZF#VB~il zvedS8;tjwGcWfNvcVDTM_)Zkxe7Q?CVmD=^1u_o=rj394acYJ?4a~Wz`I4yy5rC$Y z=vSE;>{$g_rK%W8;t5s0J2dK|y0?;yBvi2-`wH>yve?zOZOH+ck*1>X^iEc9sue|p zIJpbB!K#N=7et=p+4JUFOEceA(l!qWmvkUpeTrtrGQn__ypdu%y|fj(0fb(TbWq9Y zWDHW?ow$cpjn53j)oYtysz*Y6DD_YST~1v)PtZU9iwEAE8cin3`aePVZ4?f1KOQMK zn}dD0oT=w-iqsg&TK22YM8xJ$;$nE*b_Z9?kcR z6X_${a9SownuD%49MSomd|WA@*h7YnQS5#q+9)VdjS)mriD>5BW`71$&hjd4a*><@ zBVr=bs4}4{iI0y%ok~K%c`!I$&YlaK3@|z$*)TD;>RF22Sa!b%rTB8C9yhL<@16=h za4eEo*AX?}t_D?f9P{H!g}h|%YjTaqB+VIDUbZ4Pi2+Bz+4WLF;Uo$Y#THb>77MN* z5o|aYfnYeZ_i_&S+L(>tZ5C5w}FzG@Uxpa_lDC zhWj|OO?cnM0k7d!TODeJDk1;@bs{@ z0zj0`oB&8-+5rH6`Hx7*(OMDcW-_ud+LIvs&FF$hWL)^8cb+X%?$*`-jZlDwK^RXJ zlFyCN#bewb6S}+{M2cRUG)B5lIK=oR2a%)M)3HGDc1R`=nxhl0r$x3hjmhAlXzV(N z1tqAfjK+p(1Q~w>5+n9J@!q& zk;g#5`Vv5+6z*bsRO;-InZONc*p+SI7_CP&{IZIM4A{~@>O%_YJAYN~TvJt`NMMD= z$#s^tWTDP?)WO!MY` zyP#Ft0kcede~!bFo53%90eff4>wIa?4e$QC$Y~Tm(V)%w(=|oY=@UhU7q_hqao&n2 z>j{Zi@;rpCGYE<<9e$F*zN|r{HD+!0Cl=wy8?HBMULj#TmSt~-^rk%i&7KB+K8uqv zJ`533Xi8W3lR_Gwwi7AvU;l61N`1z3t;ULW;-R+znsQA|le!_4~ zCch?r^^@S6wsnIq%hK}8%aBB6n>$kCCHs7&6K%C!Jr&a9GUcZpT)82TLo z=)7vZb(`mi8I&J`qG$*4`jo;Ir8qZQTYcSpT{)Lmd@VVyy2!Qke#;5=ADW?61zO%k z>9vp`1Kf-Z?0+r)SJSeZ!*lGB;qHmMDj?)Q_SI1;Af_WGU+_$W@&F67@Qr9f<@vHZ z2wXijEJZph*b-~rqdC#WJoA-UMxcGmRK7}UeRpgic2mBN(iTa+Gov|PzW_W}g!(?t z)F!;gV({4+{l_>}z00EacfGl6;tODp-%)8VDgQULRq^}HQeB)!doQQnwFu(xe?n~v zCtH-ZJvh3sJbzFd*@Cl5UEnR(J*KXGPkFhn8>X$dEh!9i%u~Xx(v9e+zn$vfXzNxB zaz+#ycv&3EfYO;*t!``ax6sNXKElU8%|&fenvBO(`>UPjSkEOzDmwTs^xG`+C@^^P z{2SM=L!*kk!t-cf{-8axd}pvA%XV%arv?!8VA5_>M7Z zBOu)O29l%+a6Q>$q#+XRRi@IKS?#pynJ$B@62eigpF$+gX!Uf1XA5yU_NN^(b<4}M zKa5@EIy$qiU5`Q{{y7eb;AIDbeSP_|{*_Mf7ZvUx-wO>NDJ4eE=UIR@D!hIp5j++kWKbA7rU;XfCxzxUN}wac zy3dluM~Plyy(fD`SSRL_&GMb0a;ZmbtID_dGU{p2lV^e=E|AQ4!fp@tDBo|H(z z84+XoYzC_z<}SPgClGH=+Kl6f{g7>sAc7iUa}ZkU9hb`^0SsZ5^7hcs@H3PL5_A8$%~^s5g`g5lSHeL@TxgsK7~bcU(^Yq#sFHWxNl*C$#aNOI|4WX7N*P zFqyF!BBuX~vkqTU)g*t72{EIbx(Ba*6buFo1u4FC^uKdPb0IXKW$Q84?#zitYvny< z!NN^>uDQAwSuoGII2^VxF!#id?hsWXdpr1bRf=44^J>VUui7hBnYk#C)#0O15wwWv zdRwr_QH>uLgb@ujgftbmddT4AiqTGi7hJ2+0CJTX_F0d5=!sQbH63G$sZbW(4r3z{ z$`q^eduqvxmz0fA(!N^ewLIpaP?-0pI?zV=+@Sn?zmf`F&<62pSpC`gZcJg7jdJM7 z6&4{jgy_{FcGAXo<{TDt$!7T8!uquL_@NgmHs7AT2;Oe9i5b?Tui7Z|phkONnGGt> zeTWn9k@7~1iMwike4zb@B7h!Lj`;jReD$=z#^C2LaeXTRv5(G!>lePOmH!Y2ZqqPq z{Vj{Zn2&myn}at|7QY6$d$QzLWLVN_26>zeEf)2C4w0#Kr5%jg8AAX`TIp|*+znFm z@eq0{45F=xFe}Q^=}>rSdBkSh`b-@60jyOmtw@cDshvYx1P4%>3xflLL^+H1n%7hj zW+41x^ROD?r&W(&D4HwA^+^g!qSYrsNlDf`MS4e8{t^SPFDsgAahe|HD^C({p?7G8A|pnHU8s zJE~jlrvlKqPf3zyF-x0S%_gs$*zZg1YRLk3sC`@Ug_2$a1CPK_9H^OgR<6kuroZlHMWE{%VF16)+z0dT%bXQ0m>pAt z^MofeSYYur6`140SH;55ng}g6J9lSdH!|<06TH%#|3lO%i)at=XX%&8JRVas&T8Wj z+%?Mc9c!pc>qCzP&)~Qf57yl&|AE!Jk!Q8HO4Nbc6KES%+28W1?_B^EzfBRx7UA>; zzr=HIpmi`nAAp;Ot%39zb|mgds&z=a!u7Z(w?++NzaUAKh%Zra$@4^z%*?J&!_|ub z0s;E4U(SOZhIf(Eg@i|ck4uu}QHgcGO>uqqI^PQ&A&BtX;>XsJ_D~0V4H8iIrb)Lv zFUYt|gk0tITAviiyMH+V7f)Rt?;s*KBrXPTdBjpJS4{!RbtlDYoH!d3Cc<-Z?J(Ze zHE%xA^N3meg#=H&F8rRdr3&8Tf@@N|I^KRFHbh>NtFNc0p+z8QO&nGQ;CG!Vo{o-q z$(Tg7+*mm8L}-{@2*#<+xi${PK*y0Rt!(;&=%@>$M{Ljw!IehVGu58sofb98=?5rwJNV>pnI%_qTbuuk46 z1Qk!n3ezjcgUKW=kmm}JsS7+e|*NP|{U%>O)6Wj^10?ovb0{;OE_ePUN}-kI{n2%K=T z;JI{u%-ZIA0I+$5505uqnG;OA1mf!Hl9Z^IAjZNi&prV;lE$9GyfYF2-ZoSsUMLXl zdlbS$F^VO@(|$6!2TjIMs(;o?(hile*YtAA#s>Z)TgjI^nCv^Jm&$XT*?`40gZsCTdTWDBp%?scYne)8$MPdkxp^Y*41e&Xhb z2#fU0v`B<}jWw_2V4Pv(p+ab^(Y8Bsz#gsu_NYI3prNn+uCv(ns(IWnXzP1p5zH;l z5ZrSz2{O><(N?4Vw`}}v+f0f(ZCo1Jc}&8p<+M*9@8J1uG2ULv1>06AgkU|68J{`G z7zgwP!keUpEwR>VNhf(#C9DMq#-my>Y7S={wux9v!}0nsdd~s9O>J=NPth=_`5%2i ztrr@y@F77WD}H-438mIP@)2Dlg|7e52d7LFU;fbN>E+N(=PrXMgnAP&)=8>=lORXP zE8AS5O{eqt0m|4w{lqcx4_ki|R>1-Zr~!8Vn|+~y#5K;$65P3*9-P{BbkN^Vd(aJ^ zK2n-62=!V!v|8O%)i(5aYJ|vNuROEV06^=f_1Bqw&-Ltme?@b`A-LdM5elJTwKSTx zi{B?taYj}8C? zok-Vthmfe(=;qIN#Fd=h71r+~3iC_RMKMt;b}0w+0LXKT;*VMM7b~1E{)K}cZV3{P z`^{T+?2Q+=NlR8xaCgChLB8>o`2>ru33Ju`iqDumzLHHQglrn$(_C?K*{oKiL58Te z9Y(49refhAMVR#0WQMzQLc4W*?KqY7Gf@W5HaWf3fQc2upcCSiZ=;$Of`Kn7*v^Q4hCK zV@X=~6{$*qaow+pZS%XUiO||^cscAhC2~=|zHG4jQ`l}l19Y`@T%45xbla__wQ7BP z-mcUJ>jF`@e^=6}XjeyovtCfOch^?g7BEkq`&W1;CT4Q4 ziLn}*pV<8-;XsDd{#*Y(>fuL6QgYSB?;;*U@; zvoBgnkWf(_<>QF`X%apiRdl-MmI4xR)%emf$DHl+lc^W26{$ig6vMp|ef0b*d&@XTo zNXN~81wNhe4X{Ts{6+#9w#Q`j;T`(b9hYu|4y#Zp|3QenA=;YrUcp3lH?mr2@P*|w z8|8_7&{1x$j-hA*{OaZ10i7+~BJgva(&NMdh9`3r@pA#NHq$S7^ZDU<@5yty59I^_ z-*Y67NDchn!iFd-zD%zW&}5b|1VgBzWwbFk_ox&)$%m3FSpcx{%jd)Js^&bCQZjro zW`?WS3n(B}d_Wy@H`V;`()Ya>D0lc4SkC%Dl6Tquh` z$fSr~(Xm#UmJ{zH^(S7Dq?o;~^P+5+NZ@FXM#T4+Rt2o-2E^-#;S9cL%VNR1@cb=Qbv4Q?mz0R7kC9DU2|T&MoM*>~CRr`N42(h&ONC=>nYkXvU>ezjQ>JE|?s!UXSiIRjP?0K7SHeIGDLFiQ z4iZpjxizSp)D0KZYd{PNdglHcp{fvM2H%6@Bal!uK|D|kikCOYU#|lAy6(;Lz;oq( zo;}f@#Z!u{O{?@faM0ST0U>P^nh<8I z?=L!Qt9D!?7Gp9AzlEpMw^;%Qo$@83GnJ#9R0PHt1GIb`rklr-6>tt zJbCypB*gXxF@OO9k(`rPr3{rTa@!mD;6Gq9yF^&0gi72T?}|6^-Md^topR;ThLTUu8a4Alq{)RO$~4 z^V(E93)}Bj3=ezieOpBuubwJ17)XY;xn;)h*#p) zNhKcSePl6CTTWLTK_M=BGhoTLWp15)^pQ{gI<#aN{X**VAK=lO=TFKBu;!N@6*efO z#r)`A@>v>>`jsb&jDsA~nOE_I0GfL5v45eR0z=-NaYObjq}It{J33gvwDm{elj z;2u#_gJE}hC^)Pb59zhL(4F-1F+o~`k8dAje|Dj+3L>jmQ^Tu#{+OJCOefMqIxlV8 ze6i4RgI7dA>_Qt)T*ALRovJ9Vg64^B_=ZeD4vJUtolk$>Kl4#FqJ7^h0F2wp5tYa| zS!tS)dE~Fa00#z8kBmG7i;;SB<#H7z{QeSinZtH*RxP-PGBJCvdxPIAjpKE8pxWJHn&CK2dOu^eD98~#8 zH=koYNKz%H8Rn2h`ORo_NU9lOAh(=>981Bx?v;H&S_~xi84c3UUwH^s->Inr_t-s= z7EhTi;fNt$7=Be$tT#1ED9q9JczwEMJS+FsH?)dN=#VWi4q`|FXS4`VUS#I8J(T*-gS0gwfD$l}2 zM7*m+kaVtTHC<{gMmyy;LH5q(2N0l-|3E@k`_>EQ9(Zdj+eLFZE|Wk%DiFLRYn`fS02icI%e52To9=x{1PrCl)K6f z;Cd*!AFllmJUZ~J+^dGWR=sis_IRiMx6s?uv6OtoEHBI@OWFH~F4ecs0xFmVvP8&2 zRbY4f_cOrkRYg%6Gc=QWE!-l``KMsH?6Yr2e+xGA&9$LGCQTqfx+S}b5qhA0#ipbG z->I|nPX(XepXZc*z7BW~kxVb@%X3rGpzlc&cuKW+_;u3$GD+85q>jJqRAq5A z5IPgeIxSgvY3zf?w$EQFMTnj0u1Xu}tpd!izcJ=d*L_pBevJXfIwuzgZ^v~R8($fv z@fjSIM8y|29OA6MR6?FmSKIMYa6~(VQ9fhT?na$(RDE>T%$JQZM-|hhTPlFWkP0&< zDQMhU^Yb0+PTX1l<*pjjO6A9vxSR;GqMj!9BUxBd!@}_J*Mw6-qUMNHs=_*mJ2~$p zQL-%|r80cbKv=`a$2kp(D4k0E^|FRes9a-Xta@J=D3Z}h|r1^DGh)xX8 znh|u}(K>RV>xqJVhk2gQXpx;hBZ=Bau1yJwwxEHy&sXJ`Ott9^=XLQ`<(oG!t!6Iy zmXVeW2s`#NmmPc@&~P7PD?doMh)9GzR%~k@axbOi25za^#aJhO zMkELd5tq|qks?wqbFsmkwikt`{Is?W>gQgL)KGwIGj6PNjs5VozNc?6UkTDB>$ScS zy%6`BNVr7mbcyH1st>VR{L=rr7iMEz`gPs`y}pw!@$&kg5rPgMI%p2n-zJ~wS9TPi z63c5l#bwS(%Sqlr^OsQuur|ERIZXCa-7Qj`r4`tq-h4fN#kbf^EMLb7#&Ct&X8RCf!V+{5oB2ktkru{mt)7X}4W zl}E-I7dN3k;V>aRTic*~4;1<>@?FHggIZ}zZ=VjCO5xdG6o^m0ER#D^5-y|=JA{`K zXo@fGzaXRZy=jIQaE0b$Ef#~j;%Hm>Jw!(3VTu*X|CH?JFcRA-x1oT^V2hT{u7EAe z7ofAPB9=zOys2;afw}iC2=m-Fo|`Gm))_!Y+KNtpIh08V3*J7kZl5X4v&w2Z^Lj?r z40V6qErb0(AD^+ZS$G@rb;c1xyn z2XJO>oGLqO?;OCUkoJ?E{O1S3Xw8c08YsIpA`cxcGn5&_IX( zz5@a?{sUzD^@eq{n}?fNfTejfjHfi z(=1kjU=mXX@h92C?UX>yC-?CEshoippSOM%eJr0t2}!4YTBHpefr-sf?@z5~mY^&# zzoz9-l?mAIP~8xVc7@>h?DzE$9@jiLDyJm$z4Fp&12B{Uh4Q9wA*Ck5u|Ej_gt}Rv zoX+MU5w{ApfOQy8Ie!euXb_E@giv6jXUh>0AkRcWd%KK~tSSj|g4QRj37__no!_*(D5go=T)O*@?;EP$>q_~e~dp^;(r8mCQ;E8*QPQA^u zz$$By-?Ol?$=E;cd9B?e>Hw-4?S$AS)f8v5fri}VyD`QXjIr-ama)q&J4Iw)v!|3b&EQw~4zi3vT2(4r zi$dY|4Wen1WY~O$9{GZ)N_sKoy-gDlc_v>}h#FjW<@T`bYZEFQ`-CTxZ zU*T*4n|Njm9a@?)Jp81mK|(hI{s}49K)pGm4N7Y$8giZ4Z)81zFiERnd^a}(6kjCa zAS3AK$8y4S!6~J`%fD^1Yy{msuu;c07t_-_gXqdNya?QXg93ON>Wxi{p=rNR>95_Q zWjy?!^pGcDd@ljD0jkCg!IK$Ef80e(d*e6`n+BADf#+jI+aAxcN+*#4w4JYoNL-jT zXOvaN&s_0iip68$226^5Dfm?ZZDf3M%Yp|!+C7=SFnLHULcz|EXl4DrRcPvn)*@MQ zwsR+242n;**`sYkGV#leg$6>usvN{JCuNOT?WqT74}eTdoQZ}#N&m^qWjhfWY}!J- z&k7=tI+2H~F@t$mmIKD*rZ`NiCv9rUR!`p-O*KeofV?c$`hj}KF`i*LKlw1EEuU1F zi4SD6oVQkB(sXRjX~m7Q+bhwa>CiQ)io=-Yna5@4^rM4vj^j{i9n1;&UmK=5<4L@I z{5`8c^@I?vT>&NWt@3u&pya8m6q;$Kp6kW`zM&sek(2xaPhYih8_dRX=0)Vr5h|7L zLuIceMr59Z)Mk>E&linR%!37Lulvf0eNO8oZiejae*cNMziIo6pa-2E_SA0P6iDIV@a4tkjQz#DlrMZxQVasX$t=GpET8s zMe)`2_ZQ-|Z?*`sKe`@z;&euNx(ubXnRbM{aX`Vi)NsW+6^GOK%?4(~vQ`h_>wyCm zxJ0C!(GdKCWeDU@S4XS57Gqi3q+-sY)1WJEvQ?>aMmg73Ky4(RfwtwdIY0+$a0#4- zWVkgQ#RXtzmN}eJZGPhheUuZA)7H$6pP$BYz@yLiA;DDeM8p9$9r}L0&lXcA>T#9@ z+BV*-C+B}qo;hLg3wtvrCA1wA#X8MtgY=nm+LhzL###yd!3Mx z6^zn^D4=k!cknWIBkJ_aa?~l1-Rt``3u35kq%~y!Q7-Lk9q!T{|%Ik!MlhsQvVw~G5cUq_8i@vgPx3voY z!--%BLtqc)%ar}Z@VYt%x)X*X(4)qjx9L5z^-V7ZJ1SRu1T%TX+ zvZJsq1y9as!9U})CQ#G*qq`a`F3qa8-KsRcDhl3Zu_KFbt0KK+oc+vbi@@&vGjEN% z*%`~Z!Snv{BM*l$u)=}h5{+@QY_^9`9$)-K+wMAxKjo8a{cN>b3^@wnT&9gQ$F0)p zsTzh7JAg!1po@Uwv2nY$4}aSg9QqXOga`4_x_#A%XmOt5?^EfZQri#&Z#hfZ8(vn^ zPXT2<8S&2KIq&kuc?wSz_$D&Kq+r1P;cxVl&-moc#?AaUo|=fIk3e!XSK8&jJ017C zIjOq2+fbtbf05FzQDb!l!1qn~Oeargmyfk&!;@)YE+SRuj?+=nnVpH7Aw-{sI&M1q~e`6BS&ijoFx zP@*5M;s9ChagN)x9+P8Ywk9=7Z z$tU!kfG8ItUgMZRiNqkks>#|C4SqC-&?Q4pvIk@BwRSj9tnPn|xXK^uG}~+og69O} z%%7oN|I%z{5`~WEMx|v9rc99SRg$>F{;5D2LNf*R^{ut^ zH2;EGT^e9kCr!evlwX>hWKTV^sQxC_#5mV!^algSMyYSaD*YQ+HpHT`hD)jf?yCF? zK0=;mH*uKWer&j=z}fILp{Q;%c1{P$9-{8;@W;Jl_nSMYuzMC<#4E276anfjX2ZV` zpfywIahQZA#~6KDIgQR!Qst{f>|vm?;BGxO#|+ui#h0#p(p5(WRQcsS>v*Px(O$TjY62||e}DziI?du(&i3R+u>7E+IrF2+85CK@7ZWqUK*ClZP3qEDWv zqaIgl*5aTvzUMr-PI}S?IJvY*K!ShLTk`5K-P)Yc`kbNRnNGlN3TX}EK6U5S%08z}NWzvdDVPOBIRF@C5Hr>MO`a}F2n zBptRWr$Hfs(@FxQQnAeRdPJo3zXx3dAMBX>F-EpheRC{7Z^{>5 zFHeb=1Z7sJzp!1kUgZDa_xCUQANMjV@+n59K|xS`@xiB4@hxHvau7c_+}%O@eA!60 zj#Xxu$ttv&?_12D4-Ve6$+1Qp>TE||ufXDt2DcdPr&Pf*^80`+j5@8TN_#^BqLE@xdhy`RRQuT&#s=W+}6R zfxH^(00%fvd?yPE=JPFm!(l7CQx7iJ#~2J>)4Osg6Ahezam{}`AT2c_s~o_c4O)lX zdl60QS}2SX@v9#i7gn{09-N_%>WQ=>@cQ$|mRLegF0wo!18y5XH*SZ+gt-Koz=3>e zXA_Ur0s#Z9AYHr>gI_~r9=k-59B}IoEYTi~5eeL|Zn<#=`n~=KjLSlEFU9IyUg((l z?Wimt0DND)QUJvv>`M9AZp8jDXBSx%GNv5Y_5x~N zL)x+#E`BvPD!pU!OM2sp7~*t7J{b58QR1J}Q=cP>%IPS^#MES!z>Q2Q-`&m2ru5X2 zzR)UEu~b25{|z5pmRpup?r`R9`4<8>9n9@uM5a#jI1X|=8xBq+mEo$$Ge~J3J!^BI z;vh3yE`O)4rFdDgAuE5+^IM1fOf>7^zGc1QvC3(^zroNS^PeuV{@ys|T=R<{>W6o0 z3&&F5QEAl9q-WDm#DUH-$KQP1+nx)Ya4^~YuNNDpGUaxGQh8V~gv*(?8g( z6W@^CQDYtTN-{P>Ws~D>PW9ZTpZ8nf=VJl0Vw%kNcfxNrJC~_sj@zhXz8l*Oior$w zM>lJXZ9Lw}p7K4!o+RG#M>#$Bm2A#J<)lrNBSc=ogbbpGbZEZ)AB0Lzps2h8lYnpk~w6gDxY>&y#Wq)cm5)X)M6hI<07%Z~+aZcM9c z=~m0CXxJ4U8JdSs3U*?CD(Zr7F+biQPW_A$Yb>jX$}MB8A-Bs~3|J8$Z|X|>REK!& zki&>;6^P$o-u{qJS1_zBX$&6_^ikh4(*Td&@_FCeKR5F^mIuYNnS8Plu?y`t!#R74 ztQj23bUSSHPkSag!Lp)mHH6CVkA@jN#F1_b| z=Ewfb3%~hrXQTHr$3X8@O%In%)sIgVzG&EAL`7ryvjxjE&I4l#K=x&8&OtfoQ@i6g zRC4Qk5JR$CRt4r=2bQVpn2d3QcBF!N)y24^6Nfg$JNW@L_u)SV+hjR^l?DZK{S~yS z0$B{oFCAxvFgmD=-D zh8N?d48CX9;_;i#i%U+iuGiZov3b)dTNpIAf-{&>+UR99x;6qsItKK1k2|cdJLw?D z$Lz0E6M*tnev#DaO!HTVmGHLqM+oz~O45x~L_Z*X<*H8;1VzRqXFI>M^OaK5PqQwf zLd#zfU62rDK*Z=g;q_GV2A#tgnKkD)OH9sMgtp)PMveJQ(j%h6H$N#Dah1ilQXxi; zi*uX?o#fwWbPDPRSKi^SzD}uezhGX+>Y#C8(>D#kBio$_o1QCY7Dk9B!8zffWB$(b zd61=Chaw+q*}Lw%t~c2fN1twA9m@*PynLOR{w6MUt}thDpAzxgl)Tg(N8M8@PD=ZF z0Ix$E!B*mU+`D;8gYwKf$d4m!N?qHK^iu1qLVWc&&Yp71wgiD^TSMC&Ke|(Lu>eVP z(9A9f(#nmH={sQk_X;GqYe1JNlQ6eAxaxHCVH)*2)EdGkl_e`@qg;9;{rKKU00!)K z=VSDJ(KcE2jseVIYnfkTLk3DhIwkDg3HnH(M$NZu z@p|p&{Oh7Fp^4Aty%>uWeslZHT|_`5a(aKWy7AG|pD(_CSX-Wy`cVgz)|XCcma1Bh zdVrp9{MPkELI%j$$j7@?QXUc=>{s&Am(;{#e3&ptHK9Bd)okvui_<$cYR}W-n3eSj z4N>&yOA8-3YO#TYEVbNRScZ44NwlGnk9o_t|L~pEhwcajvKQw%A1(?JD>_bjB#<`p zkvlidduhMKHz3}s%K%p&{6P<0N<3J|ZDM?~3nJ^vS)K0PPPdWgqfTd)NT6h?Vf+W^ z>v=>YogK99q=cA$3`{s}c-Oi!U;T2L60J#$WCb~ub~>XD>`XoO%=QxIYFiav{0rx_ zkOF?Z8B5eWQUi7zZPVQeekc$yGnLix^1rnm)|c0H(1oL=z^Nsh>w63NEE32c)4a@* zz6_pj#a6>%^Df#7qGV_nTyTBpbAM+_*SQq%7Ck$ETr=!Zfi2k!OB_nalTU49ZK&1~ zlIZ~}9nBcaK&r9xgw34Zv8$XC9mS`4v=GtVh;p>gJ#n5vNs#C7^@`zi$Pe}%wh~_V zgySal#91Jx|55DAj$ov=)G^V-OXLgvl3r|TM@KXq>}Y8Dv8)P;J;P|oZ9n?WjUaDGO#yxMxaK^t)Cig>)T!XXa{Jl#W8RML?J%q}3a5Dc zxl@smJ~ThE1Hqk+!F#4wg%*nI2}+HkN~{$_fPlp^ynk7b=2f4mlF||=sMc2U@`vUd znlY@dw=P~|~PbrAHd}* z0ixP*sS38vRBm7Yr9?U|Qy}rxB=-KyD{@n3)-Abis=eEj-U=(#fHkVl@8yM7L>8EG zH&`a;567F4~&WY7AK*HvQCF=fUbu$0ptEPw%=BTwv>Q z!_=d9Ho{xasBN0{k32b1wI_MczSZfd7SPk_#TjpKrEJYyy4Ex-Z-UB`jn4mL%-fp3 z47RBF%DH9zdG-M62e$CxMeANT%95ZoF`%q~$oBXjHPnMiSO+o}OTY;h)GU9iJ{V#NkTqdJ+mASs~mJkhu!EI(EVDpSc=9jPB z50xzPCpVuVZRr}Y$c*FvWNV)x$>x@pxd|f@?xa6&Sj60MPDD+aq>rp{&|lH$uFlwq#u0w z+F!Ny)f*=y`Z;|+WNAz8Tvxf7ekp9j64IZ~UA(xP(-9D2Eh@DX18*UG^BCBh~9!_94?{L2W}-hVQ7w=)KvT>6W*rp_azZFxmnW##%h?V zH~-cpiWy*Pqr|rHM3F1*=-CSf7)^KSpsj9oMB$ zm1!pm(K@?i3)X4KFH`p2cp_r-RoJ<^@Fa7L^1^Kmo;oF))cR2gx2@C#`oo(nJ6*Q~MdJGDr&SAMo$$QrZ+V z_zS2C^2p8|o~jj1E?KG$eg|kEoJam^B2Oi|SnrGq&^G3`~@OP>nmJSvMeAjCL*?OD;VfsoU&+L=(Iq ztZ>pC(x})ZkYson9jy7Vr>&tr&p#y2qj7r&D>p5I_;)`1h}ukB7jU&U-+#STzNR+- z!(VG|J@j|q^8^|Y$O`+>N>`Ronk6f?VAej-CM-esS{e3whZ@l}Pp^^c3wZ0EJtBn76mSSg43^(svhuaG)L?rTSr z*q_EZ1fYG7@C$L;uctYdqZs$XuhYpj%WtM?8NEG=Nq)n-VEw;XK0%~*6b=$YTplobOw!u$gE&>YN7n)@5S7lXm@Ie(nKnmj6o;@twvjh8N zmL8HNkp6Z%nw(}#DHj&uI+L(Z{%h<-{?qJ8alN8uXQs}of|jbwS2)n;&Z!CVnB)l@ zzG*$CxQ*IQI$$~K$>_7I&Fqm{Va4tuMs6ouOlj@Yawsw0*xA}^%bY&ro7ML2xG^8X z?U}Ph5z8P8bE)(CKLIJgFA6;y5J@%n(mas+YhlxtlpRc3c#yB?6Qb_CK zpYnqhxztR^!eH9tKU-1Frl4&W4233gOh^tbLWjZ8uk`$Knk7~6AZ}eP) zvWlwgA-r*jw5+-)!K7V)fn06p(YOfN(_$$`hPpMt#^7_I7yOJ*Z^VYgpGuV_u6XkG zoxCRaHUM@PKzT^q?h<-u*>3i?wqfU;@xK=1-1hyK%Eq*{mW}21UHs|2f4SChJdRTj z#nKg(|NRHb`U+Ja77mGVsr$Z1PC%j}E%*>?*tRQ-MZ6283_$ zSNHw3Exr?pueRx1J!viwkxH?u0H)NMNc91*r%>RYZK%ST)#W$aPr3s$m-k;nx<+FJ z{J0I9A>0MuN?*1VwIAVPMc*3Qqb7qJBw~6r|ZAiCNCN zcM9M$95Ng)MhY%kVUl%87dpakwf63O~hn z3VQ}+vzP@S491IbJLIHs5=>R{UXq0PS?~#KeZsXGy^Vq9w_w>5e4l@nh&7cN29k4Kh3A z1D=by>qVX5eV4Ia_B-a^usY@H#q-atDH6E6vo!wUI95zT-C32XXxj1G%H6|Pk&%zS zKI1@^aL&hU?OrR`FsHx!nRYv7d4W@q9~aXdyPM<8=Le14-*uO8anfpY2AD&i;S>8Q zUG+mbZ+tXTr+r){tj|?q>WTNR)YyiZ{bQ$m>S*y_*8nWO4h_ROm3|+Yw6~Z1?Z-s9 z`W1s&@my6O-_$A6z3J!a(c#fD?jHY!OU(C>uJ_Pr7_94ET|N>q0YiqOZgHT!IYTkG zxUk;bp}1Q-cyHcNzFPo4!CN4dcuP>oTR2qYmZ+Gwc&NlJNhxpXP?-W*xh^OS*k|2@ zy+2gPr3hmxDU(zfB&MnwNu8m=)YKwrGjy1TbxC>*eWrmS$%tXhG&w>tWtcI|El8FO zE2gy#$(C`HdCZPv&p6I>a3q~zI5C}FNUjXGf2|DYmzn7qq)bK@la@`QGjf=@d88|heCE|_r0a|u%$v7J1&rIwJ9kNijC;)c4@gCf zVt`pvN-ASKWIlRKdcr7YKCK`sh0<0Aho3YAVTPJ;IY%qWPB>iIiX8zeEZ85f)e|Jc` zj6LT50SRoCzW~2KC3IinxdNvqG8K{P_k~8Rh5PVtJ~~g*oO{V*?%G3H8qL=|La0(z$ohp~sVnzDc*=i4j?K;V@(B(aYSt*%TlAsYUND?Y(fz62P*5L_P_; z^2yX8nzsFzI>{+3>Iw)GPI4gaQhAmXp@SrvrDS(ymH7_ukDX=xn-xY^#`C+`(`Z*| z6uyO@bsBWO76)^>=F&utv4FLo>yL!yp6^HrcYYa9Iip`uJ!)linA=KAkN&PW+-O1d zncFgt>eW?R@}8AhOIRR14ziWqPV$j>hzZ-{RlGCLi{U{I1MYz`hnb3ckTq#mMP1Q4 zrrFc&IfUo)0t&9yIKPd}f1cOSP4n8Nv0vXY!1lO?L(j*tpK1n=rXecTwddJ)T{BD< z>YwJHzc)%;n9Lenv9O;4&eB9}esEqtPrT2okoat1UKNFzW*!`%RHyf4yfk5F|adpl*6hmFT`GaR{yho$m zY3!N}Vvte^6R)wks%%$x@i&a{%NM)cZV8$#`c*7s+uRn5aERSoGaH(R*26iLrOUyq zp6FLb(y7|cwZdKQO>BypdN{)C zy&^SU@6~!4No#?q#(7RCv-uwASh1H=obMb7J~Tftoo5?$Lz)zSLZ8&r^l}vSv!P<0 zFt-hL@Mo3{uOmWQMeNB#LE6GkYWLz)P_O91WW4?93f9Q=1HRA=Tdw^4jA@Zc{=4D$ zRv@yZm%<}8DJbTXQu$S@U9m#wvZ_{H885rEsgLl6|EAe9eY>09KbNzM{NkyfF$vj2 zQv7FvSZ4VN?arP}0ewxEQdId?IF&k13YgoPmsW6xrUg88a(YoMwAKiPsz6l;5@BWj zon`YVsjWPzT(O)kOY41)qb3I}2uqWFuIqD`u)wUdSrehDNajYg>W2abdXG7u_Z(Cv z+85s}4XaXVh+)yiU!bhx52rySQnjUjk!wPr)BG1;+9>ZHN<+t%q>4-Mjtp3`Ne)=< zJOeIgKU;aub&P{H){!?WcrO8;?FmgeV~uRXF3W+ImOX6NV!yzpHTS@h(7KYwb!Sn) zD%akaSj>SuI&HHbT2Q*=N0o#s6R*^;&up3;q@>28P41>Xida*XhHx(hK@yiPLVz1m z$fA*w0R&gZyIh2=yLx2G&h_pk3OZ$#fw@f-spYRk%0Xl2BJ?6=?L5EdsO#L!!y>M#wFS0!n zHs6AtD4bc5@%U`G|9}juf`5{|-D%Y&6cHHomY9Jhx?HadBxLg(h|nD+O?k{@$G7k)Cl5Pi|@|U{kq0weyen z%l^o7)b(p>2_(2Z?eL737=$0{ykk_TXf`hUq@?(rse3iP-Mqly%C!=X^CePY=<)9# zwf%TQpHuH8aewKbPusyHUQFcU`Pl*g-J!=^h%@J zK0Xx#LU*0kHbJKaey#Fx*1(I+y62n~M9uP+wG!&v?(xv3%H)~Wyau;`DQlwS_C?>tjrCK$%u#hfV0Cs8#UxvcY&SlgFCXXx!m+|`@tccX1b zm8rbXvr!~e^BnM~&f`ElGBzDIl!8nDlPF%{MV~KCmu30XE8Y@z5gB(p$ekJBjtJYvH9419 zJBMkdfPoL>xOe0ZRDA`#m3XJR*)U!NBCvifW%b;A+VxX_2;g|w>9EV`TG$}med4BX z*4^a614o0txH(NlsM2=ib1jHA414K1g06N0w^Dxcj6cC@^Ml6m9Rf7@v|=q-XzE&` zhmO>+q7gEtHA)W)*QoS+F!ePbU`~8a);cHUEA_!T#x%vHXoYeXdtmlb$9I{2>>!qH}M~Ixs>9}2p^mjla;paIa*8*bXL2S;uh`^ zwA?;;2qh}LPxFc(9Nk1KY1PL$5(Z9X$U?(GB~;7=fxNn>bSif(mhd29`>)b}9C3Ns zop_*mHI!deS264)Cj{vMzA~vZCLFmmqV&Z`G?);=bh#|Mtc_=c8hebSLmegJUUueIEup)(aV2CCNs9xn16Z9_0XCp z44D(*9b(|>e$q`hCaz&CLi}(P$99@?lQ>{x)Q$9sZhrvG@5?kjxzFyqDT&6#xlD3>co$Fdz}%= z>ZTX?AjdGWpL<%lFBu^(7*s|`=NdZKNul*Or8#O}I#qI@#o_M~@$Z@=Xor0y0KF6b zPLcmQdT2A^CLW69nbPr4jHt8#6~Pm@9**V0$dt#zsh zIHZM9ZI(;9&RB~^evFk4^eRzKj3ik+Bz-48x=VdI73M@b_OeOli`SPAuTt)Y$}l6o z=-R@1WyQS34E&(C&WZhph>6GrOuqcSCu=~Lb`$xHYzsD>D)WsO2$F&j=)zgS3F!06@9Wh)1I#geBGwLfwEhr zdN!f46)EagqL&J@KqF^C&D4(y5Ucnb%aI7H1XjtiQ}GIoug2e-K-GKdB#pPIFa#kf z{UhG|YxKk)?FgFo^jZtGm>fkAx0-S_iGNc<(o=yu!$N6)&y&p%xup^cYq}SZKnYAI)>-t8Kbh5)eO;z>T?GHES2e8u{ zn(as7JnWy4c!*lsktwewhK7KwlM>y%8*q_8)f_HtNcsE?L z^4leW^Bj`Vzr6gIr}b4^>YUWwg?B_|^ICf#g%x{lO5yK0E~RzDv?bK?B&Oum`l3Aa z3;ev8@hZ3$pEZt@efT-8o4e&Yes*m52%<1z6E?=9{{!cz7T%?E7cs5Fbdnhvy{aRz z3*$BQfNTLD>-c>79A5%x>NgJRhw*ONAxx=a?J+Yhzs=N6`HxeL87@a7IH8TpMWO#t z82;1=PMria89i$NZM-~zJrso})}?cbdm|pD-QYKCh}!C`@L=-H&kZWAqP2Q^gW~5M?Z&*c2EWT+ZU8`huR_*`gT@p{%I?ktD>^GO8nd}QK}H~_{@`JQ?4Z`A zltn!JCZY~bc{AlI;S_?0Y6uof%{&_y4&Z6O2`Pt{J8wjrZgrs}(Xf|7BW-e`8c@Ku zK%ve)O%BZ~GvS z^8LWnUOx@&Gvfl2xrUa9hNk$Eb|+7;f8ausrWS`F!|OwXwz&Px|2VdWwz&TNE&~P# z)Ft|+euf~5tj*u8<`{LoKeJzDihM03R(JpU&-Bwkc4@#*GFQsqlQE@{>UxDqciy^f z7aea^Zhz=mP*!n~dir2xX>V!q*`+U2@*7!y)Kmlvzr0&QDrybcTW2J+e*N=A2jM5| z+0>@;g?ePWNmKb_@4r$o?4Ju8E(&UDY3m%;)zd#P&`>o&bDmE{9vLM6*Y-L(#@CO# z0}|9W1)M$;b}k%@Fgg?nIe9Sw42cVliX^H1%TfQ?f&T45IimW>TIG101^|KJ0a0l) zSvgSNUqM+>DL^F}aQ3tYUEI9gkDYM3RFGuu3R>CN9<{SSZkQ{h`zUb)wDhoWbTB?* z8VHy%ASmumAro~772*m7aXjM628Kq)^?=E!nfbPbrIn}mjZB?fTV-fg{Tu6}t4{U~ z>Z&&&s?wJ=28)7%Lr!Icwq=}c?~IHZ0?)@@AXDPv|0Mi(5rVi;N~QDWJEs*%Av}Y8 zrAV=Zlo0colnW4>azVxIR8@4#5$Mt9ivK!F!cwxYyl!*DS0T4wVz5DwSpDPJdw^HY zQ7TDt^)}>G7BpEL3TcPHHX{%`9y|wC3p{aGpzsT24kbl8_lFA|?iA?U9KP=G^rh}A zC{wTLEZqBS%HuP4L!8eBJ^t@*WcXQ!$Nx;ndQmj^-O#kNz~iL5m*US-9$&b7wYEJx z0DeNpb2!~0AX6uL_`E}P<>6=f+6*|5R@K50uvL#1)M%!{#~Z`(BzUob;$x93>s(|w zb@;Wzs1Zd_e;9t)K}%hSG%W8RBdLQQ#yX%6DB5+y@G^%Fk0|_qhdFF)>84FwzAO&r zV?q-WKF#KO0Dob|^{`FH2f=Oq84f|bgmy(LeDjrsf9B&Yg{EG=)vGaQ4pi}^1wmFH zUWSiD_^~i^UJ~zP*jxTfFbIrSdKC_V%RWX_S;B}As3j-t^)43*frJ1sIEdqr%SB!6 z1qp{`^;TiVB|TZFlDLN&L6&c%ic6T~G0I?wqK1YNSq3jvy9@ATP3ql;XJ4NVgbY#Z zNFYS-J4X}%xXnueffx4^o)yg?_4U$rc^C8l(IqvFTw6z*kl!3Wy+1yIn44TyKhj#p zn^qVHCCrGvLP~Z_j1yj%1~;SAbf93hfTT3ufL|Eo)y0eJ6UAgfQ9SQ@*^&7vmzFZt!sMGBnnuC~wuJS=r<5QBaeIhoJ8x z{qGVX0%~LhH>~b~ys(H6H?Qa%0wX3OwZbVB;XCv2`=#ebFH`{aWG^}B>b~1GB8k8^ zXUnY%@jExKtW+?2PVie}eddPt8Yh?DdYu3#kz0RP35^y5WPp{2a517e$mOA;q>ty3 zSyzw}cvSEF5UO>cf!9XssVAzM9mvTl-xmU8ug$)bgB%i!<~Ia2#oP}mh$>#%H}`dr zJEQ$R)CI&6vBDPoIBfI_O%X}ZSM&zA7&aGLHzHi$Ikqct)sjP0W&jYyit)Lr>{s1Y zl-9mlbv>o>#>;fcd!U_z8kP_D?TsJM|Id)br1%XDiLxd~V dgkZZT5zwzXm^uj*1g87&{~u~{UO!E*{U7w7J&XVV diff --git a/inputest.map b/inputest.map index b9b3dd35..861e5df6 100755 --- a/inputest.map +++ b/inputest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:54 Executable Image: inputest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0eaa:0000 0000e710 +DGROUP 0eab:0000 0000e710 @@ -33,26 +33,26 @@ wcpu_TEXT CODE AUTO 0000:5210 00000058 kitten_TEXT CODE AUTO 0000:5a80 0000080a 16_hc_TEXT CODE AUTO 0000:6290 0000158b timer_TEXT CODE AUTO 0000:7820 00000235 -_TEXT CODE AUTO 0000:7a60 00006e85 -16_in13_DATA FAR_DATA AUTO 0e8f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0ea9:0004 00000000 -_NULL BEGDATA DGROUP 0eaa:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0eac:0000 00000002 -CONST DATA DGROUP 0eac:0002 00000076 -CONST2 DATA DGROUP 0eb3:0008 00000102 -_DATA DATA DGROUP 0ec4:0000 0000056b -XIB DATA DGROUP 0f1a:000c 00000000 -XI DATA DGROUP 0f1a:000c 00000036 -XIE DATA DGROUP 0f1e:0002 00000000 -YIB DATA DGROUP 0f1e:0002 00000000 -YI DATA DGROUP 0f1e:0002 00000018 -YIE DATA DGROUP 0f1f:000a 00000000 -STRINGS DATA DGROUP 0f1f:000a 00000000 -DATA DATA DGROUP 0f1f:000a 00000000 -_emu_init_start EMU DGROUP 0f1f:000a 00000000 -_emu_init_end EMU DGROUP 0f1f:000a 00000000 -_BSS BSS DGROUP 0f20:0000 000004ea -STACK STACK DGROUP 0f6f:0000 0000dac0 +_TEXT CODE AUTO 0000:7a60 00006e93 +16_in13_DATA FAR_DATA AUTO 0e90:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0eaa:0004 00000000 +_NULL BEGDATA DGROUP 0eab:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0ead:0000 00000002 +CONST DATA DGROUP 0ead:0002 00000076 +CONST2 DATA DGROUP 0eb4:0008 00000102 +_DATA DATA DGROUP 0ec5:0000 0000056b +XIB DATA DGROUP 0f1b:000c 00000000 +XI DATA DGROUP 0f1b:000c 00000036 +XIE DATA DGROUP 0f1f:0002 00000000 +YIB DATA DGROUP 0f1f:0002 00000000 +YI DATA DGROUP 0f1f:0002 00000018 +YIE DATA DGROUP 0f20:000a 00000000 +STRINGS DATA DGROUP 0f20:000a 00000000 +DATA DATA DGROUP 0f20:000a 00000000 +_emu_init_start EMU DGROUP 0f20:000a 00000000 +_emu_init_end EMU DGROUP 0f20:000a 00000000 +_BSS BSS DGROUP 0f21:0000 000004ea +STACK STACK DGROUP 0f70:0000 0000dac0 +----------------+ @@ -68,7 +68,7 @@ Address Symbol Module: inputest.o(/dos/z/16/src/inputest.c) 0000:0004 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0eaa:077e+ _inpu +0eab:077e+ _inpu 0000:01c0+ INL_KeyService_ 0000:045a+ Mouse_ 0000:04b2+ IN_GetJoyAbs_ @@ -116,9 +116,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:478c+ MM_TotalFree_ 0000:4822* MM_Report_ 0000:51da* MM_BombOnError_ -0eaa:08e0+ _beforesort -0eaa:08e4+ _aftersort -0eaa:08e8+ _XMSaddr +0eab:08e0+ _beforesort +0eab:08e4+ _aftersort +0eab:08e8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5210 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -136,9 +136,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5950* CAL_OptimizeNodes_ 0000:5a00* CA_Startup_ 0000:5a50* CA_Shutdown_ -0eaa:08f0* _finishcachebox -0eaa:08f4* _drawcachebox -0eaa:08f8* _updatecachebox +0eab:08f0* _finishcachebox +0eab:08f4* _updatecachebox +0eab:08f8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5abc KITTENGETS 0000:5b1c* kittenopen_ @@ -149,7 +149,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6074+ get_line_ 0000:60e6+ db_fetch_ 0000:617c+ db_insert_ -0eaa:0494+ __kitten_catalog +0eab:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:643c+ LargestFreeBlock_ 0000:64ca+ _coreleft_ @@ -176,10 +176,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7aa2 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0eaa:0000* __nullarea -0eaa:04b6* __ovlflag -0eaa:04b7* __intno -0eaa:04b8* __ovlvec +0eab:0000* __nullarea +0eab:04b6* __ovlflag +0eab:04b7* __intno +0eab:04b8* __ovlvec 0000:7ad2 _cstart_ 0000:7ba5* _Not_Enough_Memory_ 0000:7cd7 __exit_ @@ -189,10 +189,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7d5e _big_code_ 0000:7d5e* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0eaa:0904 __argv -0eaa:0908 ___argv -0eaa:090c __argc -0eaa:090e ___argc +0eab:0904 __argv +0eab:0908 ___argv +0eab:090c __argc +0eab:090e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7d65 __PIA 0000:7d5e* __PIS @@ -217,28 +217,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:80a8 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:80ed _nmalloc_ -0eaa:04bc ___nheapbeg -0eaa:04be ___MiniHeapRover -0eaa:04c0 ___LargestSizeB4MiniHeapRover +0eab:04bc ___nheapbeg +0eab:04be ___MiniHeapRover +0eab:04c0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:81c7 _fmalloc_ 0000:81c7 malloc_ -0eaa:04c2 ___fheap -0eaa:04c4 ___fheapRover -0eaa:04c6 ___LargestSizeB4Rover +0eab:04c2 ___fheap +0eab:04c4 ___fheapRover +0eab:04c6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:833c _ffree_ 0000:833c free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:83a9 _nfree_ -0eaa:0910+ ___MiniHeapFreeRover +0eab:0910+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:84a4+ _null_exit_rtn_ 0000:84a4+ __null_int23_exit_ 0000:84a5 exit_ 0000:84c6+ _exit_ -0eaa:04c8+ ___int23_exit -0eaa:04cc ___FPE_handler_exit +0eab:04c8+ ___int23_exit +0eab:04cc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:84e2 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -257,7 +257,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8e89 __doclose_ 0000:8fd3 __shutdown_stream_ 0000:8fed fclose_ -0eaa:0912+ ___RmTmpFileFn +0eab:0912+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9058+ __ibm_bios_get_ticks_ 0000:90cf clock_ @@ -268,7 +268,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:92f3 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0eaa:0098 __IsTable +0eab:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:94eb tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -303,35 +303,35 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9ce5 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0eaa:04d8 __8087 -0eaa:04d9 __real87 -0eaa:04da __dos87emucall -0eaa:04dc __dos87real +0eab:04d8 __8087 +0eab:04d9 __real87 +0eab:04da __dos87emucall +0eab:04dc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9cf4* __exit_with_msg_ 0000:9cf9 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0eaa:04de __curbrk -0eaa:04e6 __STACKLOW -0eaa:04e8 __STACKTOP -0eaa:04ea __cbyte -0eaa:04ec __child -0eaa:04ee __no87 -0eaa:04fb ___FPE_handler -0eaa:04e0 __psp -0eaa:04ef __get_ovl_stack -0eaa:04f3 __restore_ovl_stack -0eaa:04f7 __close_ovl_file -0eaa:04ff __LpCmdLine -0eaa:0503 __LpPgmName -0eaa:04e2 __osmajor -0eaa:04e3 __osminor -0eaa:04e4 __osmode -0eaa:04e5 __HShift +0eab:04de __curbrk +0eab:04e6 __STACKLOW +0eab:04e8 __STACKTOP +0eab:04ea __cbyte +0eab:04ec __child +0eab:04ee __no87 +0eab:04fb ___FPE_handler +0eab:04e0 __psp +0eab:04ef __get_ovl_stack +0eab:04f3 __restore_ovl_stack +0eab:04f7 __close_ovl_file +0eab:04ff __LpCmdLine +0eab:0503 __LpPgmName +0eab:04e2 __osmajor +0eab:04e3 __osminor +0eab:04e4 __osmode +0eab:04e5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0eaa:0508 ___iob -0eaa:091a ___ClosedStreams -0eaa:091e ___OpenStreams +0eab:0508 ___iob +0eab:091a ___OpenStreams +0eab:091e ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:9d32 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -342,7 +342,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:9f46 __FiniRtns 0000:9f46* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0eaa:0670 ___uselfn +0eab:0670 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:9faa __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -368,7 +368,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:aa8c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0eaa:0022 ___Alphabet +0eab:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:aa8f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -378,18 +378,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:ab3d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:ab48* __get_errno_ptr_ -0eaa:0926 _errno +0eab:0926 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:ab4f __GetIOMode_ 0000:ab84 __SetIOMode_nogrow_ -0eaa:0686 ___NFiles -0eaa:0688 ___init_mode -0eaa:06b0 ___io_mode +0eab:0686 ___NFiles +0eab:0688 ___init_mode +0eab:06b0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:abb9* __set_commode_ -0eaa:06b4 __commode +0eab:06b4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0eaa:06b6 __fmode +0eab:06b6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:abc5 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -416,9 +416,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b1cc isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b1e8* __get_doserrno_ptr_ -0eaa:0928 __doserrno +0eab:0928 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0eaa:06b8 ___umaskval +0eab:06b8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b1ef _dos_creat_ 0000:b213* _dos_creatnew_ @@ -429,9 +429,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b41c vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0eaa:092c ___env_mask -0eaa:0930 _environ -0eaa:0934* __wenviron +0eab:092c ___env_mask +0eab:0930 _environ +0eab:0934* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b45e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -452,7 +452,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:b9b4 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:ba24 __EnterWVIDEO_ -0eaa:06d8+ ___WD_Present +0eab:06d8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:ba48 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -465,97 +465,97 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:bff0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0eaa:0938 ____Argv -0eaa:093c ____Argc +0eab:0938 ____Argv +0eab:093c ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0eaa:06da __amblksiz +0eab:06da __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0eaa:070c __Start_XI -0eaa:0742 __End_XI -0eaa:0742 __Start_YI -0eaa:075a __End_YI +0eab:070c __Start_XI +0eab:0742 __End_XI +0eab:0742 __Start_YI +0eab:075a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0eaa:093e ___historical_splitparms +0eab:093e ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d152 _DoINTR_ +0000:d160 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:d4cb* _heapenable_ -0eaa:06dc ___heap_enabled +0000:d4d9* _heapenable_ +0eab:06dc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:d4dc* sbrk_ -0000:d4ec __brk_ +0000:d4ea* sbrk_ +0000:d4fa __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d554 __qwrite_ +0000:d562 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d5b4 fsync_ +0000:d5c2 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d5b9+ __flushall_ -0000:d64d flushall_ +0000:d5c7+ __flushall_ +0000:d65b flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d653* _frealloc_ -0000:d653 realloc_ +0000:d661* _frealloc_ +0000:d661 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:d74d __setenvp_ -0000:d8d9 __freeenvp_ +0000:d75b __setenvp_ +0000:d8e7 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0eaa:06de ___IsDBCS +0eab:06de ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:d937* _ismbblead_ -0eaa:0944 ___MBCSIsTable +0000:d945* _ismbblead_ +0eab:0944 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:d991 __mbinit_ -0eaa:06e0 ___MBCodePage +0000:d99f __mbinit_ +0eab:06e0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:da6c _mbdtoupper_ +0000:da7a _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:da7a toupper_ +0000:da88 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0eaa:06e2 __8087cw +0eab:06e2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0eaa:06e4 ___Save8087 -0eaa:06e8 ___Rest8087 +0eab:06e4 ___Save8087 +0eab:06e8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:da89 __GrabFP87_ +0000:da97 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:dabc* __init_8087_emu -0000:dac2 __x87id +0000:daca* __init_8087_emu +0000:dad0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:db1f wctomb_ +0000:db2d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:db6a+ utoa_ -0000:dc0b itoa_ +0000:db78+ utoa_ +0000:dc19 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:dc55 strupr_ +0000:dc63 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0eaa:06ec ___EFG_printf -0eaa:06f0* ___EFG_scanf +0eab:06ec ___EFG_printf +0eab:06f0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:dc9d ulltoa_ -0000:ddda* lltoa_ +0000:dcab ulltoa_ +0000:dde8* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:de4b* _dos_close_ -0000:de59 _dos_commit_ +0000:de59* _dos_close_ +0000:de67 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:de68* _msize_ -0000:de83 _fmsize_ +0000:de76* _msize_ +0000:de91 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:de94 __HeapManager_expand_ -0000:e39d _nexpand_ +0000:dea2 __HeapManager_expand_ +0000:e3ab _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e3f0 _fexpand_ -0000:e3f0* _expand_ +0000:e3fe _fexpand_ +0000:e3fe* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e425 clearenv_ +0000:e433 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:e55a __Init_FPE_handler_ -0000:e593 __Fini_FPE_handler_ -0000:e5ca* __FPEHandler +0000:e568 __Init_FPE_handler_ +0000:e5a1 __Fini_FPE_handler_ +0000:e5d8* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:e85c _bfree_ +0000:e86a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:e881 _bexpand_ +0000:e88f _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0eaa:070a ___FPE_int +0eab:070a ___FPE_int +--------------------+ @@ -570,6 +570,6 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d1b0 (119216.) +Memory size: 0001d1c0 (119232.) Entry point address: 0000:7ad2 -Link time: 00:00.03 +Link time: 00:00.00 diff --git a/maptest.exe b/maptest.exe index 7d8980519c50375a6ce645f099a769d27b5017ab..bd493b0d3dde8258f9cf5c3f72eb89d84eb2ea36 100755 GIT binary patch delta 42804 zcmW(+X*iUP_kLy{V~nxyj5XOpc0<-7vV>5U>q`>p_VKF*pHGvHFQmjJgp+ z{1@KFeuKL1)sgQ;vJXWC3@Wsk*2zGOHQ4FnSm0LZ2=A>@vA@`u45=vI9(T)>$wM%Y zKu@~MW^|+Qz`7h#vIh-=sAE(QVQ;r&zgN^x!~9h#>WKyfBy0&Mpb)M#U4Y1if}mKT z6C|gr4vKZ2Kz2i0@YL_e8wMQnW5=>s4ngCX#9!DV8I=!k^sLPY<4a|{MYiedvw2-` z!Dya$$! zNW$&BA*w*`AvCuJ9JEL2ME#x6w7}~v+Bx3f)w2f{viL3kt(M`1-!}#E2t4UB`xoat<8Q3NvV9!7lx!+|K%sfZquvQZ9N+Z+?r~JlQ)leZho)^( z&cMAYd^HbP038G}vs-p^`V;F=s(t;x8;g_caNfC}Gq1k&&3)IKO4vW8PxyXeotJ_d zqe}f;uT8t~%$S5)I*KYZ5}=;erOovTsyC`jW=P-MVwB1@)?=94OW8*382uC57Nt-@ z*0Ma*_b~bO@@hl-EbBaUJ+S?E`4u5v&3q?+v6wUi77dBL=i_YW+c6DzrEfZY{UiFV zUkGty1{W89Hv&-B1T=qUw-URMF;s3Z%yU{-+B|1UrH*`zS*9E*QtT^_<9VqH%%R8n z4mF5d)xj1;48*Mr8?-4Ew~-$f1GHZnzPH&3DjW;Kx1 zF-G`YU$#Wf{pP<5N&Hqiw-9AGSLYoP<{EsgJtO50#6E9R)~pjpH!d-qVnKoSP10u` zJVoU3veA$kw;gIDEa-UjM!3$diZSq}%CX_-q4#ik$q{U!hMprsFBcUkX|^d3LT{XV zCC7M&?30eiNZ2pmPOsQ`A&1s{UZBDH7_G?SKmoH;?I+^2c#H!qxFm8c0xrdg?B(hm zhvec#kx0=Vg~&;1=eDic4)q+|$yD{f&L1wcQI06ey-Y@#$D)aP^Qi=4VE$3re}$ok z6A;LHcLRhSM}R${FXKK#6o46&Z^RJFC~?_}GIB^Y$tgvRxC*8!D;En=&%^V11xrts zBm^Rq`$VCX-d!SwRrgJLky<2>Pjj)j#-DEAzWtWGK}`b zq;BgH_oX^#KG)Yln-W%v`~{aocBB6aXk)~bRC(fZB4t?V27ljD&=QSB98WmxidQ3U zdsu9s9X14pV2UZxGR^3$q296mlUqD^1}6O&iPa;J!7juIwuzLb;I@*QU-c#NLe2q%e~qgW1&6^o!5xw* z=Eiw9|0?GGE1!3wQ9CYTB)*P{%=%~fzaue|x$rmTgNW-{XuXddv60#qFveG4)Vw0_FF>!q?r&&fbNg-6aU!G0&jB<c4+JO2IX_rxZIu(@Y5$n@bn zezDu5be`%(JG|8JyQ|^MlBqz4TQq7^-Aij?2xRA}(r-x$E8TFu@*Am`Y6-iv`wLV- zdjlU2HB8t;Eyrg3cxn)i*ws^2(pwwadFu>0DPi;&4DRf+F$kn|;g9*B&JW0HJaOIL z{-YQ+VRuvS;O0KB_JxG%9Xlb=HBzP_j2B#4wD}FU)3A%zl)AZ%&92+<->2fbop+oG zsO**a%T(2D)6VJK_a*dbkcQxpZ)^+&(0)r!-`5@`1PB}{DG7jPBw>B$fjuP(_T)|Nmkw|lri5(XE2PaO}NIJy( zj!0WV`z*}Kr&3w|Br|BVX_?9X0D~3a+)c5SlACD`AwbYJa11yKGzbEL0N`LEIoiJ} zh!P*8MFS3Ntbl;mu`$5o6R_eAxG5!O0WKqsfwhmSUL;Ha_Mf8KfCF_zAf@(HRdRfM z+{t6HBPU{3>=PoA%Ju;U0ze(`~n1NJ;4`d2->jp)qW8ImZO=Kaw|0go!a25h-Czt&pe+7UIYAWL3dUCxnm!SL*5T#AK$MD-a?p0r zv7><>2}<3_DnmEU((9-cK&iVu%lye>`X7p+23WB-++}ZO*WmZTK}OMMlgMZ6*Nl@O z8GXOu;6j{Ca{`3Z(BNLs%ahNt@JT%>YZ5kZqg4nW!xx}38dxF_y_M<~5tLQ|ygyZ6 ze)9^gA;s|>hr{Jl%o^Mz3iW{`oS~p_;46gy#q!Z(7wbEWNItsmy6sl>~D{JIkz0Tg*xbz#G}_8=lx)4MKXb)LQz zyKJ$cr(Qt*2%X&I6?*cjS0F=ELM7`T>8Jhg35{(^Z0^~pzv-~mv;cuFtI!^;Zx1|g z-M^o=UtlZ{9BD3)SoQ!Z0`WqQ+Boc2A*&BH0TXOP`>2Z{g(aknv0;Of`c1@72ET4u zz-U<&T!Y?X#?nMAfPwp~`gX|q7e*Z=?`o&n3$!P`l74x&c4f0#)-jB(+R6K+8~CIu zWi~`um6>Q8x?Wq?wuY+)fT_nX9Y%{%ZwDnaS&pHS{W828Vff~n^` zIkpX072umU9J84vcpJ)t|K+&3$vwD`Muf1cp$eK~xhMvj#zN*ode-~J*KO9btg3Ur zuXAXif7UxVM6#Y@FsnXQ@m-gzEG{(InmR^N%-QClX)u-o6GP^0bp4t2twX~}zpCS1 zVvpU|!@nZ87jOUJEa(d08B0%C=&SX8+_VPFn#%M-p3t>wteO_BYn1!WuH%ONmiB|m z^}Qr6L+B{#$r!%@weY|DC1`6DZ8@BU17(HLerA}{_(sihEkv56pJ8m732hplC4R)Lg z8HMFS)T{=#0BUYRW-42MW#TN%cFYeUE5L;Pv0simVFw6kk959*VMjcQk%8T&awWQ3 z@H_8zI(2s9+HSbA^*i=_da44VL;eSB({&ZgHCs?hFht>veBNn8teuoRP1Dven4 zL0z`%VDC8RCKqs14!sa(h*jr|eGc_r}YsA_Q3nQFp=U#1U z-T#)(8=M+Rd(HD6oIO)-;?8#^wQsgTHMk}@t{4&nUE3QFse34RpQt*_6YRD|`U|Cc z(HUnX29@SM>LBe}R(@4_3l)ED_YvZxcS6n#H-;Ta6!L?DjLKx3D>mqpr-8m9`-hu( zkc)|Ry{%`;%c=SF?dV$}&GQ4??d!yh^Y>enWAgUk%leUSXJ^3l`Ds4y=J|*p@;T@0 z@Bb3hFcEQ4D9HIOfTp}7nAd-R0D;?Ri2k26!B^E)y6Ra~xbsWdkN>>B6C?~-&DnyY z{>}qAeAp`xfQ-Oz=c>uIRE^{35mdRhy_Lv6>UAwj$Q84HfgA$v5+vABs6UY-OTzKH zP>L*3NOh^1fxdK=MShsHlB@17Y2dFrO9J@o!4iYnk38R!TJvVvUdv|OGq(?jF_CgB z?WynB<^ML~EtsoHe1$Kl%q+}R^A)1d7rGX@Kmq@xv7`iCbDAn#0r}L>l0PlZk6uUr ze3)C(g%JALvw`C*-1qg+)bv+fQ|{UE=4rM%Oj`n3U9X%^6r z6;XZl^h!0On;tNQ01o!0I;>BlwCZg@9f0-Mn` z;H$JrUI%29Y6v%@n*Hbw9@v_)Pb`2qq2bIuaL7HB8pSH>TaxWXIDpp*aH~&=ucyfLD)}{09 zOZ=rvh^p>`FS`1XR-e4t-SIL$lC@jE20d6wSvUS{2gh3KeMDQPb_=I@Gn8nn^VtXL znl#5Da=LGh>Yi$om$$99Bx-wWt7__xkvP!N^lpS^7Ad)Epl^&c^y!o1HSp|U%+Mg3 zHnVxG{k=+^*AOTA(+9k5R<%&QjZT_SEJg-25G?)go5rCr=;#cBg>=`rgu_Ago)=^M zKjGp$X%861q2iwnKPkF&mbi}WD*}isi^wsVbF%;orlRim{(`$*@VgNsR~pl%dRW>{ z(Ut%SC4G>E6QERl9<&&IPe;hS69~f2D!hjpLVtfAPG(O^Gupc!Ju?FTRR5XOG z4XOW*zdx$BS2)8sOzjwMYKiA)_cIg1p+H>Qex2YpY=^;{q9s;^sCEODmU4hJrarih zd6x6}NYx{7;3@IXbdNwt+~TuGY(wDLpmtlt`z-tEwlwAO5;lZY9x@k!zHE$Z3qR4) z(Y8Q;nd})KlAYP^o3jWNN!uKiW(*uQIiuja0&j>jUA970$_apw^DL-FeZZ8k%8bLD16KLzgPD5EDS*d<+c>9FQk?4?6W2ey zL}J++?}e1Y%WOcR*Yy*H{0f7HF1vgJA;^D2GzBV|sFNzLe^!u2luTIFsLt<)rwH2@ zfn76!&*w-}dS)5{f)3lZ9cS6xW2R=e+5TG&Tn6VTsMfChDMh&SY^TO&?YGjMmj=EC#so;w!+AE6$7)jOsJSUa5TGnqZ;hI=gz z&cSDj++tT9)H+4=y2#S&Tuy}0Wh<(KDR@-Ra6?YfBZp-`F(vrWrW%&bkh*_`p4U=& zVL2zucFX1|wHMIE^VF?BOVdj@qbGkJyrpqZg;Ppo_9h2;U#JS%H})??sEZE5*-3Fb zY(bfw+4%J$(3h4m^M`)mwZ@OEi; zo|MC{>;5P*mBq)aHaAG;R`wuCvL%Eh(;&i@BG>Tx!Mw}dOY8Eu@@mN9MT*2>bZAH4 zYk0v0ksln&O;zsFRcEw?EC|ucY-4=eJ2s(aCm1J5FfkPm8ajE{M~m`Oqba9G zBi$$QiIjxX(O{ea@bEb9L?sU-I|ZG#8Y4K86L?XHdnmSJ$$JP%@=ixQHoXmu%v6IE zsL8bGn9RnCyV~FCPexEzk}0QKHGx16UotYFk<;^%WU^D@$!&+o$k-}Ct?q zf@ew#(5pZ1mz?~#N-V!74Q8rM;l-!EIUki5?UE2mFvJ82Q+{-Z8VM47sbLk7r)1`W z5B)2BE((44_4T5zf|xPq=u9}57amjs`yb>c zSH=w1%6{*DHlA*!a56edh}nF!f*22)m|O2RC!a%`zA~LoN_ceBZERnPno+iBwS>Q# zqLE&ugW*nSYr@H>(v1~oM9m(#2(@cLiAf?SBCBH+f||o8$k7t%L*!JZNT5bhqV%#$ zG=+QCi%Mx1W5QO+M}NEDiWW@=V@2u+4OV4|vZpB%OBf&uUGu|`5kF)wtYG{u+Bp37 zY;x>*QstvA-1X0lm%b7GA|LW24~fi0KZr8Al^7M?x9{eO-Bd~!&3gS(;6Rd|r@WbV zGXA?*70Mra7L!%f`uNu_RKVgfx~HXz{9DQZe|X~B@rztRisM$twX&`nB#;PvXT zPpaJmuL?jKj(#AGQhr6K@%O|YN{M27$P~JpnCQoJIQ^}P2&+ALpue~pC(~k)JbM4c z=lHtzH2IE%`^YycldAEez$K2EV`;HR#L=-Z&yUv{Y#!{HNLBpEVMHaX^yTy!nq2RuH0(m))j@ylOQ|hWbSEqKUL3*4dr$#Q8!^Bj%2^AA9u#ms*~=0I=*8# zmaZA3J*F86*?V|DgI*@?L9V24VJZJck6}^=&L-;H)=1K&hNAuDD+e!igoe{D)!=N{ zK;9EqcSGwlhm}$Y;bMXIJO)*2Zm(}sZK1Map$t{V=VY!zsJlT$JuOG9+%t;! z1H~<%tDuB^x2GBJl~c4m#ONr_*8%4@Riul{$S5E8yyKf5Bl-csSv zUQ=nboCo9H-1QgnM~M4soMTUTAKQ$lfXMgCq?Npoyl;wcdrVaoUd3Cqu0`Up)mv(Ie_|uk81y_#K`N-I6$_VWt2Yn#)Wn znfP7l+zQv%+r~ZXylr2=bx2lTXUVFssq%6T*ro2SPywU!T%|i)Z)Q&kw|8CE@lrSC zAc##9W|i!9GlsLowpP zTf2H6lzOB9g-ge##>mdtgnCAZF!Jr{+hFubd)vDfcBN;PnO;z! zNscjM3L85VY);KCdY+k+eq%2y8I%SF&#ot=;=se##uqr_z=W{{a(RR)>eIu;<@M?k z35IolTXcL`?RIwM3i3o|Cctzkh~+-OkP*;YkDPd!icGCe5yr<6k#{UHTxa~A2SEyq zeVqrihPs{q8;8(Z74Z&;e9JUpJ}$Y zAHX^-1leX`0|@N&Kp9UHJBMyO_(nmyu}?Nu18A#>Fwg2oBAz%TGmhLV6Ok^q@u^zh zzK1t2*eYU=k}A!y`L91a=&-(wNE?TPS$qNP+?d7Tjm<0jg~V>BGPVr-B?`}W82)HF_i%P)v=#p-A#13%B{4;A6$P>sxVoi)e|?8mE#M86-6~{xxD-W2lOB35#)|8c%>U#mWT48|`=ygQF0C7eq7^2D%QtSLt=z4fHaAp|EjQdXJ%@fRFO^2N z0==&_E4{yW_!QIJf%^9Nl+<)l*pm{1WJGvJulTaIU4dW75fujGZ)ty^HG}#6%M^u~ zhBX{mx}GU}O!rExUjxB&D_GC++b9hZn71|Wy%%~cl~)mJ3Za=YkLzjELg(#eFW${v zOfjk~CuW=GabfnvC|&F05dlknQ^Mgh;LN4b&OUynidIR;o>$d<7-#H#Yt$CTed3fVB~k(MH>>o`)73YtF(x04ly&zN@FG zmhicj9O_@pi|cnJ4OBAX|JPQx_t!F3MOr9Z`sUofSRYE3G~cqFD$E?f*BO5gtv2O%`I&I9sZyGz5FYup45r@#>kPPi`Xu-^LM4uPbAfAy&Xhuf1~R@ zlx-hZ5c=dJ{BG{4*;CC1Y_3J`9ho~8&X8)xw!>0RXS&oV+TC1c!t4THMh7Vfi~Wqu zYh8o@MK1ZuP&`!f_Fu-k2dXcY-=fZ|(>b4??8Q@jSG~*L*j&c76oV+=YV(y4G<6Mg zq-e;*B%f(o(K7$?iipV!e8&Ny-^oz`jZ=T#_h4Hi!cB=90gCp`jj;_)h`_%rC zlo+1f!x-4SWVpRSWm^X(Q&~-Oq9%q}l9a8Z%)cjj3y2F2OP93P=+Vd>aCuqCr5dR% z{nZ)kk!Pm%vF_8`uV2@LK82QAJiu)HN4hL-FI{_y8d(KD#erW<%kwye)fZ4WZ|BJT zN~W4;4M9la;nV~$pZT|>zRUl6y9~1#^_874-@sOE(-yzrRe)4h^=mVR!lX4n9rd+h z0-KLuVXB5X4Jjt_G~r2higUx535-(X$%4^%zH(ioe|z%RSVRrz%sev}dA;4qLV0)k z!+zA@BdrQhgL<6uA?V;gX9dbX&MXiFhi5T|KSg{HIxRmwmGS0Ei8Ds{9^Awn7W_o# zE|nw37qxXO8T@CEU$Oz;_#?(pt~Xye{8O-y_eJ>G;y5Mk;M};G&!W~qG38wr@;G0V zrrQW`VUW86`nO5z6N%!=ad%+%qaO+$sL)AkqVz8CrKnC@+UM9qnqBdFIu0#0dic78 z0bNul&J;3xy|MbGo$i{npWD%q|B&XQdgll}s;ZN%WBjh{t;J)~@D>ui#w@e=X`S8C zkZ<){EfHqViKf{ET zQHdSjjz-m8KLNG0Ev)@DSrJIVl4e(MjZ()3|Ly)~C+{#H{%6gy zBh5g;XUtl&%W=(V{FVN|Q#w5mbbU&q9iGFOpri1W(I>D4S&EqRF0Y`mLOm1Kw5C@@ zft7S0O%I+6+HfcqUStVu2}(F_@rD@6rT9+m6mz4ZLDvwp`~SkVus;iwV>2OHGa24C zm>?17f;=sWwS~WVV@bgawSVEcM$F;^;@*reh}@uiExaP3#|L264GW;K?mPU^tAmS} zp}lq@$DZTfK;HR3vXku5)X*|<>82l!Rm@Sht-!50SNhy+#q+?+%05G`nSM%=|{ExQdvzR?AA`@d7zWNk&SC{?lIx08XZ~E z6|_fSRo__Y{(CFoIxP6#!OtnJPok)7Na97Y?yBQr?@p~4Go8RcI$xKaKgTY6#|M3y z5e#=2jb_bq1&Ig-M=zuJVvhc~SUN|FC$4jNW8F?MRC+(I#NZLe(>)!+>lX9pl>u+R z==ztbHgq~BUh(I3UU47Zn#lTbWviF5nY<(P^bppCD0=&?=T^gVfdd_&$kg+pZhMn7nteP3&FI75>*3D53Vh| zdW~@bjtH?6OnKY_qPip1_|`t#aS|&$8u$BD^XXy5F1b649~1 zFN1&!-q3~Cuh6`V9{|n1nN*SL`UZYA)#}X^ibd;Xs#%NOs&P@@;nFc7_60Z+zp^lk zSbYtrm9N}Qb%y`Bm10hF_qcPV+Uiyo?=WKFEVS?8$5w>@AohTjYh<7FFx%*HX)eu7fXmEyfnC-D7;0+GM9S1DAgeW z&e&tc#4FU8Rhv_@^7=XTf1s++5}Mqfk`yaiqhqOYg5rKhLL^>-Yz4g*RRUdZ*=PNR zK#ob+&P|D=MIz(!zh$)SwKBBT^-nEQA%IJ!OJNIz3JEpSK+E+Yv|>w?Djx>6aITr@ z+0ETCC!{!A<1Ncg(26*VT#>)IuN6_bis`B+P*dnO`*!Uscv{~SKHbTr{Tb>X>u^0- zd#P1c&p9)@x#Q-9|B3NbBHdIOO{#8QPJ$&-(+*L(t_&roW_-oWqxE{2yJtx9P z^&jWLrJ7SxSwHSICL#ziYPp~;L~!$Fpr%07`&Jqf;MycXspu|AbIgJQkR&DoBxlGz zd4`l?SFKrsDCn(1NrHC5@&8CB!WaNRE3ON1fSvot^ns^svTp{2dZ>2c3cT`ZMY(NA zo7gZ~#d0_eDWJ|-w$Y}_(Vvw@d8zZ-iaYo#H?na>E>9@sDoS9Ww%Xq2T%`DY=Zjn` z73cY&HJu~RE@=JeBho{-g`UL0VYR%<;^o1F+s>X+qa@Iy1ha zbI+*s{MY^*1ZqC23(J(jsLC`xh!($pxFj73NQitb>N(=O@VSdm{~J-cFc{abcI1MA zNui3G!b{%yu^RxSBBGefStv={W-2oOP z3VttCXL;!+XW#1m`DXul#-!hr+66h@;(zy16T8R)=SxHaEj9}gMWfjR186LvxNcyB zo|0~09Ux?>UNxyHB~L25xVg3$@+PH=wB}7Hql}KDtYg|KT&c z9J)1UCXeL1TamU_BGU!8r;U1{T`FTbcn>?B^x6-(iv#Q8((b^XsOb3!>Iu~6yQHfF zQlCJ>aPct#g%A-QAD(|?+_zrq;@!}FWFzBC%J)rGH6nmu6iw171HD_f~%Q@ zO($8aPeKN;oWt~<8HRaIjz}~}$cYhxBz?N0KKcwDp6f(tq9C9C&%Vs2U>|K$9@p^h zq+T4+h;5(mIH{n_yuCgzV3y=0dkdGBfP&lqv4aQtRaNe$ngwstCw&*B0PDJZ1xg3* z8xlZk$4_rMLc&1jUOTb7*oK>ok7DElhvBh}W_!HF$;oJ&rgbV7bI=N2AM!vRQ~U^_ zO@2K7sAN*uKqaRAh^Bjsp9)MyIAqC&%Lv- zOg7nDy12gIr+gf$5tkj(+=)fdqPGz0Kf}fP?uzK$ImKA^V{U?XRRPMr&mE*rZ=W^&DUIEG~Z}uIEoJq=`-*y$|x1 z+8X9VTsOzS`Da%aAGN%KVvlHX;r~0!D@0YYu!eK#`(9d0H@<4Q0@a+St!GiV{u_Hf zB*Q%r{*r3dgRaem#VzyA*A+EK%}nQuIQ!Z-odJ*()Nw&=T}>72ncd^tG7oS~+rP;^ z#U%!@(xE+v|MMAKvumy{&Y%C<{{v7e8vgLH@t%<}=)IQ7(|a_3bdY?%i8o4HE2(Wh41*fbYt-af$C?yx71b64$MSGrL8 zE~S==)CRGhz$v49VllQ)7-M6{uG9HNU$_uJy3cEVAxkREvdBYIr~G$oHtS}n@R*-P2d zEl7sZl-8rIwY=U;r$ov&@T08W8Nn<$mCgidTj+y3Jig&MGq}JKG|E{uwC2(_GF-l` z{7Kw^AkN3R@6CDJGz7lEOno)hCiMEE6zWaw&(&k#$H01omHAR#hfw%m+!=nrQjHNC z>s*A-?Pp`$rU=LGtcqE<9?-5EUX=<_l1QvCAQOWZDF+JW&>=#r4yP;%5QS}3AO`|i zKhm22&tc&X4lcEde^ARkWCek;@339&u*`^w8>x6=xLvbPFm>-_?9z>e4}kwv-W~xD zvs6N)q+&*hDb*|yk)>678WvR`R4mM2@>-w)#B|dJ@-%ngh%|4*=Zr|B4p-!;@Mu&c z{}94Vooc`J5}NHE3qD&g0tJ7FG^&wzrtpH6APu#OMlC2!NW@TgG1vuhyn#7Y{3iyz zfD)k5EYF3V0=`H)yAig!k5cG!XKZ^nGIm{$vkr6V$>p1bFYY_s^S=5=?m^YZ1|$Ws zFV3ENGP#*r%%+SQ&#fu$XqCrQRKt}_@f)|FGgTAii6WDC^8_F`TK^W?1(yu}wMoQK zRu7(h<#j%NYw1(z)m+Jvw{}7hURtFC!jFeMMtE38w{-gBl&e?#d}+P&0KW3kRjQZg zwaWHfc$=>^aJN@+{ho6FCfy;~?$FATJAU>t_I`rq{kwi=fCel~ zMAUyPoN92ea24AS7_75s^F{4}AyB`PJB3w6j@ycnTDh{wKN$%8XGwY10%atn3-h zQ2?Mp@+734M1xM_L4mZhkB^s63aQ2sAWtXxO+8ZYy5U)^_)X+R;$l=dx!9~GN*1nl z*dTicIO6N<1i<&4@B1V?++Kx`b>7$Wg1S;nak+aT|=!m^(S-Y&b1p;aeg^t^=M6K@^z9SQyis z4v=$%^tU~u!&CJ3auTEU!);)riAiSx+5LO0Qe$_H5CRlln*9T?Uzj+W<+PolGX{i* zErl*#E6-_Y6eKI-kmI_|8&&ndt39YlnIps$W=l=uF z4`H0e)~5cIMu!N;SX>+$V0?Xi5E9_m`r{kq1A`lGlMH8SYRdikLmhQJD^(J@et^hO zk|KoZSs9EjrH@ED8VPl$cP#a2vp@fb@D@fn{cQJF>%vy6MCA*s@YE)4l2V*pj>sRX z-&wRGia^?**FNQVrrSyQ>tSIu#2FNMy+K|S8rX+`iRGBe3dbHpxo9nwCAawn`Fl?< z{R|rTwq(u(p>02E7}jq1Y-2Am0;|0xtmXy!ch%5H`N{*YZHB)y`qNoDK$az66t6#_ zJva|tw4&Hf(+_+cf^aiTRtsz|w$kj4#vGqg44oyuphGW}5AFGe8%*ShfpxX*G>=Im za{VIEb1Hv^%r=#678a8>GFj5=T}AM31qc=>Jx_1HVsPbdwJ3EhJ=l3RfhFAP$MPi4 zI#jmb-oLmy%dd@VRdC8&6uxh6qGx(jd!vr)eR(2DqDV-A&m=N~pvg99cjS( z+^gs2;@&d2{wg?+KK9X)Znv_7e)B)HiByAkX9Vm+jhwk*zZ}&F@Ckek#&o4sv}zUN z#%m{Ob|tOV+iD3Po^3mq&g|3dWMN%DC_X2feRNihsZsJ`am0*2-+vgk_2Q6JDj9mW zUAW-Kd>yA}TusWSx{rNHYNc-&GE}?0lX*flzhE^$9@k-c4@8y=;F;`?`;a@u=f{v@DoJmvAB6O&)QKK5O zY|^xUpn+JDWL(J`w>NfyI!zJrcw?UbhqBBWi0I1nB%w;kjY`0*2g-g2OM3qD<@ZXzAle+cvL{-vz7mGgJl&6e za0YQiV^~(k)!Q(eeJ%AEt=U_@+4j&%>EqJ6;Zft5^Hnre9!n!_ul?g%xx26G*~R&B zdiRzrdcnx#;C~@WDqPm?YpTSx4FL_MxoJD^@Nd=7GD|N__Q&^}b=wskBiiRGn%&Wx zsI>=F7%&{J_3Th+`3a+ysN+116VjK~F3eB~K1)U3#X*J0(m&bjs5B|7g-v$G)1NJd zX&QbbKdTGz7SO*AQo=n@nqQB;UGhd)md|~7A~*#SM0PU9zZ{)mI+2zu_*kHt&h8b^hD z;x;`#y|my)ks7fIz0c7@2*r2mNj>PHBPs92upe?$K8W?c|F}M*nKCD1S^B5qy+t^0 z2-FKF8Gt4x|}pzs78uFj@*}XcK$R+LD{-y;=7wpdHJUZ_!^gIHRXap?gK_>VvP?juk7ysK(GVA3STTN@Kk`2Ef zv9hkUrB#A#heP`z^|-cHK&1UAiqYrAVG&${VzOjzg>1>;RpVmLM9qLi;N@QXiB<+_ z!!9b_AoE+a1Q8lC`?b_9_Fm&&x_TSkWKt6#j!Q~~6^Gpy+F<%Y%6bc0SYO4fZssgo z5Dtc68pZZ=OTOx&;LS0tdDv&UlMdQCQcSPCUGcp!zX&cqOA7Iw->#SlJSZ1&9V_ zi=_L(KI_g@Mcw&MWp5RWz&|@2Yh)}k4I4OuQe2}zRcf}6`41N!kx9G%RomB|6HV|c zn1sh9B&8LoYVK|Hx#93)t=M0(RUFUs6qhDbcdD^Fwe46?As@F|U#H7*1|WjjZk*({vDBn<);dJ#v#e2u@*hyENI3!tdp#~z zS>lyAE43SkWDXB5mP63NW--X5^aD&>9+b#u>-Ja={vSi<;SYuX$MM^DZgAGwdvD4N znMXyOy>~;h;toILj*FZ_O5gS{8Yo3J#W_0-viE4+IYbFr_w!f0K9AS?^?ZKfFcRX8 z-=i?^r+zl62$ATMFGGO`Byh_Cmu(rGJP986wDWoD#MKzDJ@rv8caD6J-_w>3$T^M4 z;jZje$^LnjN1EX_=P?}|k0)NC9ZyfB!Kg5SDz4R^C+dufiWTQtU4s?nk~6rKY~`~~ z2cONrao+jT6-H5;@45lNU%MDtS@VT9f%H_6vC$q$g^~&}cP-!h2;@kb6Ex?<0a{G- zM_Ivi?9nI)57#W3OoFF>=N^E}*-%Pm@=MYV=+|EJOFKIf{7E^+vUQzim= zqx&l!^yS9g2Fc63Nn}_fci&<_UFYLD^@0+@rI&R|$0sda^_1E4+Q=zKm z-nkQROK@%kwrlQZWMcD{gs=I(IZ+v3`ftYG%en8n^iLY^vrmf2j!W+XfF6ZhYgypy z8d)1fAkeuGZ|ew@FT7zZPu?UtG;vQ&*=cQ|dcI~N`dB20vp-KcUGsCAcndBvZNOT` z#%ZIem}`KxE$V-Ae#PlX_Mc3(5t#yvvm&21XX-XRE(Zwi0bzH4n*n&)|0^4%Hf8p# zZsowEgCoKl-a8#&-o3|2rDg2RaghbY*G=?rmzIcxz09oe+RWHOExZC*M8ZRNGqGb- zdGp}PT>yyWnmy-8+0h_n_!dB?Vz^iLFj1Jz+uCEAneSwY$E@?F=7GS5ZuP+*A`M2` zz|n9c1)!fnp9-hy{Yi;B!356Lz&$NuF{j$$@xg%>3A8m!Thp~0ziWo3b@M-G z1Y^GYn}vk&WKcNO`rIDaI1dj%kHPb=_^*r2AXk?i2rwg(G7zQ}M5S;wai}6)Y3~Fl z#7t8_6mnU}YzhzVxq+Y7gL6!v^B*;9bjL3Y?J7tKkD74jM)t zjYPb#BvbFtQF1=igWTl(atJnfBp%uPW+(q_!o)cVWJFh|?B)5DoeZCf+M|Szl_q5y z5c+M6h>ts^FZG0aU!X&qXY5$jmr{zD z24C%(t0cq_FFkJ08st6*7^O&Qf|>!hr>0a1p9COj_=ikK1_iqwG^k#n_X(>$W`f+|~OE)0I#)N>I8# zrjgI!pRK8Q94ajXPNR!y{wvuI@3*LWX6&6YCiuykOMo#=KDr(iy+C1s*<@B{=0tKkYTs z4UeEKoCjJ~zarGwHdo}xgz)3*^@GDHu#kk4oU(RW(Q&f}E{az&)WYtQpI+Gt<1a*< zlqP>J4BK*@ggpkkY-JZbxd`LqIH6*A59hQQ$9ul8l%h3SAo5kxv!aM=ZA33rg~-{_ z&DT)ebSv<|t^ryjHm$Sh$&5q7w`Rm}Zr%tr?IyFV$*c~uxX;~efxZ91BkbdHsNm4jCziRL$}4Oxp~NP}S#mxzRjsgto$<3nvn77LjW8M*N6dKbh1bU*Q>*0eWwE z*6na1zd|+NDB#Yt8a(t$UP1{#woIv;GL6~`j+L`sSPBEruly}Aw_|3ZGAHB7TFD|r zgy#o=_F1b%9UkY(;iBz>O?x0@GBDj}3Y4%8N&g8DAT$|RM&8^8IQFQTi@kc~0JiN? zu6oy3BN84?1OUTXJge84nV$t z6H@P={Chr%omH!EujBRUKU!%R+3t3@XE3($ zQf5P(q$+w-Lh91BjWq^a6|k)^-h5K!*F(}%Hg660M>{?6(b@AGIY)aaWG+=A1cJ#@ z>}YMa{aGg~T`r*KGVs1%NoLe_?#XA-*yh81q{nBS(+24RXBw19=L0`C3i+R1C~5y- zvDK$fw7j_2=(bT{Y#L}cKud!>@2nmVw%cvV$!ElAiYBL~@e9Shj3Pq|&ILnscKbP~ zjuk$eNzN*A#Y+z=ike;^8~?Br+p5(sNHGr7L>_3}=&XY7y|bfn-afdCo;Niz)t}&$ z>y>i+j#6|u_W%Sx9y%)GpXV+35^Lz!=G;&_cIZbtxLe@jOdx!AhdKr@9TT}=+A$Cm z_&Ai-Lwhk$r-{nh_N>FqisBc&Ue+(o<3bn}#|Zp^-ZHtf3O!`bI8U!;c=G7FMLVx@ zugFD+D>{;=_btmyqTqq6Z1NXzXgVbWQ}$l~E|r3-|ABz%yRDdxJpB!{i#E=kG{)F` z?`Fvu=7|Wx^p|o;S3V+^6$0dBQ_io6h~Ya$u8a%V>;A5_#SU|SXS9v<5|0bS-a_zK zz5K!F_reoi7(#YA+Ee*Ms)+Z&RZk3QVCxsc*R# z(f1;5Ee?64FdX%31O%7iRRn^Qf7$KH6v3`jG;hcGb3Pw)=TE8{VmF-p}GSyDk?JZlK8OlD7*MugAg=B0k{WW^c%# zR@mQKgO76h9n2$NT%_O0PSh$1wT`-C&vN(aBb-V zp?#JVpn!_%Cu;Bs_@Rzq-f57;9Z<10!={sMdGua7Q^RlKPyKZeeU3G#(bvy0eIKVx z#+r^NlF=F~9rST*gcO(+-4EwZ6<&Sc@8PL|s$XpfPrU=g@#Ck}|0Y7(5`Jw9ZXTRY zk{z5FoSM@Yd;d3XW(~}T79XAC19u1xZpE*2=HT^C^xLTJquXBs1N!?xRYm0DU>Fn& zI7Eo6t1d9z@DBXESndcB)d;|@n+zn%9gyepVWBXs`D}-Tm)9H79uc9p%6DgE6K1qE z)CN$|ov`sK+$qa--OZtSmp%Z=sy$^WwE#GvC4)cR2{44aDcA>By?PO<%X6~G_FlbK z4{x5MM3MilkV!vLh*yd(Lb!-I;aRj21hM8nx5Sg%@Hw!6?dB543qiTI5l@;gk-3Q( zwx68${Nt>V19hR{DVi#sZEmm=ump?9Si5W*I@nUUu=<1n-#HBG#cx2hMS8gb!-$v7oAGqIYulM^RH(u zdDXZ;8^=k!TYV)kx7uSRmQ`zeKCX>5-b3?7tJN;Bl=eNFP|$(|pL&l?JE&c4fz#}U z_fB6J2Lv5AoaB*^K5nwuornlp2L3i-b|w0Xp3J*{N{cOq2G&>}5l<_;h#APqkR-UB5>js{%9gUqduUMd zEWCGb{|D*4yL<(Z4zb2z2&Wt8u*?_(%-aAYl4Ka1DgxJndWEMGt#G#&g~;60*=-IO z3FJ%Kn%$ZvP-mqSyGx)Ap@STO`(Fm=Qt6ch7h6>nJU^P8qw`vHfVxw+%rEk7qmj$K z>eG?YtYMpywYRSZ3-*ke8&HFGQFmLTM@>e_MhjvlthoeXu(faT0K>id1^O~AG4cu{ zx|ZWv7Sx^Bda#d*(aj_e7y|5gK})JUeo5)SueW4qv?;YbI@k{%ETTvN^8gUH-jyUS zzClf1tb2hpK$YZ=kAf#~hE!!iGfH}2rV2l3U;9?x^53ZTf)g8Hc^k+8jCPBBHfxB;JJ`qc>y~>WwZ5JO022y^IC!!7lkgVx*2a??jQgiYg#$Ox#JA-!*Bz&>SoxHs zvA(hj_U_tkLPZ~F*A0DU)mOOhR5ae+&W4|V%1v9?BTi%qKH280+duQ7LCS@Dmel*3 zm&~3Pzq#}e5qckbrt`o>DY|`Bbs9ai?ZPSmypfN^0)6i)z*Fpj*#A|*fdo0798b7P zutkW*7QiU(u3n!vS%3TE_nw<@(DQ8N0!x1hISr{^jT1$il84=@gkZwl&l90qf%BRL zJlP4U5{@0$HsOd(qE`zn#kull!MO_x0L4Nb)MAtVlpM#-Ne=#Sdz5a4xBDM@_w>l0#R4L~Ua0r)P;zjY zq#N8mO6GE7;gXxI_+Vrc&$T5}IB9&#FKwa4XjE#BJL~8Bw`FmKrq}ne60_O=SFPu| ztUva!D2?gn#wn3trpH}j194K1J3V2vSj>NbDhXhlEzTRp|C@ieEgdGB0`O1Awkkt8 zBW@BZtXr8}WozcaJdXr!k%vPjxeETss4o?uMfv)j2T=!)M-D?EY00&yI^wB&0x~S5 zTtRnw(&z72Mn*Hb`TnQN^EgIZt3b>!jaB}=z$&^=O$!VX=AddU^Z%N2y-)VPidOjF zciAg-;hVre#X5h%13}+SH6p|n%jd4XNZPv#>6$!imjQ?I<4TbEQjv+wh0mRHrgu%oQn5k-_#K=J1r_<&wS(CLJCu$AvguX_qBWcE zz7>?n7yhny1WB^gTK#Lr$XY*}W*ri14|&R`eAm9ou2WQgG4|E1=IRrDAOpOWis15cwj(BZYkEglp|J(+jDxxDMC&R#hNW0Lj1M4*F|?;(j|Brl7}^!U);e z;tz;+pJmyL-@iV#u={-|Z;`Onav3ZV)c%JzuAKzImaa^6kW0#pdXYeZ&1~>RZ1Lqk ziDF|05OeRg#s9wE_$W#JdQORAQYiTh!P|QIlI@+cr`)QqWpm^cNaaeOa|Z2&CL9O7l=QtZtYu?SAA1!py#=E);w@ zzcSM9pOLJD6M5}?1?wkHy?}~PHh1Obm$3FTb;}qYe5yvqnLO038MT6-Fj{-%!g81& zJn+}D*ibNMQmvQnkIb5KbBST0qNKSCMs!m zy4qhWA-*I@S(}ga&k_>8p$8Fnb03+FU&$McB7VUvpmTtJ5nQ!~c)*ca59`hW)?=b&+-Yu~t$0`$>7z^%fzzQ|4bO#v1ru(7M}oOlf^PNLLE z8Wja^mudiyEJL#&pTsNe7ATt0m?+Wa9v?7e|C21`+&0Mt#8rSg8NR@=;pDkAq#a+&4HrX&Xd~|kDx?D)G&paB zMXhF*S;rI|s==ar;XfXqkm1yEs#H;fWB#M7yfR7a=c_grS)bbOcCr~VT&pF$>(4P^ zXSTWQAg-IBtI#VP$a90in{XCRkjD%F{rl)T%}8p8ydM{VO809AxPSDew3Ge%Pv}TH z3CK-bozxLEyuYt@#)9pqNTV{YC|XvG_h0OiRxluqnH?3_!Ys5iuO1dK(jK4HHsrw` z^lROn!~Z0w*n;!;*r@T>pVZm_509y3T<^~X@I2FDY*DTbSq^cUFsKO@LpNVdZnX6iZsNbBb9EsF_ zs^;cV*JxDC1&Z36HG)?AZx9(OZ%E!rzYMiC?4o^|x)_yLXSYfgy;+*~O8$BFT1;FS zkQlaBW=RS$#Or-3jScC#@LywbtwmQQp|mbLI$W7)toMPLAem|X)O3Uy=OYqMTjM7b z`3G=k3Sgh3gzMA}v~|~IC1V6M7_Ux7@Aq>AIEp@Fm>)g^e4`u@21inL((l}4x^{Q- z=@!r=RnGTlJ(~P;VK+~5Vj7tJPd(M#Ox)JtCx%5*wx=%G-!PRw+@EYDJN*`G2h1S& z+duxZ=}46YCT+5#xe4r3vNXPXt?67}2jvG54$UUYs+g}8O?^Mno+2|c2OR!mboq9Cd=CjZo1<1^ zxm~lobVtWB=F>P4?tsTuCKhL)j%-Br^JfDw{dt%V;(l{aZ9zI#<+2#4ylLe|)z@Wk!KRI5sW9om>hi4iw9ym3@oLC}!_DZ8g$I5*wC#5PZ$ z0O@Ip+EPmp*(=64CszA}J*lmm0x{5Z(bC{9!eoK0C3& zQ+kRAkr0|WW?OBw1hO$*1Mp56v}8=E%p?5krPeB)F|Rt(0Sc&U8y~z=YqqHp&4O%& z>Dyxz+geZd+Z_N@#2VvQ3v5@K_)!oZOid|J)gOAd7W@t{X3%X@FD^N+S>ly*HbDMH z9=CnG0P!p$_>}0iajaBaPqb)5w@eE<829W&>G%WQoqIYQU~ap6vgSrYpSpI#U|2Np?@^iYJB5B-Pt^xU>Z2m0umE+LCkg_0srAQ@K3Sb?WL z44GX7fL$yj?d{apNtf*^OvCYpvf*QL{oBube z>BWnIou%hgH8NA zQzU+^Lr~e~LuTW$BM$+7#+?8sg-~Ipahb4{t1#4dI;{9Wz`t4-AmqJWm>MLf_XbFC zl*kLtObE0rW2ku(oz|j5Sva9*64FlMy6Sw=mA`XsC9Id?_*g1`fMoojRpI9#X|i^) z=d#9AEA`JX$NR2@d6OGFTMArN4_8q;y3iBkbPen-gAwKA!NFXx}97GSnr-N z0&VQNl`}2!^owmye@4IzjZac)2I>q#{B8cEtL{D%`@#4aG~A2_%znwe#25A@ z?AhEfdlDu>`|DDv39aSDdz9%981t8psObqz*PD?19k_%Kw-E_u{##f0U{dU>J=QA6 zB9(>E3tHWb%)cfTEUDSWj7SSe{5V<|^6x{=HKo5YK3?{hDGN|2H=yrn8XQw2ZWyH6}Z|LL=QgV*qOr)0($Y#6D zWFSGgkGvNIG5JF(;(P4z4yodo!u4=iO>6@BR(hYZ3ukfO+*l3n7A~!SG0>&k4kBdp zgrxzftDwz1$zkH(Ly_LF^b%7QD@<#|quZGqM zHwJ-))h5U$qa<@M6f%Q^ntJW%l40hCZG2>|Guku}C4|^CwMQffui7I7B9X{77eikvFjE_>o8s8$}*H z$=szf1xO66gikhBTm+yrGtPNox+TQv{yUH7y&X&Q$9RBvpUpgFivtUBLPEhO4%g5E zwi?7|Nn^BaUF3jIwtU$oqw$dMpFlMTuvuclGOa;_)#b6IqZ|jZzL0mSwvCL>?J9Qg zQl31F=39??DyL(l_=bK>WpdTXZNmVp;K8N6Ay#-XO*s*pz(14kvI{Zv5u2*KGMV~D z79sO)=x00KzskzYP$O^+*==!lhs?}amol9GO)nF>45>iXRcjuAy$nOB9D$^9;z_8O z?Q3|`c_S^^OHSb4oXVz1G}&c=_%Iy8>n7f-x}EOfBJZc?9+fnP%qEMNK-8MIg|(p9 z@|BgY*+Rl0&=F+qnE%D&_cpkptp1M$2yygANlD~n8xYZDTybDNu19^N ze^7?&xeow=XTN28at-8JU&@Rm&}ADiBBD{f0aG)sTcAXCw))Ug1OwJ%1ToP{yTXGS zAI=IM6ZxR|6r!*PDN}y+mmqqzN^7iA8&`ysd@LvDZW zn~1^9X{AZi>;HW>>%)Lzx4X=;q4(>fQ zNY@Fddo%e0J5}*7uh+-C6T}|Ji^fr4(u<$Malcx(_PWirX6&8z@=A6*$B(nJUdzF_oXWo!U&?LiryUExclDap(-Dt3Ii0iF(gyHXtrOd*^Op!^YKe68O2t0oED!) zVNNkwGGvrG>CH+?t`9#kq!==Ybxt!~(z(amCGHW4D5@XQF zt9cfJJEn0Iw8PT&0vm9k*UaA8*ZdP+g?Tv6>5q{NNbgk?F;UuN8*op?pChqVxjZ9J ztp|VrAJ^dL>h4sZ-ICsi|GhKVv;}HLAL7w^Taj(GkxTDb)Om83f!$D=@(A%z&Ei5N_nueWu?jg-!ifPWxe5<# z1?aUw*M9E^a524>k6QS^$87uydy1f~b^+1FCwOLTrB6XW+VPy8&rL+p{6Qgu>4ja& zV&Bv>PD&Djx^Chf=4tk9g`dDOjS4Ck_FT3w$5Cfqz z&Zl$LJv*)6P{h+3SJhcejyJH06cG{qO+T?oJ1+XZ6x4BM+OHq?qME9xrdK@mVG(CO zfOFRlW4!3*X~-&_A%ZBs<31?om35<2ruNYSesxvWzE|ZLNPrk;JYJ^6=q6DlRYV}G zau0!iaVPZPx&-rax6z4L0ap>uezY$o2S?O@K|GMqiK_Zp(%R(ApD9xqA0 zpwx`rb?!VLISX{>)spk|tPqo#9yvRR@ghR}EWGDAyc@fC#yfuu+qYia#SqPfU!!;7 ziZ5*@-_&3&@5!iK?Bd4>=rUo6Qa%dt~lDvVE&@^k6r1@Z*kO>REz0kavpgfO#OLaGUxcXQWHEd87P2k z8tpYmX+8%EAFz9e`QYa=ras_QS|635H=qhE-mQo@^6X9wx)4H-)7EpHLIVwjJk0;o zZFLj^rk#Uvb2=Og;WWT(uTbt68RogX2D_=iUhAXDkQeD92*p(?{4bPJ(Gu5K5)Wp+GeSnXSlM5xet-|A_#_J{5I zj{-G~qBY6BTH3=^h|qoVFdd#N5Lh++=?j0(bot++a6`$6Zc%@~c|?q%STx)V(k*x# z@O-D$OprC|rjlVa;M-Ky87Wg!ZCHZLlnD_RU>%!c z0=JAJjP{lXDg)VdzXp*rt;#c6F5lEJr6*lL#@*a2VjFS&yG@R=JGxdxn$%G@% zWYsSiNcEra))d|7JW7N5BBvF)T4OM#(0GzbWVPI}dKX#5tg+lE{56H_8H30Nw|>BW z2#BOr8c0hx2i6l*uu}+2+%6Uf<%`nytXHkHz7*5$%-bayqK?Vi#~hyX)!@P)k+T_1S-v4F~3L`5>YDzvBq4Y;cnn9`}#pS@F1~_iDLbzPZTG~da#Z+m4;;RVF zi9motZyp+ui}rte*YmdmI_?7M@IcmoF3S>M(MruPN+p(xFJ}E^aDGLfx88fAtUQ)3 z8k(o_J`>01!2TV*Kf0qVS1u+tkId&;THI_81n}xHAj|rVU?JF%kD=Ox#PgzIlrj!)&fx}Mj)HF+M#dYyv%78TCv55(&GOX z@{AA4+-2s;Fs-a?jJGz=v52|jE59=Av{NUq`lrulc~e@-NmgOPd3mA9(0nyF&|8r@wHGfkWR*JBd*EfK%8dL zBz{7eS}Q3nfS>r`@e2!Ax~Ql9NJ4p+@=^HI_)#?`Vj$A{`~*S0OF-&3&lL4I@nDdI zL`=zx%|ar)wJwq*mxV5S9JFYFr4^%1!LW5&LORAK=BnCA5pnXBc}dU>rb$P_WUE@` zG+8~*9^xRDSoEg)71Qn@7>%+$iKiH;@SJ3itRK7&y+{jDQ+_!{z0` z%;e+L-bkdr{0hq+sR;bkLVQQ(^r4(V%3t!1V8VxR z_X>$o&O(W6^ReqW0T|zY;VezrlrZ>}m_P#vNJqMO3A(^Kl)<-|<69u!EAyP`N9kkz zhRe{PKz-CSi~lxo>9v{ubJrhO6_6gl9_ew`%DN{RNt6n{m-U?ROP{(%yRZ1 z-3CZS|MEnar)}r~N#6Of#>k_>BJ|tVM~V(-1y^|WQQrtcEC!t{N#Vl`W;Izr4U@;z zW_&qs1{3G*|4-XSZWRqU7WW1E-`2v5T3*_rFzCY!eDo!hiqwu2uZTw^hI{(lYPl$+4jYG zmf2o@4L{ZAXEY|WFUMO^NbN=_R;y?YP5D4MPn&HA7Qo2tWaQr2ZtYec!aPc=-rM1? zMzlvfd{)kQub>;{0th}`b}~DB2wA+^nA2V>Kj|lPQy!GQcyBoKFD<}(2nW({6AmDX zG5R(eV~KDLv&^us+m(#~kCem{auc;?c76(K1%CgjK2~tiCRDYiwJ>4169q3_8=AXz z3=k;9A965%3m>M#3kYM$=lt_(`U-qO+f#Hy1r2|vHtWH8Vf~LgXx&%br}Zxm^%hn)?=?%7&R1xs zmoBeBw@crdup_yI3?gG{rcd@+$H=%F7aJZxCifK3m5<#Q6u9_F#8+p}QkQG@Mu2Bg zWDXpBDUL5;IL#lCIXMsPwNxMdE1qI{T*9)rSaywg3cp+a6?i>MYsAti7$RWBPl5 z{nnxIR@6VwzpW0ZJzYz*ttJ=XMmdlK7lg+ArMfG8Yu)fWpSrJm=5(rpmU24l9cDxu z;Ap{|c@f=B+7vnGij<%t5N1!wN6qRn8Yubw8C!~XTMl>Lgc;u1Mo^u^lyy8BL^v5_ zc;E{cs>{j0?$?P71?KAe_-eI}w~=dYmSl4DcU@m?Pr7b))?4AwbaMJ}arhJPJQ3u3 ze@;p%5ynzdDlHJkE^Ec|ndD?jE=5LNy-J){Z2?vd#Rk+8uD%lJY5|=wVc~0Y&u?&+ zuR0Q*nnSZw1-vibHDj*EkEJi!r3b*X8zhn}N!LEh)jA`*5(D;9+HCD8WYInu=0uHE zl+vOsr^xQr;f*+Nd!)ek{a@(rNe$@Ga0<&*_&@APQ_UQ%y z)g++E;fIQe8N)AI9yYwm9!GY9a8+ik(l-Kpy2~DS1{C=s^VGU58Gj1GR{p03aZ{;) z8F_>g<;5k(Q40(SlpSsQTT%YJTNz4-!;gedj?ByPC#S8^pLG(*THUkTxU|I*vGAgw zrM6wwNSs8~*rc*4D!nj*5*3M$4FJaDh z>dNANWcdbhkNmweVNb~61a;-d0T|I>3QFK((6%C~i$PVyXP{4=CH{YLbbN*FDN8E1 z8sL30M%c==HcF)MB+xsLQpw5w^1QALqNfk_jru5rm1Y={CguOT3f_xm2^v5y#{%c^ z*@L|V)ej@;t_`&PdqK*yjH)Y_zkWOVXt`Lc2$KJpY+2=~sFfp~xIBJ;X4mMxj9)*R zS0z?H`6-U+3o~5-_QT5pMNS~@A549{KcOuNzcuf_zUCLS^B?itnKEaI+zl;swq`khb*g|K$#JHt~7hZa$VL{9EeY8Wr}=l}1UICvPkA zneimxm>>wEqE=Mff(z*9%h`VcE?X>`IbJxKAW5eDzWC#F3#&?YJ*uRkzC^ENK#Ncv z&4rF#iZ${U|FlYkYK*(fdh)e%Y6RroX}^$C{EvQ8^b#+eK{4fVCAf0AFfY%Ows>c} z;IDOvUw<&a!K~-gFgV=jHUC+-3I4U*Lw8sYSg!2#$G^^aiy|OH<2UrR&7byH1-6vh zK{BrmpKNU0CL(AIYI=7+)rpRijl2=g&z$PSHYH+wfkLy1CEG3`SbAFD{rle zZw;ctS3qsb9Xo_?z=;QtsSrq)Syw>A9{-oJ6)aH(Rx%0nA=`4xK*YsRsZx`Sw1xa} zNNCVJM&Ru%;?sn@T#6-`$crkvOBdf@^J{t@VoIxvkNB(kSb{^@=hi^Qe-r;6beG)o zTBax$PSPPLAtebsF7~5Qk=?5E$EXuf~(o7NB9 zU4~lyyUiDW5k%d3oh-+^w$f?+B&+<1NW&Z{W8)Bmq=;-H1x4n*STEo@O%seDoDm8q zjV*hd0R9x3)=8hX4%gO-ks0MIMGDWp#kS~D-p@)Hn#IG+o1(SAvTx+)8QPam?fs6D z(Rv-GIbXujkPE?QuY9fPf|vl{e?UH4l1`@xf?IBCCiEc@m~9bwfS1B z6vI#_I>rjphLUyT;#KRhF-TrXVaG(Hy}y7cXzqLb&0#<|SasVdTXp&9;5pCd^NAG? zeL(svzqOvW0bLK9`n}GE)7#W9aL|_lctC}H%YmOVjU4U*+8(FVAA@HNDxR#%K*BF5 zfj7;xNf%^JWawS?_`p^2m7I9y%WHGN;tBEvK!RI-_E{Wqn`5H9rP?q_IXwbFz&5LD zwJf9kQUe*)t5gSDx038vN1QUtIlEEi1&W=p(-FBfQ9goECv)0l%);Xp%?=#n-ONss zT%HIfkqt@es&|jHA@qsX>~l4MoxhxWi8!;>aNm&J~v- zWoksHJF|eC!5~XBFQV|4nG**+4XAanrX9pr@oUx?8pqhYU!WUu3rF^&KtOE-R=ByS+pt{UmZdN&IaNkFi)^WLL4-oO7*+?|qW&JBK5;f;? z-rA?CLv3HuTRjHQ36{3Epk)L9{nsJC6WfS4pjsFyizLs55 z25c94ZbE(tRdQYY->E+nuGY5y%30j1vI1xLO~MaqsYtATI^>=6vx0|YnIf1~ep*e* zIf8XY8Ti~QuGmFoD~i{DM#7hm0mFjy2y%v7tc}|9Z3&*+u{(F4G>SuXWv3{RtHJiW z+^2!2txA>MK_I!x6F{kmeZmn2Mf9fSwXonf#J8&NJQyJA-P)$72(KB>cI|PZecz@D zDaJBWx~e-m;CpWUBvy|MI>!x*Jb)b^=RVD-%JnMDxk-#!pXRs(bZ9myk(;KD{IIA(4G@ue$j)Pofaw>FK=#2>ZyMn*dr(GmRuq zAxn&S#Qd>GXdrnfK-jYaw}fyWKpopOFfd#IWOEb?6FH$!CrPe(5_Z}XrqC7W=qvAS zLJl}Kvg?v?K5!F|7DAVy4t}3xw+n|9Z*oS|!-I6l$MX_mWCxw8L?H&hX|kuK&>eBm zJ%QbWe{N6cyczuVz#{jiXyrh}Cv&c0QBU?&MCXNF*lpAD;3QKMwpAKh<^9wnA{$H{-z(t+(D45#~M|6tN(uaBmKAnI88dla| zyzPyvFcNlAVz^}EJnpHdalblDmjt&91rX(+fXTO1IYdXd@2Nqx;msO z7MtMuYwt4=3d2|nyn~?Y+Yry^u!0~%803Bh0@4H!W8O@v{U!Ej%QY-KN# zt#oRt3v?27I?2`s)~J@62Q)eo2PktksLBO`&j_4BdknfPYl^!Okl|XFJMUUazA(J( zsGI!V39HF{EM4rr)seMu8|%LB{c8X8K3ilrLUcyO?ELI~ z^e#6+s^x)28$Q~MJmHyX50#MTZc8h}+5Lm_9+h+>iZ_pSw3}(eyNRhCl7M!MPZ&uV zvn$X81l;_4xU@*O&OCW5r%Naj7BxvTgFb1#O92H9?IqmUFtaD-$9q^u>12H=*tu_- zd|-+KmgKe#Upa73a*=zT#QxubLn2KB5tFGGudSb6U;aZ!U-ri<(;JE4oS9m4^ZBeWFx)?taxVk_DX^_Tqe( zzOY=egaq>10M4N{!Tc@eV!Hx z>wzKlQ)Cu^^Zm8J(v(i?7~h*S?s8U!rxRtBM5l;?zFX_(KGAwS(%pkteD`w-tT($H z1n&uZyIaplydBQGJpcKgCMjG=J!*Db_g#qMXIjVdK*rU)Kd~AWoAw)>30f)B|8M9% z{Hgx_IDmidzAmn7Z>}wyY^i(ArmpRh?UwA!hI}qEu8S_}sx*vm{ZNX?Y#0e?Se03q zq$}K{BJ=Ze{)qERp07I8pJPLyKzi=C<*PR&G)!hlq2%hm{aGtLIX5C~9R5+L z@}oGz{vW}bSeCroNb)~16|1g10L9+?*lNSH9guO zKL*Qw$dAx+@EHQovO+qci$*RAh>@1!}a@F zI&ma<{(`0qrie#)bqka9Z2R4On5`j+NhS9F+4JCRJk(7zwn6Di8jp`^n>|bzOx%fp zBNB7FQ|Q7#RAu7OB{yfS*8mB)vIQewXS%D0iz=fmBdeGG`mMmzb;JTOh8d9sLf53K zmoGF+{M|Y9wR_KJ7tbXI=rA#22Q$@Q01OOoOkiYhjLz&}K39E5e6UamO)jkr_@st1Yj{Ws;jr2?>nn^U8ZD3j=tgSjCty z;{{`YJ_{~97z97;)h1iQ15-8m zxQAv-V@6|59~01f zb`<;H0$p!mU*PbrH>^k$@-ZCMkH+X=AM^F&_;myx3-;rMb{kxU`$a@`#2$Y+~&Q49ErqeF5Gcu`Jv}`sbhsvbovh(t( zmuW0^K_Rt>c7=VFO)aKfV_&~PEur0H-?~k`Ln~#Ml~XHd|FQ4hqyCRp$*!uV-lsia z*F2;?qSdnN9#iXS4eTe4)FxUpyQP)dMtjPB_MG~H*3Rzeq;}E3m+bCW)E-(dyYKaG zoaYVu?K|pw+5mfSh&oIgVSo5Y{X`pOkBw6&Xp`)z&(tq84tsir`js}z{x(PbPUEuY ze^3`_i|i#H^(Sqa{cDB#oA!tOca^$E`^R42pl;H(*xNf)=%QL2;#QN?Ed?)iz8Du< z$!>&SHW|v%o70&=EUZnCjwuB<~ZdnIcxpw)m~d z3Vo{8EuO6Xn{+DZRg#FL#Xm9ip90+-4xuTX4w|5kiN z__*5>)d5M@$U??I*{@4_67Ne^MBw%_%Oa{R9enkMd78^g2ZVS!h%Xbq2f8jAjaU9M z5$at>zW`iLw5XpzRD$V+te1(^JlG99b3hz9!^b$+QJKd{!NuxT+aZK~9FPefw;Sah zJ{EL=)#({_B0%bavs_z9^VuZf1YfBwvpnKna5?E7(1gHnmFQ5ef*qedFa8Cq`pb#* zie>X;58B(A{PyTbbp~FF63tYQ5k+AO(^RYEt`~^u{YxO_oWYUO#!K}Z!TO3tk!T|c zo``UAN!GDFP>0hNEK3m|0Tm!sYB)0spw&y5N%@MbEyFA(7aQPAi6Qg#gyp-9dG80B zTRnQtYZMUcMqvpP6Gwy6FFHp#7L*JA<`wO@D0XI}GHqpI#*f{oAv}Ll_Ue-mQ8GZz zn?HVBL#r4pXCb{hbd4G-gcoo=umhsHjYbvb`6DPk2+Fx5FG~LkbFV8I72OX8&XZW@ z+nqgcZ1$9(QhOjd(LEitFtQ^dG&Ox+fWNykplu*k-r|v=T`ewOqi~#!!4TJI#5}p& zE?dg>$U*C!=SW-YZAk65i+9z*43ssFk3RVA=p{4FzzLMujb$48s=J>V&k3-tw+SI)p&pIDM*V; zY(352e#ox%a{lK7d4!x@CKR`yewVq!RfTMA7v?p{q(_qO5dk!~OJ@s@u2wOVm-5ej z71h?`uuXg3&iv-9h}Eg`zC5^aXVp424T{BD-$+YB=uRjBf`Q;`AUUtO5fmg+B<`Or zqiE-zbwXRin|iUSGaG-;{_;Y$i6dFpP&G255hx1kawC`h8UDU4SG#?gGVyinFv?WU zsL23f-gNRKIaT5i1VSZaQ9C8PiRlvAjFQakH8EI(hALsTo(tdPm3&@DMYhA6IM}h0 z7gNgcoM=n%c07El7NK%2zN3qrY18a#pMFBZu0}7QQmi8RRd!kdUNRfr7_^$zFp#}3 zxP!Tv&v>P>4-QZQOQ$s{oM(B7V+>m@HTW-s-rV7a;ZfL#|A6HbT)epzzIO;%rW{RG zu1P6v7qX7`k`tB(H{6y?HQicm_v8a_9Nikew}Y@^vT$KO=64=3v~)aj=*^rxI}ICu z&C*T$8IZIeN*1vVYVRlFS4MbOQHD zCn1qDGYgZRvBWw~n#(=oTZtm5)p~EA0y!5;qw97@C=W9Li-AYEF+VE@ zKv#axjVi|G5?-uvx%WY%apmZZdsq*uFsGXNFs4(F=>m1_oiMc$i?wOIyPVbOF=bqY zC@?PW2#OX{-e!(5-_PqgR}NQnUKnO}7$)uQ+nW*bqId7YyH(~Ovq$8v`FSyR(Z5$> z&*%xK>+ZgD|G4vjud~|lQ{`d<-TbwhJJ^|%RiEv9D0f_9*y&s@qejg6)HVBT=wII& z)?4UzX!g(K2?#O|jp{m<%X+Ir$@k2`Joxr$r`B0ogC0Y1c=G}gX>eQxO`_tHzTA!Q z*pZ)z#q$s15tkN{JBlE){pmKQ| zxOtFC(9b|JHeN7t$BTck;-hd})PyY9SJu2}CSzay@a;@-JSqK`tb*eOCVoW}(yJNV z7#uy15IP*F^NS1RILOMYwSz%geNupQYSM&?*6h4kL-T^IF|mZt@n+0Y43tkVvHw9>SRWQxWjJ7xeNK)LhiYB^<}heUrTU zwNvoVu3%EPKO&6M_j?-`=Pw}QktO_=a1)_t=6GK{ZH(~^&60o_7iF?+ce#Mq zEF!pn!Y2)IkfhfLjUI#NCU(7jzkML!>3%t`A<)^D7y;-hEJ*h6Qk&1m^)R1yCu>;L z{jphf(i5E0*B~=+3T=*AK(36TNtkII@FNKB6V#P#t}S7K`e^%Y1LJa--@`$9b8TU5 z>T{xww_xmQod*B1`oxsDm-@h%`0bEaAlmdkyqY!aOy)+?|8!8!M>WxjC+$R!a05fq zd`Nmxk%uJ9qtfq3hAZ)sh@ptV_-I%<(tJ56CWeSSd~(15C0L-8fm^8!IwnR5&?-j= zptjwEPRA2Y)B}uwQax;;FT07rbJ7=1id^1G8l}yIXT6n-%TAE6M_(^exve@zIRy zg820IE((;{l>yOcg5!rvx>z7@W<`j^C|xm_KGSx|{iGQ9K?tUC@dw#amcv+|gG|z% zl#b`+kLPqrAQLcIPbHa&bIt*L+2~2z2Qdc8Pt$-j-BST$^gS!~8^(sKt>7hY54W_a zacdPrNEk{zGKmPcHkkOHuRkc#(1K{q^_$ZVcMqji-FhVYwQ@YBL$Zj+ zb+8+aZv$hAfPs~d<3c-~g)9qBsFU$<{=C0UHaT|*3dw} zncME1wUcu>fo1OFZR_c2!bJ3jp#Osot!@`s(8m*n<~b%9QOU(NVnFq*uR7Qr|-39amWr zA`F3qe=v%+2wgUA?xG`^1o3X6i(dJe|=U-mP-s+nLWSIx=7 zT(J9xh<2XS8Cw&pA@jSoU@;d`8F6Q37_Vh!MNlTnqnH~VGe(0sgEJzo?P;#ArQ_vH zy`pK(+T2r5MGiSF??N>uzl7`qR;6st#3eD7rBY8imxjPe=7==mN7z$;=WpPyV1~$GmRT!&`}?vFvYhJmj@N@5nc>^|Bk0 zv!C_e05!#Qf2A*csYx#rac2Ml9N#e3K*`wMK*L$Ma8b6jZ6)k7zoe7TC(Tr8m3my#(7f7qpdys-hi795MFmNY;P8Yl$4vx65 z)v6V7S}nP>G*nG)mlQ62eXpK>0v3VXz41DUDma9Vc9D`|D|s#B&-zc3bp=tiTH`?zdvRhwzUyXstXGxW=rTp2BV?op)qPhB`cutF^sArEDClSH z*Vax14~*nPY|?6lSuc3CVCx4&yw{GEy|uK$Hw&?2feiYJeZl>@g{Cq#%A0qMZk9)a zp(F~;n}y)_`W`L!*tytN=b;{P58mWbDimxY?gAwYNxK1Y?_yak)8TWfl8SO3`Qt`a z(^AhyPPxx0$6qy9F8#>wF+Pp}jt-lwmGmlsnZd}ahi#HijmDl3Ll|QBHqE|_8=5G zCJS@>-(N=zza^GhPZSN$*IlTK?ZjT^)qH$=@`DzOoX~f{+43=ZHr?;>#E6El2QC5} zX-7k&7e?USgE!ulFJDc#x|PRWd^i{yccjl=4y_#Cix~l)?)ckFZS%LB(LbB_!c#2Z z$td#u8|m_wm&6mW0&dvL%1idpd9j-Z{%Eu?uB`x{Uh(8#Pb-U>h`>Ma?3M#zm10Xs zk7a4t$BU~J-E3T4#>em~X;<4|% zEPE$EiSm+$vPXGw3UUxOe!X^Y>r^}9B+ubw!0u<<`TG`VU|L3}ormI+mB){5?oF~s zWm1I)@Bh=rNS~*(v}{hhi?Zl!=zRGlo4mB+r4d4369viH^Dk@RV9D+7Nbo-n@mzqW z1%JKDi~Vsjbm6vZD;jZk2hOp`g+Y~h_D~&sct*B!_@A4j+b9|@_`}_+JQO`qelAxe zcu=-x6Hd%Me?U1+qkJ3CCNHO}`jD=VKy8~4?ss*v_`h5PAmTLx<*T*k4DofUYDnss z{x9LUa(7G0&0`pzYwpW;Zke*9N*M!HLQykFt5xw2-q4`v>l-0uV1rQhcGxhduH70Y zboEo%u)W`(kCGo7V4L)5#L#S=LC$sDZU>L6Es%bUToq}Pu8%Ey%TWjRab(Um>m=Sv zT>OB1%Kg0S{-%$69lv*7u2I%(Qr+(9P@+5i=q>HE$P|_A-_RlfG=YlzS{NdjT ziSId|rT$d2$L9#aZIh{K!JRx(*XQ7ayjYIh?0aRPEGwonm@I$734^YQFEQ4InhZa9{L6ObYk0EZnyi7N9I}vC zAEG5GM|tP_5$d1YyQFvgxsg8y-AZ994la~GWN{YOzIH_M|6D=*k7JQwn`KuW$S-$) z)nBbtu;QC@6kqN*hI2dz96eQr3UY;`!_ZGZGKu2|>AzYMd)jg4#!}6ii@?1SMHI)+ zaYUSS(czK%(E*FTnhaRzMS3?vckCZ2C8I80KfJm(+uSJlazT*d97BfgePeMx z1u0w@QWsmv=X;hLeq(c9t&m+=bA;xFcK_P&pEpDl^QJ#~yLIHwV( zr2`~JS44}SZWAF{7X>Bjt=plQ-pQUB(FNydvB1jlSmCpZ(Fd3I!D0)}O`pL%tvSOw z@swpjJ)^v0aR#(I|G)`8nT&DNTZYXKT+G_|p=LCK?7er?M(Vo4|02mS@k|>+{CRF( z%-%DN9yH16SIJy~>2m}z>7lM==w*yU=5AcV=7;?_5BWcma9!LV`=YDl?3lCGa_637 z%@hZbSud12V_3N+9{VUT<11*(1ENQ9`+xDvn5>FXc zu0Byg%Ip8eE-ko52^)~2tGRqyEgljLx~s?UT9krO_WUpK*^=}Pa3aYJd0;yc@d|Um z0izTZ+o~U|!EM4Q|AC%3`H+qj-wg7e6dl2cQGZsOyoF6Qz1gzQEG>q@SmGWvK9+&4 zSKJ%>cJ^lnAuPco_RG4xu`J<*PRn<~eZM{59_j;(@eb+~k*5sQ? z0w}P3Zz=iS2EO@1I^{g-ysjE)yJSC|l}e<;ZSzo(P?$!zz$3~R@7BpL`C~}+mzlKk zYL)E~8|m`&{HqP$bKNIJ+J2^b#sXd)`4$?aUXZX0qLobWF6i7zn?Fao6VL4gpR@LD zT=x_AN8w1>xKQgC1ILgGqG=k5b|Xbvpy(L=z~;Vka`@@JSFygY@VQU4DF)AoEj6NN zDIdKM<_Q_dWO>GbyNc{Q_u~99Qu-?O^mGgsOMCl=Q<};%c^AtflCE>K=%d3e6d&Q_ zp+5vfd}T!VqXAORW#)Qf1}j2FU4LLDHOd!u?$GjR%EGhas_BkU*Q3eYW0XzMhJ;Sb z$zTAa0h)Kl@MzN>Zx6LSMq(njq!OUeTm1?oKS{(LxpUztrE`O3;vD8EW;FY`M8naacpEQkBiHxUoTi^EKCMz{E}w8Z!?bpt=X?Blmnmw_ z_;#n_hE-zpZg-R9fKL#T*AeF%o!_a#Me*LT;N+%cr1f=kZvMR#@!*5}*b?6_Tg9hep`k3z-o z(I&`9)IfEicMu|`qr5)Dp&L6H9q5OTFEzc$&+jBiY2sUZF?QVf!`l(&Lv03EC@Bxm zi$#iUZ>3;SNn{*nN|DHX4|D4n6iO5HE8G%3z|s-A zc#0?=Fzt;4#D!~7@u|2a%`M6IUsJV>sw@I$B*W1aX{`N_Jl@Xxil2msD zbdqqY4+%PSHHLo#Vz}hp;gddC5$Jvbv+&i0&y$^FVN`?b@%<~1U`ZJu8zvdp!>oR# zd`{9prp3x!oIB?DJAipo)8NfTgyX^|onEYa9lc%jeps>$(?T&(B)zj8Chl(V;7Whg z@84ExH6LPm?aEpnU8OOesKluU8w5#`a~vX)4!WCULZ7ZVUJY7E&F+AXLBS$jXDGs> z2m7#ZE}ozQw$5p*ZSU7;d>O$TcK6$kCnSGH>ZoC1tAWbelKrX{0K(pmMb23|P!ZIA z4(pK6eQhx~?5=xnMk*?6$DI(ljWKee9Pipxx?7JVm%2-!VlBJH+T~87|2K8$Eh-ir zDR69QkHc^7-!m-p-5#7wbAVFbpjKUuZzYR(|AHGj!SIJISCd8+-V+}xifeka!{LB* z|ERCw5Wz9g3T~le)PXmVu!3O&RLP(M8RKmW`Gp8*8d{2B1DB&iSgPLb%h^8$+R4(f zslE!wLs%U~au1O{{w5a5yK6JP^1X$|NZ&=t(@3lj^PD^^1-r&vQnyh_77u0}e3-QSW9+TIl9Kh`Ajy6M!+l?a zGJ3)woYVLsUm-Yna&gduhx~Zk5C7GP$G)y#p6E1)K*S5+js;+(i5UtBvXm@2!_FbZ z-avy&OwX^lXhaw#J+uM@YM@d?YMCitlfWN@81(+y_PqDbp{er+{GBAxjNiJaKB)yf z>v1{+Y1U8;sQ8Nd%r<3sfbM3^w?9_VGCzA`8bxojGP-WLLWtuGs0O z#9%flIe$cGXJAtgCc6&qj$K=$NJHJfF3PKYiF-pPQ0J{H4rqX=^d6+) zYD6E_;4iT)Yn2jHq^x#f8uptKwfYL__*d$?mEO_Vw*qD_wxY|QC&IeR8Q;xRqF?xi z|4ChxLY{s16BNi}&u${iCscZ-ck|{+CkYzyMg!$N19bpO>PeGXL^Sfi#b!X1pwg67 z89>%4pDXL;!Fv{i16X2~vv6mGV+BQ7^;xqM!Qp>XkI)4D%4S48zz5xCX3lIf*_`Z6 z^4AaY0aA9&WKCVQ>*QxxT!Km79p+O*Smq?5)Cc6Y;sJ8q#FD?hfX6@*KDMtX?DDz% zmCTtA=5tAh#@QVxKXVS!n&oSK&dldRYbGb{d=ZR)CGINKWR*$tlhu|f#gS=;ych&sb-{W!Ha6H&P zB;v5-Xywr!TSv)0M9iAa!7=qOi2hdstF8F2__|uri9)eCZsB4GpWK@Ym3=%DR0q03 zzc*6p?69A0{v~e3%@=+}KQ!VmS)5k4C$o8+gR$v;V$E5|C{hB{!=8_f04h6v{Gc&Q5W_hut(i$@D{g^*h-YhTiklFU^ z)~C(zdwx#e?hgxP_`(h-t>$+Ih}5hfIl>%BTrE2L(yi8_L%j4dkp*2vf&tqBI#y?` zyj@`XFbV5`szbI@6wn63&=~)Odm=A&LFcMhMn_ zd_uxpLOI|3r0yu502eST(yNq&JuGsld?dDb@c!3J*uWtC1A=~PY(g*qo9r**t5PGZ z=+gBcx_iiBQ`;t`tM97R@2@g|A(txEAvy%sK|tG23<$>DXtf^yoJZ8!Gl!~~(nFbl=|c+tX_G^t zwqCgKN5rI{!egV5&o6BA)3i7B*@mbVvEZXEivP|;`5$5O=~k-w(g3kf1;Q9#Jc=L0 z+6nXUfbL_0;HEskj+k(W6QX!GE3Cvl%Clk0xm0Z*Gya|y=E zVm(*!LcoB4kg|XKXEq>gV3+oI${!^7Mi|wx zlf(z|E#iSTON0S*SyniZZyF_Ih_$?AGa-o_vAPta!^txJ1?7W_E?UxeB=n$ob(oia zw$;NUsrr9Xyi~`N+-%fuOzgRYe#<#%DD-97+*^qsvJx;=la`SZ#4Ue8?!qQSkK>!L zwf`iQz;d@j2_3Ok5hFpt-XY(xHK;fcCi%UE0Kt~`^6{H#ABV&VBpF2?*(*DOasqiU ziGpHB5$qCH4g_@@f53ewY}CwJum4Ck+fh~7)Vd|5Qh2-s?x!#APy-?4B*^?8+Ix1) z2|~*1L~%8#ZGy3xJ4{tmF#3w6p`1IUp)RX=f$VdPr+R9yUG!-I2?36@ji`s}zy_=v zromEvu2W$9#Y-mN6*h1M_AEt@AlE4}J}2wnD&nRADoyMyxR*L1rqrp}AgvhP1PLA6 z(Gw8C&;4z+vN2AEjQ&)^9(@;*Sv8h7u6Fw}aCC4gpc%Csa$@rwtU?o&Xsw0VDa^PG zbJvDMyK)9$P501*FmGvD71+&1Bo+za9!h9w IMa{GS1K@fUHUIzs delta 42837 zcmW)nS5%W-(}th)LI|OS4xvhy-a%>rk&b{gL5e6?X#hvlt7eT z#X=L2fD};^K#Yh1Y5Cvv?}NSe$?TI^Yi8Zo-1BV`Ix`7X_Y8>yf&mBs1 z5rN!fc%duhv9Al-sQDF{@9zfMAGY{O_nNe2A+=%L32R8r*naFDD~K%&Xr!RFptE@9 zX{k`<4!LHTdVwrkObdGSUJf9oxqAoLhKDz9#ybkYd<4oQ|-0D3D104{v}+juTWuXJqgSmFwJ^lVN^ z#DdUUj^}ghpMm4)I*m1l5Z3XU5@&>h#`l3&c({{;!Dev;!^;aa{FDxvfLpJHjrCDPISax>F{JzwOuJlW0=o8|Subk74sFUh2RQ|~v) zdGU7w2{oGl*AWy*9ow+4m|tFjQXSaeRu_J)z+3pg<_>&`ov-*lV`e-o{HDLMeH!1RO@c72xeS9ats-|K-v^+*n2H zr}Cpo`sX$lngA$k8Cp2EJ&0@J4p-U>Z91N1m?OS z`)ZjwR(YfX0BLEw|?B3rnvmLE*y9qV?)e!CXx)il0Fsp;G z&FJ&e1DfL2cmHwODLXm5&0>_nyia&cWWn2ztX*pmHEG}V5;MG;5qjB_1qE>HYAGM# zX~M}54TnFNbwFW4lY$2ZuUPigN%U7(r;p6*`{3;ox5qk)9i2Mp`Q4~IiSl&gLo9wpzmS9BPEg!y@pGcMDxzK z9h4^6i$o%S_ti#EC%SAXc3P;K;nP(w{tG+%qkX@F{N-BI{d~P`w2f{$$wsK~0PGtC zn&gY9KzhvRv+M=9!-z*xbD#~FMfqYAzD=31v7(IS@6&VMPE)Y~(-nsl1vTmLox-BU zM~ly3T zHQ@WkyR2<-Q<2&;8*jPSvKEIHy|?gQtE`0QS3w63YG?7)noSs0M`Ban$vWah8i|og z&o>mrrR&)2PIsYZ>$Pi}!WBvaUkJhzxBs=$*fwd~>9RJ-l#vSxJL=nup){6F(xmTc zH@M9~Pm8Ek^ubjFFwNBFmt}^bL4vMv=m!56JPR9wW=;1=;RM_`femG`G$ZLk_-phb z%0Je}#T*|%VB?Smw;_+yUdo9_na9yCN7l=4$zVDRT3|#!#Qjm2*!@gozg`I&nU?*{ z|DkD+vhuxiA$v{rZ8hfI{-;8oSRRj^3$2-#bYLw6eo+9QD*fHvShSaE`g`149`flj z(&Hb-Y!?(inP@g+_tWbO8-dR$Z@iTHJM;Ed5MqaDD)8b-t@8kO);<3fv0Ku!M_U!b)0J#OM<|*+^UJe4 zNn1-*g^+7>)F#(Wz%>^?W(ysZ5URDynv^(6om3YqR6}+tDhfmclV!ZHAI3Sh9?s;~ z3+h$bR<;>k4FMVgwmt3~w(QI1w83TaNwa zW(m$oPTjtqC2ae@Cg2t$>x4^nsi5%tn+CG(cfuQ?{2rYHE6Q~*s0RnWf7fenN0?`L zfeb&^!{^&F3WQ~4dZMN=hh9=~M5u%9MHKPb)NP>J1Ba=q}oJFhz88pQ4K zch|X80dvQmKu(0uQY*8rKUva8Y^&+2>Nfx0>b7^$(-1cWg}Md`Y=b)}Jt5e^ z#)loymZCp#+Xd_H1?%4Y4sPO>Jp|N>hAuJrLg%Wkt>d>knA_^mmz(9dz}4V(;4nYhCaH6Co0-Ng5B=t-vjfz#6 zik0_^R`J<6?3@h597$8YG~+Vu7n=t7ucbys$6C7||LGiSwds>a@sD-^v!hbe_a#gb zQZibNl2ejWs14~F8NUD^AnH&C;b_Y7pXq>`RDy&~Nb)bu{iy(X%FqaAXdw03i^@up za7<|SCt7}nS|phtYfKL$n8Mf*;gBXC(p-qs$m!kC_cHmUgT@ zwL0Nw!jbrp!&7k%iPeWH1iJx!Ko8Ib1Ui5UAPI;Av!Z~=o>U)SreeT;^8!UA`A5Mu zslDJ$J;h=#y{8c}f&BrhB(|aW#P7HV_n_0U$NcwsaM?v{B*wXhGgM4s9!UFm`K( z>nb?vX!YsXB@N<%bJ5$Z921B+DgprAQap~v*u?Do$pM6Jw4AdE$tZ2-zknJ_6@(l+ z@ zA{DBGg0aON8)3URX+ntN?pFe%d1){(pz0S{ykYl_+2PLjL9%pJNRmN^hYjzjIx%Z` zkfRj8&g$U z3Rll6wn3DW7_*Cna)4;%78MwWf1Vw-TYx>OhY#l{0%#c(%o3bOHw~3~~SVMA2SUz)g?dzC7x(`0~ zCjq?}e^=M>g>Xk}>Do9~N00(ve8hTzZfxRZWMF^8W_esp!)2Tbe4IA%nP(pye|1Cs zp4^JoESX0?K-zq?!$eWIcW2A2fo)n83lHW>M+G3U9mi#e_g2)Dz4VWttL=QU6JR*s z{$M9kCUTH^G$7SDIjo>x?|ea%K6iwp9!N2PwGEFVYwtF-_$~h2a=|RY>ims~-W?r@ z7Tspka||5){VIKs^S?&hUZltE&TY%zl)skK+6OO*)sXoEh4K=cyO~%gb4QpI5@d}d z@0yj}6@KVJTxvryYpa`RS%BCByDm2CwcOo0)U+6#*np~J3W*z!>|N?~k3rio?L)6g z!In-HqttjsanPS83`LeT18|yekksF z<)Msm=24O6IFT_!zwbICeENW4e?Xdqb3f7uYBb^0aoArYCcsF{xgT$YC8QC77C)4c zeP-vD3nBHKVjAZ8X}Y6X-WY-2vPu8_w%pYDQ-|cSjwI8Sv7-`~K_QWeyLooU=u$#1 zI*nd(!}RsOwLe4L(lU> zOTPy1*Fh*i--sd_EgRwGe3p<5_o zVnhpt^IVIv^90beH}}km?-wD!CIqn4%Mi?eHduzARMUhvNPjSW=^wf+1j*ZgPNO(L z-VV$R1Rx>s!JBz1EvA#N2?(l;w3Z1{ZH?BVFoiVxRs)dI@DLBDT)lVWebUqT9+W(5 zOaxMn?AEU*T0AWz!tT5Mi6km{u*69Up0c8C#6jw_jaQOBEW6m#9J+aV&;gTzy)k`y zo!i?xhJ3EMn>Y>5b)8${&hN;{pjChOfLy^&$q|YCet0HT$o?9Y*`hp~zjJlP@t0P9 zbPwY6ue4RD$#2r~#snw*U(F1ki3)(Zh{cGZBPHSoEq=(odEvTMqs(XGt2~49-U*{$ z99_Cd?e;yw+$vo(OGRudFCBBA&A}_+H z`TR}@)c{^fZ8Ga!4coAAkSl^X=b{-H4jh6i-|Cr95+%wFbzj2eB%WA&fvz?n9f;Dr zqA2v7m5+TUEXBd_!g_&^BTrsl8$Ql3`s!BBrh)%+Dfn5gX9fKe~r`Bte<+@;(A=w^);8nuy6I@k$=)d;ANrKSGUs&lp5{h% zh>Xte13JeH$g*}%Ek!hau*w)c8hIT0Wuh0N9!X9}9UP#MheroT!7rDG;)aK&&~xiG zA#badyc>pNM~w-$?Hp^8Y_%scFjxe*p)dH~7d8Gq==ubLg*>k|kI!R3pQ$xd16(ub%=~us)@a#%DbUQg2KRZ@4j@+KwR3*xR8Y zQslz-a5Pkb5CsLJ-$o)3Z^i|JlgPHWB|yX9<+njlwXo$g9Fp%p2*84?G?*_1F1>w* zaBEt^^X#ks@dW}FLZ!y^`u9t3`{7dGmHSpC4qZ4pSqy)v96Oy}QZvz#Fa0fCp#PNx z5yPlP?In$s?(Kq_hLYXPmdNA7P`2_soEJ53z4|i46*O_Q?r^n$oenk245W|sVH(D5 z#*`&q&$FxmxBKC&cg{J;x%x)7hZ@8_Sr;!Ntkw!OCQnkm^>dBeCcS_)j*_FA^_0Q4 z$@Bv%SjVh!BNbxaOf%ofe)<<=oC2wF`*VP|!;FMC$B1_A4OT?XDS}^@_bG8wbG|>j1t>pK$$hjx2nnkN)d=i(TQ1~$VyW5Tw!rd@SM+fr=vJdxFE4cOq#@=b){to58q<;kX?1rz z@M{qEud(-?Mozt*Xan6u=uYT4E2U#p5vklN2ffG1skUP!MH592+dSc!BY38eK^yGJSs_eRlEaJ@oKh|( zTClI!F(Wtw`_%bHw#6G~qodV>Ndijdg$u}<;+D@Apq~`Z76Q+2S~6_Ip%P;S$%iTN zq?9<)c6tgmEjso!CXEzBc>VQAa#SlUC7F~K+jc0}HSdRwJe3vm%_a->v{lCH{z@UMYI)=|k zTf84774A)%F!VgfeoH%dV)nZAn=dyM5wO~%M4{>Cl?J@F|CriX=- zk4qFLIZr1aag1(`jtAH(hb5DuSf!bE6NjR3?7L|~83rc8q5jfVC*Pq`DLyRY!?7Qd z9kX}|q~w%F**Mm$GH?Y^{U);McuymeI@ds?_JqVqx$2T|YEw$2)GE1`g!Uic(CI;G zhZSt!-`JOlo!t;Wqk@`9at*F>5f6Pvn$p+bO`&Ecvc1xP z{$;&@GpP@;qU^#9n3Lu~NNugBrhWLiP${S&fA3R%6>=!5 zzN|OtX=c0Ck($_;Ym5V*Z5k6y%-5`q&6Cgrrl$HSi82q~?cMz{O+{KnM;sBQ(qUND z>9~bx1m8VGYX%M*r5_hy{6>@$3eYRYjrn&*PNEF)V=g_~ArH4%h0BG#I@x3cRV98gFvu zXjNp-&nxs$|3mV^l#<&}fCC3bi@vm=Zr1v<{R_f0e4zB8cmDP+&{SJ{u z4F-~Ymyc2Rri(oa(IFNm{>YIo2+DXsO^cN&64qA!mfTTcXbAdL?UnQM7V=eBOUJr< z*8oKO+&i*N1fGz2T{2>F++!&%`L<^lsKh%N(k^oOteO z)2UjNPQ|k2hQlkc>PXxX^l|4G0TPSnD)aF%gV#;MlPm&cer&QcQtk-5Y;PH4s=p4^ zRFAHQXo=G;b7I6Ml5z+ez27`zv5o12$$GVRb#9WvvC4suWrox{xlyz_(vmHJ4spBS z0XtFaJC;TgmCWZ$Q_o9Y(I$jlKa#9^AThXI_k%;xWsg%J`n8zYVItTWcdArH)?nsF zUBKar@*2O*^dl$!N0dha2PSMYfP-p-HFg(sK4_iQtI0W(bGZOIoRZciYp=Vg?%udR znePyDh30iJs(fJ>qmOHf8Hw)Gb@Lgfj#6Xu=NM)}X=YXAaAfSgn1`l?J~h^J1|b37 zfx1FHR^dgU`Jbeu_`v#e=^vII^4#L~9Y8+C(M8me;bPw*M{}#4!*#GlKx;iyEYMgS zI@FwV_pL4XPB~4BF`kHa{zA%~%MpI~OO}bkjf!Jm|4*dOZW@E>EnF3l9oD!=0KEVJ zj_ad&;cwuVg>%=JY5*UnVJ;2xA(- zMFV-8Gw|&HMElL9L}RBCRQ01Bt}syafG=RzEx%?r<_WTwA=J>4zLwGbR;^hjgQZ}L z+k&914fi@1F32kxrgxS$7HV_W`AWpn3e>1yQ^7%NJbFgO{rw(BAz8o}t#A&33Rz)Z z^CWyejF6UC1V9$K;20x@=Qzel;FlZ^5o`R-WW}XPv=xaZgSP6L>y1QWHwx~f+E4V! zmzki?YdtUA|H6h}=W@6pL?i*Elpqzm;(rE*e+iTCGxd{`OHeIpaRTL(A3g-Etodz; z*6aCF-lyPMhIP(5^Wn7O&ZNHeqVc`hBOf=O@+nz-2*p*B{hGXLuy*Q$(Eh9Vy~J zz%%Gn=;tY)a+G%p%wLz&;ng4(S3fJgGqdyoEPZU+{F7nGJ0CXsWAUbcxT=i+Ar-2cGFNu@9c}5If1(!&uK` z+5E@9Um=lg%b}use$sd7ieamgI<0c@urR3QR%f~xeXYb?GYrSz1>oslCNC|{!4!ff zhP67pl`q`X9W%PTNsVDRtDgwNmn9{+;Mj@uaG|{JjP5Y>5z?*O7WQr@MHt>tAY6u? zUfcbVR` zhpW-+GM)?|#FvpiwL#sL3`PJM*R(aZ3qMVkQ|&UxSxpJSyFM+fNC}_bHtz+UkvTxl zm$HXK|Fk+f=_l8@8#^vKD?&nFd^+f3AEmkz!En8VtUA6xY!AI2R4$t?c15xu=HMQF zgHd%7uFFTchGdS=d*kK4GF%g;_*43KZ=eTrS^(Nr^rZ?ZTR$_)8C(G_Xdzd6oiO{poYwuJ_S9aPP!x( zuU-Q+C%Tig?4q3wpYfGJ#V&abyb2HbL25LH2!6G>Z7FP;ECf) zF0HRCW5g%Wr&@7lk(G~TaM#3^5k@CCS38;;zRS7g%*~2ujhqCvre*lKy*ywiZ)|ta zCVfR{-^j+|$Q#gb#JpJ`l8iuzAOqn^c_VVRw60YIuF2dybyMj2vOV?Ep5e@lw~P4X zHWnIL;PD5B+_a=`Tn@w(zwR{n(L8+WD}SPu@Sq`gIHaX9O=c`M3+oe*tsS^Lo%3sh zK`!DE=$GD&(y>w#6*Ln$k1Hh)ItGQ#Ggv0uFmsp?*bpx&YqDW}2QW36-&&$IlW-z+?f%-}%DdQ;p3Moqs6edm<0t zy&X!xi%SC{KW0}2G&2mnsr>ZhBwK7!ZS$YOG4|N9`ZXLNJi-&kvhLw--d9dGGC^Bn zL}$VA3J3&usK;0!Y3Ncd11$@1OD*`}UO;031f58X`ys$dJW6!iYm+;^6$l}|s+Z^+ zC<(kT{T0tb-|mxf)Ycd2&XaJHjWFIAR5G)-u_+i^_QB$s7PK}dFBm9+SO4vgU4&r;n zQ!}N}?yGJS!|h`eQqU>KE*qnp98njks}gjY)aP!I%^1v^ISqrVnZ9HiCKp?iwq+bi zzvWZ?p7c{d!9tU_nWFc1nBvVUj9=VdRhEY-d^d$*Wk+%N+E+u;3zqnxQF2>^bnPx@?PI{1f zCA(T$XK%cA7|ClxU)Su@th(z^Cw5H(?p(fjIp5mV|S3^3iu?qv3N1}VRK;BJ@K_qkzV8(KPKqc0TX+xf{9?&|Ls2eU-?1F0 z{zE+9cMB_}l$GG$&er;~gj5p3I0#*zPs2T;*b9i39aJGkJR#KRdql9QR$cg!)M7#H zcaI3ADO7W9r)39U*OGjUfIgvZM7c1R%RQ zDMHXttoJ|q8$acsrM&Jl=azCl1{7&o`7djFyi&YyrUfLU9@Sj?8QzTkjeXoRY$998 zkgfLON;%&PP}C`DyR$LPm$}tIrG*UyI14=-%LrY3+ZAwP=ZSi%MhVMr@ijhbyPqU#CZZy4>`0@s|oaKW5`|*X!cAV~H!y)p~19DDa_{1SK0CCm?n$$yoBHH;{e7 zQ6je=JcEEoJBLclu98<@Y}wnKV|ae?V)EpYGs@a5jfTJO7xq(jW2{Q4e3Zb9{N6<$ z>|Zy?TBWvGGlQwD@Ocpv>0GSU#sQbQfBe(jUdP4r>l!(+$gS3;CCs=hQd8)&N};FY zHI)ycquq(0SE@(*A}st6tGIjcg{;N@{2^;&;3#PNS+=rcygT?T41dR`wy?WOWx|Vu z!QAIf0hH{2u8q-w-#di9Fi@Xo5-H3!e7l60t9KVtL^&YRC>JIqHu}{61_Lp zofnoSD@#L6H?_Hhv1MVD5U+3;jbGy5^YdR!;eXx!JoFfc;fUPVp<*Gjo%;SNYGgVb4b|epf)v8bO&X3 zzbyVSXQylfzIsxWuE;j$uK5bM1)mC@E-z3r+|wrI{1!CgORe7I!VZEWG@T}Z2N{G6 z5^vsJNlq54SG)yzareI9o>C6^w@3~+-Xo$tlku@`pIA@5Zk2XRh%P!baZm?#rppv~ z`?V>!mL&Q6F;V?M!uYbwxpQ-41f%LhZIVW@6^E`rR2|uXp)(m37Qb$sp6o2N`X!_g z+#ywdW?(}O@VrBPEh)iKDUBK|lRAH^UBW(goIWWk7x(j==|vmd2h}5_ph7Yqe3qNJ zGXk_6GW%p>>hLS@qVq(zFUKfH2536MGe`X@d(mcGaQpW)KA34z5wY?S`A+aJxiU(JvZG2jWznZM++N225oQgNw zN+TwZkv3Z%m>CG~v+C0_@caC?WXQ*StdusnUa3W#Ks!9kAFufwje1#b*`f2|P`>eG z>@un$@-X_l^&W>;yHZ1o+*g%S=_T(w5v)-9N?7&HT|X<6q{Y5+gSJnCFh$Y6UW)?* zi7^_|V|p6Wd!+bA+W0@38!O4Ba*EdNf4E0uQJ(lu?udV;K?99+l z^kZ9Jc9Qum{vuuPiDM23iF%?eLvzKJn<$?r6kI%PR0L1MD!M}Z;&)A1D^E1PFbXd8 z11@{IqQ?z}@->Wpkuu}6QMvRiTYJq= zGnWF=HF560?gI9!FmC-nF+(xk(k(F``X^$jSn)c)MD5`g%o=2Jb#>l29KN*RtT}wo z-ZcK1#Vb^x_7!`7byB&;kYX<+-Z?d|%q|K4o50C=_VkHTMgBw#`Mc<`pKOYQa^d#~ zCq#hqZO`~6IlKp-SkQk8+fLx?2=%S6ltirBDe!-52k+?GCwG?Ax0>N`QxO!K8o>(q zff8Ty(g%zDAGj7(w+{;zbqF$`2KgEXHL?X5fLdQ8Wt5o9=PPkmncC|R|X;E*ypH`2Ln zIFb96CtxDz<1ezz@|^2W-OZ7V1y>@3pdPV=6LRP>&Njwd# zDD0YbVqFa6UliRvvXT2ER!`aWQ%5ceNwd>1G-VEOg;f<*V`Q@DH`3 zZ`X7z2}qp1%!=izh^g@|f_h@slie_`6%3#9*J}X^IT#jl;OW~eftfaj1=GYRrv;mObGvtn zw`$(hhFTVFkLPTjOAmMjKa+0%>U6qYU2ZiC?(x*BI{$w&mMv$$!Q;ckjPs#~_H=T= z3J90)1Ld|t2MT`t1ZWQApV!je;NLE#TYbAou@GFKnzh&)l?+gP**RWk;ea`T`!xme z5>Csgyq)fn0>6=F-c0kjm2}Z6|AvS!g4=KsdO!RFLf~;H&4y;1g1kquPJ-VZ=IRk> zO)l)OP~mkX*Bse0hOt1TWL~&@6Rf;)xwgfq#v(Jf0D*1X4Ltx~YLT*}s%(~|JHn&r zRu+t$sX8IRL5JGUw#0xtXvLPxW*xey zTjt6zmvZaezDg7OdAtQF@!w0*Jc=}%c^GXmc zD)g>)ci`C?b?Y_~1Tb~wyWdstaT6A?_wj>!oGcq8GySVgQpD<9W@}31DhYoi z*|I)dN_z#XHjjY+Wk6aYvtO09!NPXs{W}f6-y^_7T3E4+?B>)x!u|0XYL}mg@;{?b z5VHDOK-Q&?$?8`da5Q}*#M?V zu~Jb=E&t3_K<c&j4)Hcwo?>um#U{hAM1tZ=Ip5rQ+2*TAAkhwa99u{ zxbJT5rN!%syO({xZ~{5>>Bp%mt}>eo|5Zp(9k~$BDSIkxajFBVD>q59>3(1N z5gYSzvM|uYio8LK&J=u@HSC8(E3qZfo+Qc4koO%6@hcdj`UN0nHTDqoFzn+X8QeQq zykHQ8VS^OXp{RtYq14!r?V?b}IGHOd4_^#e1Y-J_%(f$X(`^`Kk96fJh>tKnzuC53eWeyL)R79nq>?U7Ox96Smpi;VA*t&g+KUWf9TNlbvqVT`| zW0(@Av+FrdHK5A8ebFG2=|%s5ZrI~{+N4Qtmk%b%0&N?Ba6nsjdRe0?slBCDVj)G1nqxj>-o2z1vMxG;9pdO1OKR&+a9Tsqojtomdd+qZV_&5Z(0s9=hT3q$RqN1P3#~me^h#7p0`PvF= zOY$L>hQ9M#t_0MMI_SUd!kuQtZXiT!eu)ka2orBv(7~n0F6-sDbF3DZ2m`i85JC=n zh5&(Yt>@X@JD5k^TO0P5(eQl->j{`2vugKnAfs%v{_5~`hKCaOgpOO!GI>cJ&vq9R zyly_4f3_gkOfGVSE4ajz&%8@g6)__|Xk{VtzXpve8=Jm~)n_=vtKVdEF`nZIkT6PjAI?Df@YD@96_z*Xq` z)KupoM4)4ho|{~8DZ7PpMRr7e!Ze9fvb(gsD<_DMcJiR0p)LeA`V@OJ_a2CE{+r}i z+EAuXEa;o{Eg8NnAyiX3Rmgew;~X$qGV);oY%)~!*`3W7d(h3P7-HXLe0@4Fa&4uO z#XTa3HajMb^@)AE6Z;_7^GfucY3ZHr?B&fITRp0+tB7zRor>G*Q^$s&Q?-Y3T3SU^Dc@_YoPLBfU<+OI*)JgW2ksfXa`{C%)87Mc zbca9`b3eU1pn>1cg)Lq-Y>U(}U9WhTy9pBw-cW(nAebBUCFq+V2k*|Zr{psZMdy`U z;*mEEHLxig1^wC1M9T6eP(f)#1ebx0#~8Gnjr^@!I|irBQs9=Lp&)PBz?!z2`0#hE(8 zwmz43^t*jn_?;QVt*T`UiPL)+BT>uYN%?4Ya#51a-!RIaVjTJzrfT_^d=ZFniL73N z0M`B*l>gQ&)IrW-EB(Ew<30rlB=T0I>a8g=n~mgjf=v`D!Y_=f^(%hyD);>pV8(Hm zfR9})v!SFfVr|lD!i9y`7IQLiC}#{=NU-S5r2#gXvdo5A9%n!CDn_$c+h;w z+pGVwK=Q%M>>sUC#UuQ=cHi9@$v2LMbQ zzOy5h9&!yfz6U-gwP=y7Zyv^%a&-u7v;kcd}o zq8tFxlO^9qVwji0+yz7zKR@p#KXQl@U^bibIQ4<(+a9lR`4r)xrq7i=rm}PPWDRC3z&h4v(TG{^BMBvoi1w2(-)0*uu!# zd5>A%f2%ECu~BIkb(fN3^$c=te)rbLfMJ z(%~K(|Dl5`KLOn;RGI}$vyMIj@G2C+l(Ue}^cQ_vGzv-~{SAjYN2v*F7LLr5djykO3To6dCO+egXTlWWvUX7A6w+8 zOp_Kqz=HBs%|3J%$Mt?(T5OUDV45!e>6xON4M?@W=~{dlc@l@T!>q89$1A}Dnw^g+ z!&nv3^_g!Bw7$?w3%6ko{d0gj@Hpt;k1vq-^ctQm6Yip3qW))-I^p(OvMgfd<{r8N zwmgz(B{f0K9IKKr%zxF}x!5NW`SHIFFK_5D^G^Lm!HU(*WkuIz_*A+kS;17sVN;kI za55i{B9LYBW@nsidz}#vKZ6D6JCMSc4XX=8SxGMz@4kh!^4HF%-2h$CP zjSD$3$DHQO+DuDcBN(EFvWvw2WvJjrkbp~xdE)(o&cof9R_Iy0w-q>rB;1I@74vN! zXRB_?EUbOqsW)vEcFrzXxLawiD|=mYqk*UCK1EL{$rs2mY#3qC=qvODb1~ZD_&v&y z_~AyQUKiZU)1%;SU)JA#NWxQ|?UMH3XRi~&U9jU6@-kFC8M9mxv2w{FWPaRFV|`{lgh%zu+Oc7@DgQC%Bf_0f zUj7`O&U>PS@*Idf;M%M1;jT^jrm0AkdUHY$xi4&dWdziAP#!%5&;8x)_Kw!tt$|Oj zpQe#qTWdB&&E7xVROy?OQlDet)ZXKtnVEgKQyw>=u!~hRe)Xeo&Has_eZ@^lgU}Ad zpCS%>yl+wz>o-2o<}OJa7=dt0e?wyEa{P=Qz&HbhH2n+i+s!hkzbB}LCX^v!sQxKs_WF4rgm9m zY>B)AC9p&~`1<~wFMH|pfek5~qUw0&B*$PlPYXhy5l>~9$cgeKRG-?gcbbB^t=Wjh z%5)oxlgo>DaPtpNE-gN@|4Iy8_osLM)@4#aQEcLOr;I4d{!-yF^6)Lgg&AQ*H3~s>gb?hWV<#7rN{!*m=~ecj)00 z(8uRTa6)cA?FMZg=^)H1Y4qaiYbFGAZ&>kD;3 zU#dkvGdk=W(5S>?ecq;u53CCEXzE*b9LH~g!+e%*hIIP(_7%RJwjt|dHI1ZuJ#Y9o z6$TuMy6{vZ!t<~pE#@Hq{9z&Y->!4zR4rVbujT|=OiBn! zR(aZ%2PI2Jj-3x2f@{1h`C}MKmN+)7`gM>s!>z0eaR4UKD%AKTmtblPrL-ky>Wbp28kDj+|Vh<37yC7 z0szt6&m-#Wavt5frqW=WB1 znoX|8WuM!0P-1awEDlU&|6#P|lM6W#Zw{OP*to`zG9&_(KV2*2<>6 zXgYAYi!VU`!<@$pwJ90?oNH4{5xi@<1mLGB;S!l}?nlk*d#Wa=S_U(=K>@f7l#~+R z2?ngY(&cr!6mR>07Qt)d);wf9GQ&6+6)I2Zfhuhdg@lY~N$sDy`$^Lu6?=%}tqRYM zOH9d7CRJ$peY-03ytF4!LTsDB@Dd|7P`7X$;8w>dE~OA(63E&ySJ2tta496~_T_&? zK(Y4&j36kA5}lGna{9g7Nk_-I72!us)(eTiIQ_o;LhA9fs-9Mo zrjr)QzxX&lbyJt*o*bD7Oon-q>p_o`V`~6cO z3h+v)#t|k`s7@-z1ELB`K$|f3+5dQgcGiDR-cFI6(ytS2y5gF~c8TI4By94AXqk}r z*9^&{NXF90&;n%8(d=s+GV|0P1|GWGrcI}hXFc?P44q|M6I>L=*Bcu#V2l_sy1P3E z3ew%Bg0!#=6l8+|GD;9TiB}~=L6B5B#Q+tg%i0(qDgsh_{eHjQd!FC_D}~e+aKH7PQw4=dT6o#ldhKyqsCr5 z!=B3@s7Qd}wQ^qbjjD(tQ@xbi!#?%$g={}7Hv4x!cqP-Q%#fIDdeR!M?gOFkE$ z3e#TS_sm~w-4~6!!2Oc~Yh~}5zg4PZGn9&5?mUjQmNg@X^tQE#wgL};zn}ULjR`7i z!}`ZwRABeqUEB)Z1tQybCUK^JTZAP1HuHZSJhl=&+RuNh{OUM90xxd4Up{`Zbl(aO ztZNjtmH`4COD%0q0P&?oHc}B|M2A_vB3sqaouP!!h?gTIh%<_#Iy?UzExp4kW+vSV zYCYz(bxl{wWz;Q#?4&jG`?%h<;;KkznRGSQ_57*CJcQ5?`s)5F^IRbBs2$n6bMXLP`RyLoDvAP2PdQQyFG8Fx^`arjf$M*AOKkq|XuO6ogw!(|kEM=t%3T zt(H)1tld7x=Z!4d~N4;1lKAXHu$>z*5n0UkAPi-0~NDcaG9T8qrvi`2Z=%|Lf4 zf4Yk`0cnG5Z`dn388>|zccaecc^YA9m8gVK& z%d$;C@fNHy6!KG{FZ5n#Y~i4CFYh2ax?Y6qjcw|^v%S=b6_K`XTm+)Q^ZI#R&D+FD zDp>^tU|pZ3D8#sQB&%boE#U&1%7`40aWCTUOCS@>9@hlAHd{*>rC~YIX2L{19JYfR zC-L>@K?7>%OEzbB2Sbgu7ItjjRY_B0-e;!K@~Y>0ruV~zCTbxUkNq9s-0gS?2U-u9 z%L19H$1Ue6mNb@-4Rj7U;1p(wibfvAWAD|497Y{T=wA_;qF1-FMoeH)rQ@rJ4BVkD>jvjdxE4#*K6D?m2- zC*vZQbdb8kH?r`74$$I^%)gAr-D1VqXsz-`y56t!4_Ix9)+P5Gc#k-j5oXigvz*;3 zvDg_a$ys|a{laGq=fyFU&5I*uDBH4d`n|zNz`bgZVu=r;VaWW)dV1bjC1rR^X$H-I&yyy*(*Yq+Ld9MP z@rrkHvlG3uPqHSrq1c^H;2kqv&N=1ueue+^?a5!_5FZOFKZc}lmOiO6iRD^JW^WgF zw86evDr4MaTU5r*Sa!761r4Ki);5Ih1}%7M04jsYjJx^`;@9S00}46NA}?}N|6}xK zQU{_ZX~y9_Nf?W9Iu?!+{q%6} zu7RabhdzV0eY|(i_fn*{dV30HjB^50*SCsgviMVIk1q6bkqm~7st@ZN;dyUwdb}s_ zh)6GmLH4mq;zu^rT4 zqhmxM8eCH{ossR|ui?d?^C@W>jf_Gh+TA`b(%vNqS_DRUzN2KQUkc0cIw{K{MZEij zL7lo&Venetd{n2HNQ@TJTz20w?zP<O~lAX#6(cPQPE9zD$?mL&h#9LW=SJnA3 zG^G2!`X~z(-p8}P#o9o|cxxhg3XpNj21ypeEloP920<#wBh}0O_0WTtwsh8uJ2yGE zU+K=87P1jwMt`x2KpBuey&!LA>-3YYK90 zQ0H9qrg;c==^!c!lH(Q)Sr}w^E->TvQ2#QQa^U_SWihE2gcc9s`1`Dk{(P}b=92kO6y zk9R&F4m9Bty$XUniT=iot?lz-N4sm6_|?vcadTu3wR=K$8~^-7$M=JCB~yH!w+qfY zl&+S*D3=GmtbIY8tZUmeL<(Qkfv&D+KzX=jGJxlHY!4)dlg=JE-9rkK8wcs-g=m3< zwZmk;SLKnJ1X|HxPob^F(W6qWVys~OuA7b0DMiatx}DF1HkHnSQkTo9t2l>CE3G9k z2+A8gKkNe;$A;}S>AsP?)!QrlUO{XDKiwot$y-eE8%4c8ND)GfoU`MT42`WZUWCo; zOwrb60Hbh0@ne{Dh8Uo@2PM(P1IhI5(~4Ini8xrr|FJfnQ7_0J&Y$d6o?8E10&2-; zj4SVrj2gd6T$Du{PbKejD);42q5Z_Dv)@MHU9+VZUyXY9dMP(=Zh&Xi*@?V_WF^X< zWQaQX$1a1;ATVp`(F3FYdqYNAJ{}xD*$cyc`R9S@t_D62J>HZK(E)7ByiSD zgs4{Sx8uq40m_PFf-$*VlPy?#iiO6?_J^hNqsyes$|X17-D2RFs<92ju^*rZca^pc zsee)(romxXW^=1ab%?w01g2eSBSR3!RbaKIQ85Nn>(8 zUnpa*-H0e`=D#-S?Hc0TGD+eVA6crHbT_KV#cmv+T=X050QZ*+HyWH_=X(yULqN;I zJPJeB-Jl-%Wmda8!6##R3J`3jH^YGwH#1xrOhyE=fWHiT2a^4S>`Lj*(iHr*CG#Y} z0IU@nbwY?b|3t7xo=mNf^qOBE%M;c7O6oHHn3B}$X@gArnT$~w_L4D;v0r+VJ9g}6 z_xI;kZkBhp+x?%i-2yjZt>|6->w-qz3|+f1JvUytqXE%-2q&0e1=A&W&DyU<^yz6aPaW&G zA$g)#-1z<_M%?Sk6$`(hANlas(1H0k(ko9y71c5iO~bgjx7aLdP+|*F@qtJri7O;6 zLr}HHbLq4Tk2cfE>D<4>X)nnHA&of-AT(da< z_X-Qtp9+rA_MWqN1y{CO+3btHeVOgbR;f7$hl;Q;ulU6=Md$!+zcY5yaFU|GENsYF zBnyBo{L06=JsK$0oELJFW3syAnpj>{!Tp7uM~A3*jqEYKe<5a|RRs?Jl#E{`9U019 zvK{x14kO3QC^$eN0P@domck~qC`t(rE|bP+qP$5la5C$I0vp^>c%2f&8qZF9cfqtlc9QY->Gh{=a)dE)f=ILj=@$@5Ps;0;;GWI1dDSeqUs} zAMY4dAN^+Yx|QmBlNIsj`1|o!&<3y5a1rE0zZ%JQe@vd&;O_Mx>bEiqv~OO$7|EDB zeIz z{~2otyYPW|8aO4~$J60tT5nO)AuICfTXEV12=z&07L?Rk=58HwVBvR3xmmQo!vE~x zlZsC!GadX@o7*=pysdP@y>`J1>4&gd=e0EVCH9HwfoqBcng=8l)VM zqHazii>d_lw96S^L#KP`{d0y=yw5g2^VAX~zW;e>zp=Q)Wl9>x;1rg<$-RqQjqGKz0*+HPY)Zgo5#XE-%i@4B_t1a9ZT?@}JH z_m>ZdIj8xHcTJxkUap-Nb7fyA{lXhC=c2EyBEE&iLr)&LqOZqJLTYAvOqbjl#h?*< z4;mPGqY$=X2SlIifdegaRHx;YH6%imsXMrYo0^YDC?dNdU;Wr)L&%5tn?=9`VU6VPrnzR3Dej{OL$)m zacDy?a6$hT1wCG$mQrS){a!4zf{O*0dPl7T=PWiH1?_J@EB?%JxQ{pr5H#jHdP;>F3J?kfr)2*&;Y3aV6Y9= z2gaNG>##Ee_EHECkU?2Q%RyNa?p*SW-fL{R@?Odk2M)W!K_a>R=Fjx6lwmghwU~d)Ka)r3TrWp_nucoeDZRgp+ONgX))8e@ zPM|lct`YRd(3Bm1{E2F-bn4ek-o~DPo~s4) zU6k8$Uk8j0q<*o<#~&3mrgnWPuHZfXbM^#Cl&ILL*7%8n{oeM&A-SmoDR5D;r1(n; zRsP4)p#XlKGJDP!63Oi)!`VNeQEjx7fmG?nA#07jQz4k37hg<7LuLgmO8}{=Uy3mt zfMdl!JV1sqVmx^^;VGJyVpW((tD`4`tanWD@1Kjo&zF8_p8Q88x;A~_hYs&NdWHkR z0<)%lD8wq;!4)LXbsG?N26I8}xA3PW-F(w7(d(ZnZm$IygV~>DBf%vl-4ChXU!0w` zscP0dMSzCq93iYbuRFid%`C+tSakO_LxEoJ%t|jSwiJ@_L1{NuS3Fgt_}2a_(7)zK zSHT-P_ux77ME-I#>B2mRaoGG9CasXjdGY0R#mc3VMaW*L-FJMBuvcrl`AHcCu5N=G zaw&yUCrP^rp~9!iy_feE;|^6aH8N6%>hx^?;_x`9#?6}F3?ZfB9>hI4H}+5kN630f2W5b|e6arj9-@}Ew)wlGk!Q@v5Cteeh) z1ORZ-kDJ5Ovkb6MVhKoFjQ0aj!0(}W%CB|t%l)pXMae^nTPyZ62;0XZpit>Ig2A4x zc~0Aimy`-q9~+al3R}V8m&?UrvZ}i1ihfc~r-_>kt)OIld>6I;Rk|g!ExX(0#?LMu zST|ZQJ4_z9UJWKzEPeHysD5u~qxjJv5i9>2? z3?!|Oei(;9L1ViB?!Nj||4}GjHN}Z9dk!k28m<_2SbB1o87M>V*2=O$PCkx)ftPkC zxqs4r$H$en+$#i1Xa}yjD{gK}8F;?96x;vT^6fPLl@;$8uBVxAi(hg~nJAX16x0Lm z-^~}_+~h0&#b=~Pk@o}Wb~=M>x?w!L1lM70Z&&(ZzE1uHklRfI8CHwBa{h^1S6zhM zHN{^Adh8;+X*YS!Eb!?@&nK|rQXBNccRjaBR@&UAiVM6~6pMGKUvc*|siICmO3b$v zdr6J5TvyB5W`7UbsTox14?nm-TSL=6HMl&RM79^?}>WxQlFNm_6bqmo=~7B?q}X zQ4Z~fatd6_HEko`IIGL9Cl~cXWa7syi=H;jX`{p_K71|#4fG5k=0)u+eFz#dZ^Bw~ z)3O%4)@$FLJ?3zwd%&;n%_~UgsXWC7i`~YxRp8CeF^(xB{5k=h>|c(8ocuLA$_qr} zM!0aV$?lwrV{vTf+|N3EI$LcD_B2?^?8;uy>`~J(ew~CnQLWvzLpGtM@3tOf&T4-q z1msg_saO5t^b-+Dv#^HMKCJy1y*_WpMrIy=`xPRQ&PUwIh(qGPu?bs)tX|}ok-(DO z53GzYQ;%|=ZD@$=z-CyIfrh^RR;qp?=?gWUtM#wqw7A9p4y`W5 zI*;kupfByy(jYjOpa_2GN13C}lZLzG2(d8*xV64eL9Z8^IO9YXy~P{ESDj{6yEId* zU>R8Y*k4kK3%GeQCAolwG=9hW1hEr{9|)S`ORX^+Kx@D^hPv{}U$~W}z>y~T&80j3 z>XeeuOBX}B`DFq1IHlC~@_PEPgnVNAGzyTBE~6&43c+XT2>_d@)6%4_?m>u%a@95U zy+vWFGZ4aA@L!*mtikl^@v}nt#zZKnB2xYBQ7e^ zSP63RN8N-*z69z#9kh-W5$#d&CSYFq6E5S}QxKU4)%MizOXyIsk&$+x!Fh=e&P?pB z+Npv&#(QCw;_&_X+o~B{dd%i8FMu=4Qm}MzN!n2{Q-uxP2xKfXCE2G{T>L1)ccd_N znmDhu%nRSgKTi#3^!Y~>g?YtMyQ}(ZYJ)!zeL5hC*-vupImd>MZOm_$NT5Z7b4x?Y zOkAQ7Pp3G#>fXD($n_GqoN=WSQiUL50oyhbGwcM(^{P#23)hz>EXDk^g>9@M<>Z${ zM#mB!+E$VYtYfE+B@L(NuqZtoc@X#CF0Ey!r>?RMoNP%ynK?YU;Rbm+F`^)uW_`XZ zS3ToBY&e5xzQ)|h8s77EQPf7@aQG)t&U9htPl(6-AICFLh1%RN`rtr-02Q~U{7Fv} zTQ>3KrSv-)4e6R)1n(->r(yc9{mBp&uO+U?QyisrYn|v~pXbqRd5YdTp1XI5$hBj& zXDPi?Qj-*9yk%_>^jzItRsIv%6+FYehpk#U;6sk@cV5Qd zdJBM964w}Do-udII@m6k)~KBA*S;;z7L>4>a>r7?Ona97^Uo}E;HseHVaXjiwuDqc zy+FN*xcd4;SK2bX!}e_YQSNZY{sJ-yOGOkp!t^Vq*hW6eiBskaKVn%wGLGVLM8QIl z3Z+OAqPd=}KMrCQ4z;W^ir6lXB?RueyI%CoWxrt@_ngQb@46BbnD&b?az!-x`W1C? zqZ2=XNl@?lHxa2&hEQPK#>r+y*!MRl0b_-Ix8y`$tGAxua0!QdNKuWGXfTv<_I21r zSUf5NR^D^O7xNu}@!2jtA1tYLneB2yxG*G}9Ar_|t>{H`+KdZhU@;GI;!YwON<5;B zUkotKB5su@Q2sYSnR4cATIuUxaf(X07jJ#wXZv>n`05v?+3pgy>H%AitpR3jH+r@Ile_BmATP zOxH-lK>M0o)wNjL{EB)4$jtNUhYZ~gw}PHYaTS0CLIoMNjo%!%2zQ`YQFbW<*&pbG zz~l4~y&bm#LIbi|hMB@#1mwp>`QLtQA_ajZ&5+NaGHmJ~P~eM;QX~hc(k}Rt#i_zo z^$%jQ*6=xTJw3=LVc zhrGX(xU{7?`*=lDMRk#aN)FA=SBm7to2r)yPzUr>clKLxkKSuax;W0#D|y6jL!HY( ze+1tWH0)=KUc-$5g@3}y@NN?h5|f>AcJ0*rhvyfWGt_Ybr9ZkV%}{Pa-g7Mx1CZ_q zIYlY2S;JA1LmpfBS`oq|U~GrVGcR#*NWo4%M$U?wZPQ5T1W@ivURfZvNc8UI!Pyo? zC$cNuco<*H#%)Iqx*Ze7$1fQ(Gml&^-k`&kC)#@aei5t=2d4eJ7N~cbp%r>5P>}{C zqv#STI67(;JVhV>XBn~i_-4JV6?T-v%VcgGsdo!kfA|(C8;Q0X1{vM(L);Cj;}syA z53O8bMTuTEqAc}X>IK~}b19gTP)c(oMn=wnr7jo_CkAuj7SWx0c1YS^1y!NhHW;Rd zoot`)iO3IxZ5xi0U3)iagJ|VF%ri&&f#NWmzzH04_qAMF2^CP8qbS7d^`>1ju$zst z0a@}VBCBT!BD3Icp55Of(nysBW49N*LokAbDnm-o7+HTb7-G2en^bn8+!MJfKXZXy z6S$EKdgJF4Wv?H_dS+9)Imjt8TRS!&)B|+0fpmofXd9qyTk%!mA8-btY*JRn!3>!D z;kq{|ZXYa2#~And$qd?q*3ZxbpMVg^gq^VG$hlCk0H$lkaFS#S&Ve{j-1tZBX+4@87rs zZfH%Nzd4gw6FE z?jDfZXHQ~T3kJ+kDt2KYr`4d5sXKd|fx+qeEgXOZm>vD!eXos>PuZ0#do)7DkAK7fh)h+;< z_JKSl%0n%gv=}M3aElghU8@JY#>b#(5LLC=z!?^x3(x@jL|n) z#UijRS6986E+Aw`wB|^JUZs0Y_{~|QQy6~|%4D1YFkLD_7K&O67C|*R4RyA3vIjT>Tg=N7GQQ9kPCS3)8nZ(vx&1rE-? z5N_a8G)E_&5M~b|bn6X#8YV)5erp}I!+!f2zMd~}Dv6b75wo%ztPb&M-l=>kSj zQ8Nnr*k0u9?CXcWsjTfXing8`el_<15__xISZRRkUhz9vu~WgUTF1fF50@$JC9PZ$124nGlnCl;7I zk;iQN8T|Jk+*CEr&go#VVy|{af{_C&4oR+w2$r7a=-W4Eda;GqxJ%4`zM>E5o3hG6 zQd4GM5sZ7fYhC=u(Hl$yHmnT4ew?Wq7WA||PX6$a=P_^9DNctdh(q=G%~!SxBpxfS zZXhLuFhlc}x3#B9EtyG}pAC~o=2%X!I!=`pIQ?rFw97evaRE8s#CNgTS>CJ6k+&oZs_N5CHW$g5+MLa97&#t^jp0eN zH~Awfa7;5NlMg#ERcKsYCVewweZqWAghCRga~Cd~Yab*wQ8_zTcbR}AoF;Rx;&AX! zJ$3#bYcwN%I8an3BhfIJsmqQyep;WYz;?OyDbNZCfL?P)l2nfQ8|@D(UYph3es2Se z9YhobA9Qd+X+xi~hQnv0=Q7c(+L`!f>Itl+8P4vuO~KF9ty+qixl~mt*H5T{QWx!87NrL`K{)e z@J!usN$F$K&BqWw%ZJl_U<>#0p&KE5VB7As4!-XRXNLH@$n?oJZu&$+(ICJe=dmK3 z{tI#4z0Hs3mhtdsh54R{H&TnAci(?I7SrTWlo}^ojcGwUJ<{ zCon(tFpSg7zrM;PU{?wW0IwS-NnmWR{vZkp{+l+IA8`uA+&Xd-Gq^u^Twh=;7{PL; z6W<@%DhEKv9Z&5|A}Q9=EY`s$5PNNDu-Nj}dy-TooLMjs(X&YbP25<0@0_DzXgnlY zW_$4q5PZr9{%8e0D0lYV5!_UV7FN0yY~S?NBBy2(x>D)b5Xrkuh>L6WAlG9~OW@PpS-x%A*8V#JF)L7oH zNT-Ofd-+qdy+GOeTL5hDA*~n0w&PtqZm7&m0Vgwy?&T$`DGmWI_WA6`<=@K%Sf}FY z=KH2~y#?(CjYf;F-`yFcB`D3X1WT%~lwl)P6-_Ut$yyRsaBT*GM~qQ>PbQ=ew|Oq4WL1^g1XqPI{nI zpUW89o@5v^C22J4ibup#3?!rBPc4+=5q99<*XY-3G4x4Y@j~a>AQyRbEW+Xtiv~iQ zd33yH73!=ET6&zhiv~gkV1-9qK-)M)c;5Jy+H+=DwpR99)OxS7faB*#+8)EUk=7du zj}MT%;iI7BpQd7UM&*Z?SU3KuUZl%=m8Wr1*S>twZk+zxC6!2tdGp1t0VI7*RMct0 z;#AZ`Q?n@ zZW-$IwH#`GrzgC~B8^e0`-STdi~3_e!s_6doZ}~uNPnU8Yb=uI8uQoO;pCp9q_Dei z4^j-Z@^QQ81%R8P*^O8`vviiVr5nxdcBg!T{f#;TWOaJvadYHXk;cnF+Do_Da;bDd zUr!yOUWrQ{dGarm0qwyo=0hctked&bdZiGkD_m42p(ir>U+=|&;fW5=by{|2=dqM zt^eTAz@=S`3Vw7YEL*|Be7N8#X#5XjSjcl&Y#HZG zIdKd5AqwEnR&T=*828$6y*CLe45(9|K4@nfjwPyi;0V)Jv}RH9On=<3<90|;NRJK8 z_hjqacb=_y!{1>4cCoRHa}vYx=2bI$m5N^ct)9Hax?VDMfCd|EZp`QY{~ zmmfmal;*4kZIlf^l`eZcHV3;a>AlohU}v;+d^}G`az_)!9%x4>PHa+c~pu63?38Fxr&GEKw^M`4(pA`LA?az`Iwa-PWU6AAiyfu1n z(R{VP!Tsv@HhSMJZ}{VOobQcnk=Gl)@Fd5HOTfB{n7t1ieMDUC^(u0^Tp!XWd?#DN z6;EH0IfQL@N-d23N2qYhqSp>aE z4XSPo5zLK>xASbq@>358%dODMP%#~#21ji)PX~7c;6!+63$>MVU1(lGt9jP)z7*9C zH6okV{1VDF*ec*wiFgq4L9{sfEc3rZ_y$7bOA5o}K>B%Bi0|xouI!4DYjPN*&lPlj zMH(mdHC32S*<1h?Ti#|ZhvN#J(HT@k_MB;C=FMzGxWHP^#=ly zYcsE>rwQx-QKC#rFyq!ojLJ@+lg|w-Z&^>x22prq8=BBVga?=@e-_(qjRMrBOdsQJ zGI?b?Eq%g2tNOmBusg|x*4eSu3oDq;e0;TKd|;PSh44rG=7j)Aj(wij9o@xW>F9V| zY=&BtUMlwoSN5&)i7k;@a?YXgW|z(u^gUhYmTKvY8(&7_jS?Q&DO1O!&4YLI^H7ksL2(XYV{eTFYtNm$emN#qgyNKOxEgKPqaEd-+fh?p9i zmEl~!phpdIO87e!ZAF%2SB${3tr(WN+u+L$6K;M=y`O|D=QK;Q9m* zSs_a`;p}rO-jqT3iMQb;UW70u;3^@kS$2L_2+qm>Cr?mgyK6%(FH4NSM+R7CC(YTS z+1*kmJzBOy|Ez|4?TOLnUT6iy;$~fFBoKx#*zqXCZyl3YbkhGUQV3Y7gY~00^pLxn ztc@h{3imOcPiEY<`&BJz*nEi$GZFaSe_$fWuU23~7PiF@lr0Vu5L3-a*fh)&ty_&P zzIZ@X=m26ra0fOa(NA{yIzW#N?-H7L@10?-6`$C0F>QdRf&3hArkFTwd`eJQ9nENf zw}4a5T9DptOFAPu1ONx5R7w}G5H(yve!7vvvPBlpqL)1p&M%HH+%5L=l)c-_{k6)| z5b-!SODh1!k9r6(Y|p9G{n|2?rQOxcb^BTt`<>O9d( zAWc1Uxx9!ln%`MAd?&-+ynU@GyWIr~({*#<>6bf2eO{I~YRyeAy z5{O&52niUfh`&Ez2(KEH5$1#oof7fadyAfex+s{jSLY%=VpU8F98XWi27OF8k}}XG zOnmZlyRG91G&3bRz4Wtajj?9uFF|qUBP8-7e^%VeAtmO~7IE`yKl#8_{TS`rQ8O4} zya*!m(070}4LOi*;zQKi3f_brzkEXV35@UhQfLFpfr}xab+oYBaF@ z@?Cq4QWdERkuEP*IU$3}6AxUQI=Vfte_O(Tw2$+SJmP#cHo*_pVGJCFM+HIc*@)Y- zpKnjAi9UcYwFYoI+p?cyIW8*s>vB=`mR^kpZ;8Xz-Qu8lI8-JcDg`$oqGV=R(+y>a)J$LpF<@a@;xKuIo8hII$m_4G~#TwOvIl2B6 zIcgGD%9ZOG{Fk0=Ur3d^k%k*$*FjSxYER!HKfLY{JEU?kmKSOlVqpLp5gP31{>tsE zZ^Zhl!Vhn(L%<*U#5EGzmvT{a{fG}5=WQzVVF@Ui_ZoZ z5_-47F>Ho!KLr6OK4a=x=do9`mFFiSn~jG_jOQktpPG7`X*invmmS32!+L>zvRfGR z7F*2`G9KyTF2XE)y634E$54k6GC4B}o@`e3(;<*s?oS-{b8Q*)sZ%CD9)qCG?TlqV zu*=F>HiuHI2fE_JES4e?*hPat222KK0}puLZ&#s-60q?ZK$ou#y9z{XSP^sWF-%V= znreUqCvb7Rh)2AQk&=9ALF67o-6ZVcn7mjo5dd~`CyUX|r9D1mJkra?=mR<3>d zmVYxu(f{Rk?Rf-IV^%%qgl*UO+zTDcqG>(7JTXxN4c!+}Hbzt^d$+cj?KD?~gRmz4 zP}7#1g2NM0Z!e$nIS}5o9RQBQ5ksYD>iH}ZjsTXn(t)KrM(|$pZ+#q2ehi3$UxQBk{N`9V^ z)&Cb0)=J>Fc{!nx7=!UgM}BBWX6TFVtO7thn*YL|3v#`-jQ;v?|2aH+p++zUAFTR| zwyH4${9p}m8CChyX*2(u1_!B(3Sw$sl*b);Kvkb2EPwvYL{qOXnbr(UtVkfn!f*#B zb!t*4C32<7XzhJjJ<;a-?$)=CB{?CjUd&ft9YxAz?&QCsP#qx%W+LvDYDirG()Lt; z&@svmcS&{be^+LBKb{#*7T^a3@1#~2%j9xeOb9)iiQvb0+o(^PL?*FiOim=Zn;a)q zyF$hFNJ>aKnRtW_(Q1u(8u0s&bt@U`ycCHYs=Bg5cyjy(ID@pyvK8p65jTk;ogf+; zp^k~26dR=S@3EX&C(9WWGQ#9M(U;dDj3ScITvfM-VkXjc0W$d~`rTTj!6t@PLf?O|=7n$+ldrrE z?>R3ZAuk~(A%{w%<-{r9bSW&HFm5_w>XCU9*B z)wfTPN7QiYFGcl4?VZKRS==Ng{cCmu^>vS?;5JCo%*OsLE<0Y>*7V~phmgX2;=Al7 zs!Sa1g0J-be@o!xs@Wlrq%Ac7_qv?vy%OJe0A<6opk6FEE_CN1jh|}uX_g1;)SJsw zx<=pBm;dxI+}kPMM}u_{i1(0gvhlvHm|UM`AyC8VZ;pI>#@js`-m5_2;Lds@@EK|? zlnc-4_S(iztuwM+1D+~9gg2O^R zBsIlbsEQ9}#(n+TYcm$>&DIVNInpy@_dgKGOn*_}QF;33`8k&B`U@4gQG#d%+`6>U z-!v?IMuF@2v2E68mUc8&;r4CS2O&2)UNeusJZSjvRIn98P`98QL6}Qg2kx3j4l1N= zDJli$S^G7`4x#MD4H`xBsbHRAt2p#h)4@PK3qE-vsuqW((4)^i|2YbI=?MfWg`VJ3 z?xh&5PVDFV)(35a-M8~4XkUw%A9@7Jd034TN>-Bl6thAyK6TughAHc&$IW;F{^RV% ze1h!%-3yXS! zZ$E?@vmFb@oZ%}&S1mC;RR%>gcq{yS@pMDvTEh75MY5qE~{Y_x-5RMo}JK3LC_ z4VV~VEkZ*C7q*IvHrYFwq+;SywWvr)QZgB{CdN|CkQL`6xY`Y+X6tj|e7sg!nH&yj zEN>>a-%*PLQ=b843Ix51C(_DfBivmjBO+637WRFJf99P??$BJ?qX27y=aN!gK)csf>fSj+GJ9RI~Xo+$hUU>@Pu$mg* zrsTdTTXy;y`CQW2qJO zux{J*k4SGsZA94yxGaH~u!2x>m2OSEY0W_>XS5^)aC=2)(y`wv^ySSfEOW~Ny1*aG zP7_2Ek;|Dv{=Ds?09_3UfWE3{rg;d z@3l$hKm&Z&=&6eddckdz(Y;@#Jubiz!c6AoxD8_#>dEeH0h!-`S75wN=RPzDC(OG2 zI3SupVR}}4kZsPcS;QkWC5<061B1lXARvJ6!dEyNKL52F2oOU|tq*MnSR$J2Rx z^pj9RZKg4jzQ8{9Px1eN@u z9Ee}WjG-pdq5Of`XO`Vb>Zv%DP|cSTNJj3RlYBo=x-Cm(!ZUVEoO;Fq|1jcD40;=xo)&qsW!+gV>wbm7DJ|gaA7(f3DH*+lw?#9HN2tEJG zsltbSzY%{)gAyV17BT#0@9Vwd;<-h2QU(lx$IZ?nZLUNs!d7iKV%Gj=(4$-WIo^7?7MvB&2o0k$-pCdWbT9|Rf*27xU0~=1X1l-v+T{1eH8ibE!9VB)w3>`UwAbI z^yhUpIW6tfa_v@_Kumc$ZteoFop>l>z|`X|d8%wtOx43wDF| zxgr-PJU5ZP?<&OC4vbY*K>M`gRS#z9STMZ=H~@1oN|w*Z#4hA}PU+hoU0m`kjJV=& zNG$UyzG}LDJH&`(o*$m=?U!dbYV(>cY?CDOCyIyk!R6y)dhkvi#_tiL6Un%1VMQ6) znpi4Ucneg4Kp4lNU#3s9AMM7#hF}U~@swrYXw&}{o%cVL{~O2evyXF(bL{Qdd(V=x zCB?BFvK`rErf`nUIp|28hK7+oQi^1!j8u}D%I=VKj7~ykIbYxVKY0IeKd#5)dR@=g zGXr!v8p{zF<~ZY$)i>^yM81xS=Zp5-4j$~yf9qz0IU-T2{Enm4`F-73>Wi6Mu)=fl zurtHs&079)LoNBMF~g3zPc~Eqe2$K9CT2%AO~W>oU)OOKqw+!^Gca+q4R#Dnv%lhmxZ5cBj=M?-7zDT_XFd(sH+Q$&F*&9pyTHWNugXgti zRD|Gs#E)UFL4L z(R!2Ps)kR_jU*nZZ9)CAKs_e|V3)Kw23YAUR9unw5cHt3Xv(Z9dYrKtUy zjO7P|zwz8STGHz?1Alx-$mU&+cd4oim=n@%fa{v16JAF%zJKH!8#0mJ;}B8M_o=AM z>$Y=+WOfzaoO|u|Wg8`GwLlLfH84NPCy~U7nL>iOm9F#JV60x1N_qFgo$=#q?4)YR zYN!BJl%zSKM7=iaLCmCQ zWfO9Ux%9kz0)y6P^(p=+7GoO~ht;ODmy`*iL`ZL3l}gMepn) zbQ62%uX_n^h;QldNPUF&#D4mR0m2}0h(0_*7$ttBk9{J1CVruhPY@=FQ}pQ>!YuJC zoiRt4Cw`+Zd?zdtf6$prgk|Cief1}SMf^oy`%PFUZqPUX5VnZh^qpP89&w-k_aEVa z$lj8VgWM6Gxg)9I&(YcRIqov4FV-3Ig;&XR==`DB^PxofqjRxdkd+KpmP?)E zd=AXgGNSN{Aby^|&f~~Nh56Yvm0s81QX8N;v5X)UnS7KIwj0iuBCJnn+J+I`64eWP{wpqD zjZZMO`AdNg3V<$EH|$rg^6Fd=Wbsl9=X0Z!+4g^toLGYyMtHGwxfEl?_%()0c^yNV zvdA|qAX`|0Gj+*!twmP#|5>}tgBsqB=oiEC-lGRivFcPgScN)DV7rSf3B zIbx!d8Mh)akuS@iyy0ob35k0GJ|QED2B{S^WL=||PGtmk1>3Y?$LFOJS!pCbhT_{e zpxl$-BYy)uDhwD`(CS_?rTyu2bSLTWzcqxVzZE~=Q|_t*nc3h*;@}#czBMv{yNs}9 zYi+iwiz<(#mjgl#VfM=%Cnnw_Y*9Y8f70Xtnqpz{k8O9C`S9(@lvcpxFTSQ)W-`02 zyx%{4DDpaz{+HodL|uc`*@5a3;qK{SOVkS?@JIeHG66|lOLWll>cE`C{51}&%m2r& zmfE!~yuHwVwldzAi)N(?G4m965KPO|DV5EXV_R6PEb*C@ zxeK{A`4K@*{;e!i-fO=L({@z0GG|9vHPQonmkK?O_IFNdrQZJDIAGh&e@w=$9- zKh>oHuJ8Upign8riHn3&Lf<)7ju&{5W)C+3c^yh1()WH`xZvSRQctF3-1scY*960Z z-&Qm4r_T;~7pU%Z;-|h%$iu|Mb-c77Q%1p`&8X?NBoe!HBI;nfbZV|t%)B(GYuj_f zKv52Psfr0sWtGnEHo-c(M*wEYoFQA?T*z zH^%SyEh^9KBtXqe_j1{?c+Si_rrou5vnctE5iXVlDuPqEd>@Z4z9z)`{Hn zsB!+kLWuv`%10nWGVgK62~jowV+SHhP^eiRLdLGLIZ1P6S|BzEJu2*^5~`HAbUgb~ zgQr(9x08gd<^J#(6FP9J-nETjX{uXJUn}2HU-D5Ak>WEtppsIG*m>Q~k zfWHRSiPWPzWnj!-9^2%!*3<3A(kp@7D!%U59KbJgK%JltEooGb^*VMmex!D_YwPyu zc1L`Dr@OL0NFAZR<#jUZ;I}K;3FPlEJ?b@HHCVH<{+PqRMmxNEb&@Rpp4WAblG|XZ zVM{8Qq<&P)EwH52Dm74ETd`C6wxQ~v4ZXZy$EVnA@esovuL4@ITD6TW1>yER{9xRX zQ@_&)FZ?JHwNY8yq6w6f%yl zWc|xISZU}_C{M3G268ET>GMuxuw@PkC!Hw!ea6#UMeLh)AHYGKtEKkEw>tBB$o#kJ zNa}bVvP0x9yFW;iyCRceGD-W zE-TYBudesTo5H^vNZM>ux!3s)j@FLsjf`(XBv19I%`>^E1zg7R0c6)JC_pEU^k<18 zrG8*$_jtupM**_m1Bf6JsE`vJS8Tjm+bZn=UkH;cU(-yV@b0{z}51 z>z7$_ozsZ+tGS>tRRG65Z<8lsxM7h~lF0&yDk;N99<2nUDo_(%)71!ql7WLqfl|}- z2-8|D!fj?8th=RABq?7d-zaGF%*dPN(XaZCyW=V%{a-Ca2Y$R!PoroB!i1Iz9tDT< z7+vJ{)^JDY=|fxe`tequ%8Ek*_DfuL+<@)Trtuzo`BpZP`~yKI4UNlYj9g1M4Qr-c z$8L4Q+Fe_7oFY$hTn91lsU2?y^Lb@(=xHM3k^9U@P-_+^QLzmpm_5NE5e%hg^5LD% zEN^$q9`WL#CSGr)pkQwf;>}wm`3t7L?h6)trZWB-&(7!@?_o7Hcj8p03IzG`w-j)K zMM6{awIP%6N^l7mEdX@CB!(skQYgu#S%3se`e`_l)|6KUU@b(h1&yBp7bNx!4E;Od z_d;8|=_An27f}ajY^?|hd-#OB)Ibb>>CFA?_-KA&A>0%t?Gs>VI0I)JAy%Lha8rZ^ z3J_cd%Lg>{q@=4JiG*21e@8f-szO^w%WSV~H_yzfd7k6iQdPustSQV0ba*IC2yg^_ zdqaXhiU;>ouu3N07|D7HkB?g#6BnG3YV03`vD4CsjIez!6orp04eyXn!W~}B$4BEZ zn`uS?F)=tG-_27CC{M4GMm4PkoB>lfMfpu}e_y!{I3(w=G6>&oyUzTTO^clzS8!Cr z2f?M71KBhj7bM|r!wnGu0_tfQw3yw)-Ojk=Y*`&}5po5PD4#ORygNa1tQ|zGhTWhk z@MDAN$grghFebu+?|Tcli~Se1!%d~khyN=_l-e^)i+ZQqkRty=s=#MWg!0WsRu`~^ zC8|@o)~t&8#rzplXyNL@{zDsZ&FegM{ZQyFR}Vjq>)NZF_+fJ(Ga4iNot}jxTaT{i z9v{wM7alR{&#GyFlEQe%C6Q&zmFVC3yNC3WJ}mrhVPnyxKn?=A0=q7Bftt8jVYZLq z9L%Yi(@J>(z@VP)T@!%QJXL32o4S$|nDy3*3BC7V3xSzW7)|$?grt5LROTLMw?;*4 z{U{pO9J%&v08%jGvv@J|k!uv}ziYA<`5zX+GsTBWW6O9_dn^=yt0MEJ*<>1U%2_Ny z)J;G_tDS{TDPRxBQG`p#eK>OALw!F+o@JP2%M*itQLfv;i^vDX0^YS8oC0Mz82HZN zSm{!kvek?HFAv|*-bvo;unLkpG0vA~9ds2^0eOH9Vy2Q+2k-pWdV)kR(g;& zAD5KB#tU<`E0)I5; zl;#pREyOL21;5IEZ0VGht!9>S3bl*r$A6` zKj%9R;j6y%?6Jc0cK}6b;6g~o0Q8UTB^Upw)`Y?1mL1MT7LBfj zrWv2Wavefprk6;YrEad{c4LqXF;A)i^aM8kg7ecNj5hLBhsUm?W0b}Wrmw@qsM1o5yq zu&Ot?D{1R!Iqsal4!!JI-@Z~#Pk^ziZ$L;frXa__j21GFN?7aK=36Uu-EHV;O4~{1 zd2{hX^Ji5+WWErefVrpGS(Wi`$uyx9akrw$_xDF)22y}ypSta@<;w7*{!O_}LQFYE za<*F^kq#44=hC80h2d>^V?MFwf=P)Fg(|%6jy{*T(kR2jgF5Z$``N5x590`Yew#sk z=Jt$ws7?R!$HRb``UQo^EC_%q$M{YA4a$q-E=W%66cC2u_>KB_YHIP z%Sgx(yNbRdC|3Usf;{GP;44$`6MAOgK`dwW~xY++_e-V;Y2#P8PW zwsk_5gIT)4AaC|Xn1`|#JFKmaINk-G;XgknTFJH za>c&VuLpme7hCTBxRbYU3FijYEI+!!B``LU>{tLDNf6t54&6?gSc6!jT(A4Mt#T8m zK&n~+CpEfHE`7Z5Eor{|7VP{&`E9fM?)9(U<#*wki$##YO^W$^9{({%2mmL|P0#X8 zViu2vZcP?IWMYranGaKP(6vQd%WTRx2YZjrqV&|* zhO2WX)$yRBH);fmcgPU%*^}%d%lej4Gr4D3LW^pB%?aBwy=k|V5jCs}1%nhC`ja5L z^8%>g#60HLrT`Yse9jkpi*&PGohR=^*hfZvtjQzxwJ%{Gt$a2=3w?eL+9%IJMi=U} zC^u2hM*wGCAk)O`VWfGcCbGPPp#U5k7QN7-mUt&|^%L|3a~1$(GbcS99^4df5;L4u zuzWF`=t@3)N0l6zCY!f$*#V1WcO`j0ofci9j9KfqLZ*(}vjSZN5q~H=cK8I(67O5b zNZ~q*|k%JFNJHdD*~OP!~3EfpLUebtpTLacnkVv&Q57qIn=|IvpLzLYvNV z1+t{yG2#}E$$)cnV>kjWQ2wd92xuVbiD<;nSOy5i7(z*OVmP!YkW?!$TR$Pk^RF=5 z(7hYPz9H~4f0w6O`;*&Fo-@b&#y#g0MHJpvSwdlym@5%IhcxmMn*R^@8=m+~u zdT^W6$O@&Myt1A7EefjkYn|sm+w_E7E?(bi4erNVzI3jP!cSH$h{NBWVM3ye-zgW! zb4Ch#iM^nD;UM86Dq~(ED1f2o*HX3$zClfeF)rB%ID-BdJayITTM@?l41+Cod7f&Y9IXDB776XaL)e zxV9uau6k#!0(BqQ$AKyT@5A7{*oCfyR9sqTNDyf0~+<KCiOgao#NUh3P`*d1{|6={%PC*d84fgRp5| z11+VR?};y8eK*GUKw8V(?`CpyBoykVBWxDWWZsDxJnuF|6nc}r!Q{+JHpQRoUgKfM zV1*NBP@BtWD^?ivwyAYo@1|h3y{#LsP!u;?HC%eV9ad|4;sD-XP!8H zTJ<>kb-WQ2=Tc5`D_d8QXuQs|U>2Cx5K@Hi{?$^*qz+#UkVFbkXrwN(v+UyU+ZuRn+{aeT-It=0 zEPTAEKi))LOTD%pNWX8LWPk`xxW3`KD>|f@}ju8PPK7w z{TsKq!7KrU>+LibB&wbuwd2)-b2_~fe}$_HR;AUm{Sq_`uX(L@g;PP{Xo?upTb}o~ zeQ|hRL7EGw!iG_R(6h{QeK9x{9|X+)OFXB&RWT-gcy_cQ`!n!y6qZd9YGHDyw7LmC zSKo4|vMs^hl^_Ku$}dLCY_jdcyvX$2+r6GGd}9cuhOaJR{gB4?4}Y!7xHQ;dG?mA9 znvQn2U47IS$)bO1>tjBOcjurlyKTO8=!!JGa^-Jw%4hsZ+mrEfaP~-;Ahl5(s_z`h zG|`nNbGB&nBk9MvTf#F@#;tJ|t*YgvN>7vPXgDq4Bq`OeD*b*~_#aOE%GWlpuSMjE ztmngH#2U+sKQml1@v&)5KME-A(DtvCbVe+?>kdLuNhB2k z!z9|Vk9$5c5C1SxSQMAZkmwm86EbYc48B#Ok`eO-vCBMNp?)q_x7>>#%x+Z0Ydyua z-r>7I!hiMv8D(ZeQzY_-U1hnpnW;->Of$DaL+4_UNaDcea9KJ_edNwhTpz4V5`e9Q_A$2=3n-(FepgBCB9_7uGY`eCYw$gF^;qR^x=hGLNXYl*x zrtl03gLxb&>gtL4*#1@-eM1biej#3r=>o=I_j&LQwe=0W|=wC8qb7 z9t=IcOA6aHn3Quo)zK(sJ~e&Lp;GM$EY#}kLcRjt%W>}+=kD0lGz78>M62i5E$=R` z-sH&H)mJ3%9=QjgSAT}mu5g-@*xI44RPMc**O7j^$sM7<`hTG|Msmj4p$2IG-I+*- zo>T+afZp9KslCL+=-0w1jn3u(C@U_*o8gM>sUcZ5I{a`kq2xo8{;7w)?o3+-{hV6D zmIXCC3Moethr3UBxxme<@hV|OYK#apgqG%tFuln&F@5oftD51wx)3~24do>1X(oj&`j6`zT6PR5jTi2X zU-N?D zsErL{`PxshtWFsfx5lyjB-vFzk^SX{&9#7)^t`S^*<~Pa&v`s{ z+^7%vA@wYQ1Xws`Y_$&{f;qAS_beZ^J0zscLe&J2pe=tHRiP;w@dW^>s5q%@m3X2d zfRPNYu8U($X-9M49iTN?=^OL%^y!EfIKBhr`EX1@VY&D05R8Rr59^)X-GdsuEe z!HrzEDSA)Cs#>#!AlwHvn8|;LAg6MN{aoo&l}J*il?B8mw%3DSoD)7auxrZ~TMOsx zFi~!|W3)872=|i{bl%_Og5#zZCyTb4k|c+asyx}JJmka4V0d4m zxArL1M#30OJ))y!p`UG>0TPzO*l4GF4u4?>aZ)%{tiX=PNI^6XPpvhXWxY;}b%37@ z$=f%mj;3St1mq>8|J7Dt4eX707o?Z&ZLAmy0(;54O~wYDGw8mcf4nD=)sTsRto==K zV9N0(V2V%&&$qNlJb9N6r&a*0Gj=(L9_!4lB>uFiaeOrTD0FQcSc%W%s zvYi4T$nFkQu=r39sB&p)D*(xYpv5OSVliKnc;6pYq=lWsP zLKdsz8=>6~yYe&W0{%)K7_*0VC{+j>q* z7xiVfHM?nn#4gHNWUFK>M7L3knC!ysU`M`+F~haFcmry|bNemUnU`etgP30?nldwi`Uli;jzZfl zZH(PwV(a;3jFHk~^QekhDad{5DyZbX{LKY@(%A+6R_6V~(SUeSi99yIg2lk?D&HM) z2H$)zMRUwb#UUTLzU8_2BcU8LDw_FW8V7r^XyXHC}f5l9|CnFj1D&VBu^9TfU5a#gzz^ZO0r`Eis4`)nOe@FlWc1@kZP#MxrO z89{Yrg>h~Sm{akv6hK@m){GDFCwm zWijAayGmL!TFUa6HvmY}fy8^WF>YuVa;s#dhM)Ag&~jQCHU((F+Ku|4*zI+3SQ6~7 z6Z)4=3~azV7W%dB5<2jes^(SgBp83-X+x>y^Xk4Mb{q-n@@OK^#$SwGL2$#$(UxEn zZs4;zhRat1trozqW>1d1o-iXNeF}{>=Hc$m{z;X1_m5K?5NQe&Qgq-2cshXiB0zzp z(Qg{n07vZS^Kl8uO>hK?@#pCIqE&%kC{Yo7qvBqNFAU(!1OchsysSe%ryy$3z-U_( z5CAT(q6O{l09+hVXoPef78MA;W)R(K*ABpVl{8@hf!hEpKQ8{P1#FxlAK`@b7ju{7 z<^j5fvv_*SRA9@Ll?lTBl=4SpA`I;n`T?+I$eD}X#SmvW7t zq^D`7dB{zcwwNpYyrq-{UmG}iXggRl@|0$yjX4eEMMQ{Jc6`@ONzQFcszN{vfu{k5&w5O_zg>HdJ*Gz?h1%598&lT;iOCOCZ5w zn>1b|Qk*oUz6_4^HE)+Uyt!PNazK)kRkEM!?*BQBUx{H>f2b_YOBIbOD0M76sXYx={ z2K`gA*urB)w8+^n1mu&Zff1kMja(GdY~yuN{3)$l{NQIgzjXJGl!)^wkgp5`qrthu z%f0xg?U%V;iq0mx2K4khkEou+lwOU?FzNJ&UrPdP&AjAn@=s8u5^Tyu1#)FS`!dK? zmG7X3G6H&VC`Ahk5s8)s-G(CTpnyudFxYDjI&)hOC0PdtBtiKw;Qt>-No8&K{{Z9K B#Cre$ diff --git a/maptest.map b/maptest.map index cb21330f..f21eb94d 100755 --- a/maptest.map +++ b/maptest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: maptest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1364:0000 0000f0f0 +DGROUP 1365:0000 0000f0f0 @@ -35,29 +35,29 @@ wcpu_TEXT CODE AUTO 0000:6550 00000058 kitten_TEXT CODE AUTO 0000:6dc0 0000080a 16_hc_TEXT CODE AUTO 0000:75d0 0000158b timer_TEXT CODE AUTO 0000:8b60 00000235 -_TEXT CODE AUTO 08da:0000 00007bbb -bitmap_TEXT CODE AUTO 08da:7bc0 00000605 -modex16_TEXT CODE AUTO 08da:81d0 00002406 -16text_TEXT CODE AUTO 08da:a5e0 0000010d -16_in13_DATA FAR_DATA AUTO 1349:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1363:0004 00000000 -_NULL BEGDATA DGROUP 1364:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1366:0000 00000002 -CONST DATA DGROUP 1366:0002 00000076 -CONST2 DATA DGROUP 136d:0008 00000102 -_DATA DATA DGROUP 137e:0000 000005a5 -XIB DATA DGROUP 13d8:0006 00000000 -XI DATA DGROUP 13d8:0006 00000036 -XIE DATA DGROUP 13db:000c 00000000 -YIB DATA DGROUP 13db:000c 00000000 -YI DATA DGROUP 13db:000c 00000018 -YIE DATA DGROUP 13dd:0004 00000000 -STRINGS DATA DGROUP 13dd:0004 00000000 -DATA DATA DGROUP 13dd:0004 00000000 -_emu_init_start EMU DGROUP 13dd:0004 00000000 -_emu_init_end EMU DGROUP 13dd:0004 00000000 -_BSS BSS DGROUP 13de:0000 00000e82 -STACK STACK DGROUP 14c7:0000 0000dac0 +_TEXT CODE AUTO 08da:0000 00007bc9 +bitmap_TEXT CODE AUTO 08da:7bd0 00000605 +modex16_TEXT CODE AUTO 08da:81e0 00002406 +16text_TEXT CODE AUTO 08da:a5f0 0000010d +16_in13_DATA FAR_DATA AUTO 134a:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1364:0004 00000000 +_NULL BEGDATA DGROUP 1365:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1367:0000 00000002 +CONST DATA DGROUP 1367:0002 00000076 +CONST2 DATA DGROUP 136e:0008 00000102 +_DATA DATA DGROUP 137f:0000 000005a5 +XIB DATA DGROUP 13d9:0006 00000000 +XI DATA DGROUP 13d9:0006 00000036 +XIE DATA DGROUP 13dc:000c 00000000 +YIB DATA DGROUP 13dc:000c 00000000 +YI DATA DGROUP 13dc:000c 00000018 +YIE DATA DGROUP 13de:0004 00000000 +STRINGS DATA DGROUP 13de:0004 00000000 +DATA DATA DGROUP 13de:0004 00000000 +_emu_init_start EMU DGROUP 13de:0004 00000000 +_emu_init_end EMU DGROUP 13de:0004 00000000 +_BSS BSS DGROUP 13df:0000 00000e82 +STACK STACK DGROUP 14c8:0000 0000dac0 +----------------+ @@ -80,7 +80,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:0e72 jsmn_parse_ 0000:136e jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1364:07be+ _inpu +1365:07be+ _inpu 0000:1500+ INL_KeyService_ 0000:179a+ Mouse_ 0000:17f2+ IN_GetJoyAbs_ @@ -128,9 +128,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:5acc+ MM_TotalFree_ 0000:5b62* MM_Report_ 0000:651a* MM_BombOnError_ -1364:0920+ _beforesort -1364:0924+ _aftersort -1364:0928+ _XMSaddr +1365:0920+ _beforesort +1365:0924+ _aftersort +1365:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:6550 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -148,9 +148,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6c90* CAL_OptimizeNodes_ 0000:6d40* CA_Startup_ 0000:6d90* CA_Shutdown_ -1364:0930* _finishcachebox -1364:0934* _drawcachebox -1364:0938* _updatecachebox +1365:0930* _finishcachebox +1365:0934* _updatecachebox +1365:0938* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6dfc KITTENGETS 0000:6e5c* kittenopen_ @@ -161,7 +161,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:73b4+ get_line_ 0000:7426+ db_fetch_ 0000:74bc+ db_insert_ -1364:04a4+ __kitten_catalog +1365:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:777c+ LargestFreeBlock_ 0000:780a+ _coreleft_ @@ -186,16 +186,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 08da:0005 __STK 08da:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1364:04c6 ___iob -1364:0944 ___ClosedStreams -1364:0948 ___OpenStreams +1365:04c6 ___iob +1365:0944 ___OpenStreams +1365:0948 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 08da:0042 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1364:0000* __nullarea -1364:062e* __ovlflag -1364:062f* __intno -1364:0630* __ovlvec +1365:0000* __nullarea +1365:062e* __ovlflag +1365:062f* __intno +1365:0630* __ovlvec 08da:0072 _cstart_ 08da:0145* _Not_Enough_Memory_ 08da:0277 __exit_ @@ -205,10 +205,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 08da:02fe _big_code_ 08da:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1364:094c __argv -1364:0950 ___argv -1364:0954 __argc -1364:0956 ___argc +1365:094c __argv +1365:0950 ___argv +1365:0954 __argc +1365:0956 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 08da:0305 __PIA 08da:02fe* __PIS @@ -217,174 +217,174 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 08da:0359 _fmalloc_ 08da:0359 malloc_ -1364:0634 ___fheap -1364:0636 ___fheapRover -1364:0638 ___LargestSizeB4Rover +1365:0634 ___fheap +1365:0636 ___fheapRover +1365:0638 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -08da:7efe bitmapLoadPcx_ -08da:805a* bitmapLoadPcxTiles_ +08da:7f0e bitmapLoadPcx_ +08da:806a* bitmapLoadPcxTiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) 08da:04ce strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) 08da:05d0 atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -08da:066b+ __open_flags_ -08da:092c+ _fsopen_ -08da:0993 fopen_ -08da:0ab6* freopen_ +08da:066c+ __open_flags_ +08da:092d+ _fsopen_ +08da:0994 fopen_ +08da:0ab7* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -08da:0b40 fread_ +08da:0b41 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -08da:0eb7 __get_errno_ptr_ -1364:09d8 _errno +08da:0eb8 __get_errno_ptr_ +1365:09d8 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -08da:0ebe* _frealloc_ -08da:0ebe realloc_ +08da:0ebf* _frealloc_ +08da:0ebf realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -08da:0f9a __PTC +08da:0f9c __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) -08da:0fcd strncpy_ +08da:0fcf strncpy_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -08da:1009 printf_ +08da:100b printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -08da:1038+ int86x_ -08da:11bb int86_ +08da:103a+ int86x_ +08da:11bd int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -08da:11e8 __I4M -08da:11e8 __U4M +08da:11ea __I4M +08da:11ea __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -08da:1200 _dos_getvect_ +08da:1202 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -08da:120b _dos_setvect_ +08da:120d _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -08da:1218 __I4D -08da:1267 __U4D +08da:121a __I4D +08da:1269 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -08da:12eb* _heapgrow_ -08da:12eb _fheapgrow_ -08da:12ec _nheapgrow_ +08da:12ed* _heapgrow_ +08da:12ed _fheapgrow_ +08da:12ee _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -08da:1364 _memavl_ +08da:1366 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -08da:13a9 _nmalloc_ -1364:0644 ___nheapbeg -1364:0646 ___MiniHeapRover -1364:0648 ___LargestSizeB4MiniHeapRover +08da:13ab _nmalloc_ +1365:0644 ___nheapbeg +1365:0646 ___MiniHeapRover +1365:0648 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -08da:1483 _ffree_ -08da:1483 free_ +08da:1485 _ffree_ +08da:1485 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -08da:14f0 _nfree_ -1364:09da+ ___MiniHeapFreeRover +08da:14f2 _nfree_ +1365:09da+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -08da:15eb+ _null_exit_rtn_ -08da:15eb+ __null_int23_exit_ -08da:15ec exit_ -08da:160d+ _exit_ -1364:064a+ ___int23_exit -1364:064e ___FPE_handler_exit +08da:15ed+ _null_exit_rtn_ +08da:15ed+ __null_int23_exit_ +08da:15ee exit_ +08da:160f+ _exit_ +1365:064a+ ___int23_exit +1365:064e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -08da:1629 ultoa_ -08da:16e7* ltoa_ +08da:162b ultoa_ +08da:16e9* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -08da:186d write_ +08da:186f write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -08da:1a99 __doclose_ -08da:1be3 __shutdown_stream_ -08da:1bfd fclose_ -1364:09dc+ ___RmTmpFileFn +08da:1a9b __doclose_ +08da:1be5 __shutdown_stream_ +08da:1bff fclose_ +1365:09dc+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -08da:1c68+ __ibm_bios_get_ticks_ -08da:1cdf clock_ +08da:1c6a+ __ibm_bios_get_ticks_ +08da:1ce1 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -08da:1d06 kbhit_ +08da:1d08 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -08da:1d1d ftell_ +08da:1d1f ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -08da:1f03 fseek_ +08da:1f05 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1364:0098 __IsTable +1365:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -08da:20fb tolower_ +08da:20fd tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -08da:2109 unlink_ +08da:210b unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -08da:2339 open_ -08da:2370 sopen_ +08da:233b open_ +08da:2372 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -08da:239d close_ +08da:239f close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -08da:23a2 filelength_ +08da:23a4 filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -08da:2400 sprintf_ +08da:2402 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -08da:242f getenv_ +08da:2431 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -08da:251a __PTS +08da:251c __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -08da:254b read_ +08da:254d read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -08da:2684* __clib_strdup_ -08da:2684 strdup_ +08da:2686* __clib_strdup_ +08da:2686 strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -08da:26eb halloc_ -08da:27d0 hfree_ +08da:26ed halloc_ +08da:27d2 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -08da:27d7 _heapwalk_ -08da:27d7 _fheapwalk_ +08da:27d9 _heapwalk_ +08da:27d9 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -08da:27ec+ __NHeapWalk_ -08da:28e5 _nheapwalk_ +08da:27ee+ __NHeapWalk_ +08da:28e7 _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -08da:28f5 stackavail_ +08da:28f7 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1364:065a __8087 -1364:065b __real87 -1364:065c __dos87emucall -1364:065e __dos87real +1365:065a __8087 +1365:065b __real87 +1365:065c __dos87emucall +1365:065e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -08da:2904* __exit_with_msg_ -08da:2909 __fatal_runtime_error_ +08da:2906* __exit_with_msg_ +08da:290b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1364:0660 __curbrk -1364:0668 __STACKLOW -1364:066a __STACKTOP -1364:066c __cbyte -1364:066e __child -1364:0670 __no87 -1364:067d ___FPE_handler -1364:0662 __psp -1364:0671 __get_ovl_stack -1364:0675 __restore_ovl_stack -1364:0679 __close_ovl_file -1364:0681 __LpCmdLine -1364:0685 __LpPgmName -1364:0664 __osmajor -1364:0665 __osminor -1364:0666 __osmode -1364:0667 __HShift +1365:0660 __curbrk +1365:0668 __STACKLOW +1365:066a __STACKTOP +1365:066c __cbyte +1365:066e __child +1365:0670 __no87 +1365:067d ___FPE_handler +1365:0662 __psp +1365:0671 __get_ovl_stack +1365:0675 __restore_ovl_stack +1365:0679 __close_ovl_file +1365:0681 __LpCmdLine +1365:0685 __LpPgmName +1365:0664 __osmajor +1365:0665 __osminor +1365:0666 __osmode +1365:0667 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -08da:2928 __InitFiles_ +08da:292a __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -08da:2b5c* fcloseall_ -08da:2b63 __full_io_exit_ +08da:2b5e* fcloseall_ +08da:2b65 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -08da:2b87 __fprtf_ +08da:2b89 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -08da:2cda __CMain +08da:2cdc __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -08da:2d40 __InitRtns -08da:2d40* __FInitRtns -08da:2d9b __FiniRtns -08da:2d9b* __FFiniRtns +08da:2d42 __InitRtns +08da:2d42* __FInitRtns +08da:2d9d __FiniRtns +08da:2d9d* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1364:068a ___uselfn +1365:068a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -08da:2e00 __DOSseg__ +08da:2e02 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -08da:2e01 __Init_Argv_ -08da:2e64+ _getargv_ -08da:3194 __Fini_Argv_ +08da:2e03 __Init_Argv_ +08da:2e66+ _getargv_ +08da:3196 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 08da:31c0 __MemAllocator 08da:328a __MemFree @@ -398,54 +398,54 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 08da:364f __fill_buffer_ 08da:389e fgetc_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -08da:83c4* VGAmodeX_ -08da:849a+ modex__320x240_256__Enter_ -08da:84fa+ modex__256x192_256__Enter_ -08da:855a* modexLeave_ -08da:8572+ modexsetBaseXMode_ -08da:85b0* modexDefaultPage_ -08da:8616* modexNextPage_ -08da:86e6* modexNextPageFlexibleSize_ -08da:87ba* modexShowPage_ -08da:88b6* modexPanPage_ -08da:8906* modexSelectPlane_ -08da:892a+ modexClearRegion_ -08da:8a42* oldDrawBmp_ -08da:8ba8* CDrawBmp_ -08da:8d0c* modexDrawBmp_ -08da:8d72+ modexDrawBmpRegion_ -08da:8edc* modex_sparky4_DrawBmpRegion_ -08da:9046* modexDrawPlanarBuf_ -08da:9064* modexDrawSprite_ -08da:90ca+ modexDrawSpriteRegion_ -08da:9242* modexCopyPageRegion_ -08da:93ac* modexFadeOn_ -08da:93dc* modexFadeOff_ -08da:940a* modexFlashOn_ -08da:9438* modexFlashOff_ -08da:9508+ modexPalSave_ -08da:955e modexNewPal_ -08da:95ae* modexLoadPalFile_ -08da:9690* modexSavePalFile_ -08da:9708* modexPalBlack_ -08da:9732* modexPalWhite_ -08da:975c+ modexPalUpdate_ -08da:9ccc+ modexPalUpdate1_ -08da:9d46* modexPalUpdate0_ -08da:9d92+ chkcolor_ -08da:a0aa+ modexputPixel_ -08da:a138* modexgetPixel_ -08da:a1be* modexhlin_ -08da:a22a* modexprint_ -08da:a3c4* modexprintbig_ -08da:a540* cls_ -08da:a5ae+ modexWaitBorder_ -1364:0694+ _VGA +08da:83d4* VGAmodeX_ +08da:84aa+ modex__320x240_256__Enter_ +08da:850a+ modex__256x192_256__Enter_ +08da:856a* modexLeave_ +08da:8582+ modexsetBaseXMode_ +08da:85c0* modexDefaultPage_ +08da:8626* modexNextPage_ +08da:86f6* modexNextPageFlexibleSize_ +08da:87ca* modexShowPage_ +08da:88c6* modexPanPage_ +08da:8916* modexSelectPlane_ +08da:893a+ modexClearRegion_ +08da:8a52* oldDrawBmp_ +08da:8bb8* CDrawBmp_ +08da:8d1c* modexDrawBmp_ +08da:8d82+ modexDrawBmpRegion_ +08da:8eec* modex_sparky4_DrawBmpRegion_ +08da:9056* modexDrawPlanarBuf_ +08da:9074* modexDrawSprite_ +08da:90da+ modexDrawSpriteRegion_ +08da:9252* modexCopyPageRegion_ +08da:93bc* modexFadeOn_ +08da:93ec* modexFadeOff_ +08da:941a* modexFlashOn_ +08da:9448* modexFlashOff_ +08da:9518+ modexPalSave_ +08da:956e modexNewPal_ +08da:95be* modexLoadPalFile_ +08da:96a0* modexSavePalFile_ +08da:9718* modexPalBlack_ +08da:9742* modexPalWhite_ +08da:976c+ modexPalUpdate_ +08da:9cdc+ modexPalUpdate1_ +08da:9d56* modexPalUpdate0_ +08da:9da2+ chkcolor_ +08da:a0ba+ modexputPixel_ +08da:a148* modexgetPixel_ +08da:a1ce* modexhlin_ +08da:a23a* modexprint_ +08da:a3d4* modexprintbig_ +08da:a550* cls_ +08da:a5be+ modexWaitBorder_ +1365:0694+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 08da:39e5* __set_commode_ -1364:06a8 __commode +1365:06a8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1364:06aa __fmode +1365:06aa __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 08da:39f1 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -476,7 +476,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 08da:46d7 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1364:0050 ___Alphabet +1365:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 08da:46da __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -487,9 +487,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 08da:4793 __GetIOMode_ 08da:47c8 __SetIOMode_nogrow_ -1364:06c0 ___NFiles -1364:06c2 ___init_mode -1364:06ea ___io_mode +1365:06c0 ___NFiles +1365:06c2 ___init_mode +1365:06ea ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 08da:47fd __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -509,9 +509,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 08da:4b14 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 08da:4b30* __get_doserrno_ptr_ -1364:12e8 __doserrno +1365:12e8 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1364:06ee ___umaskval +1365:06ee ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 08da:4b37 _dos_creat_ 08da:4b5b* _dos_creatnew_ @@ -522,9 +522,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 08da:4d64 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1364:12ec ___env_mask -1364:12f0 _environ -1364:12f4* __wenviron +1365:12ec ___env_mask +1365:12f0 _environ +1365:12f4* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 08da:4da6 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -545,101 +545,101 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 08da:52fc __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 08da:536c __EnterWVIDEO_ -1364:070e+ ___WD_Present +1365:070e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 08da:5390 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 08da:5565 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1364:12f8 ____Argv -1364:12fc ____Argc +1365:12f8 ____Argv +1365:12fc ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1364:0710 __amblksiz +1365:0710 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1364:0746 __Start_XI -1364:077c __End_XI -1364:077c __Start_YI -1364:0794 __End_YI +1365:0746 __Start_XI +1365:077c __End_XI +1365:077c __Start_YI +1365:0794 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1364:12fe ___historical_splitparms +1365:12fe ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -08da:66c8* _heapenable_ -1364:0712 ___heap_enabled +08da:66d6* _heapenable_ +1365:0712 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -08da:66d9 __flushall_ -08da:676d flushall_ +08da:66e7 __flushall_ +08da:677b flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -08da:6773 getche_ +08da:6781 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -08da:678d fwrite_ +08da:679b fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -08da:6b06 rand_ -08da:6b48* srand_ +08da:6b14 rand_ +08da:6b56* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08da:a656* textInit_ -1364:1300 _romFonts +08da:a666* textInit_ +1365:1300 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -08da:6b6b _bfree_ +08da:6b79 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -08da:6b90 _bexpand_ +08da:6b9e _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -08da:6bea _DoINTR_ +08da:6bf8 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -08da:6f63* sbrk_ -08da:6f73 __brk_ +08da:6f71* sbrk_ +08da:6f81 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -08da:6fdb __qwrite_ +08da:6fe9 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -08da:703b fsync_ +08da:7049 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -08da:705e __setenvp_ -08da:71ea __freeenvp_ +08da:706c __setenvp_ +08da:71f8 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1364:0718 ___IsDBCS +1365:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -08da:7248* _ismbblead_ -1364:131c ___MBCSIsTable +08da:7256* _ismbblead_ +1365:131c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -08da:72a2 __mbinit_ -1364:071a ___MBCodePage +08da:72b0 __mbinit_ +1365:071a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -08da:737d _mbdtoupper_ +08da:738b _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -08da:738b toupper_ +08da:7399 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1364:071c __8087cw +1365:071c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1364:071e ___Save8087 -1364:0722 ___Rest8087 +1365:071e ___Save8087 +1365:0722 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -08da:739a __GrabFP87_ +08da:73a8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -08da:73cc* __init_8087_emu -08da:73d2 __x87id +08da:73da* __init_8087_emu +08da:73e0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -08da:742f wctomb_ +08da:743d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -08da:747a+ utoa_ -08da:751b itoa_ +08da:7488+ utoa_ +08da:7529 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -08da:7565 strupr_ +08da:7573 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1364:0726 ___EFG_printf -1364:072a* ___EFG_scanf +1365:0726 ___EFG_printf +1365:072a* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -08da:75ad ulltoa_ -08da:76ea* lltoa_ +08da:75bb ulltoa_ +08da:76f8* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -08da:775b* _dos_close_ -08da:7769 _dos_commit_ +08da:7769* _dos_close_ +08da:7777 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -08da:7778 clearenv_ +08da:7786 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -08da:78ae __Init_FPE_handler_ -08da:78e7 __Fini_FPE_handler_ -08da:791e* __FPEHandler +08da:78bc __Init_FPE_handler_ +08da:78f5 __Fini_FPE_handler_ +08da:792c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1364:0744 ___FPE_int +1365:0744 ___FPE_int +--------------------+ @@ -655,6 +655,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022730 (141104.) +Memory size: 00022740 (141120.) Entry point address: 08da:0072 -Link time: 00:00.54 +Link time: 00:00.00 diff --git a/palettec.exe b/palettec.exe index c4f5dcbccceaecdba3ae0bd505a3346064c141c0..9536791f5617fafd84d9f9f43745eee7203d2a65 100755 GIT binary patch delta 27531 zcmW)mcQo6N*T=IHu@ZZWy^GeKv7)Mot+rCts!1f_e|YO7i;rB+&E(`A(! zRjVTeMK!iOzw_Kb?zw;8bI-l^yx*^}F34mTM9In57vu&4gCL+_5f108!2gQ>52^oU zC`bX_{Fm7JqgY8z9u1;DqIiDrB>KqlLZMs@Y#WEs(VGnu@?f=6kgo<9)La{j6a%2E z05;DSoY~U=;jx=W^g`ZZ$iIRFGY|8JshtHmGwRH3S&^xNn@Bge?B6k}A96iVvGR(a zAJK9MoPF9&TMnI10Bh9gsxV?G^sMX^68D@wu=mWhNWyrD3spK^pl`sG5ELTm$6sC! zk-%ASd$;S?Y)afnO*YTb7o{Qro!5-&j>c%SS!Re!O?NO%=-m-jy2$4?=tp;FpdhPAy;7cPS8q@Q8hr$eA!wBZ8fhYUT?xS<#ac<7 zX3<&c3Xiw3Zuz?eErI>L9j6B`avx@{VJNX{%xf;!pc`Fuv9R^|WEb5pQ~3A;@`)@x z7c=R#Ln5tkjj(aN1Gp+faxen4q}S$jq<^dxm8M9^jeBiJ_3>s&Uao<6vNmPIrWJk= zK|hFp8Bz|!|A?-jUzqNRlX6b^5(^TS&6AzIKBK=pZJW_HqmU3>hfSTxNdnIp0%dC$ zl4z*xcQSSR6h>j^Zqy$FRYsMIosUrGvYq<1`(uL66S>N-;Y`k>-mTcAHeY?T>ctf1 zZl;$=ttwKzwi&_~7o1QQd-a$W4k*BxJ7(|NLkTj_YGnpWFcxzMKR~YDPh0bjpWQlH zdjk3?u^vksY?_VnW_KshH*`&59dW&6@I2Bn{}G#qc)dXdG2?`y>*3RipPoe9%&<{3 zOWO7t-3$+T%ZnI}xm>#%gSDg{zM;y*ZhC1*a-^XC5aZ7HH38~Y{*0tZc!h~{J*8ur>EC?Ljn`%3uEoLVO}IcahC(0N+uf|^5kBmh5|H8v_e zbfRFZy9Nkoh)iVKVy_ zk4k!(P6k|))O8gR9&aHN{J8x`RA0y{F00my!8!w9P1o)>{X@wqy zdTDOW9OYw|rHlNIQgejS(n^pp!)3UG!$q1db6$pdojSi; zT2dAQC)+S%PtrdOw|d1bi;tz2p77vTf8y1*{b!d35Z2PE$XE8EA(pXJFVUMr31yN2 zxAQx61_Q3r^u`aMhSJGS3M`(OTxTD;m5{NYksOQNT|p0``N}0)7f+o=CZ~MDoBv`GS>Ou-s1TFDe$Fu+mCOA z-ha@cUl1=QWm<+T$c1Cd7i`vc>_Vj9#H<7SNPQO`nl$%?X-Px-D1RsZ&Z`?br%;m1 zcQ=t+5?g|&9&@wYwtE%mM{i8qoa+57R&SJeg8DwQaM(rSq)G4~Ves~EnfCiN4ob5j zcAeobMKOV5jY4<`B1qKym7P#lPi%W$hB0T-kUHc%*?x0ObPQs>*k$(c78Y+uzas=t zMQbLyllQok=UokSW{JYlgIwq%H9T@peivlfotGB>{0_$7Ykjj(gX zIcM)`elq@^@EDw3xJz77+cssn2S)3I^}A_7g{pzfPAh>Dou$RNkJe8M?(&!k>1(bj z^A`kif3c4a^5*;Xo4a#$tXxiG-x^@m_|p7`eu+ONljZz5X&xG7+WC3%bJ z3tr2&aj>l&Wva}2rt>{XbV8jx*9%u_;imz=j#_M`{i5NxKKPY8Q-2M2Nti9i6PN*y zO&h(u+l`mk$nU(w@B?5|o8xY%b}&Q5=I%AC6JMy_mzUmmqd=FR39W4})*XaKJYGLs zWE=+HE4zhaE@eX3GS2g_Edp2950&$5O=naS_CR9Qt7~S|gzXDVh`g_Mx=n>b(7RpZ z_oOzt!b9V6GG)i()yyMvC@3}3y?sjU?=nJ`o6Cy({*QPbxbJs_$ewWrnVW{OK05g; zuwDN|{qnh3j%Q(2AV=iiT4x^Dziio@<-fd*U6M#@QllM06>YTXN|@xS&L{$ z6DzHw9)8!*{_gcnlAFtoc;x-2f@O7utyJDOp6g1%kEXBl!^DuYFZZ%p3E1!x0*2k6 zrmtils*fW$5%Mq2uG6iozjJG7sqDx|zgwuV-1&jpq`t>FE@fLe$h9wb_g!XFM%8WA zq}=&@n?e+b3vaItGJ)1nY%NW&u~qh zVe>p1Bv;pnUGTTnsvH~lV|^qV_nn(ls#(aVyRqK!g>3gLMyxz!@X7urth(F1dFWtg zG=vxAekpo2(v0Z(-uZ%czCFTTdjHlIi(+9cYSm7E6@mK50W`aoj~UO*?SfY`ov!!j z@DD!m2J3f5=CMP z%0xfb{n=GZfS+cm7^rjnloL8iejw^g_v?v`hA+`iyx7d|Rz+Vx>6C_c)4kfnKptR{CwHpJ5WZM2j4y`BS}NbBZ|@FpwCplDs7HT z%SRgmA$ZZE(6`Fuu+Rdw`4CHG z%K(N4i>{o-?76Qml9xz{4)?$1xYaLlsTLW$PlpBp{0SP(pf&Iycu?eF`9^fKz#ExI z;Me7kITf-L!a6@?yoXCPOJKTW1SqTep2 z?P^_?d=20JE-bVqZyr%7>hLI7hys(Ax?$$(mTkj=*(g+*W z8mC~^qW@>i{HWDrw=44~MI6+84y1(qQ}~*3EW2d=9vg@hY&Kj>2ote;&UG3ZR1DCG zpslIDy;~qsmrKg*us?*iBViy3Up!F2CTs#oa>&&6ME}^=TdDz^XHt%?Z9_*H8!ug= zlBO~i904mYQc{ufUf+ZQX$mEf&`meAwxDV5#xe2VWBde$~I_?_op!f z-_A568}6SymtJTZW+|!C>t`Z&EP89m;eXfqGd`bVlHBR%@$!m){X-Q2(`VgDi{1x} z#E4Yl&?L^A=W2h1)KI?mP3lNtu75~F^ta5;L4d#N#xEhM z-4q0QPLvQg9bcUVdZ4UEQFb<0#Pde*(CP^6-0O)EK|X};W@9HGQaR5;0$;Om^-x^z z=)Scp2e!3t+?jZU*Nt^mb-`v`KKiv*#B)4FQ}MY%g6f8Z9PB8@o=N+Aj_@7+-)%Xx znLQ+IW|@kQKyR$5O1G2)uYFX5-ME}m?NK_zmxttVp~fH!PT}~~$b1N?BC-}8$E!P> zKNKMQ&#H*)=>=sur1b13tE7lDWI8p~9IxtUXqU|q_5W{iCwnSs@y(8+?)~R_J_Tt4 zMCw;=KctI+^F-brJD;BuU``iQZOY!!$ap{a-uEdO?^^b%2tNvxyqtY2cDN#r&8DpDvL16w6`$eThAp?ykB_AcHBG@cD@*Uab=2RC71In&<5@ySK<`0EXn7{ z7Rl~ULAPVr8r*%`8~K5o7Sil>b)Q2`d~|32n>GVJp6Ni6Sq*0c7$8N|)Dh8$r&}TQ zC(%G|2PYAt1F&avXWbN{iTo#THY+1V-IS@N_H$L3@b|-ioH)ma$Xlt8mu&|89Msid zyUAmQumIjR5jc+ZyN0dBx>*nHe!vxV$@N&)Wj&k~b4RcHm8f31T!=2qqngvv#M6w` z=hldO&~O`i<|9#!Bef!MyXA@wC;ZcR>JlIaNhivCvp@q^}N9h8PdmY-o zuK$=dpm0&iAKT(EVqmc`ZZ&=V8n{7%C}(uS;C7LF_xJ;i+?ff(rUv;IJ{9^lM|~*M z`bQr9Z|bqvMYuR&H5|Xn1j+zca)U#&lCd6~gQ@*yuj-4-n_ejW;dakN1{~u3+0uGy zLV4S(Z8wYAGoW8I4np0S5Vm`xSa>c|p<5XWD2+c<`9mW_4*ofc8enurk~H{mR(E;g z@Zp`;Hi|Yk>~Jv)H3SXuTc=W66c)zIsKTP`R>jf~cunwu(~bCdsv>kP9(@tSCxS26 zi;K+d7TLlH1d*E^g#N34c18{g6ZD6;z9>cdz^2``KHrsl?~PM0avDAS$T3jonuY?Z zT+MP3@)ebr7P}A2q1uKzp!V^7Aa|UyR~+By0lOT33!f2x(<1!_{Tb{9*(B@jQ{&c0 zQm|@&$T1?t{&eT!;Z5)&5wh4e0m)AN4N9v$D7VU#){B++8V&w*z2X58@0H9GxCj)Tlg2XS>1|lOZ1-546D@%i>nd z63h`R6(mzwOZlR?HDB|T-2w#QMJyU3TF3k3jf7j1ZaLq*bUU!N)mo_|2>};DYtKMV z!CF;nl*zP}{CI0v7S)79BVTMKD29-spSZ`jVjyym1ZnZY)i{%c=A0tn=(r}%2H%4b zoHBugX%|9T$1PRg;-|tdWU=Ey6G9QAId4;2ja7mzysG2HWbubAeOB;dp5cXhP)BQ} zAI7MaWPONieOjZSncnwG5&y$e6&F_}hcT8>LWy&sOhxNZM!D8!`PMM)nOR2HaX+ST z>mG7hFZfziJ2a8QDu5g`zepjyFGdkR*DlM85<6nu&i@dHTD8h2iFh6G~vS6GJyrUC$+=sM4H;A$pTb3|?zbontnsdM?I7DfJfvdbe3M$xT zJCut7%A7B1Dvx;aL^qgYa^WVSiTYh5J;qA0K9J!i`mP`__wD?(VKBm{_ycR9b$^V!m3&mm(c&Rhvy_}DEV zSLxTYRlV9gS3@_g}m zNqM6a{Tc*13_Ek0e3o))l)yFU8UW~oSZPm@aHn@eB12-&XdJk5TZhMs%L|Kq2z;(k zyZ|=rYZ~-|kIiV`+G~;n6M3KWE#NKYobaPLkYg7NiX&D9F@Y0UAhc08z4&ZP=@w! zgF`DcpwK8mcMBh~IS2KYpX6lY!S(*z2={|UVUTG~gCvPh1_4?}+06ND+i(Nm{%U#T za*;ClDqevgY$TJq$s1B6*#x9vhmthkR;{<40auQH*jVL(2 zUUjA+UG@#-7U9()9H=oCwA<4{TIxVkr2ZLv0)svXp4pqPmE-w4ERiuqp{K{W(+AuG zaQ>*hDvRXvJhk5F$su%O|4=c+n^p{7l#ZL8;4cgue>%CHr4XJ#llB}(JxOMU1o?PM zHcLBMP@ZHd+!J~FgbR_49@4kIt=l{04mYojjSt84WAoDiQBJlaD5|Z;T_TzWlWLDs z5Ihl;zRD#Oo(?LW6IMqK3Z*@clrMjqK!;iKaIE&Uz2*JZ)6)!Yq&$%SU=?y4^?*~a z3vZ{7fQL`vT>aMzr%jyK9s2jotMGB%mxlM}-m>0lW-*xfi4}VMCg%kdCVn5({eICtGmup2zQkcSW!q*n4 zS<4^zkAk5bS6S4Q5e5w2x5%`(E}BU`aZu||YXE*7T02pAFAkfuTeTBr%>QYyHHJwS zEWO6JO`AY|Nsf&n#<2Gf@BUa~NfL5PtZk*eikzljHq~VwksWD^V*SA0_;aM@$KkTD zm;z=jIp=)ii8o++3uUrA24J-}&20MyoYL8zV!pV(^rZDQq<6v;hwzMDQ+Udr&O?^+ zOaMARl9Fmo-!xxuEfG~p*swX0>69%%Ew_p##o7+Ry{v1rj!WhhOt&)g-$(xEU7fF8 z(B4Jy#>6EDaT@Ay@* z?2yx)wn{PABAbVpC+8|znp5{4S`C{_eOt4dKHkGXYWcz#_Oaw9dmjbe3@p{+?lYCC zXy4n1kYitcMO1w_GFG>`7t?)=6`WrGk&^Jd%W9*qml_AItA7_vIoC2HSnzQBunWi^ z+8Z8%)Y4B=TKUryNWW@La(=&QK=ay9p)(ali4x0~JHon}iX0ehgE4;tYjg<2P_)c2Vj>83j2R_dWniop!b7|_i; zT-7m6*i5yTT-l0dY=WyVJK7m#HVy-C<2^*OowIl(8}!*$DQFnm7l50v4OyLBGa-DJ zEWKIv?YfL&yJ=iqWV+-7J~YI27V>CtHP6V((ynG?wK8BuFgmv_$_(%)S>_Snqn5Z< zu@@P+@WQ=0Dd{tdrplC01)hTgQV#)E+c&cAF!AO|OhGpqZW}I6pHS=xSxB-+remtu4UZf zy54`#ui+PA)_16&w}jR%{Afq{ZS+(8qwxv3jG^8m9|7uDJzdqG;JKtUgX4CnUJ| zW`E`cz!70GFkw16-Bn;KiP$r$Ud57hmkSE(u9n2yn#4A-_N~g=+)K$6iq|k^8F5NJ!rWyaQr4l7Ym{`Wi6_z7rp`7P|&Taj1 z4^ZB|=S?wXtqyX4!>W*2Q{xq*BF{nPHM<4Ch+`s7ozp`eaYugk5ItL5g7QhCi4eU6 zvHma&&b1P|r$99jjVc#eULqf0Zq=uJbS{h?>XiiBK3|p2#5|F z4T+L82#XLyopcSz0Bw7^+u4Fp&SyP9#@HMX=$*oCG%Ea!Fn97Yx_R6)Sk3I^f9|6( z;m6-TpH6eLvjb`MfqYFqa0R0!%6k&H%m+&=@;a>y`KKN5s>LknY1DB1hr4z#73H}CYzW2 zl_dRsmpm_BBiz{%94ySJ^!0(;j7t~!OwIFb?T*V0>UQ|d@8>t)zapFgp!Ax2JF-Jh zB=N65b|GR7Wg+oWWMk}Dkl}y1A4)Ia?mH^6sLUBGa=;Cm)vQoI(COZ5ILc~1E&f=) zhxK<_m)iJ2G}14kW2B2!7nVFHD9pu&NZFt>?ztEVV`+ZFrD&|^Y}e0IC^GkLqf+UB zsR?U`61cb9@6GSv&xOFbU7>#SESS=2rqZLoqA-)` z$)vFep%7i|-cD8@TzvGu03oCkpq_ z4y(jaXx(30+4bjNYFbHQzRUerg2(ARts23v@CE;L`D?jf=vA!ldB7#8!b7}QDYc*| zYLtNFS=HDfros~Gr3FQBU~3q>rk6Qb`{|f++p?AJRDOrnS(2}g>g})ASLs1TdUHe3 z>;}n>1dp*9K(}#liRWT&_&j4spYpC2o2VP8$FlBctOh;sUgj*4o+E>f;r{cHPI^sU z3x6QJ+rz5Ze?7g{CXo4nN006L$GQ~taA0Yn`S49;+XdUSCCzffLCvrCRU4Xm#q?)) zrCh-}`Hv)NW}P(uQ~o`yF++h~mXco!H>+gm!P%yxK(Ad`orre5udBwV$jiXgTXXgB z!Cq(PiySH8k}kvl2&;HyrB$x{z>Oa_gsY3a?&c3D{+x6H>28Q0BBTVIANAtJzrU8k!W zwj@5B4hwS53$qDR7E4nghc)X+Se2hSCz~Wr@(LC6Q8}Z+EDtVDB%Po(duhcmpXWwI ze*>62!#IZ8>DeGbnqL0U@q)w0l8a`C&C@=MX?t+iU9)>et23-Jo+k>+Gpv_*5m_(y zUTUXi$<5nniC^w`0?95av*#y2$qSKsMUo`ROL#H-==9Pns1)h(w;sYIseRDGJyZvM zpfe1=Q92*Pl>-hg#q`+-o_i?KHx79Z0?09AwZBT`ryU4CUYGV6*Bz09B5(ZqgsR9> zM|s4YBkC~V+VST@iOE_P7f-IlHENCIPllKFy$emH>xC7mo!1hNc&){lEXdIWT@Ooh z@&8#iqrFDw4LvKksm)1P3jJ!G_w)NO-7M_0*sn_)dq{QNfYEnFp(6LK`oU@;s(||o z0I4+!iAs3a|W&($PO?*-~ufus905B^%9*RIVlyL`AZ{!%BjN(;)7-y z0zL%0i(f*Y^><^7(g`+Wipk8Qp$Q}}G5HR{&;>bc5aEl;lG*ng{gXJ?qN=Yx&$nv` z_r4gNUh5~s@fh^K#0;a2-V`!3`;zB$h&+7ln;vYs!d_F4vHjv*O82&6S?o8k( zd=wmhxG{f%`GX;zM#C-|Z()Y-eKZPT4=WAw{AwHCznE~Cu+Ii{he2e;I8}-4+Qf-Oct^K68ez(ihN{jG zjJT|ZG7<%9B2FDxS!qopU1`~Z2*v+wxg#?LD|C3RLj&m$ki&xlzVjYUe=ViK4@7t= zmMXp^7iU<#a zq+pZGxE4}@i6Xk9UA&rtIF}ejd4{5d{FWi~qTv1tL@1PtR^q|aUvIZYpP*DP@q#th zB~d1scV-(Q$OFvGU(vz|4J61hoIb;Zia$dCp^0X|@;q8*bO^imW}-Vb?`4Q-q^rC10*DE4XKvq{4qUq@_t>?1OB2MWKY<~* za{ZQkx8VX3-N^#Sm?LSqO-~kHBN`B(4X;=KNltz~f5oyHn*%~)RK;Vhh zsDVhNR1ygyJG1B8G=B-CqXU05DDR$d2InGg2n)Zk6_Y3j`qzB7rcjDFt2+~14KfFQ zaX5+Fa41Oe(A7x|!3M>{dyx%@f-lP+U#||=eXyhFL+;-cXD}_n+F)vP`)i^cgo9{- zB}CE;C{0I@W$|<65;GcUzJosn^sw^!_J>39?wv{jbaBNZp4#zl>EFvOEn0@4CL?@P zd*Ct@^lqPKkM`aV-1VAi>Q2}NX#Yqs)?=PX5K)&M{(-ykLIbR^T!vM+#?oKRvFh*h zj#4^P(y{%C0M1+&Q|7u$Sq)d@i=F(So9?W z#MzqIn#!C2LFYR&hXTnHGR=S7C-V5fhj7`E1?b3!%A2QL)ag;)AskX@Occ&W+ooGX zL*yLCjU27zaV{$FO#b)nBRFCe!9HHSu_E@rs< z#zsb3M|E^ojvi459RN6I&yy!{4N~zM-O|FuJCsv zh!?%bx!X=J6W-12rb7`pWHPhf_hy9A{v_3>xQCSS9m#=pXwX2;AzF$UCRpsVGLQp z`?8{7y=O`mPLYe*`9|yBFGIs|%BmWZcS@Tz)7mIVjRCESp1)Npo!neRF}fd6jso)e zc;V&zBm}lj5D;!b3_)f)By>fY!e3HD$J|x%c~*txKh4x?>aiFr ze5~~rW|G+VzfnY~&35G$%5l2C8N>ID<^#XV+ai0sB2RRbS|A`ANBKAfi z@XI0n=spB(8>xaS#Xr9{LjlS@HoS93NWUT4V9P-1Q6;-_qnl|1Whc9nuLBc4$~%wlroY)GB9?Qq zhID>AWo^3^jUNIE^`es1d?Z`X6F7sd(Fm}Ih%&_d0uT~$o%*I%pr zxJ;$fU|;bcE5VlpYGH@?+M$HOj{Tu4dO|OeZr~k!!(Qq-uSO z#{7L&bF9rPUq|dA&m8XI4eu!)hGvw=610`NAjCc6%Z+PnSqv%R6yHc6{-lGA zI^!WH`$TF8P4n4^@-!0w0j=^jG*b^_zx>h8mqlKAgJ~@mX%e-m`f+Qwwt%!;{ z@A3-*UX3&-?;bkxJmqXB7+>p8tbTt$BMP%?vB{;Aux$Ybhf{xTU3pm_q zQ0I|g@^Rgfx-Wa*HQ2^*tX3zPoV?H36;G1A6TvH zTY?bM9o>FhX8ShOa7A||!|l8(pMMrI^&fC3UvgpS3q6_6bDS5#8Ct>d_8?0cTpr6j zlJ{4Z&x)hiByTz*+2!kUQTVx{k9vhS-Yrw`)uc~bY} zr1v}8B2F5*FR^xGsGk?&Ckf`Z6#+IkVAzeq>*DK>E&7R?#M- zR+vBkKQ5J+h#r+910Q|PZKbLdu22;lVFb6}TdhID4sKb4D+vdB^B#L)4ge4J~tCSD4bL~6dzJ*I6TCstrds9m*b+A*Ig z@dEvP8Auj&cxwg|dozBn80tB`SR{0!R9?&jOS6mOEGmXx(M3s{2~m5D$|WQRFpE+A zDnje48^<5iOG?xCDw&&9sBHn{B_iv^1YlJ8+=q+?N9>aN0O6WOHTqxiulgD0nr-AZ zCd!EW>3TKO?y3U994zW=anbfuB{qt~%&A;E{>SE4U7~*QwQLlPr6w67tW)hRoQ3At zIsI0YjnWsrGpuu0_Wl2$_z&|@@)G8cXWwX@oZ~J}EuY4M*{s3EOp*c5I~g!D;~^Vu z(qTSsO{!c0o|A+|EyIE}qmA0{c7O5#{N(O@q5kzbPx<4w%lz+vq^W~(<~6RaA?!@! zB%RkDRWXT*4~tM)wrn>}nW|6efpHi257sj)D&;|@=u4HLUTS-F$8u;RGH`iDd?a{s zOs$VbM>`*CBqcJrqsBYVC$sLjh}x+uMMUsb7k&X2$KTzs0;ROY_<{f^{4s80Qs!z` z-mQyrvU7L+o1;&Ea5TJv5xmREwZ-mGE4?iVo!W7|gdt z3J2tu;mt=R-}oNtN#={<@#Ck9a~vqc(?5B@utryoT1-6X=vy-Of2box;JHHeTD|05 zcu%+V*>8tjl&~r2I3>!giQ<}TC2BK{SlJqu^UIq$axV58(hb~c?6760pmD0|Engkz zg>b}a?g>tYRFR??wYGyhfAbUorF_OwfbcKd0E1yTM|uAY+AoT70)x#V{Vz=JqH@3B zA{{p}xW1i-KhCRz92aU-P(;SEY*_CKI_oVK)*=wxK1agQf+$A1o5nIrSga^H{JDTG6Aq%dAhUB2E;cg-h z2U$?r#TzozOh%R>tSmwxhU6g;@bat6F#&VlwYiQE$Yu)!B*2nUmq2=oUMc7**{O@H z7ZH@wH+l6r>js2PQYhxm2efP-Y13qK*91!Q1dI&8QVjBS7inz-=-+QUGp@9kR5$K_ zv{cC1m=uwP+-%j8cW)4V40XLG14t6b3K4f?iWe01$EIgl(QCEVycOaXtdB{P6|hH; z3Psx!@1N|{pW!|UE4Exy>mb*Oq;of0HT>WDRM4)Pjk{h-Cozs^KHH&3RtgBK4(G~zoy1XLH>9g755c6+N<29PVfRJ% z^PpY#_j7@(^_IHTcB*z$xe>}WM!Gd06H2A5&zX+K#+|w=in67ERX0UA^RrHaCEH`t zYKMH z7a9^6RUS}|*u3Iry!WRiGl|g(YZ6o0p8KNxRa1F}26QvYc|*LtEZ{b_9c*#swWuVR zz1SJeS*$$)@_(d$VXJY@`Tme2x4H6YF0$mez+0PV^4VOTh#E_dmmzOmr+XqV_-37H zhzb~dt}gU+1sIrjYfIn>!TW3Sg)_SKeTL@MhkUdzX8LJ+_6aEP>{{jcKhKVaDLGnh zVMu;$3Z1)dXJnN6@~=x&DL~aNJI80KN2*fbB(@6lAO(mv#4aJ~wsDA9DP7 z82MCmlbVL6l&$oin~j4}a@|cbI(YdurN{9Kk?+3#Pi37du;(jP>32=Pno&x)e$QJv zx-hS#UrGy;)D&kFdvaik=qiG4B$FFFhu?8p}JO8cQDgc|q z7?e)NH*LC}a zZrhg9fwiAKxS6UiE2+q)(fgJ|b9;hSsO!(*&yS}0i0WXS6!O1H~r2`7jc+NKTm5qF6>Z^?p zbq=!F=vI>Gj;H`aH<-!ed+YGo@3o4qn})~&;4;d^&wn`g@U_P;c&8I*ZI;@uXeOas za%L>%D2CbxO7VN;CX_YmV>sFx0(8v&JSk?2YEP=}*7Ocpi`2o!ro7}-c3*RH%c&6- zPj@#!gB2zOGZOnbdNyFGp^~>1LhaPGED<>M$>~4B;e*_|W&7hsg}2Q>Tr;H{W_K*m z1PeVYj6GE`^tBoq(=aBQUuC{v6}tcqp>Q)cLj529J z5le&(=BPP0K!T%vUz?cSQNRBSy!Nl15fnML&4^O-oo2mGoPpmDJj`Ur4QmCx?y9|? z-G$IL^sco=KH@#qaT^Xx+`y5Y&R+pgV;3$QjBs_5Bt6C$32l=@M?pUKwjM7MgLk@c zvdMBOPo>~oixnKhpz+Dn+Qv{xgbLTC!pe_2UUueNoke#GtH=hmnm@Qn$`UgWgfZv@ zQ0AIx#g-dVLL=Vr>B$?7SY0lskMn^}=E-ryIzN^8sZWCm6i7BFxAUq^A`qq2z<385 zDr&OAMHO1Ma}|AyS*$p~FqE^TWSK@gMRBKL3X)5_r0jmeX@(H@M+_`xl85q$#Jnu#P-i{9oqTv}FUmf*E&orOgRZs`+FcpYeM#B?T^V;h@ zqT6NTN>fvjcb%JCW&?mz;-8O-RPVqI|B;_BJ$CQ5fn@$uz)GeY%s zg+4!L)+CLof*=ZX@Y5?1?fDZK&v@%W(uN<{Bt7b1Ec%&Ri6ZQYtE`;6%zHgO{N+`+ z-L+Gt0-&|>41sOw>x|sl1Azq8_QlT6ulT0qTccx#<^JgJ2*AL-8JN9mZ005XdVO^0bkn8(#=Q538EN!y3{73wy_;Xxha zw%*OWe);VMYLJ0cfj2m}@aC=%v>2}!{lO#sen;!htXHcq#Os+b2Csja=ec1Z*6qxt z5XQBcxwRhTXWfEgFCkj^aD=jM0AX(B5W%x6m?x^k24+^3^RgZ=1r)&^j?xCYZyAjq z(l@s7;&ln!o)OSbmEW~2zObfze(FNtifZGBzA{32V}*Y9XvD9WpfkRiYA@PsVrBCn z-Lz}(Cf(Rwj&>r}xt8e`AY=ui=6?_s=qpkQ0Tqr_D&iBD)=H0EGtQH$UWzKZd_YpA zgGD?6UZ=f~4rR`^03D*JbYH*C^I<7#x#;93(Yyto!sUQ`b;pcr_48Nwl6d;1t=4?8 zZ9I8kPtFAuAPf?%>S{Zf`&&4E>j<->ztt*AiHT#C^t`48V`BFH75RE9@pkb2tQv*- zBm}QdT61d82`+hBo>whb>x|Mfa99zD<(C znO?JnHJa*^;Wk^F!%dKDtWM9XztFcjTZ_U@eu90)AFpmbxlLgEU@Gq%d3F9|aZ|wf zYrCr}xe>qF3Z7kM@lT-{vdxE02zyATgalVN*;D5|D01n`B_3YRyVK*!e<-U@?n?l2 zxJKNyceO=Al;w)r*>6zs*Z4M9igi)iYqXUlfgB8EIA5%@G~B)T$D@ z<&s}Cb#9|Uu+}11FPR${9kvilP%XI7l&558R3JS6?>O4x@y z7GP)oI)tL8Od$!+_`5x=N6I8?Nl)x*Qp()5;j~ISJDfnwCD*q{I|GIDfc3NHw~X(J z@(-PVlzkEA0>G?7qZcRs;|NiX97>ZX%kztix8|Vw=sgdx9mA^Zs;cYFD)oYYf(Lp* zu2CfVsf!DQAIBapKievUHD^leDnjzU$}9up_ofZ+$@5dvAsfit zXsa(<6Ssn79RJIo9c<>Og#?%spf?Kdwof9Sy`y@HEOWLM`OUZzAW^m;k5Vto1P+Mb z|A6T9XGu5&3I?&Xkv1k1A_y zDAO&Q)Z!aY^Ht4>KS`G~Z)A}G;6!X80E#qhcjKe7%H z-d+y2b}nL)Lg(e4ZzziNJva1))|}FfRJ0V%b8n)ZxR>iQONgK!mdjf*mph6WB%k9d zGQur7CJ~m;8Gu#)m);voNxPh8H7~suD4Bm<%XQF#s?b(VtYoX8pD`ucCyRMEMa;it zZR(%Kf&vo4IS-#A;9{?IRAxI`w(7xhF>=`VTg%thieTwlv#HIBU)RX;2y^6_q#HuN z;qTuj{au3QpUnv~p0bf6*cn~HwUi;{Q#p-AKH-_qfrzh){OhvKHs10@_4OAx&A}7x zY^kBL4}5nl=?>itk67#{;plg5nN(7h%fxd=@R z(WhA^wdpF|?s7z>-xFli3SPUJpVQ0j3|U=7aZU%cuOa@;o(1cFEJHLtr(@FmESqLq zY;gj>>$VGO?(M?4fvOUu?evP4MNbYNyt|J&zifiAq&TZU>uS}h7BX!?}@!np3H zrmQ~iNf4@eHTu_w5vbm+z6<IB-SMW0AL8|Rl5$6?3}%3o3USW{c>26{iuL zR_V-j_nIttOahY37o0s@E!}b}x+9t7*WhJuuuD?=c+ZmLYoGi;llsBgB|5>0JAoA_@#!Yg)&VFbcr5;q{n&IIeDaVwl{Q)gENXHz#HX2y z9dexTAf8&NaNK$E&n=SR&Qk5Ip@qJX^v?6{lXAyAj<3lwXWt^Z5h4-U8Z(vKXI8BZ zG)C7rb!=MCb({~IWG3d8&Rnd+)=r$6m+E4%xJD?7b;F z$$A%F$INk%QW6a#K1PZ|h_VZv3KiklsSd}ggpl*?`zPE#-H-dauKRhBT`U;FvOZym z7aamudZwlM(DgtntVgApZ)tqHeb7+0O3XpGH+x4S&m^t3;l?}<%ZH2k0f zl*j`GFD!03@>b;O@j`U^7;5?6X&kVq$}L7C8E$`G8?&jBZN(rrPX_l7QcrWTo-;gL z&n#SGu@NJku&EzApNM#~(&6w|hOfL2qj)~l$QrduAg9wf4SN640^YQ$E2*{!oe&I!V2fZi0-@>!k69I-_`ZIXJLhua$kkkwScvdx)+eCuY8gQS!d zyxG$nuEv3s0kf`*5HFQEi3#TF6#v|R*iLoGtvMYX!^>69(NT0)yj1W)j_TEb!^mX` zkEer#1?JWQD;_&sJ1x4y9>A47y;Rfje2K29<-$f<%H&>ijQwB}w4fXzu}l@gjx2u* zYK;Hpeoct;OJ>vGuQzwq(d$awpexo#0h~sGg!COLyJsDK{QXw0rp(7}3^H>y2d0<+oQ!*DNvKrT~4s^(F+OwGiQ}W zlMPklLT^f79{E~$vz|hdg$$|H9>>f-8lT3vKv)q^tDj(enfh|OaI+WtBpWz7&1JF$ zQ6X#8ljI}B5~bjP6O^)n??RosmQM?0vSBS6@)tD1`A$dXNU#h;F3T96yX?4ScskDB zS6QbwP6_c2hh}KSnt}8uz`ilFBtZZ>iwueLw+&P02 zyrolOVj83_Nv0h$sK(bS9&LD~C)VAeXhx)k_vDN;yKYnMlYI16ZgV*?yxg%fEN(-Y ztuxgQ!hAgz-_CN47&tG9WIm`sw;tj2);<`P!K?I!HSScL<_q_8^Yz>x( zXuOvRG(O2jXm(#Mn^TrAv%u9Oy4_V`#SEp-gw4sEgF|k-vcGN*ds2|1#GL&h)M);d zzYWQOp~K-pAK-td>IAV|a{mw<@Y{160!5;TI0`Z@*+P{0eAT?c$|R>i_gwxwba-EX zGc2&u5vQuj;y*A)%k^C+`y-Dmv}o{@<>}I zNhXuAlk8sb%2`Syd(z}F{%s{7FJ{+BmUupOEe_1%_7m_^c}RM!XM~N^=YAnzo6W6S zNZ#txjVO0rw{=@kXI{gic*v`EFC{|Y?3)kh})4O zfuhbm$DA4klpDC9MOa>UT(Cfmkjn5h48jYjXZD)j{8LZeWl)?kdS67PeJqa~f+r3^ z!4u;L_ME4EvUjejuTvz_zlyDhR`ZlgFvrg;RmFY*Pv(8J=!Dy)GSXijU&m-bnO65iFUT5Ac{}&|IByrE3ly$W`>61dK>%^+8FF3N60Rz7`$)Zt|Lt3C?nM>|Yy z9>y*rkY;VXaE@o)oyu`ozHiWvNO)ZW8V(5S-Lh-?BW^7fY+=PVIOx@A>fBfm=b~S4 z7}Y_T@rBkR?i~v*zHk=5cm98v#~Rzes)W2R^0RY-Cq8!~>=x)%+=&qHDMokE7%6E> zgc!%3cAkARg7b&(G-Gmo_s0R(3Oh?ZwFEO8mk`6f`uYW`A>kOJ297>+?Uc~orZ@D) zEAUXyg*ZwWPr-WGjD$MIWvE?^Ao#zQ*ugNegQ9sEV~qJSK|u=)DP{6Ah9p?GBFlc} zRRjn+?wWcLb&}7iUm1La zc4Iwi#$Q*zAoLzR^lty@qk@9f&#zVbITx|2PJf%8?A!cZ5j+?d>XbLUP+G%LUSZzZ zY@E}rGB`TrQeh2C1XM`p(P0M+OiGW2$k`1AcX77@qY99~d_iq|n$L8xhx05R{xH?OA?Dgao4v1O9iG)h2rl{2KJ3_2=lQ)OCv#H@LshxXld+yJgj#9-Q zACq-p^;MR;49V@Ucpvr3Tb|KqRN~QMRhXU{C1pyKtqJAYM`^PcXp(Z>R&Ob9ez98h zf&SLa6iZ5K53WxR;1?}VLCy>$<3>R)G=JSkA9nRv=Iarx0PUcO)Xejib8p)!+JM<{ z105S&!HC=DN(^n=U5O4)3=L71)rvpYa8P}t0a5kr8!8sTrn<0uz^q&!A$_ z=V(jm|6<4o_CmZJkVqAU17~pu;&BI%HueQJmAc>mZaR1PnFgdi%~8DW6E|piapvB2 z>yXeK+zr9dNOHn=I>>=VM?ksCw=8SuZ4V{9S7}H`(QQ@&k9mC6n+OF8m9j*+I1w#X zF&`{Jdj~|iL%N{Q{YILUpCNxf497o-{9Sf)CY~=I2icpa#-{fIGUzC?Sj*PK$^nL{Tr& zqAw9*sF!K6afEnk0xj`B!WHUOT2eA0g$mM0sf09YIxQoUkVU;l%O(?Ys1#am9wDE4 zomOyzaFbd{yLFpTM7={RzDp>fmeTIsCp@4&q?MHuDyWsTM^%Js>i=jpwS+oqJ?(J= z0enJzN^5K)P^rzdmS==kY8$QnIiZ8vNqg~<&_(U0_4E?@sQt8oSA^HpLE6wT;SH5W zd;5;?o;pGs9V2|8ex!XGCrnU3(^cwxys@E|qPEjs@_7#Qu`L=D4T z7L&Nto2qQBbt&#b;v39BzVrH5PDslQPVc+nz~1L`_oTaz$fM+x!&eqzKCRGr;G}F1 zlOR54>TSt`DVXi@V=B;F#UE-~whdSgSEhrfK%=b1+LB$*Su;mn#3om&bpPPK%V(8B{(f%_N_z z_;LDVhJYX=r;RO}*Qt0|T2|Ic0V~S8-^k(MZ;@nqJKXc?2d)6p@lV9JO-7Ax{X|RHmui?>noJk^ET5pzx>(B1y%8Z2L0$IH1uz_ncH(9oa z5Mj?N^ipM*!~)1P7=YU>{&c;+LDtQ+RR165L^2{Lg!^Yzv86heAh}o-M>JW)4J^uBu1^od5Z&14;i~0l;89TwbiaZxx>Pg#ovA^2|wH z-M_z{bq4OqhiNP*_7IuiL$t_X*A=c8#;2+wFE_f#Sj!gHr^=|ds3YsM8{Y>AXlFn3 z!vTryquCB5<(O2@Pdl!YOWB4RqC0GN$*-lwp@0z!`La-E05j0$QLDppy=z`0%L7WW?D&X4Oii&2+~@gWMMwU*g0*zU*; zLM?T@FAgoF?_-kxOXBrS?sXoe>O%5cm^6~Ww|VL4B}gN8lwbmk(~tR)Jp_S3qd*aY zzoGD90vrySW}__cNPkbegZu70v`pX(bLc3Jzj~5>wcW$>2A6}_apx|b*H%veLH(z> zJbkB?Spgi+pPL7Ja^bcjhaN|2)pV3plS>19>iQ}BHU6p;2e4n0u490!j5+SG)!*l` zp;ZVgxpBv@EdVFAPnn{OwEhCP$`AM{A1S>W37X)rW@be9kS6neEk!+YkoSz<5pI~W zXZ4a4M{lZn?>zD3Fe1~PllE(lyvRj zm7Ta>A=#TrbQVi5B&wfQRPdI-lkGyZ1ady_9NELF)S-T6xo^86a=!IX8A!G+)%o=* z7x1W5EXJQ}%#SNMBS|d873e6*RMIZ@7$)hAIfzUWmB6U1BW80<+(LPnA>fa-GT#+` z-wsD>b({Y$&8UE=*j>lRixUexyGy&`Bxu@0Mxs;dMTSUeGp~ zSUV5x9ffU9-S*5bc|Ce$t3Mb&ub}`@+>3mCoL_U1<7y$CqMC}zs1X$K!SN&us&}2k zK~m16T^<@oIcDmjTh%Ra@|iK}H7*~OdxxOGdK$Nt>`GIPhv7Z(BbL#|sl3MELR=`h z7^|%5xkWi?U!hs67;)P-(xNZhsv|)r>c_2u*Ek0^KT0dyhII>KeSlZd?M<1S-g)Jd z%3#IH8Khi^eWIKU(uu)N1Rts+$}6@ zHN$CL9Z(coEX>6@HM`6UDZazg-EgOj(7B3j_idYTLGy6MN<2Lp2gVv5<|^jhk7>pw z&hIJyhyM|u-)99A3r^?LiB#}1k(r;eGol;fP8xm!e4Kj~TLW+&3d61gvV~e@J_Ow; z0_dTl`l%5eunwaBot#BJGr}LncxDt%712VZB_qOwg1uT7&^kN9s5MtOU%<)is{}*7 zb%tY?8(AreZTN>wfAE;sL_ z-jMPFBbt{ZtfA7u#|n=XcngPJICcjfkq29z+Lj*DeBX5`XstWw$GEfcm*@B!{g z^OJ^v)ch##h_3U}9JW6iD`xhfcdJ9PYH(YoHa$tM&->ndE<53~iP61lcIb>BiT;de z3Ca`9>l5IvT50|HcY=F4TlhpfMnSZGY3Fw@2#MvgN)M4c&ikldBvVcM+^d2Fg>R(c zB?z+%_5^1+9TBr)bi56lrT@QhLm#s!RpK*Xy^_2Yc|31>-|?}&ufA?8(C)U z5BPHF9S@H9b0;W=26I*5k1>ON7y945%SyQd-F#%ZGXDHX!KIfCP()=7)b|ccTo4gh zd%YFOmA@iMDeYQvSlI$%Sd$_s3F}n1QgCJDdFla>dayrru`0XmB4%%j9t%hOzKDe~ z{ms7ar!HY(E^rt`qmD};z;oW7Ez+Zpu0THSyh&d|@P9yXb#C=LX1V5PVy?OP^wT?yZ~J<)D7&fO zSzzksrz7KTz4Sp7cGlk%_A$m7-9)W-+K$=!gDcs4X{-zQI5uj%$+hzzYIk&O_l4jT z%h%ac$1_484FJL>0R8G~x&0CE{_YsRfE^RKKUr9k6AW71@-&ELvZwxuu0`uVODX() z_l*N%;v{0A!Nm*u@{VX7>GS!cudatnDp!4$XJog2+pB-6eK7IW54LD^wv3>z{rlT* zJuQ5s_RA-ql$T9^G}4+QW^klxc4lE3+uC)cFo*EaBEB!QWGHc z>9XnZYZzQ1NXfBp?Q(-=Ws;6fe|`fn(!KX>G_s7b0_~VIiE9gto@k)^{3alfKjnn< zTFrrzCPT7e<&jvk)BzKFt9Q>O-*Dhx-`Zd*a0`m$Xq^#(LEUZ?dgK})e>4GyNa4cL zTw#v7L+%ghCSwBlY@g7=o?HRm^)>RE;9az_LpvW3Z_8LxSu14ZDuKLvkxh`Oi1OD= z#742DnfHPTL}~D6JGV)P%g&Zkh=_Vo=dM!qK47-+iV-n4$zOTTETRf1B@3FG-R<)b z^-{XI9&*eD&aqo}lu&XpDK#(Ce#xq}P%fLPGUgIF4Z{krOl?V)70dCpT-lb}l||{t zi{?)Eiy=VPB;^Z2914r`LtwWYS_@&<6(DAXgzNgo$aVN@&IWGZnzA{Mt~J)T zud90-W;t2qDk=G^tenX)wu=KBIsMahr&VS-8oBA1Uv>P;dttB~uXa{Gu1T-((RB+5 zSh#Zi1XOmXP_{rHTp#fH$uU1;l3E{vp136y-cZW1+5zXkJQozgI+~zD$M;OzcHvz9 zvaHi8H*lIWxdRs@hq$a44Cey2>n5YYZ)SlTGpX!>8|)@?oPisX zLkpLePfAfn*%qS%6@oB8kVpaWqj3ZtvkS$RS@6`adq}1Tv2=w~3i-*cf4DW~#$EOt z(NA!%_8q-J4A$YUwH$gFljbpmebT+-{ep}_yKVl&{;%as zX3mMV9V+PS!70sWAElBzjasnFne;1HlH~4v_JY!ihY7z_!d3py>RRwmX4RLq`-M@ z1~tW0P!tp5$XWHNw<4Ee*{!KpZ@RM{rLVx!UxP6E((WzvJ^p4MVRxmrhjuJ%bRUgIFF4jfKc3nqXb_IGTw9W{Kv1;Z?*9V zH0_us#Xk_ww0yP!w=>zAr?{LVH}36>i&-Ay?n2A$OTdqJi&0$ch!DiLcP-72AYuqQCm!x_l&Ze*e{Fth`o`9~BHhW$U`ldHDgD|C9CtbxKvJAH8LdHC@ z;c6%8u|YtBbo>R4w4Ih2XR+(Q+<5GA1lxBl*pyrZv{gK;_{$1KaxQXZ3zByB!3146 z%QznP8f9$tH}wdB#dN$;``;fr10}UR&9e2(uG($7=MlyC35AB+eG)mVu%Z3-(6X=0 z5_^+#@Min;cA;Rpgme^~Z?T4ihVhJxOVDn*sRuywlvXcP-c$kyJy2#n^&m6@0hK{KD z{3)RDnt3p>&SKsBds#~5#_h)Mxxi#eCpRF!y7#k$l?%vMWq*d1f^eLQ#>Vrj=KyYW zd*M;C;r?+S{EJ`|UZL6=o`q3*G?B7{!p12n#ksP-E9td;1k=>leqX{^5*W9NvN0Rtu9*oEku%OXNgRFUaqDBF zqSj+s#UPxlfe=kqJo{+^B=zC7mNH!mv$qj7>71OfWE()z_6W(P$oX^GgiVm&h*dyU z@JKteP7;*qyCig9BX!l71c@Yn2O5tt%>3&cOMgydK~hzEMh&t&#sW@xq^Zu+0M#15 zOtbIVYrXeD_v`Mj*~|}a4XMza)dPbI>HUo`W2d2e^$QP#;pOc)C87&8;csaga^`RT z#l!ovAPWS*pSMG+sONkHROjxr9HN#Gow6V^ype+l<>wTIx}eJ1`1U!1|J2U3!eD=R zhF1MR()ka6PEE-F0hS*EY&WOx_sLQA2OdZMAua2%74&6()NbXS{uE;Yc70wYl>4D0V2$UlIY1@sTZ+A2&QK(Bz$r*wDCNazw~Picpyu z-T9aD`yiyQg^r;ViT z*J_+@qGBQ_X7S$cjIb5QcLIN=r#D>k8#w zZo;*4%7^z(m~*tDCUZ$+m0?cjGMQf-*j$Tp^j#V-gWkV6;!Y6Xn36W}mJoxKrMU!o zb~Ico`P=Uc1d$Nh)gp2)lRaMvgKC*#^X?Kj`sgAo8RZ!WqN`}3CL9&$#34K zb>OGm(xC5Ga)9j-p0)ku?9$}XIM|7OLv$D&*mZcU=e+B-mNQ-zo!MKHi9KynKF@Nn z%Q_H~{MZ2;<25b72_q~2%E=*TIU<2nt9B!dLzdn&Y(*wbq!~2{=fzJJ{ixA+;+#0d z+({4*8F@zZs9%F`Lu~`VHE)d%XlnxlsJ_7QfIU@|mjT4e$1L@(-EqjmOhpN4HNqy?-OVc{3da zJ4D&_v4pI6eT6~%axK?Y!D{mUsoQ=V*t~QA_LLh7pcT*U-1~=A?T>AirrBmVwqcGVe~bUFcpOR2 z1}OAuSfu?TgCJ}$$M!!cF?`as1@id)t3KhTu}Jw10e=C=e(f&JGB#(Qmw9f|B#}>7 zbmvv3_{)08rxs+ko4V1zhci-Mh~!U1L0+3*$NS`*_BQ@aei*+Mg zrCEV6!H!qR05YXbvQa2g1ZvVAVhQp53@^dQ8QSR><$@`&`oXK?<; zVRX;$wDp1=;(Q_b4-xX~8ZpXwc1!5H!P#>$>F;#a4zJJR=OAAz@V4LK{o&iGYeMkE z_nRDfMau{93c8~AqS0h@!g(k8PY$E_!JekQu)C4mKS}QUTkRga=pM|;x8Jh4WhbEk z?i{#mTA_i&lu4a_8Eq>V#C#fy#CFxR!+;UC2b80%r2|UQ!a>FnC%>kLX_`u!(_K+C zW-?CuA)TTD8PA&WFyQJ~qw&HGg!M}=ao7G$%rj0>(g;OHS9-uV7v=u;h!iug}$D{`q>3QU|Dkq5P{L8wVZS% z_42l)tymq3POk0FeVfrP7G!p7Y=gM|p55ZCKc?)j!0`_?vjf%!?JhA*7#=ZfMXZ*a zrRR0cS*cD)e}>fEC%CgEjJ4ARY|(3yxrQ5`5lDC?u*`rJuJ*<1hw@qCX&c}I-eut$ z(AgEoDiU9bWK}*)yZCOEAv3h`j}8{z1ba>i6Bw>bRW%FiChSc-q{|VfEuT zjP-TMg!AOxbVmGD;lyDZ`>TTku6Y)CnB+`T7stMS3W?li%3KD^7{u$w(|2sM4SA&G846ec3E| zy^+v<0|0Nh5cdaeb%QNx>fgGrdz&vX0Yg`0tJ(Z@Zdd2Y>9S-m!XkKIuFiTZML3cl zSamL0ZL^zyx%)4v?3`auo>XVQ#cqT$;}+|87ShHy?^ZZy@w5M8fOVIv+2Go2VNGbL zNsnEm9K(mo4(pC)I8s@mVj9B_u&+_NCo%u}hPct4SiF}&(KHvfPW?_lmL;ljTvJP1 zho!5hudZT*W~;j-%>~B(7Z-Xl`XZha@Mm2PI)5P~EIfi`AnylwT}cdN#Ro)15>(ZI z9VGw{07&LZ70~jD^0_s2@ZU@c;s+KzZNSzNhDPHi zEK@Uc3s-lmV;p&R-rCuFQZ08*5zpGF3A_OWB>U9CQ9J*D!2Y1%Zu8I{|H!DZ7y$L-FmXiO0=bO< z0D6mW2MR!W%whk49?~{&zhF24fXcBUW_H+-a0Ji`y{L?mLHf9yx`B}0M8x;9&r@F`+#b%mF6zRUw^9@dPyX_(56vKOvmLV%%L~Jy R%|Q=~AP_?HL76Ay{{a8W!*2ip delta 27504 zcmW(+XE+;<*Ues5ViP0wo;7Q)po-XPj}EO_60}A_P!W{s_;nlIt(Mx-5}Qu6)U4H! z2#Tsr-v9I75BIrW?zcP6JN-QbaP3Z-JN~Pgb<)9EQV!nzlJxym z0=>U@bzoiGj(nw$=uC!6zgg8amtMUhoUSNk=DQs$!G|uvZr4CL8!@ue3U>$;DZ)Lb z)LDWK!2>6S9veUYz*X;#q~w`PY0um`J_S6!F{5KXM30~%C2g0~8MOm30 zm~sCE8j`dV8;qwN%BUg>Vd}_9`(L|hKN!5x76NV>LnNBl1CI^;mf-uotPYVDB11}A zkv8VLEyBOxDrYHMk8b5g1Kiqqn543Mk|c744I?4hl`7k#u4e{G>_d|T;4Z>v?(;Sft~RQ`T=x(R1MAY&$HzTqWD#_$Ci*tR zoMMfB69AkVQ!_m*eZANj>}$QyJ_j0Cn0#VW7^%mDnWU z*+0l1XoxzEo5Z%MBjee3UC4}1YwKHBNfWxkQ(JC>T~q!lep8ta1x>h88^qdgD|hqJ zK1cJt3&!v_bgNW9z}}#BTd|e<9LC}RP@xqVq1LbG7M&ha+^PI~U*!bi^h}2?%X|`P7;n(bB@*}YaSoPjVuiv+luWW z=LSbNW^8h^J*;#Z zksEDoP^E^~ukt9&vG)Ta+!2>dedvT^4iUta#$9sr?*{5x%K7C;r?P5}h(XJn__$$W z3h3`x;g-|l(`DoX=W@luqvOWq=^uYPtEWD+?WFl!&o>gaF!Ee)+C%R{;sJ#dc#v@( zFSgFs`#EDYNK`ej#W`Fy>yQf`PM_R{9B2V-0)WNNBnlIebfVYwzL>Gs1k+!XgD9a~qtF=wD>r>}+lPg>|CL z&k3k~A*YemW+XPV`c?sl)KMM3J=!|Y@h`iaFYole7`Ji-;-F9gm@g!j`UPu|yt)BR z$83uv>;>Fe0bhG*l{%dQ3aq8N#^r>bdeDt8xy|zzj&XwRU7+pW@I@B9?b7|-I-I_+ zInj=O)R;9K(AJbFF?uQkMexp0_ciYSvrF$L<5v+hvsaGcSSwn#SmX8NBCOzl?845$ z>?cL;$6&v0EXVApw!-u?)kCNmGloRfWenlT~tZr#&djD+*$haWfwTI(tHeeoyH9i znmo61#0b&3syYb)c}qFX<$iL`U19*x8s;wmPC_vlE4MxN*t7ljz|kc8hOkHPJ*W(c z$Wm&R)dCW6I(I?VZinh1&G#x^fJ}qCzu=V)j(OX?y%V{dJoflIb- z2|fJGb@p$TF~QvA0u(D9RBqoB0c&@ z6k+UOO;Kjf(dhNf#a`A4->j^1t}tQRV1OX_Gur<9*5Ek z44Te?a%b}z#m7DWoajOj`*7eK<{S7)95L@c7y!?HD!uJ!N;Q1gK%!U+M1@{ zW0QfJxw|O@wQaL=oZBIWz+^*usHSRi2+KyiwEWUy;;|3HkE3pdnO7LDhAF2Og#>R>l9djs$B73Yf@g-rSkYxDGdQf^JO zcu=_glep2qPR<9@yt9rg zm>2X)TV&PjAbNB)_x(3b@j+TivT-L(x8 z^G(1yiJ|>NR!(;9wJknNmA!jHbZ2;z&0{OexuQ&&KLy+8E-fJ)VPUG8<1oyzJ5Q}j z$QS5V^6R=z{jOf}(VxG@_KaU!Z}=K#?92LYQ&aL4g4z&&fLPq)+^B_*u8_Z;8#la_ zJN7hM$J7Ey4dkyR)aK1s$RnrSa7f7Z!)d_L%pHZMTHjy)bq2Y1Sc(qvZOq27nwe4^ zs)Co@^IGi31bSDqi$hFk;!pMWx#FMyZ#5?UxWDe#&9f1!$QogN73MGCDbbgk`mHB;j};F+8#*lBfNX4GXfjP?W46a1 zE<5)d&x^$=Vv6&RDMQc23S@~*&ATjYsK9ozMhjq-A4nN&xQAVjBMHhpxzAU18J%%uZenSoEQsO(JU<< zeOcG-VisA=L_gQRu8FDTnmdu7gntp=#Ukj}yG{PAWOps+9sOh0>o0bGE_3%%EEalw zTVzXEo?%feW_#a77y`ga&tEe?K7~L1<3RqDIruZ8|E$PR-e&nra_SdATVjKP`KaL^ zi`V-6J>NX^ttlS?XZrz47JrJFSC43|LB=-8(KlO~*~upx90HMG!O}xAg8RRvTR@Y#7ciQg{1m3w$SVQl@=FRgKX0H&-tA;jdSb=G=>kvIWed@sO$W z@V2o=1>JL}kE+>Z-xwzs4hah9B6^CF`ECs5*u4}VbFC?fywIi0tDzC0YByzh3jV9{ zOyXIXsac6tyoyxdlH3vIo2?<|%mmt}EUx&`&91PWw_Egzjvdo}zYHfIAk76v-i8(Ym5djB3hYXf3`c(e$=Ikr%(P%-&a17>a|<5pL(q|_?$xVcY2Ob_V^(whd7D8%=#;Ow(vV@{XaS3R7cRj z%oxo&O613~s#z=fHQt}V^b~a6b42J45An-c_nHDSxW!{i(c(~|b997oB37^P!BDW} zKbsQ4$79O20@BQnHmgyY0$CY?78F8YoI@^GJ7}G~lRLS}ZrE|wGkI=MQ?xHgpnVe! zvCPxIx?UKvks-Y~uU>QLZ#~^r*nZAPnwJqmH0Oq`b3YTKY6z!Me2-Vc>yM+j z`JLPp5LtIKpE>J^k1LlweqA{cuI=ecbF`cDMt^)aJmboBB2{kfK3vNC+~};XhD_TS zHAVzuMafVc=XZ_PGUs|dxZti}>_3kqh)Yp6HbXlG1+R1r@N%7coTuEtPTqtT`ExuP zKkvr;2M+oq>a*d3rz*~VSH;gufx8n`JTAW z*WG1zH9)KTs>_$%sjS~u8#}h&U-cXZ8&mZ0&v_NhFWp;q+ZFDum~}Z?1b9>@TwFJB zgq))=uhfDeI)90^T(7m6RxJZd#t`JrA2ZS|dE8TbR|C^NZrt1`&recetZ~&VskuKy zQvPNfDLIMzA);n2tAeOA!r5qWZA4{q2fH9@zn4}FCG3-9Dt!siui)CG#4J@_sA~5PY$~ z+Lk32kMj~lH$#R0MxT*u14D#@Kvfm~@LCANOY4)I!Mm3@A34|O9}c)i>PQ9|tcsLN zijsGF@{iqfhzExl>jKBJ_qq9Fl)cg9 zU8X?z(39*1Xx%g<`BwR464?QAcO}s{+2!{A2P)xr!5+&{b{go$!_QE%OJ$<@WU>Y9 z!6eZPQblB2*0oCm?Mq z%Hbrj!pS6C2n~7kkWM<^hF9z)!9I$PZG8vHfs)N6iW8@gCbyK3`SdkwaCTWmXracV zpp&7+pf;--)i+s9r(<#wmm`xSVbAk2Qrk>bn3mN6NxHIG`y4|~7`q@orXJ9i^CS?L z&_>KT6li;_5UvS+Ric>H@1tt{TQAP=^k3=Vn)u9vebIwSu%5}g^5La#bCv6tI zXniOirEj ze2ykcgQ`MSg(P31^=fi_o}72gQc?#xc|^!vSponswi<5Esy5s^cl?#O0))FMFujD!# zdl@4%RQ2?$;U7y;)uVcov^(J-Fmat=X}M*EXbF^6r&HWoM}S>QbxfRx>IiUGa`Q?R zS=W`T_Ed$>(wg9jxRF+jXEoEl`)0*wJJr8odg{C_3VDOM7A)ViJzT_0e#29%2YhJ} zg8U~64olB`Q_O?~xl?x_P?ui*WnkO;dsCUMR^Wkv6yy!{?u>E7>P5>&Io*qZjQ+Ow z*GA%$ZuU|#gHgph7j&(a>ih>31?e8-;#3$wh;O{G-^YtR(tAkR`C*|XBK7cLFxutU zikCq|fvjBZo6So%0kM~iUW>54E*50M(ahY*3>hJ+SaLK-%bSr!u?h-PxJrUtc?4o; zFo50%Wu?j`b~>9&oI5%_E7C0AMHX%5u{B$={EW;9KHGbc?59fzcbOy};v&MM!xK&wd_AzWojNViBkyqI{qqM33)Zgv z%^icjq#2#I8_jY+!Xh94;DW%MYqRwXoL6hhxBaM|QrBO~E_3o5c`VSq(x#{ut_FM>ztJ1mwgL%+Aqr56=b z%IRXaitlkZx%E~bm*)1W=T?qRj{TNw<8&GEOrp8r8=XP zC0pL0gztDoK8!IH!enZ}t(dwqQvdiq0$&4!#`jWd<*0uR$|V~qjHxUyMx9rGuo%Mf zndL+p?+ZWMiF#D*z!0U#32{ztNfa5l9ZI0SO;gQd!GB4eg#Tf|dj+|U* z2M-1iM2iAHdZvg8S`^vnyzS;KY#L74g<@*{UuM(;CAuRw8X< zX3c;=8J8w;QycwzkAAc+V)3keTa2_wS;=&Cb6vUihU{cJ=LhE0AD5aRj6)G|1&Co< zUiG)(LLkA*E?K7Vb| z!xKU>H_g~K3BFnC?++v^QevHgJ54w8l$l)ndVeKr}{~{}A#1DHi zSN13tS|ke#p7DGot0mf=&F1jYsqd>cD^9&|Ezl^$5lqT%Huaa%6UH339C~Ut8IbVb zA?DV%AyHdyr_2q0c9wdFskG~#5~<-^ui8Ty6$PeiYraC8>1SJo4vX$>AN~*js|-&M zfodZrDQyA@8^m9=M-6{BK0!q}PFlalzzmoZLUIWz#5WFqaF+^tZ|xb6UVR?TvM_hbw$@~ zHF+b$@%!>t+y)SO>70uiwTCfGe&c;dR6QZ*6t>CGCcOy-2_QE?j~a6tyO7~K{1*@X zIQ4o=UWa1bQe89_aW?@aIGZnee`%(zD}QOX2Tp8*$C!lF;ViNg8qC zB(*P1~>QsFw4g1aiQ{srJpj>%~K`>L(y%E>pQxJL8Mn?kcr< z^_ofZ)l9*g_g>QF;v~)aX3BH91s_}^`F6miA*Y@>Uy&F4$I9NO1wI!O{Z z+=HG3Yb4KR1;ZA)nVZclyG}8+-}0e0ZBZqq(At=8t5)MB|tnEUD)h_rI4N zS7?FG0@0GNC&x6T%jJ$=cfP#v$b?3TT8}oSo7(ttS&}7?1YM!!qb_LH0`G^r%7#YX z0Qq*G>*7eQ8qy7ChZR_}*5whC5~A`dhMa2hi7Qd*ItTo!4IlX=CQ;g1?k__Z750UE z!$8mo;V1T)3N)ihu`1CtHlF*?FkCp(-36!hWTN(D)kN#C6 zW$~hwN5Jh=3>E;?lEjz8kj>YEoVlV7o}TV4p7;PefYDt1vxG;O54~<RI$OnW*w0A6bWM325kj-g;rrhAbVPX^Uij3!nrP@b_av2TOJlVkp{;{bCKkWL_| z+vtdizL~w15j98a!0l9c;#IQdQrsym-7JgcxcD>x?-8M+^-25cxaZh0qhUZ_h_vYQ zSA6JMqwgIQ+TfEYJDIPZYhFX?>&t+K3b?tWf$Q5Z*8JCJWQKs_wEX^JySLJ6m9iDz zf~DVClIAbfI3%==g^B1s5%tfqOO`J2H=5@?+!~V`)a$%Hzpv1ua#@6o%jRnq?W~CO zOr@@|-3j)tvY_cCDN_t7)VT73e#Ip2j-b*!hh;xw^Yt_MWsXAZ=Pu!oeIsL>7Kx{Z z=Q)21r)jb8#YUr}I@i8%>Lk)kghcF+_hIV{U1j%f6Oo8O)-cKevo`xv6cNmG+C-PD=`#6 zY(y+x@XVCz5DT%$AzD&$4n7Y9S7!~D20tEgZfDr&O)7NqoFV$^7ASv1zq}HOU&0Z4a44QVuJNT1`Rkh11n;8_x!kQ;&Whs=n)xz>5$j7 zRf)T8(!HDq`!A;7vlTszi2bCIXY*g(_pj_OKdAI#8X zS4tRoyif(ZN;jtmeLK<1F*L3f^0O4aF=b|^sZ!E^u z2W#EtSTCeRo^5y8m~MFa*OJVmeZJ837! z2`KYxG@Snv32HZ~*`s}`eX%DxZ{4oM;KkrRoqt(t^jUb9D_GK?RVkgy7^96`lYIEd zrzp!+Bq;;Z*YWKiXR2ytM8U^wxCD)GXU5(BB{e+pb9W@S`iiM@Or{P2D8#pmEYqT1AX{6r0L(A_ICTen?z z7<#HAH6B?3{I3F{ZwL8(RxE zV9yddbeS$m=e|c0w5BYNF9$WzzeG-)R!w{xDa$Z8c~VW1E)npWhnZZIr%6#dDeE5e zfiK6PQHzVmfT4M9y>-37an*K zQg$X-?_{Xo7W=X28PwIQYZuldKsO3K2>6Q|EZ9~=EwkWhzt~CdZnhDrRHP&SEUk(zY$El>ijT%VbEPe zP!x{|`s)95Z2(geh9?Y+%CPjOBZVL10sU>KD5sZioohyYn&_!C~j3tuXb z^I`b+`jz?CAG#8p4_ieVa#_Sz9AtuKjl51yseri`c5Kzp(+vdn6v!KfLG zNePmeonn8hM)bgj;4%&Oj>zp0x~FJwJ3(a&>4FJ2A{i8r;N3|6b3WRCt)u}Ccgy)8 zccp@5^VHktY#;!+yKd1SBHlPzObrLS+n*uJ4*)2PtC*5OibK6%_F|bhSbaqQ5~T~= z{Sgd`ivZ?+1y9d9f}Vb2y0n1po!^G3)$%iE}6FVi@(cUv;hqfzPfZ zp&jtO6Ha@7;LgAmI-bp&J?-%A?yiIry5IfW>5C_)%^R`?ktG@Jw1EP-RV}d1jnkm- z=@@fRD0yMLgrVpWq}Ho|#8Z$nfkJD$5|KIFd#81`lXq(i!8p>Z5$Xg5EX6 z?9uI;O(5VwxA9-G;&G-1T=4W3g9Vo8y#J?iR;&_ot<_i;zk7RiCT`<)UN&kVtCIo9 zkVaFzB6Zy^@l1NnxG^*!*sC^Tz&0<|#YOV-crmX`*6rz#)!PW~htXS_)?mX%G~V0x zH-7)EJ0N#%2N*8^r$;oaExdp=X1xXgxP_wikoRVX-APg!-7CSjfuabeD@;A!ReUz6gj}kzgscIQo<&B#$nX}GS}i4 zH)R_N27FaK^is=!0g|A7)o@rt(UOSamErX|hXn@cj#7;TO8}^|0;F}k_C|onuvSQN z^eo+|nFszPsksV2Q!tu2*dpkPk>_(fBqn(!D6IrbD3&boj`cYHj$3Ngq8MwNptUYM`*cT?&$ssg$BU(FM<+z6?X+2<5<4B2_;#Qs1( zrBhLzmLKtebgHz*dgIWAIxtY>Os zqNTs5tE;cA4j_|pZa&A4<6@Ta*Bw|Qf_pM=WRmAlcMYjKIk~45CD9r z_PtvjjKfM1YL@_Ip~;dagAG(ZD3sZUfT!+aim`PIDIyRked){4B5)9YYYqUFPPL-* z#~)*A^qVaVCGur9H}9m2`-@Ia$_mr7CVEc*i_Wi*8HZf!hr53{6X3CDfhUUN^~dUu zaX-ox&J_2xXK?`qu3Y`){hCAE-|Bo1jFC-yz-X9xGNz57dNPQ9o9$6s@fTdPr~V9^HzSFrgtKzVgFTlnE&Xq4d97gqHBbA_F_JyNg8@@ z{f$p6K9h~OMxtN~WcIvzZp<6r^&1+cOlpDvHz=cd1cnI|4#N15|5&P&AjCl{oroRL zp%pM!uN+v!t&=}GC(4VKSS1QhiZUp&=|2yf<+Qhc@QN~7%i5eJob0TZO8t!HL>=y0 z2V%L*kNTaMQzN;ZctSJ!tgOh{j>bxq5E5PqP}6z2lS}RD%#j$O&fE69Z0Oh}R4nDx z>;Cr2@!oDMIV3A+iNUH5$2c9ndYjeB3#?LZvwgT|L8I9>zzgrSi-P%uM@R>VZDJ?` z0w_y4a_NuRu_y91tzB^PkF63StVb&56$c%=x9fAUFN-Utu{*H|2PMVNIEPTV*67E}oYWMZNK>vz2glPEvV})yc=EMcS?qAG;Htlr3S?a|x((cq@`z(E!83=8t1d{m6V*SItWZ-N%vl4hl>*a33G za(x_Mnul2KlRIM*o=RSYhh^RMpShJ86=#lKu9Bd%$}b+~|4Sg$=e$@mTlWfOKUW}I z5<^a0H&nm)_|V$ed~ieE(%Sgn9w$F($Wnxg+#~vL#ExT>jdM122bj`BESCm{=CVaE z#I5j{Jif?9vrRZ@D=^c9VeB|M!%Yd4Uu87^PnGo;5dW}jRjn_gv`BcdVg)i~mZ&7z z%=a5>nMjfD-Cuq9zuD>w!gd1f56GhH5X`#5)vP9%I3S3Tg;s{naYnpUnpxMmp~@OC zU%@S`sJ|d7)Ke(iu&Pdw78MZa4x?IT!~D%5%C86OdCF4<h zMFb)*Ad&HFQ7R?Zjrh2ymDKMeBUR8HB0M?o-wb->@0e*2oh4qzDs)tl7saHg{?%60 z9G!mxv_%*|^V~T_SiJP}{kfaKu8n7yAH?Hv+J2*S-|N!v%M{eCBnCNI6IdWX<~kzh zldd4VF{Lws{kgd9rs=nIv^;iXH|ZHNZ=dYh#egBWyli~8+#w{g?l+ZPWk~Hi9t)D1 zRBMB|gp+^AwYdCCsV6f~Wm_#J?C#paKgwi~s!hAVL z67}4SoCf{lYz~Aq7@aKzZ2GWEgax1A<-H+vk3cTlIZ{EdQ(8cn*2`Z7!{&TuV+B+k z%vaVu?`mP;jB|S|3mRa-9uy7Bc|K0&f1*C^j{*klO81kYF->aPe3>+GM{2Xa~APu@70+1*%5F&rzCLqu?T)xtlBX!wbQqqrEa z-;Rp0J%$@MbuZm|HwFT{H;I+cvuJ+Uz;k@gwu+T8&BSDI2HDgiBJZWQz;f2(&092`3skzmY>Rl zq?^thB5@LvfY~vuc{9a3!Uk%$HwN1pmJ5uSq@TSr1@vSlm>xJgD2!@6dt+Dk93UbY z0pgBlOO+@tnbSIXA~#ORf+?SH|Hzcn+yDCj;G0{dyaPK7d_e%+fuO_}r;j2k4RMk# zv+GUBb5ON{7?4wsmV)9GoMXq)De9`XOkM4Wy3V65fyx%r%@Ss4a74rvrI%QP3E0}( z;JkfgmA_Lar-7r|#RF*y8(ra-O3X&6ec?k9O3h^F^K{Z*$q9TrVL{ zu?ABRj|;!>*j+eb>?Hy4rlO#iwh4w?u{P()Z*-4;3ByzD&MgMS&o{5gdZL)$5iL!Pl7AH#wLnSy1HWy!O*aIniFSUi+;){fwesXCn?ywz!lm` z#^0&!RG;Dzf+$+0t96pg7e*0&#q(^`bytaOiEHp@7R$y^0LM0<+~#>#gYs=dX|<<^ zx(REz+4$>aFUhm--TOK7f*eKkWb?0_uR5cgK{3vox}oClD4q9NFMC}njEM#Dz$1;x zy6WDPUhX{d6Ix{Vqq$_m141iN*%35!{?iqCCR5}4hTFPChw6?0Fdf$JmtW_J0arKK zaoi>LF>A5=sM}jjb3%9OU2HA>Dd)qZenZ}r^gpdYCP0fjeT`k~I=xc(fcbSt!&QL5 zz`BTt2S9R#=W~1Ua7weL^ywaoVeL@2`cSRTd01eGPrssq_%1bw&o_4pqIBJA3&#p< z$9L^MIAx*KFMfHIA^;9l4MG>bfZF^`2u`j@7POz2N##$7Lgw}`3VCQjFF;Nu{iM3M z1T|kxseH3P*9YTc<$7cibSd`j#~%Jxh)(H<9^!a<86Y;YP&98W6zSoPipVu2uv)8j@ zkY|7P+RXSoS>A&;-+68|SGlMB45Rv#N8dNIMl5Rm^2j93QoKqvt3@F2eS)Y0jH<|^ zTQT`zP84>k3LyV9kDCyw#*3b;B7!I{*FX3~bJO5(rI-U=SRQj*@|BdlbjEtdd*}aT z>1`=QF|mHZBYJRN+Z!W??!O=8bQ{;0lZy~X-KTA56skNqvzYk3rrcUk`# z5%?882nh|eaLerwKZl^R4<6BYS8?@Fmq&llFHiI2>g|h~bQd$t0j@Iw+#Wk}GezNy zxJbdL2j7aovGJn~Vuc=y3p@)H-f%!5b3I6AgBGGGy!fgQ^0X&G4C$Wr{<7HWUtVU4 za$dGtLZeU|Xz2Bj`AzTHUjqN~Izqvtf!j>AxB$*;R?3WK$d5zp=5T3jX!q6H+1zeD zomRhEIQo9viT}_VkaY%*JAKt+e?#s zJS(N@%9i7{g--Nj(3`LlFf(NF2wpd$)?sO@tE70u%cxp2|A#+Od2j};YYOrq3sMUN zbLvm|Wz`tsF3l5C-^vKr2j;c_|+#v`#zB>UUrX zfb}kyOWk?b3P?f%;?G;}_;V&f8a_$T*jR%XW;|gY|LJNwhakq5P#UVO1s(NO9VFRA zo(%Z%Ew`50L2~ekfls2>qIPf$ONjycCegY)nm^h8a`kpI1y-)zwuFFQNiC9Mi&|oXTd_Ig=D2p3_bt2u@Y|?f1(x`nEGDxsGWN;a@O7 z|DSHaC{wD)4_GYkzbnjF3K)ue?|BE_#fQ==V`6sa^;?2?A&1!j8~|DBc@BYk@CTALYGmuJ9VM97AXBNtL?T%$0dCq^Ahp;Sd&zEtsLBBP`;d)Y z!~b+Db7ut^pest}4cmPyE^?Oajt>(opzL%cT>0i3bCs2ECc=xSvKF`6j)*TSp#iIngw51f62@cJE(FfcFhPe$>zBV4v`0>O>EU z+kMt`(aPtU>SZ`;N!f9T;Wwas_0I8aiOmRfmygN) zF5kTDBbZch4=juNbqJex1o28nBS|9O%y+=W7hf;x8gk#}kKOvS0{ZVx6j?6&JFe_) zNw4q{sH~PUB_L6kp@y-0YbiXtqjuKXhP74!ymsst;N>q=<}-qYWT(9HGpa**%`f^B zp4mZY^w3Du?2UuWRSMmtSUcbC`@U%Aygje}$ZxP09Tg_Z_jdW4vKfzKY6b;h<~HAk zH0>mAU=U!~JWp|ScONd7ToV$Z;1(V^7Pw3$qoEW+)xh%Nna;1G7TtxTr$<97{g3S; z#JR#F0s)>pdOi-8aFW~G07&x#JFc2I{C#fgK=MqLThshOjMoNn`)7CZKI(=b_1EMf z7-1F%N)~w7nbFcj+0KxCXb zk1zgl(6b7SIYO9xYN|H@h_fgS~x7^A23vK2Q`eM<#;ay>xmM#`fWY|!8-tJY zzLT8E60EZ4`*h#A+SlDAA*zemGE}YuIw1i~t8IDsgS`Io*XL!Hu-sZ>WmDy(UZqwv ze`Xk0;aejLYCI6h)4&-IMTv1M}PVb+&hK z55syqlY6mV63}05d=AV!(epyl%GXPMj;?-NZZ;gxs5~r`=dzYMONjnJoJy)lYsb+_ zt7;}0{#CipFZDT>)$+i17|bCFX!ST+TGNW!t8F*S@1aa84Q|OJ6d<-x- z`84-&DhwL-s!OFady7>M%!$u&+|nqkSuGLNf@e@#%Dyp4^5PcKr)IAaev7?P`#ZbH zS9mwC%+xMc4lg`=Ih$1pr*Z!^l|-E8drmI@RwmFR+rsNtU|T;_%Wa{KZ$c7>Xy=yp zWd+#H!k+HLPEkcv_Ly4p-T(Z9~Q|h!$=Hv11kc?+gVv`Tc7SmU%dnbi! zUj6k6XQrz6JSVgM@;0vY3eJm}ZkO=^@&Q$EpqQBOcLsMRHSL6Q zI{lUdp|+)QejxAsx#Y~B`$Wx-*!xyQ6M)lL7`~V}%WwPDjq|f4>CWc{j0A^b#B80* zcd6_4zb?@12DSG6-x{oHK# zos5#hqpJXfBlkm{16ii|MZXQYl05i(Vy_DTjz-oho#>|Hl^BoIvno^xm7S7r4iOKY zj1(>Gf*g!wq>9ba&pX+>KkG|K0V+c@zBt-tdppQIoxXY`Qc*vK_6>`c=6~w?PCTZW z!Fy7IRa#&2DRwtP@U6~tOPZGVm^b@J`iEvGsQ#hS?#r2q?Uic?qgNwc+&cPL z@71i$FyflHOBTDl&b|(nRuM9Yoq`NLK~&faOv&;Id5ra>^&!M0|3?XM)X)=W-8L(- ztcY(CSqBVq4zwj5bJzJ~Yg$tOrPn>24xD(^V@~t8RF)Q32@eSDU~iUkXv_(|i#$=N zmfk^z{xASi_qE^p{~9{)c&Hygj(={S!#SM2XZ9Wy@HsOaQtFcW z7E&QX3X!sBgGji{q&tVSkafTQeE<3W@AY`SUhn67TF^D&U5=-qSm_P_YQ#pX@A+w< zKoAYor1EJt0?v!SJ^L3;m2ODc8)u_rrt=Ayhqbo+7die|_DfuQOTPcRvJrj)H+Xs@ z%S|9Ve_jAS-Nn>C>c@k|Mi*=_J9FUH#fIvdud;2JIg{_n{ZDyL7;%_ieF9FNqjHpp zP42icWLulKUpjmr-fPDu=q=BcyB3zr#jq)MHabC_>hP+fLp0#vgxnAMfGU>2r z)R)ZtysukVspf0MWY8;ZL&ki_TFHaGo7V||))KPJQ_y6r5-)H){|LiWk~1Nid{)RK97>^`J2^)4f%8Khey4K9#Z{1_%nS)2Qn66sWdQ; zX1~I&&8MTFlQ@y8yXMWy2ksd9RdngLc^aC~enF_d@V{A52J^s5s0DATWqA6U45q@{ z9r>c8&YPcMde2SntzG;fjGX`Tx|3fqoyuFd*ELi}IGU9oH&m}#tA)`zO!e3KA$6us zP&h4Y=FG#S9?yeKYq#4k6$xSwb4Vs;b*0^Md!T7}yrQYYUc8&>i3ArBsSTq9HS>5R z`n&@_J;A50ZaH7h#6DHAV8s zU$9Fv6tO(l#E?jN_En?djq3??iEyiOa%D=}=pa75r^tmAe%vYNedZihF>~`$Imx`) zJzD|ly;Upw<_2(x&$&KFru|!u<%x6<8rdbxU_eF45Ahf!tKXxS#S7q>?0i4#N9sI`N141#wMu5DOTcv_|u2zO?ul!qA^&43=qbzgAA zo+z8cPtD8-T{>#xWT5MLUWS=mf8liEmgn}49OJe)JX@zp4nBR^_7&&xJO5W~rM>{d zV?lF*|7YKUgWnWK-`SF?U;`dVHg|an85@lDEsDCUn#8-v)gxzuW z7q))a*?FB*)tbk}B5#sdC-xfJ>b_TVSyLZ`?H#~bdV6l3!m1i63G!4>V$wqrLr1F% zVV^>A!vc5>;pd`=wl)|GCM}h!h(2chD+W2Q$H=;~#e|O@jS5 z<>Ys1GU;D!S!X2p%PzC0KB`rPC4F4|-VrO&`M_MyBWN1yi7>1LFA$EC zFCOOitrX|sN7I?$|9)r*m6xy!1N$~m=tKRs?#+@vk!Z%&2J&*}sdeO0>(zHU;zq$M z#%E1K|HNyU67jFOt>e{9EvqTMo|yAi?|56|ZMGK+`}`oro@I;} zVF|q+ilL;NCJou_PO&MKxI^UJ;K?=%%nq++9iqInpNg-WlmBzW1+B8UwrYIgnN+^ zZ!wl#lj6a)?5Em(ZMRM#I)?mwDrKi_a)I7@FVbNN%$c1is#-S_!u<|o?Y{!630@bE zij_oz<~|7HxLcM)N*`0J`4krD56u~NKlz3_&8cjxa~J=zrS7ysG0j{Qk~+4U*^*H% zQDD)qj&?(NZ=k70w4d2cm;b?NQj$5dtYX$#4uZ(Jc#WOM=H8m~%SxxtDJTRTWb#ND z>f?5+xejRZKWUF-WLYy$_to7e97fgsX`nw#HAq<<^PsvvDL^JL{bJ-1c%Q^oS;71H z8qiB61Z<8R z?`Ime5f_panx-JU;w8|3>YB(<0JH?@DP7zx`Ud4^@z?j$j;VgyB6Dt=Qderq4VJfW zFgte5l^ZdFSz}39E@LFiNJ8oN9|&t1qK3>tof#7giFIxIzDG$$Afqe<;A=duqtz2| ze+8k>knovmG#q#*c3rTc|F3QKEhA#XZvW~jbD_wz1fgnmhE}Uaa{#moa>tged|E(u zYWq>zV})aohe&4$p)TG(N81qKwlnJiETY3}IEG0d-J@O_ECH$nEUEj8eAN1-EaL>sOKf?Vn1ukNye`dig zLHmM)Mv!j142GF~Csg3*=+Vhigvh)VCdFtFBa67x#Zo%S=!Kr(b_A2nEvH*lFXlsx z_2Pg^XT$nD2rN_}l;B*u<_rxs}=BtiM}t^fo8uM-lYy)8sZCvt;&BMDIf zIi;q)6}PAlpq#P2#A~Lulmc51BMa@Btim0I+vn>KOzOo`%4Rn*4*VUtO_EvvJFrj6 zQAfvQ>c(psq&8J88^|s{F)DxXCL43vFWQuUpAF_py1+}w6=1h#_m2Ov4yU5KWwP^p zHpKQ`=6x&AK%H+2n;a>^P{ZC#ekv;|b(?EDk<@TdFJ+h^S?bNXf3j>!S%&3z;C)D? zO2JbNs?5p0uiAyfJ>y@6rC>A<^>G(c&v_TEFrRgPA+LOa{>jv3T)aqrd^vbjsJ<*E z^7AEgM*t1+0ec^Cuj)|P?}Ko|XLqR*{BLx|w||Gkvg=!~UXCw!O1OfxJww|{WW^>o zol#HoQg(=_-Ho`4U_4kxc_A#g<`=ehZz?UAzY+O~EVg{?g0?%m7`JQVBA^AKMX98C zNV^jC=3IwIzrYTrQoCPI=MC2C5~3+?(rV8k{J!t*fYVoO&&X${BN)CpN#7E|e_l2k zidAvhh+t@5-I4JdM!ID!_i&PktneXU6`BUEg%tLW^Rztg$ic#v1hPA?_v>s47W~tWMX^Bx#Yg={mY3J+eOCz>s7_Hl`mwLOMz| zp_?8f9VeU73FagVvL)Thnq)(^rQ4k#og~}S9UMtcWM?|jh2%MJjq^kZxYFe z>`Oo8NAf2J&;x@=!Q>EnD47&S22az&&yXU>XX%kqq-b&sJ@yDf7?Tr!QGmrp7nU!fOXC0!#I(XZbi(aAUI z#kWW$#J@6k)kNaf`F^oj?hhvY}}$|_Pd`7ynwmh^;N2h!^sNKeU)^k>gW zP2^^J%L`H~xsCp^o%D+Qn%>b#>LPd3dwNNIv1^p5VA7{*zV?;_7e>;J}0;_R-UEz@vWmd($Su za3&(|*vCfC*6nV-F}7r`)ydVz1_p@nP8{Y$X_M2G+O1_ZPv}MoF#SiOgBc@$A?*98 z%Uj-iUUu43J2!KziiL~K>EOR62PM9B{c|qXv!Vx#aCyro)6L@RTb{6(&-sjGhhTWWzoRmE)p=$q0Xd98PRNq4G z4{Lf@%Iyl@VXtFcRHUx!;*J$8g#6u36x-y%3=d}a+`FE`ZhU#`4i8R^HA^mJSH0KG z8BPNAyLi~l61SYTIq*K9&hzp1pJ_r=-pFVEn%g;=4nG8R9E1;vAEB{f*Dmoxe%2;#T zWkrimbCo>DHN7h9Yvq$&6Or`cUwe57(2^=Iyf1=i(!0;!$+jn-Aqx%_k00(Js{d!2 zd(StDO8XK`JlQ25SbH@KkI(RG-^9 zL7;hlOQtqIcZb`Fvq=eHp5|cw5f*ONbDxmOnJAd`Fg}ON^~#3e%iZl?F!vNWmX>Um zQ#+)_``+fU)HGV$AxcSF zOt7XL5W^b;T+}`ffEsGDr5SwNWh?MgSxk6@E$!l^)SWA9#12y$A`w$q%q{LCIDt@1 zlM!d?6UX|>QfcLhdRjrvgXB^MIQ6(x-@Z++$;E6_ zU5QPRTRD9S(ojGicYH&II6I%8IF+NGaSghyGmtknJvIp}K&rv~P9f-WGgSWr0sHd` z11DTF(gB-m^Onr>7&vvTuv7(MKk~d7$_lQvFYSPTdHG*);SsPHW)9liPSbcoIFX~% zFQBUSJ!T+%2Lt=x%0+>lOPx4ynR&UyZ>^; zHG)jVlHl+~^K6uc(91tJaphA_Cd?H$t{!8#iHzfnmPsGqtFf*tdbKJWeKt>kmLqj} z1t<7@fA9zmy%6`pGC=&sz}XsusLGP@hdHys{?)V{iApURw`=#lKEucaA1AIy-{_L@ zG$^9Lu8!SA1i!}1)22Ss-q-&ElFEc=V+>lSuKvqGea*;$eSP*jn!Qecgn-v!{Lew! zwn01WZS8~HcTY;2YPhO}bt~If%cw)WYQLXlsWrCU&zlx10yPo2H?2_!Qs2Ba=a_Hqs&T2K;ZRuN7TBUMfRElr9)X$Ad&c@jv5)MVH3iM&`DXYA)o;>YxS7ijscrbbd&fH!zKx~0crKT_AA;9&Zdim| zQasSq6d`4+uJy2?Y5W+Spv2VGh>i5Mi^u2B=Qk^)f15uzGWV>_x9BymqJM-y<>?(m zC~~$b3_60AUbz3p*XmQth|}hg{zTnf6^QC~O}HLdHFle)=rf$Ag+7)@XhHkqX>U>fEsCZBidV^Lurg-EhsnkfJB+D$96pZAMMKshe;H zZkL;?AK*(%JD#ruNN{e11Xx9O*;tRAQB34qFU0G)_5^xY%7=5VV~(srqE%BSv76() z{vh8pWnbAO<$R)IEiYjvWmPCe;M>Thm!Z?F1ub3&N^7OQb0+aN?2c z_Y_6bN=2bI;Ow8HfxS8RU0kPmQxbL4ujf`IbwP7{f;Xss%jsR__h7DQ)=V;tRWNfm zS4(xFAJXl85KX(A4KOTa7fHLTme*U!;NiPR-~4^AjXXJpcUZ-}j}%i~BjE26RsO0X zkQP&TgVi3@#P3CTJ@@yXqA9A3A}8-Dyxl5VHMDol34b>f{#UgD89RlitnR6X-d>Bt z-%Z~Bt9lugSdiO=`?DG^C}E@$aSAf3?Dy`N=@kC>_i@$26H&qVTpzs?=k$ws!BT&2 z<7jTshg)kr5*=&;q9RcOWdE$sA1M5vPueLBXNNQ7B1FYbMa`qzjl|keUT{ItDa`Dtp+K>7pU!Y8!48F2{JKJ=EyWfm~9bzWExfO z+d5J8id6$)J)eZuvqh5+TcE-3{(HtkEkwxNuG^3>Ih5{%2GKMRma znPV6(J>%?8Y&wKP&k=-i334jjP{3G+wQPg+~!JllHK@v)+Q?x#;IEs9~Qb1}&O_7^uhAr!1jNdlK*Ms>x4xV@pzOmCse@-}r4 z!hS{VE#*)2NWeW(e}20_>OiDN_XDb0-t2u89KRTnFArLa6gPj%HN~0dMEPkhY~&VUsxH zHHD))qwSM0!JvAL9%0VN6>n2xrC=Z(rszUFJ70B$53uI8XS>IeuDlnJT4lelThBU4 z%`gYEW_ZftgmqG-DD)PYOY6-1oNn`(YO@B9IC{f(oZWu!pmi}it#B&A0HUQ8{Upwx;_B2=LYHqKp$Lk(fY2-7*@lv%31+9R6*EOv?WSi_WC0sSu zPqlBYMF=I+{L9;~arm%sJACKW~DzXEJO5!=!wt_U-O=yjq^HU`md1sZaq!WU=-F6mcI)e07r}ErRH; zx`K?t7L3W!j9x81U@ZV~9PxS-C{vK?eVfC2{~{IGO5NR=im}g*iNS17QJUb0KeIR} zJ1Fz(@6<&ctQHPyN*HuwNE2~l5Ndm=?98N(*dAX8Pzxhk+@YCW*y={3qoAUf#uaxY z{!xBz>Zq(s!*k!WXMjFWv$S=5non)+t6Ccq71%#@R~! z#sO2mA5@tg2Gjcq+?rBI6u_;UXfp(36j$Q>>&>o-OUp-XxZbNPbbU?%Vzs0QRCLDuQ7xJhyyNz6a1?! zNdIn%D|2@>XI11D+RU{@5J+@lE^6)+vGdgQgppAVkm&PeeQd;fv9*QYJOQHC|FeaU z&>FhAp%yN#Lm%8yd$vT z(qd)7uJgZ@x0Fz}i4u9!-BO4XvuY?g<~C#m4>eaKq?cvF-)U9FlLQIkgKE6YIYh%8 zEgCb%WG~P#{;15LqV{}SRs0H1XCHI*(`fh+`|?5LHH4u%iaPFp5Fo4Q2M>Kc>IKc@ zgO_PRxGR+&jk!3rmLa+E9PLlNzY z1jMH3(G@F5e$nccqfkwFk;*@9TG}J@q*;%UI{g@Jc)dh^xt3>r1uoPa9~#bie(>}; zbekBp1)sz!aqLfoUJ8WVG`q<<&4FIEkS5E@GcNSDcsNfjqeGxn?@yoYLY9!qix#0P zaz&eWk)M;7=IJ*>R!x_-)xAyv5WDrbdC8^s^3P~ohhtBMh+zORaYewi{=K>67B!=kA0Dwb;1eLq$udp}6Tye3tovta3)eIRUc>tAz@1KUQBS3hlV z9>ydr=5H!6XJ*q%ZRqlPBYU z){dh#RqN)U)^w@V-7;zGsCBp-VQYitX}6lQy=iD`Z7KO`5dBI9p7;7P&C`w84Y_WK zG%It^sXNXM_v*HQyJN&Xe?pOrrzk$=l2h17R^a;QvJVL6Y}t5FSjva8iHtDjqPNHA zTXr*eSRxi3dikS;2hWCHxCH4P%$6ARy(OPQ8v#v&r9C81h+JCcSlAz}I3eNts{&oV zQ6WPs1O01h-a}iB+hNEB<{I9BLhfRMk{u6 zf6}s;Sp-mT&o<{i&gh_oO6exfpZ<4ov?64C#q$@xVD7$1ixroe=UZV-Pn*orP;o{sHhW)$ zx&tN|zlNJ7!un7gGn>={02bF8r(N}TlZlew{LOLlEmz%&yyN4daRr6ra;`KWxy)F; z*|W|l&6VR-sz2IVeVsA5PETkZJpUM!@)Ejx-}85kGctEl#OC>v?f+ezNGp6%n5C$8 zL!e!<cEd@Z-PA;b~^P}A{2&#--5$>wGT0LUUME7lBdp5>_{ z&iS-{o-3GwC|}MZmsaQaF}|gk+pepB3(SL*)VJ{g&MQARN;r9d^Mg+~wp8rDZ)z!H3 zGw|+mE!+z5I{zLLSeb%yGaS@-LOEjsykP`=1s-8%Fg8)O3V`{ zriXPMEw5{TN$-a6c4z?I0tp6mYStlM>OePs|!SMCYc)wT=VBv5%=;QpYRBETj{`mQxl$FZF7p&}&P+u33CROstf|;f} za@>LlF+4aG82Q=*MR4FU6bRy$*!D2xd>=mS=bzt9$b#kBg@Q19n>zEXw^KbD2rN&KbD+>?Bqjf%z9;a4i zJZp4K5G6jV(k*Jhghozk-d#wH6NL4VO%@m80|jM6e^LWCV2`Jg%-Z&P{eL=Nl?xLX z#XKVl>%0fW?f69blz11e?U{*bK-&U+x-bM2l|7MASTP(L_Flb4BLZVDZ6~7#7u8a* zvRH}{6^jp6<&8RYHtk<;H3l`AHwv+dsJvA)@x_&^j*gEH#rX8M{^4OCC7R!W%*k3q zOj2fhk`4)@(Pu6#oBTSZ$X}-Fc;1%%$!7pvr49ynD9?;v6nEt^OB6`&f;0&!n@lEw zpMU)_v9FDLye?05uX$5C?*&9=)a=NLRPjDRMfv@eY~>W&uHIm$TG<82+jnOZ3ugNU z(U*e1l05xoHgk5+ncky2YHc&l7iaO@nP|b@)l2f~v>^Z{Us0BY?*1QK{GdC&jiFnD z1)HjbEExv7fj1_e9SMB9iwhKscB=mMxIxpq-w#(hl#k8cs;G(e*lJkKt$whOnO^zN zJx-mo;5=~V*)@?H11ORL>3CUvq|LsvBeIPr3b2929usoqJq&x!QiK~eqUzxS<_+{8 z#Na2@KPDzNlcY!AM-ZPso`nB~{uew6`ssea*(RDmk7(%iZfK!eOdvMx7SLNA8(BU- zc+9Vic|xW68p4UO$v8iW0Ru&}U*ws3dEYLhm@Y$9 z_e^4A`YZ~z$LE~E5zSK!&;!i}sw6LHJ!fi2PW9I2kxj5OD;h1C ztf=ht2I$e0RgU|~tp{tE*1fdy_L{bU-0E-LoPr1r{?7EOz_o+Xu+>q|b*{U${@78c zbvY-EQ6!AXgDD9_>C0lI*%CxaKHU!)F#T>FmqSHA(52}g@4IURz&ykt&$(K7e#0KU z-znZ_`5aix^thzy=KMkkfFLTj!0CVRva?+9*)ee*SRnR1@eIri=W*2a?ydU?{;%rgrdI(xm$vPvKvC5 zN3MR)pw#E&!}IcCTCKgv-W=g(OVPkg187pv=mHJ8*96z){^Q;b$`2;$H-#e3Gmn|g z9YUn{!_Nv02BH6?A{d36#G=IxcjjAs1aKYr zOK+BOGD^^T0AIWWrkh#uipmqNwa+_gg|h2dNZh5$78r1k>n<&8e{q*apWj6vh^w9U zF-K^^D{mR2F$oQZ_nK+CkgV#@&rV3SVyD_5+6g?TN3a*;`sulMulzL0>ZE1A3b1Kf z;uLIWEpybClv}rH1x(J?c$i00NDjhg-u6U-f|$#Gej?~e>j+k{n&Owq{dw>TfWWe5 zccB_1+pD?h9y%7i+Zz-kT2!9XritULQV#5(ZFHUZZkjv$W)Nndph@`P()PjD#4|Ro z0WU8lN3ja`&TYP;FW=S%>6YiZbqarzX|P(vCA+XHXDYV-1c5}~hYT=LD~Bi5_vs;jvRe# zHGR!8({+4o8DDS(GV%CUeL8dPf>;;j_(dMNS>9;$hG1t_nl)BL_{;8fJ`XK3^iuWC z@(`1Tj?P$*D>c7*sHOv@3E{HR$<}(=0i$(+d`ZWZBUs0_ZN-PJmV=BKw@t-=*Velg=g z4+k#vS*{3lFf`Sf%1~zdbCY3UEYpn>dd5U|5NFzliaw43I)tUsZ8*XUVa?}QoO{sC z%Q%jNuAaVup^-7?@DUx&V`#2(?l#y1%KsA1#Kg|Y@&ZBeoY1h-;SpyeO*n@Ffm7#` zLlQZ`(NP*&+JM?BAPWGzpN?xW4G@xmp4b2lsF3A>X!_6|qoQ*Mg+cyla0Eu0)pkDCR8gr@+Kw@dVxHb4d3ARH{8 zl-iM_CZ^5DJ`&6iEG(_ONH&sU`C4zBU7DX-+pQAq9kn&D1DbLfIwRn{px}_uEb{BD zGaX$~(W5)(;^HYHGfBzw|2q#!LpR%4)=YObEfxxWofC)gtA|u9}rn zSfckuc~o26zgA^BwRjc=3>9x;cmR>Zii11@-UD{fcQVC&KsG@{Y8CSD5tDW#oXnSG zamQP?)MO3+8OpkR>`-oXTCiAdz%A;l8t9xz^V*J$C@1*%M2RE1|8em z4E`It?OkAOJOy)nK4$QK?5*Q)zd`R*m9FxFB3lb6sE8>yR0+1q1w9LGf)OQ?$0GcX^Bv_ISpZ>MFO^`9v}}XSu{1~U zqCvt~oMTL&!KpECM<=ATJ=;X_&V)- zYXKxOt`A-ZYtK^t)~o>2SFHx_yB5*=bmq*T@Fe3oo z!9#W9=2HPL0RJFus;f`{%6}OKJcTKgz&prjZvgJV#r>Ik4GBj8Y0x=!lp+%S1;W95 z@NarSO^J(@$dR(*a-EPR*^lNQ+hX0#+*EXQ8dT*QaJu`TK;|L<{DoyL83BA$4tSN* z_8kR+NxHK2=aUeJgD;})e!o@CKVxtefl!=F?Bss>x({)3?)Zs?X!yj&zN*HPUH-KJ b#0&gP>kFBQ`7_rW!|%}{5a~uvsW0UJ=qIT= diff --git a/palettec.map b/palettec.map index daf239d1..700bf4f2 100755 --- a/palettec.map +++ b/palettec.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: palettec.exe creating a DOS executable @@ -33,7 +33,7 @@ wcpu_TEXT CODE AUTO 0000:5260 00000058 kitten_TEXT CODE AUTO 0000:5ad0 0000080a 16_hc_TEXT CODE AUTO 0000:62e0 0000158b timer_TEXT CODE AUTO 0000:7870 00000235 -_TEXT CODE AUTO 0000:7ab0 00007631 +_TEXT CODE AUTO 0000:7ab0 0000763f modex16_TEXT CODE AUTO 0f0f:0000 00002406 16text_TEXT CODE AUTO 0f0f:2410 0000010d 16_in13_DATA FAR_DATA AUTO 1161:0000 000001a4 @@ -140,8 +140,8 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a50* CA_Startup_ 0000:5aa0* CA_Shutdown_ 117c:0930* _finishcachebox -117c:0934* _drawcachebox -117c:0938* _updatecachebox +117c:0934* _updatecachebox +117c:0938* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b0c KITTENGETS 0000:5b6c* kittenopen_ @@ -412,8 +412,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 0000:ae2c __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) 117c:052a ___iob -117c:1276 ___ClosedStreams -117c:127a ___OpenStreams +117c:1276 ___OpenStreams +117c:127a ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:ae70 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) @@ -547,75 +547,75 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c8f7 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:da5a _DoINTR_ +0000:da68 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:ddd3* sbrk_ -0000:dde3 __brk_ +0000:dde1* sbrk_ +0000:ddf1 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:de4b fsync_ +0000:de59 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:de50* _frealloc_ -0000:de50 realloc_ +0000:de5e* _frealloc_ +0000:de5e realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:df4a __setenvp_ -0000:e0d6 __freeenvp_ +0000:df58 __setenvp_ +0000:e0e4 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) 117c:06fe ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e134* _ismbblead_ +0000:e142* _ismbblead_ 117c:129c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e18e __mbinit_ +0000:e19c __mbinit_ 117c:0700 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e269 _mbdtoupper_ +0000:e277 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e277 toupper_ +0000:e285 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) 117c:0702 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) 117c:0704 ___Save8087 117c:0708 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e286 __GrabFP87_ +0000:e294 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e2b8* __init_8087_emu -0000:e2be __x87id +0000:e2c6* __init_8087_emu +0000:e2cc __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e31b wctomb_ +0000:e329 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e366+ utoa_ -0000:e407 itoa_ +0000:e374+ utoa_ +0000:e415 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e451 strupr_ +0000:e45f strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) 117c:070c ___EFG_printf 117c:0710* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e499 ulltoa_ -0000:e5d6* lltoa_ +0000:e4a7 ulltoa_ +0000:e5e4* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e647* _dos_close_ -0000:e655 _dos_commit_ +0000:e655* _dos_close_ +0000:e663 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e664* _msize_ -0000:e67f _fmsize_ +0000:e672* _msize_ +0000:e68d _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e690 __HeapManager_expand_ -0000:eb99 _nexpand_ +0000:e69e __HeapManager_expand_ +0000:eba7 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ebec _fexpand_ -0000:ebec* _expand_ +0000:ebfa _fexpand_ +0000:ebfa* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ec21 clearenv_ +0000:ec2f clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ed56 __Init_FPE_handler_ -0000:ed8f __Fini_FPE_handler_ -0000:edc6* __FPEHandler +0000:ed64 __Init_FPE_handler_ +0000:ed9d __Fini_FPE_handler_ +0000:edd4* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f058 _bfree_ +0000:f066 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f07d _bexpand_ +0000:f08b _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) 117c:072a ___FPE_int @@ -635,4 +635,4 @@ gfx.lib Stack size: dac0 (56000.) Memory size: 00020830 (133168.) Entry point address: 0000:7c86 -Link time: 00:00.61 +Link time: 00:00.00 diff --git a/palettel.exe b/palettel.exe index 72f107f50454e77228b00d92594a4a463e986551..49b66e7ebc16e703c423233abc7cd70ab09174b2 100755 GIT binary patch delta 40295 zcmW)nbyU;u7suBdFvjQ@F}h3X?vzgH2Bl#eD9VQ97$8{Kir9*RBH@%SMWqA>0|7zZ zC=qcW_4$3z@A>1N=eg&ebN{-3Jg@hCUm1Z^k3h(7LDe8X5EujjeH8(KR>A)+|38xd zkHy@r{Jw4Yhtw_UN3SSl18_K~f&sVSs_5ANb8kxx&g#Q5+b!@V&i~FViG~CiW~;l-QsHr zZuo|Skhfdt++H_ZG+5#zIolfjNYC->Yu^}i$X|xA!coFHPrij!T?qFSAT7`<{esVI zkDOSKf1TR0MqODC3`EMb&xQsE2OVVu4&e*GC+fJ6mS4t*4OP87F+=UoqXPch4=Ds4 z1v2TH&f=^%wQuCd{>TV*oBC%|{%lK0vLTgx?HDS#))`xV8z;6b=woX6PN@_|!j>S{PSbh8(=(1fvUWZpzFKN9={M9f4Ve9TOs1gmjS( zF99#%g;h)<$~l5b+6T$;-8TXx7%~rt*u%j(U8~fvb;Z?whc#9LS#A1)!%9L+f_w>c z2(sPIDRt-6gPEgqTpLnDCuUlpg4-I~H#e`(+U&drL+-7}Fz;{dJ_9y^!<@swA>hF8 zZHeHD8$t9kLvD8(`load***i^g$xo8mO@J_IKbG9R>gKZ{1>%p1=ysvtpQDt8z)v* zXhn$+^4faC5M(N{etY5ltCkKn$@dXcsBo_hC$`G@NoXT+|9aI{H(M#T$r0(5zFa8V zOtT2vNFCVpp*F9h)^(dl*Wkb_xFNxeT*Z9?O-Pl$T zzDa5;kM8`Nh~M5)E}0A9h)0-{{R4cu#^Sc}fZk36ZA7qz3=IYFK`5IclIqYeAE`+5SUa+Jsl7~@BIFc^=z*CYV>*QkCTK-IRny^!Mar#>-h&M{VO{S67>2F)wPg-%IdD8 zwvU(xElBo*+KQO``9y-LGAVMBjv)sd_?(d3DBg%QSYhd(P!c(|At^<`yYrm5+mQC; z;DhgC`u&5lX4IZ`MxX?d*Vp|pZ-~gzbpn6uTdgscqL#lN^zx_#;!7A9a}fp84y3#O?5IBjQH!gnMLcX0UD5P`)UCCGWb+U*=@rb>0!Zy*D^h?*iW^;Z z;;I*wEo7#Y%B2!@y))JMqN#(*oGDTcL&%rMoV1(nD~hmSeHSM4m=62iof1*~HInZ8 zvdia%k}p%dV1+~%c*OT0UEvTW_iQ?yyUX_!>vVc$UJgs=(OnTGFZ^_w zzUkU-YOuX%IenMRRCmXX?okJ}{*17>-(862rN2+P#!6xlsRnU zJLO30vFumLP6+NYD)#mxzg7CvL6COdoP4T#zbPV@C6MV6GX^M(8p#5kFQPr8e0>U3nf7}h!JF-$_ZL#l<7FYpghbMlDAn5-msuk{z5AmZnIZQIStPY3#p;sFTZ|f0}gJAFg!4bN4LV$aMY_ zOgAu{(cv4MlAW4c3qu8GIcuxerf&oyMrn)kd|%mJY_=@i*t?$@P)J|npDuTA;p z>q!Z}t=Ileu`>Tf&aJSJzA$C-0?J_UxRUN`x;zu}6Y+lJ+sdA-c?;%W&70q>Bc>HM`!f1(eREs{eT+xcTnSTZHTsTSH6Iz;-BkTJQP9c^H_CY&7eFAVLiK z`DwU>-a+&n>sq4D>Fwngdjxxx>!S_aA@%{U!U_iDbvD zR)$}unFnv4ESri%NfMp$+Vc=lGg2zi{qC#33Jdk@vQ$1! zFS7td=4BO=&DEx|xVYiu2`%9I7l9+qkSTbGy0XFq>jKX=I>ts}owac1mc2ro?c^~! z_-Cl&4@Op&h-lb75sUqmovPJ!rUP?>8v z;s%~8I*YC$PGshXB`1TW$**IkKF6#u7Fe*h!zVPXQwst#`_ZvtJ`CK6=nrY|e!49H zz)xRf$^J|1-ix)S+ea{Y!;>wif;TDNI(J&EXu%K{zj%ry`k2VT^V6ZK0{jdRgSEO&{5NCZ3F zH6W)sFetY$%duMQGmF`gi&C5PmyUWiH@Y12jLy^B@oBJu4@vum;f*s4VXW5KHc*+1+sJ>sDy2Xr28n|9pZV#(Mjn9k^YpUym* z8sj%9CxfCNlC1zD>{Y(pd6{Ty^(z<5AQQk&oqyujTedx6uY(1gH5t1xR#U^q0^(;! zV$jhuA)T~U#<>6ereU+^TLlBad<;=n+sG|Hw~&)l5S$1ikYld#iOM^Vun3IQXxr{)Qw-AFHA7R+bou0WESE!8kRW3&n>4+&H(%Nrnn~Jo}!JZ#iu8F9@K=NX8+bH06tHPtR9ngRM zsUMXn7K!}SWIZ0crYaK|7LhNR769S0PEPSLFDj!ue7P8zkzia?uWv@L`B19m6@%+| zE#KZU+yO2>pSY?+wI4SQUwx;3uraj__eZ^_+*x?)H+_ zN$G?NtmrlEV4a$?q1MS=;1;ev7&;ZP_Y^>cIr~QnuD%Gj`f)dN*p?Cz&)_Qg0K5C& zta7#94i}VZTHok@5I?su@{?btboU1!RkX{@H@D`7F@fG?)~-YTL4VW`3vmFG)ou~- z2Mj`M&g)!?tH{QX+^)dSO;LlYAB`^N4qQRG3 zB{IA}2@Tg}N0%?kXK@Q1gNDj`%jGqnrhZjr(s``_hZey?&c*B&p2z#zi}&`>uvzwK z);w{8V?3C_^mMKaM=2oWQ&MS2l9zD4;N4q8YyDEZUQ4X381=;^eSYn0Kr*`{&w!UM&Iqkjw4x& z!q7rePO=U_%9e*9wL4TICL~JRB+6UAGObyoojkbG33YbUV;|NwFzFJRyOM zPmRN8?xqqmqGP$p8TgpkOv381lt}1iY6?ChF#*Ae&6e1WjmgAoY37SXa*~o#qt%*m zctTUE;;|WAY({~zMM7js4ATOnb3>1is3dYtKA91j<~*H=J_X+&tH{bPZ&iaKyCL z*tp!xYbw@nn~y~inQ4R*ieylrn@@7=ezLh@5|NwZ>Q*pi&;P|4rr-4}xu_MS(}Yi( zz+`1C--{Ft^}tW*x>Yehkg9HsMUvjf#4vAW-jB#srp2by?T9H{l1b#>+-sn|gw#a+ zc;1u~LPg1Tvnj`HqF+ZRfS7Xe!pV{J@@$K#s7N%kHiIWiM~^qyN6aMWBQlNPNk1GP zJCtH`dOZ=JlG-d0N1vAkU53}ak7zy7*Nh;3YZ4*$1;vRvYT(fdGcx$J8r(~4=g*Nd z!(!GO+ym2VQL%c7sbmdAh6e7Kp;`)vYt`r2$&3gW15jrZI!*)~Jxs4t|C`wF9h51o zP*-i1C_aS*`6gTo7WeCojG^h&P(qVQlN7Dy{YcVwD%mlRTw^a7{0u*%rKL?EW+yS- zGeGawG<}QH9&++EsTS3kS9%GzJ9@GN+Uxp{y9&%l;qtn1vQ9~ z$X6Bp$xpM%ohHZXV`Hw-j!v32C+Zn)nI1MwMh)ugYo#WM-~Z^M{W3#Nj89z<9x2zQ zThnc`2XAL59gEq-*fZhE;tq1E!9mY(@zDtpxaPN!$@Eyk?Bu(dG>Jf`vKbLaMl4~S z<3S{J$wNifrjGu-bR$+eI|0{(H%Yt16gx3~GLxGOdWTB*89|*G)fzK6^#1*!?wfsS z3B~0#NBX2LKcV_WNAX_!6pcQDTa1ly)-_3q=^6~X{%Jpx)kh+2oU0%Hs&QQ+NhJ;c zLmnCA5A%{rCw_7fNPMJjQ#zeQSr>a2aDRcA5nCdASM9szXqWDLT_G1WoV7=c*WJuK zXAc7qSptHCFYk7<1BE_UR548={W^pc)laGYK7zu>5dV~LjKSL>xjPaCDZs*Qh= z*p-|mQIu4Req%8!`-Kmrz(}^OlyK`k+8p6Nq}6iruKP@;@lZWAW?n|~d_#A1ltFdU zxu=Gw43O%F*7|q!`m|ti$6zPqj{0&FtGcctW5@~DB|?)WebGPnnOUjDcet>IXLYg_ zQ-f6$qf^1Ecyp{t>pOuYVv_7m#rJEm+?lDvDVi2hjg`U@v9|sRy1qHp-H~@mjrsNF zAlc(iM_e%{`@J&of&BhhP888aI6}=PB<)yA`O&0^&W0gGwd^Sk{97ld_+WAz=9HdX zB3b9g8Q;Mt7E1m0S+ z5uhCu2voWK3LiT1p7CAWOM#c1w^9%C^u~@O*22%N_&(g#7lX_+!Iy%T=!8ZqGVn2; zdyyF4=n#zz0H{S9fZ3r84@RRk-(N@SO|8R?HvK%N)YVJt03Waf@GuEx`E9AnRvEl> zQ%o)&od##4_CWc&uw1C^6($3fdL<0azQvdKfLikm@ zy#TKApjUhN-uG)O@&GawgU%>I*@j9meqMC7wJk<}&umIwH8zi$m^SlY7cL2~i{SqR zbeC?}-_o`=1Cffz#y+5UA3%+a287nGY3ZYcV!o>kR@Ge;_C_fa%U+M8{7PbK>(`?q^2vMG9D+!=O)Dimzr_%aSjDCLKS;1P|wL zu9UF{b6>tXaJ)p&4*H=gf;ivIQ9OkN7yYQ`z-0l$MVO(#pxI>S-L1>Qq zsdn_?2>z(w!?>#gIe^n)pOacwXkAS;Kb+bq-}qF=L~~SU0dY=VKZtygKLH6?-=%J} z9~oO7d#_tN_L>Cn!RO$75&jPOBV+C6q)m9R0H1JL;qLX@YbF;hS=m|n-|Gk6(o$%# z+jku*&+|n5nd5gF+NJIsn)f#>?biAE`t_-Wu&H+J#QnU%(Ki2#74eDFH7>qb$gm=k}<0v~K&IUPp;w0kOuCs^>kY9h?sYS$)cm9y+Z7GKCeZ^ca{R3yZJ3 zblpeJ`7iU-YoYGfe*`Uc;=S~2F>Yc2^zZcMcNqf~^QD99Aeao&ZD0y?@BT~YohV-W z(9Hsk*{*YQMe{vza7q!mNjp32dXh_?(RB%R5vUV9{&MYb5^7yj1=axZ{p3_A>AY4* z2VuL_d!B-d1iSfn+;`cGy{F)}nRaonxMK&!=mz3dCi#{+3za2tUJKi{|Lk?7rc$^0 zWUqRld!?FqX2>|4V-v}ZZ~okd&QlbQb9ED$Be5m;^d8S&@9nDonL`(6p4#^R6bmvk zb)mlR&mZ;RJt7q`EI)kYCts&~y@KL;qs72ju+%c+UO2{rrm>569aNy$NzAH{TX^-rND zC4}kw%AVEc?v5Ysc%{<}ZHT?$bHejw(T|7~c6gzv$nJLnEBHd-q1~tcUd)3Y8S22l zxIoiaa1(OgS1K(FT{J9pIX6WN4!w}z+k$uB3DGeAIz<8p7VfNhs&1Q(FB^pEgIDxv z;ejeEVJvH1`P)mFj0+!B9t>aYG}E_P?@(GV4{Q4D7`_&O`I*G??a;Ttsli({GDq`uL4+a9vB>o`kbF53?ydfyF2I?@lnrpQNo zMn05kk^6&|hQB!2QtSTX`l$6Yv&>!x7W1B|e?V@h@jCHsr+=9hc+=FD;#~%oRJP?h zf7eBd8sM~a>OBe+_=qgD&3?I$NU*$j!0tE@xyG%E%4RJ=jn?tUfpzw|1Eo?s!P#b& zT{7sn*zB4am9&jubwPsUHuDbMH4Wb}X1*uLR%{;_Gl>7-&)sgB|Am51Cz^Mv*8f?F zkZI(?HeX*v>%(tAps#kyYpDx64J@giRPf(DlYpbv; zO+gDO>D)?r##aVIrajxWS*G(-T(}IQe$1ThI#63d015p5@!53iny>In>gWGeNWUFj zu>6;SI!S$xbtM*BE6C2R^ez_XP{xJjR53otppZy;mGn2P^MtnJ$Sd{q)lk%L^0~X; zSorBlf}UOxO~1~4Ow`WJ7iFkk*5P$}mV>@EOsuOHOA4{md^o`fUjE=|JaBAYv3{Y9 z?!EjL6S@6PAz}4q2S(^gtE(5vSEpNu~HPXDw^9@_m*{B zN>)XO@u9H=zgPw5yWUQ^QJXt*LN#L+%G6=wZEc-RVh1j0ql-vioWCXed-H=$R9?kS z)zRdC96Y+H0M82-AMglWm^=$*%Yw!YV`0p0^PA z{M6?L4Rq>0R7X}UKO{qfEJ1?LwszQuFeRXbAooxxiBsm&xB4%lC=rOV==2eQXp4yg zy72{FM%HWlp9GO6BmT#Hn~C9{?1HNw1I^Mu+4y_F0=CX8>Im2TiD%QNc`j6R*mJ+U z1G6$T3Aw69I>2s zR;z2%fN3TT=c#x1=u_erS~VK5+`q>~1dhI9+*uo_TAEmS>1ygwr`y4O=*6W@9AG9? z^pJlnZwK{;c8P5C%l*t+cah1jY12T_l=R#kkz(8=d^=TGC`Zn`u|ibgR=toFL<)1! zOkuhwCGNDmgPEt!P}#A(&?1h+Gi3Je7^rPS-YiuASEBhL{A#Zk;SdE4nm$HR%>Om{ zAE~q%{_VygQxjXSu}QQTd%p%QsCE_I6STVZr+@1;h}`30F*m9OL3GiF&RIDP^>G|_ z$Vg&j@`bFB$+Rq0E#R}{L)Y1nLmeB>nmlqIvrq6Ca+8T`;mcjink#KQN~56PY_-?! zHsC9KN2IGaAFBTKY|E6>$(mR&GXyefO7}a;!=@|KPq!H;6zSYIiBT0(olGwqQ4tD5 zm6N7d$ji&N_-9>=`lRUm0bAur^`lWX;?rBP$fm>bmHE0KOfB=Xm461yx*gu?A8}4& zQ9tnmXHB|~2VXop5pq-c@kKw^UUpx=eh$K%zp_7_eJN=`$?T#^k$_l%yJrRda{&7M zJ4uH!>ZMcEv5FAeCZ1_hem7s?#Q-5g$y117B6+^eA!DZPbVq^qeboph!MBwP&>*zj z$jche;k0^lJ=@+8t|vB!NN2Cg;%%`C*(}}*mJweA#46=#`y3&&?zL7O3D1*NWv5=hI22gq4XSo? zM3EUfqa!Cwt-xZ&;7y={aUn56n4p+g;+c`6TQ*V|y3J=(>G~j231unu(;Ax4k!zNB z+Ql3kY~5mC2+%{={{{=0?9NyJ6m-8m(d#Z>7x1Kh2@E#zFd$3@aAwQ+vi8mC=T%xx z)c3x3iRO7=rDmn~+}lc`@tNcssQ<+yR%BJhN)KnARs&`Jj((v8$9&We3(UxG45f7G zsZ7hIBQbSVHtFU}f_1&@kAbk`aM=rPyel~HS67k3cc&QKSPONY*@2C+uuY`YSB{$c z0leI*d!nq}pa#thBQ%)-8+=0?LPEVKcf{8Rk&Q=wahG%fS$hy2PRX1%?05>8d}K>R z87a5u{h8{hKaV}~erD7S*l{9mY2Tx)B(j~=jz8-ExNc~b7uqaRh(B2VS+BW#sgVJ_ zQIo9gb=b40xWHQKpPtzBJDYk*vbt)^7lGz3bgSNh?~^MxE;d|-W_};Hf8TyDX1oLZ zx{ANQG2Ag-{ZKQ_PHkp#Wn8#sg`8-e`uW>f_rC5_-oiT20;e)woHoo~{CKE>=vH@% zf)nOI)Lbk!tn$Oo93H`Z*5ZEWo}CNs=lADyToU_o&p+mpVUI2Eg+$E@{MutXc|S8Q zFgv#|XgW<|3=e^yh@mUYWNx}Q?+De*iMcM7gD$`HerfXVu%LXY;&=WL=P`1V#fEiH z=~mdg{-Yw&tf{0QAu{l>V@fJhAVs}mGSBl2rz`wVd3=^E z6ejpN1d>>T6oDD~XvVqAeO=T$`q{ey^zJV2ITD>`wY0;QhM~AsSHK{9jFlXE*^BtBDB5=3>5@p%Vz654-JR$6M z$Y9~mRS?PAVf9+36YTrNOq1^y2u8qpqCwlROxYm8i%D@m3j-Nq*$b)gmoQS+)!Ui& zsjwRvhObGkw~`geDg`(Ayx{Dn9LT-UAvoZAC&P?no{G3jFinQlGTCosT~WAJ`{V@( zz>8oTBHEnfBaHn2Wmg+tWnXT{Y3owVMv1|LxwRp=M`3Lbl)8x8WtmMjFsh)*K8={( z)~8TFM1Ry|Y`uZVLP%NS6&WV4Nv)N*Lr?LMwoojNENGGhiD|2Vw6$ZK^O&T#qykPx zG$|S}Ui9X4TdQ(}g@0XUWIS|vTYou%T{@f82x?QQS2j=|ZQGQ^8nr3g5Rq-#Vq#V+ zZR1OLQk&XKEa_$umPFOSlB!=~38;cNOj{bZGrzv&XnuY0Tz=nY*{4`j6IBhAq_;`| zhKyFQLHx48Sl5H|`r4imvDwnHHftEAE)Aruf-DqXshT(N6K}R}DX1?xtH@lx9;mnl@Oj=qkU;C^kl#d9pDj0ujRWy- z@q*ICrXC?a2=n}gw}X(78>OXK6$5g(3>OzZ83g(WxxB&!+N*ubRF?j&`r7ZU*f7_? z9akt0Zr46&Q3>wke>|2tXkk2-b$}o)8h4WBCPn={CuUeGI9G(wv9tVwBejoajIHlj z^sDGHy)>>vw4fWF#TK3XR-(c3YU?z2(eXf>BB=wP5b+DLqt8*OjkpG=l9j!APg!|- z^K0i+IJ68?zt-iY!m1jxt$f1XmWREO4^^{I-5xC`G2dY3bivQe!?^y6KqF4&zNzRy zgm~otgTY<p4q_cf(oE)&BC6zfdO&EoAF;PeNA)+FZw<5ELCgME$mEl*7Zgng*%AP8snfSe=97&BUcpR>f0Zqf@2uvMK8X^6>762QClSw0WDd zm>pvJj4M-qHb`+wb|<)MTeSy~vDN4BdpuNDvkxTWxel%s`X2ty%2zXwf&3X_;k~~Q zwo|k?B*7YU$r^GsaiH%+X9Mx`x|DYfGE+=of5q~y79{)0r@$q*jbd(4ENDL_Hi{Sz z+8lQf7{2pbC-MwAoJqh(MQ$cWrp5a2-x0Bi`yno;rZM>TiM-qbBxaz#JRo$|Ikmb) z7VLH{pboPD+MSQLnx$l*$G4)}b)PE!G1@^hc~6srOFb0IaY$9H$mdm7vF*`F)Dyo3 zO?iPy0^-R7>r3V(+N5m>OiNI}BjB;JX}WF)Hqy2kCrzep-`oIb6;l)%_$s;L=6^WR za`U6COeP{^cpK-#<_LpKi*O7ACI(lMgDW}+K$6e0vSkts5=`2C(;#bo^^d1SFom9y=R-g0vzWzm3)N$hoP+ickev$R zHh4EOSLH&RtCDAD{I>y95oY=Tw_RYD5=WB)O=#YiX5~zT`yu*U<1HfY%gQT>upe;c zohfY8_bj{IPx67APd8-7!4C09l-bV%WLGO(d!H{;f(DbU&ycrcy5y~K*^B2<6z1(+ zkc~nz_Yxn<&Ag$*@+|>uu6BUjUT|Kn+r?8QH(ppXoNSbVWL>xp-D-?*6kqL?F28l& zj^YR{&QP{yb{nmFx+9b45cyF-9vD0t!(a%+vzikKX(mweVD=%f1Rzsl&FsK} zx%SrcEiSa@6I~iYXiH5$4ur^VppKygaq&t?)4AYiwO0etNh*|hJ zb;Nve=?l)G#LA{b>S^V*(3a4bPDq*i?abvx{#3Ql`o#l=@F98GoVudpsV=X;uSt}t z+F9~)XG?Z;Xr|6KllAn%(*3p|0!ohlukVb{y@h_+kaxyF}Z+p!uTl{h&Ah=As6W0>}!e?;8fu_b+(1%Zj zZ$j<@nAe5D-sKg^S|U6HUg*-9j~7fK^}ouCtQbE*AJ@vphKQ}Y{MDYhRL=b<*5F8T zEp2#VP<~Q|IJPl!mCQZ{T#HUe!&PGA4q`L3Lh`Of>)N-A9rWg|Q8?zBM00b|l9!5> zlhj@7)fJQJx9ir^PJKvC_*0l8lGp;v2sF}F;lE;W=Mjk+G}N* z=Xb`~)a{p~?7gdD?d^2BvGBLwb%Lv>j=dJ#E5EsYP&VQ*N*j#7LUVVIb6w{suU9Q&c2vkSO zz^@ovM_3W|N+L`4R81wVBI=uWs4Jkr0CyQl?jzlr&rsRLy5|bFCGQ}zb(K_i-W0ye zwF@Tfwt_B^N1EYL6`#`u_MQPWsh4@d)Pq%>QwA`=n5>(>rejK)-#qQO`erL-6U<%w z+0`eXHkw6#<7*`1J6AA>OVzhN#hnTZBEwTn441o;xg!5yPVNOPH|lnX+e_gYFB5Ut z8*|)>b6Cw=Ybc|;mO{Z}Yuup~g3OeXWV62^1WR%$8ug5;W-VQ^1diIBpO=jNYI<1- zT|VPCB*{fyU2Z4#>W*?9i7ZEZ4g-?>v|9%4W+|Civq)y9dL)sqny`FjiTw#QJlC$w z;a;vV+aY9fn`L~d=RM84OvyrzAi4P4d6qrcBv7AOc1zv->vca7=}qsslYXxO$yVQW zvdqz#)`KJbwHp!s0i&-UYu*=o&cC(^-0` zz4#&UC-i%nxN*-zSUx@4R06w&!yCEDxLjqW>h>w9(2jWjOgCgfhc`HUu; zB4Nfmd%F-IPc`?V`(Q$mf40rI32V;Bnmj_Shl+QWsh=-uXNu$TcYD*)xOg}<^b9zs z@Jz?~OB7?fEx-Kct$YbdtQLQd|NXg7*oTY1%bx_`^<)-STnF8& z2CkF{iRBiqdWQ4ZE0QPPTpm9TF)qz|VzsmV z8lpwsk7!gGs*jK|{<#)>=XnDoqR05t(80cs#ZN5|=D#uKt<_%5;y#fk3Vj z4haZIY)gQ%rHH-vnzx6ygTF0>cm8qe_4}~QK6e929PjvkN(?zN*{jJQMvPM6)jN9z zEqbr{`u_~X!Vlx?g)62I-vUUk5r?Kulg6M2k(c8-&pm}UgIK! z5f34=fvjGsO8IZ8=q-jUdsw&YTh!j6){L{lDEvsvadMY%v`V(cZ;uO}b~7@NOvx|C z{NX`{C**518}Ln9ipwm8Sv#pAs7t{Q`Js{SBmFbu%dMs2)%weS#Af=agEOLuXHvVy zmS6Ip&k-yT<}yb7*`Py`JdA`Lg0)5qxcS59zVpYTCU}ezG&6|INp5F~aDeO2ucP2k z$4qyG%*jeHLB-cM6#twiPJML}t_a&0vZPAEog#RYb2@}7O_ZjVvnPaXb-DVpyO#%4 z2FC|Ng^YAN(shv^DVJVsh?uOMkd|44WvUPyq{hXOylX_?9IO%2%E4O1a9wJ7?xtpmHmKiV_jS=LGhEtmkP*MGei2Vl0a7VznS7}e9&DfDx%^8in0MLGO6|ANiksJ; zL27P=wYZ1TbHPfwSet*u^Sr+jx-t!ldPnb0@A}R)KO9LuM*)>3Cp!r+<5foTSW8j_ zi!{oup;7Rgbv>!!pVY(chSo8<%*g5Zy4yvP)$=^r2Df?y3I?J z7;?JpH-irSvbS&=zwYa7y=9SiYY^Z@0Kg_hJTX3T7&@3C^`e`)e#20zZ+ZOgUiD0S zfxr!M^c~Jwo9r5gn@gt`4nDqWx3!DRU8;>UEYi6CR;8(j!V|0fVlJR!xemK&Vz^Ltji?m$xrQPGQ_G&v}B0I zNE(>7Q}-T>?|AoJcWn$@UYRFCwI(-n`aoHS$>3JvzX6)IVFUFO#MypwO2e!?tlqjR zFFG!v%N*|7Lb_c)14vZnS2O;Die|QL46T^B1f2w~H$73FP5cs3!QpZl+_5+%e7F2> zVod893Eo(-W4q_X3I1Z@fd;S!u@TludKJNiI_-Zcl{H?{oG{HojAA3ms=9O9f;7Fd zyCdb~b{yO6pfx3sox2ZTzWUM3=-1lv9dyz~FZfWqHAa%wxb=RFMG#=k z`QQ1(34s!)ab|=RUWd_!Tct~Hpc#bfX@@BsVHmdUb6Q7ThcgPV2}mvF5(iUiY;E!G z`4Tw;kcju-)GrNzKWfM{XOhEW&A`CoN39GfGT`H7)Nz=Vnx@0Cbx)lR@64^GXiv>% zizBO|&2o&fsrPY`<}t5Gxg_qx#)`kNTBpFf^&AYZBJPL7+$fMc#p=S5dSp+_RGJUv7XON`fzc^tmK)hQwy z?|ts~*^Fqs_fnas%FIa?!tZUWp-5$3sZVuf%%c)^GVzIU#A&;&wvHHu$BDn{OHpEw zl90o)!gyi7#dFbW@tOk{h4`}zVs!H4y_#X8#m)I*{KCh93)b1aRwA_ndojN2KI#VM zmV$*eCw>Rvm<};=edvRIF1)09g0lX(JN|2v@rspYe;OhjgwjXl7lxJXX#x(YXP-45 zWiRko);p-gOq5Aj&qNdaOT_9O8iQr|MIGLK9$NaUm@(zjd|I&5`$_M1fdlii(YlC( zE4r%w-80IlSJ(U0Ljxw6SRL`((I529_^|j<&yi0T#pkp6$fK-J@5M7B_;fy8AKlpa z7O?0b4!yU|&o>p$mVE0(-wnxmgogK66=*vv@?6gJHgwuRN)YeJ67m zDC-bBp1bFO)Fu%_%SE7$>!R`s<&t|818u_`wo8XnTj6G%0eNzs9m3;&SevNuwutD6 zNYUk$>usH6HIx+uztVPB9rfLA;9Cq95Chb*b+eB)XT$BY?YXLqq@eIwl+gf}2_#$2 zt)6GUQ$=y+F;cfMLU8DAm~3)BLC_`dp=)#p9l~`(x8m{p>OV)NKyo*Rc_BA2Su(c5 z;q%rM81}}4M2LyJp{b}U7J4J5S_TOM@t>bGK1YTm^yRVt)`@|a)UFg;MMA%Qgo0Ca zK}&_;nRQ2q@7yQF);myzxAj8B4IQa+N;UOoU}vM6H0t(e1B5Yea+d-*a zNa|p&2OZz%c+55}PHy?ej`&?{jEDZ=lQAh~uUOZ(DlOWni>2GLno#^;+%HJ5fEieO zfjn7Bf2i`OG?P^qHXx)rLV;FnC`ZOznLCA`ilcnBIet5@`lg zU?MV8v=%io8v)5=iP2qoGXwgSom z?a>?`b1(1h_%mC24@l%48UeO^uO^Ygtt%ZjIKh*|VZdso50)#I_1Q%z(*f4ICXLvx z{Eu@E$o!s%nidy=fc*W-{3~A&MZrRCcD&jL5et;<`bAtTsM7hz6pa@oI=y@z9DkFc zrZ!=W;II{e7tI|3FuQJ-C;xdMKv|+m{4C7vixBSxjn|>oWcIofz<#3rtOK+KOl~pr zCqdBep8S!w#7XJaS)a*L3@>~~W^4gECa)`5BcMq_(4ipE)pqb;BkH5)+sU*O8IM!#@G7>d z-|#*ssB7dl4ZL$o^sKzDv60b%<{=%OLt1LHAiTTZ5#|xRWM-0j%6~uCm{^8W^zCFT z_tZKxb}E%2A5kp&Y$kcX!|yh`vxj?n?;?UwR%F{Yp+DA4+XdVDSvETB+9ib z*7BHK`(GVK9A1kfAf#T(kY(YASN&)!%$7%z@>v)~c*_Ie?U#=l)x1LwJ#*Fe$}JFI zJGxriueN}}f1ZB}B$(zMk0d#@zws9F@${Z38!F+vzIPfoW&UqgOeTo7(X;n-HhP!# zN&S6^voZN5L;BHa=vX*UV3e20^+m(a1YW@N_IFsLVz0=|515#zNXoC*d^x*MH3A!t zVEtqGx(5eCjlBbnsO|)R?CsioT>?g=Ztr3$q{RtN_x3FFrym&B>$u$ra=Y#w7%%Hi z$VGRh-TxG@ikedX5t{_A2>!JPkuq%IE1NR9AV&88!V+hE5e-3%Ee*7Xpa!mavK~Z= z61hD~VxP1Iic+5ctru|g)%b$t*8}#%vZlfYuJ#Eaa{R<(dw)oaF`oF}$}!e`MSD^& zh4I;8_jP8;Z9;@+ zaW)yVr2wX*-vsW-4z%j-Me&2g*)RL3j~|Suz2ujk3VNR)bVfc8 zw0_$gtHPi*=F6o79%yE0l!tyh?|Du><}_sMTh)6+&0r36AQ09zGU(H&ua9)F?d}>& zqfSZJ_G#D!uLJ>uJfqoSJnv3Cb!1|fapa#7Q?nKMQ=q{JP1;`^p|sf5-c0>3xR8J_hTUpa$=a3RVNDb-zZ>}sXeqB^DyzOoDoTfMl)7ZOqKQc_KhjCx845K$44+ULLUo^#K6-g}?> zdse5pp|rwH!2+*; zxwsKpeI_2M+VLWT9?#F&;B?}Zjd2oQh3i+iS9XDHS1z5El==^L zMG|b!hs!XW2c`qb=s&N@VXjSvN9{xs-~_XcKmjF&uB7h#~p%#NDGLl{ES zN++bxZ;_=?2%E3B$K}g%UMjA0`pwx-QuSd+d&7!^f$dL)s!zq0yP;pnghoj55QVz@ zj4n}PPr6%OMgHiG$GuFKJ8Sap#2a>B61RKstHqd$OIUP!6qS2uqZ)GmVsxnje3#Fb ztn1=_HfBKl4q{XN<|yy!ze1m79`M5AR$om)GAGqk0osY-`akYkZ zjf7(0*Y~fE>Hg8np8)GRwVxg9P+8i99)(da?T*qL+=aNR+pSsmWa#1-GsT4r(q*l@ zD5b?OD-os+Y-+78#It5hmc(EUPqAkUsGIzBiiT81dwQ`h< z$RIg1)>fQT)shY8dOxVwD(7Q2;WKRY1N&^*qtc2t`{QXB6~Ol5a{h?0uL1P+@kcgMr039H{NWUfIJNQ@ ztUu3|i@I&LAQ=`a+7zy~%a%~7d@Ho$zfAZ8BkuCjo=}${_snC1rhWMi31=?(@K3X7 z_T1EsRmAI{Ip~>#0M!qu?^*wO?Fl({0r8Ia54miCMN5a3GncVd2i*!r$Chqd`ffu( z+oo9%^OnOs!fu?CB|fHa7W(`(Ek#gV^CH;Ao5f8X4nrf zS@Wdpu?Oj2whfJgW6LUn!@FIhA(|~2?@h^OoS~lK=$l}PEd?rn>2wFiK~b%$8o^)3 zBD8)ywvtD2QD1-<8ogxOrEyg-N;I8&YLjT5GbtjpqX1=7;F#)<)Z9g`<&qsju<1Q?5D^PL-CqqBy>*J3#*L#-%8+MOwdY z5tWx4v{BUrgA0DH-b4j-vKlr3_fxR$y;MUF3Yuen7q1MkJs)*-!xJcOEKP!LMO;+X z=*=Abk{~Ktz02pGFm%owapGFH*f~U)R$mC&lh zKn#M6dJ%uqJI26V%}SuMNVtsQHUWi66d?)d5;~}hlAjM+rFs8+N=J2funu$9@H7gK z6142^Y+%Dp7=phb+;c&kBri(aCgumHOP<8{I5Z>b(hPLKtXnMGJPvQTId?=uq z10z!q+oaPSr4WJqXp!%1qe?4}af)yh{L>!>=^Jc7{8=hT9$&rlY#EosT{k_s1qWM^ zY<8rSXj50$HTfZ8ra%FasZRkB)dG;L11Kjit~X=vTBi1c|IVhB&P)Gcq@@03-CAcT zM_mZ`Lqh5>kLB#ebJ{GCo1|LoLGLopvu~cL=595@!mL7B z6?mrcUf~T$opRqzQhQb$nVo{-EA!09XczCv+yFKA@1pX03+&Ju1k4ZC!vpdF5X_GIgFtNY^OMH34Keg3d6zUEZ|AxOWj|_#yb)^Pim6$}hnNol8`imf$spUF*pR za6!n0Z;_#Vf1HJQ?>N`Zrus^06$re0&rQloOJVa&P-kUU4>EseIW_+G$_$m1Aalzn3|gv17;ZG}&E}*Ji{FRzWXqOJlfOVr=Oo{hd$2 zD%F*qP#J!fkFsiN1SkLT2~O@v)0+%hq!C}pY;>&j&>uaWOo;bj_5 zJ0kV_Qq-y@AUI6UNrV3V@m>+Ik8cy}NsXl0x0qLCFqMeG@_pZ#i2YX*Hg)OiNP}@ValM&l`4eMbA*9JqY4g z)!7U?Ng;e~`+hRMu>(o`V{ng+I+^obd>;g%i(Mr~K+s4zCTc*FtPI!LO|jiWqO}{4 zk848`{Eu%KV}jqE3drX-Vlx79Drv@)05_{_i;wTw!FC&(GznZEeXt@$tn3{d{+pG> zxU~NB{#|b|bjSEI>q-UCe-JbUOY@kah*!F|#~^`?JBBZj(__khzeJ{bbW8|ch7VY2 zF3{~q1+4O66R-&&JM`W~tFx6enz1-w&d~!I%iUXkI=Tf2F9fGfxxp5X^rTGVk=ER4 zQyBhE$YSQ*RS{=802KH ziNyfPb|8|3GkttU4IHOmJb?BJqbx`l(SMcqr@?&^c37A9)k-x|FDkyNvB~%=wI=4c zQN71{CC}JIC|bGHamy_zWj*^Z`~5y~%N5|;7+*zn#hNPfLZ02 zP1!R@#{-{BtWMsD-oCJDPSL40YiHF|v~^@2d-}o6Z{~o+sC{n$1q_us{PWQD@qV|i zY-!2j=nme<5dl44M1s(1x5EyOTYzh-VI~BOG4P=?_1o6S7lyo^KIdZ_#y_js*oE)J z{hYDrZC-meQ&zi;l-?aMM2!-J)x%;U*@{45O&plCM0F=l3K}TBJX)aXd{(SWj;vbE zc)r>I7{muryMFCS>@wtx>Ak$-kp=b6nv}@+M)`F($C4wI+t>K~*hWC;2=8GOvPV!j z1?i{C!Cx0fud!IG;$9`-zCFm33OiFy6GthKH`OO#a{a2L@ei%q&7LY*$pdmfTapV< zWO^h926vs|vQKkeK`udGn?TYhgU}^+;Z9;todDvoS0OAUtxvit62Xfv11h~&DPn6+XvBOUvO= z(9Z3^|_zcq^zf)F{=B;{Um15MtRCjaZnpy|4idivPUX-<~d zu^KrO6Wwd{9=>h~d7e6Hxr>~iUW&MKua=!B^0zUCA#asD+%HrX+1Q;gcXtpdpgumq zy--O3l7cIj%>Hc*TWh{`upZz$@BeYV(Xxl8Qj{I><)T;+gj1p;nz6r}89(*W)hXHj#_XM^jD zyun_T0u_F@i>05z^O)WAAs8LZ>05HKlrBu{J&`_22>a3P-52``;uUiKzkr3rhQ`nE zsH9PzX%hUfH735fj2Cpgsd)qoL^PB6bYI7f+C*kNS;4qM9H_@ z8|`?sTkq&lTD#3FV;Lh~H@h2_jz26%>$$yZJT-7=!MdAoy?>0WLhEv$x69mR4xPYOT}ri3ASI##Pue5WUl2a1fUk zSWMUB0d7Q{iO=BxJQD&qQz{WX1O6*>ZV8?jrO*u$LtS&(ap->*JJ=W!6!h6-Gz5B- znoF@b*Rk~gdbSLvuN`Nb>xxD3B3E_)9%M_p>3H>5OD^EhP;VJsy+BA zPkR~PW#F!&)iQ4>z$|_4TdF22lDoi2d!Oc@ykITT><9#=b)oOR)yc}$rB}{fK~=*1 z?OOp-D9*GzD!$B@HFT0imG{38xWLGvtUtgvwJk_drCuEN-cJ>kgX;NEKlywH(BzsL za{)3Vn6_w<1v?v)xDLow0;>UB*0>zWECNBQ5#T3`^io1bFpb4p?&tx19qbDsMxva?0J!y?4Qy0U2n!BVjd$X{Ys(_ zg;sNB4+V2fg55nrruWKwcBC5Cx)tIv;`$s})61rGQ?^GZR|MUY-=J8vm2JW)O%UWa z>Fw!$Y#l|#u=U%yFsZ9DEVeqgOt&9(F)s@W-T@v-^v}sTxof{858VUM1Tl(R)NMYgE-ts*b4~K3DEYeGl?qMb<#&l6p7z%xx-3K_(B(rz+ z72nokI~+J8Q9Q%>%osV$aD)k4hkNJv*?G1S*X6b!-MTpeDc+4z>@cWhes*fE6}its1R zhGBQ-u&f8%P}d(LCcn2bgeNrl>KsyMA#1{0w=Y1vkV}04FT-^nlUjHTY<^p#m-^+d zvAxGEVa3~Glw|Bu+H=23Xh{S1>LIy`rBCBUGu(6DXTz^k0FSvrGhd?kQ;lLqfso3Q zW)3e?ZB8Qai@!(rH2tq*&kQEsTUf@qG~zlSP2wMXFQ4e%8!FlJi2AFUCK@pCXEpfL^@*rdXqz7n5 zp)hT}J(-kAZ*rR&PXDKa%j;!$pYxpp@NXuN=wH@F#f76I|kx!9i?4y!=Iw<=0J_7&Ym^x3zmEYog{ zt=M~NK0shBRON0pHe7T@ATpDAZ-*WY_Ha%Zz=ic1PHgasMHeZ@%WjK|{_Aff@<>)1 zto?}nTgb^0%%_l2_ki2`8eHETo)Y42H6qHQ53(Dvts81F<{h+tFsoF+bxid z?iDEO9}*by10agh@A6PauMApC@*;Tk5elNd<>pw z4blFtEGBlSMHP%zZ-MeELMO@w1%xWf%qi}`m4tUzwj{wVR;l~B= zm8kY(Pq)zkenX7#O+I{S&0+r4O-*LsDSTDcuS=xBY!4M|s`TSoJR*%!AAo{=9sm4> zV6LL<=~S+8^II$Q6zI_a>8%DztCb2Z<)vNpisQhIQ@3#3i|~rNHxI`a*>%)@-to+4 zI21i$tud>;iU~VquY|2hF}OuO$%V!mBP5J{%l_~KTm7!OY@{hBhAU7_)UB+GJ5fLO z#V1LUFM4;f#4QxIZ76&6?J@;^mTZiHB2R#WKK~nABX(-m0Ve!?ekP3D_25l)I(Q8rNi#1UAgFzBwWZMHIp z|I}-_OD3<=5_-;P(S^+bE4wnoVhtj)3Az}wt{$r5J2?&9(#VpXRh`j@$du#P5%2+! z#`hOzXRuN$2)O|38c?*W$V?vPqn>+aRJ?b}yS1dPHEgyvyZ5Gjb)Tr}PU@*o6(X68 z&YnGHgX}3VB|mC`zF{*ZV2SkaSBEpYN1`1@gkzDq;@obrGnzwz0X*$Q-e_wU_g<17zccROJbH1&J#*y>Zm_ZdcozSG5db{qDR@KR3us z&Zy*Y%@WKFF@rB$^SoLET;-6D$ z!_D#L)qv>%@j`V%bMQ`Q=+D29t$x2#R$?1gyw;pX0#pT9lwL`RYb4VaL+J{Lh7J#x zBR@Dzt|j?bGNc|yNA!`>1*Qe6Q;HZJ&tT99Z`6?ucw<)UA=lvt7bkkb(}f1TI+@KP zL}yCV%!ykyyZte0)>Ze8MhJ;#9cupj<+b~Xf-QN?w!V74RLQvU%)Xc&<^u`!PA2>gA!Vn|UCi%CE^Iwl<_BAGFhT{(b%J(WR zQ%+KkA)(-n7!zZs&dGW-2iDRTqkK>=*!mwV^MiJSmaM9|kNQh|$z9X(+bq7PlXt#l zH+DA<$7pk*oH6Q-VBE@U&P$aw4GL#j;CW_Id}xP!;{|1l%8?u~4Y(*1p6!3h7{Flc zl+D5BzbwFxoxeAvEM~%)1N+TP=_Wx>`=@9}A1h-YrHyzSYlGh1UxM`m0mrvO`S+oB zhfRtD1G|vb&4LJ8s(hm~$~~)gmOBLT93`1U$!BIYn$+hyq>jSLZEtuesGfShJtvp0 zhgO+P>4z!PX9sj-Pm0&RJ9*?HR(@ioXV6?WbB8h6&CAV-k@qtFL!T(?>J#3P{Q%D& zw7F(N_)qf*+fGF7G$@v(EU#N1f>q__|azfPOYR z*@ounyqGr#Fy!WJ!RbGFZY`w@0Kf9tj8*=a!7EyxOBt{-)*Pl-pPg1o&~g4(>UK*` zg11iGF&$gpwUi4it9)8wDHI?y_Ve^9dh;>i3TFYWLp~!*1Xn6dPDsO&`MQ??dsP9l zmPK)wraUsFD_Te(s_)O&GU;4;|2dfa^ggQQCUv_}SzpF5>F4f9lcc7N{&$j)5JMpF zu3{fb`c}XJFoCjx=_}NO=Uzpe^6`D2bs+He3$)LHyRk&?QEL{}EDs5bI*Osc1e#1d zvye@j@Y3tvW!(vKWuZU|lg+G75V!PWh0L*q{}@bGVaj=Da?_6MFmk{IBETU=Mf*ioQ5{*T3WWo>Mbl;ZDB=||$A`$_M) zVyD+;le}8$#0p^D!FO=g|G~geDVt zsB1r58|!;crcYw60}ZgZ!e?`nus*>g>OAE!4rsyc?;*Z0ijZ$!dI1WRYc0nBeWdU7 z@G$10*!TCb-K9B&k}UndjF_PNN5xk8Na@2abKSU;HW+A>8;bgRmP)^vfn8bvGI;!% zelXhKS1$muJWh+eW`Dgnpk`I~SSg>c+D2ZyJR9qCBfc#l0JLMVeD6gDhPO&dP8S3>5Vm#Ot+d)wf}L$+y|GQRtgDZ-K4&&< z=MK!tuGh4q5tcIpt3v2BE)M)ROwy*;{=%9IR#?dep|dWPxc+!mt}Pd;56$trU3Lpl zQGZoHq94%ZnGdMlu)R3g_bl!dT87yfIYwQsT{Cq znIqBHsN4;1$gJ9yC5u;#ROiK4EI@s>Q($B4aE19^=BVo_*OwFFu3U^Mi?3kpydK45 z?jtZ?SKX}oheHrQNNx;zmEvCB^`@>-4#Kl6jkv<8=!zWqM&DLD&NCy~rA^NY8ouV2 zPS@QQ&-Dc6m5~B)+WFu}rx64}bnyegqjP5Bg@@O*YK|C6&%Q%for;Say@T+# z!TF*ds!N3TqY6QWxywu>93?oAMG-nOHK~xbllDeH4v0VLX&t56-GUcVcKSoG^@}fd z2dxG!NVk$XOqgLkTTCQwmK+yb_nFTL2@+6`pN_Uecx& zf*;09&+C6WM3Ex+cL(J<240)?p;4MKP$$qczn0Z=;{;xl9Ko|blm6qr2Oxz4D4Fi< zo|EqGe7c1E%+cHG@F7bsvgi8x$iKS})Wr_Jm3?yfrYY%je;jr1@xvtAmjiG!!U(h) z$L~JiR(bBB>Nx3P_?>cmPgQn+wcHVNBA)f4eYo!m1)s9qzjf<@P!vBP^eGS3+){81 zf?#d2FLQALvX`!sLuYe7nkjNw7Y?m;O_$8;l}JwejG$r_Q?5u-j{%^(M!@C~I3#s(4^hJWxKlE7 z7!9ZREP>EzyEa?`kC#d;(2GwO{b~fdxq*Sd=rykt$b0q&hJ(N2btCv)oH>4)bg1)k z&S)Dy68{@0zJUKJQT&Ald*MQ_&ZTm<<@k+x;y{qFqmM@|OxL&o{?`3+;Xvp~&ydMk zhM0p(VPeg4a6OqWcoHrPW$N@n<(r@hl;F0XCx3qNd&gqRNdG90Xen>jELVRjK;=Gl zZYSu84!$J;xy^%89^jsSPQx?l!(kFja%f*xD14d9$LGRzdd-1(dK|avvpyvTde8*$ z8bve-W*r`|@Vz3RWvzDHM!WjJ?P_-FN)O2kA`dTU6;>vj0!uAF^}TBTaSrl?QYA9h z7yFY;=3f!7>M5n$Jgot_Z3@MXvsQR0Mj2Qhq_bQEqYehld^v3u{e_lL zz5!8%@3vs1CYH!DPmJInTWp9y=yunYo>iZ$3?l<{&#JVI>UIxyQXc->P@}od9ah{w zB@Ai7mbBO>m)c4ENN>6Oa*N{GuhjWruUGP7NQ-sw`(7*Q+y&Qb@>e~aghI#jX8vt8 zT3m*!rnX#vBO%g)liMxFUbYI5`G*KoPNgg1UOdtS-U9XjF&Vk6qPL8RIZ z(N8r$fi)d4d}VP;_U?;jMtcf2_2x)d&x2;O>&r;=pVIBf0=7FhWs_3(l75Mq@w?Pi zi!lW9ANrxT?k^%1^yHM=o=@I70}y!xS3CXe7ob;pQ)K|m8vR9-od4$r^c!rS-FxzV zz)N0ADRTcC=U2`L*aDzI(reh{R}%x~OUNqZHqm^g@3;jh#&_r;j2X#tra(;goqJ9B z8@WvkbE$D{G^X!}GKJr5-+c5qBnI?UyEZYT#9dEMsvjot_B2%HwbXen<%v~DFRBnEw>7c~m?Wf{y?QQ|)D?vd0Y(5I*@WqpLD zIVef58;!XBp2Yc_61(is2**T=s&+=UXX0wh`*Eq@l+$r^0yujEya{XdhGL}eud(<| zw!3tpzlR+2jE8M1q(JubNI|6@^>RXv02VD>AKeo_B-_pq=4WjHlnW&E>+x6Y>t4Er zavQ(Kp(uTTREy8y`NWIaHas2YjY`Yh43v5^o~It980!Ro+%e0wK2#y@kfzdmFTuIN z?n2d=K0Ta5x4PtJ{<}x3L5?RQ$xYT76DTo^ZxeQC?a2D)G;Z$K+r8D@38KFcnrD5j zmsQ-}VSh@TZ?(f{UBX`)`oI9UTPKLHTG`Uvla#Y8R2>-h$?~n97_iSRxoYg=+RKza zm9R4$6|J%`@F?qSsl%xF8$vpcyuOWEuk5c)#$KpDls(vgLkv!WD-ZqTTGxBosz~@l zUwA+&5lAZu{%*-pxnonnK@i{5ADkkNvQ^x^<5uOn-JSLaF%`QEXxl%+xBjYMTkK0q zO8p9_hav3z`%&w27E7HgU_;F{+GXc+=-sohE9s$g$idP1qwfJTknxwLv%Ig{-_kv@ z3r4-CC!m+w)vr)zZ3Phkj}&+jweG6#$e!GtRVOInxZZsCAv? ztk2%3Ph6yO)n7HcVLrfKcZmAYAp4L3;{m_DR_y!ALPyD2*ev4KJ1Z`Xxkg%%u#JB( zjw4Lb@0zK|18brXZ^LDzUzm~5W4uK|?t5%3mm|uTw{i|!*Um5aL8>T;QU`(&%wG)R z$7I*s;&`$lqr9N_^)9EF5B4PI7xKX=J_kRn|fS*k)k{v=p*Z_~{G! zRE6?H#MWcpPZ}1svl;eK{Kgz0**tVTTsKQXUq3(xm5TRr)7WTPt7Qyu9Z$UD^WNu7 zP?OnG$Y)9&R>}R?6D|~NXso(q!X#%EAF}WX)1d)daGKRMj=kyL7wH)xB~!R`SUKqX zUPQj+g=cD#OPnQcUmT`NZZ=|zHw&#A7got>ThR*F_E1;>>Cs(Db?+S*OEvY9pI(Yu zelq!zUpxDSC@!q~F@1mV^B=ozsc)5c#;o)kWJ07Ozd3`~g5f{evy;%B4A&W4}r zc?CuF;|bER_E0hkO-zqCvi@3&Nz2<$03ZbkWz_IOsi<4{IOP9Aw4gA9rf-{>k={7jxh4N zDo;nsDIjNXEUuO7Nx~aDl1F3C;uXrxr)N1JJ*2VC47tmj9}3~rR>%fHd@Aortbs56 zYuDaMhtw)%VzgWnN*7YfO095@pFQ3J$e^~>3ye5_xfpr8xA;d#O_C64@WKR zrK3<^pYoQt!C#8HAXITthuI{ST=uIj=rzOBYD}(OCnMQ;jyR0#7cDD2zOm&Sfg*~ zDe%4ZbX@S#;9La?14SDV`o{@(mlDm&SP3)=@-5l3T@)&BYd!Ap3%aD3MZRNyxU}66 zn8P)xW<dE; zV?`8v&5;Hn?f4|a9N#ca3JP?Lwej@Nxwv(Y?H$VY&lR5~cUp}sL!~r}xr>8p zs?$}`;UG-CzzB|@E^HO)2L_G`Kr*;UwcMMh>sf<;DjhUDB4qsmFU?qc6hFg>U8}fx z|1BBQ>TJnI+Gol?^bGcPSrTWWSmjnaBC&0a~m+jp7mwer1hG{ zMbWyT)vgQ1XJXZiE1ha;_N`-8j4eqnXJ(OC6vSfNW$xBy*1-kvN|%sA?>L^LZ1iK) z$z--3l#NfH-iad7jLCqaSYGm_ebQfJFVgQ0N3!d+!zav+uxo8+5%@8k?8U~z5X*Ji z(p@FWb`&h>fK79hNzmt*ox}-Z0X(ts)}T`lOarPy*+OpK5K9ukS0U_k5+4P1X}Yt$XY_G3vAP5&sCeSsJ(|4lXN7V=B`jzD5|@2@iG6{4+9~lmC+9bZ_s`;V25k{k zIBR;Su zKQlJ7Rk^ak>fX(?cgHKD;~%1VD3LI>uAclRaFzW9=!UZpdH+FVDB30b`yOcn3S(Lb zKv1(?^QvUbFG0|G7^J!b0Rf2IxuIBh?XNBNs8tm$c*u9!TxFU;RyA;MF>Y6jJPoP> z-N_5FKPNBMu>A-F8n|-$KFUuIpU%fI?0}xyu)Pnzl!|s;WEGB4w-YI%PGny5vsOMA z`GS5N%(A}y8Tx@{&+&3KP{>I#D?TP^brAlsM!XeT39&k%Z#T5<2TIPT>?xn?9Zi`C zNX|UFd_K{Yd_*=u?QEc)B$CyTdFHCXnC+rj>2o0~WHM~39|>ta#+LUvF#rlY?htxO zB|cG^KQ{w-lpO=8)S>*S1?GSSa!@(2ZIWx|jRl{iqAc^}z`7*+j4KBB@oL-(qSTPa zxtzC7opOi|dqC;$ZP-!LOb23Q0h z56Ma&2nRMt(2Y~>M;1|Ufj;c*$6YtJCFO~`GcAr}kQh68C07o5jk?2fuCDc@9bEEJ zKfcVqd=P(FRUYvoUGwc>ZCKUx7j4?pV%BZ- zYS0+Iwk$b(F3H@l903t^c)+`+LE^j%#Ee|nrHWxGuQkTEH-n=&we9&=$4VW^*U+}- zcPFaY(U*MAt42i8XYFfti}Rt)557#mN1;5eOMiBc-@0UO{krGp?Yl+@H`&Y>`mVFE zKszu(U1`_-kc-n%7s|-kNAOI_PT%re&Tx|^uszlF5WtP@6cv5vnr-O$tQ+z|=OgIx zUzrpX1%n6&q02U`E150#B>h%LV6I}D+<2@j^1-WcrR@6bmF%d=XqocGILVPWfNy?hI(w^uL;#hdfiRc{SQt0YN zbPw?;^z5LxQ-~Ks zVhUqPL~=-6Vf-cH<&Z0d35mp{kmN!Nm6#HeT1ZPHriWw{Ud<%ZL$V69bBMVid4<;) z#Qc!!g#|Z=H$w^wZ`~#qg%lT-+#%i#`LFO^DX}c1ys+Xv@j=ML!bg?Fs*vi!$4`hg zAx{gR)e`GM>I)khiA^ERg)OZ_N?S;KVaIdgi;&L3u5MyaNN-_ZKXD*ruyE)laX92v z;mB*^n~=AK??#DZA@2)6j1wn9CJU#gi8CRyh0HnPe8@uK;u3NB--qDiC*tRjFNI%O zM0Ut(A!m*FEo8m$`v&nx$j`!Go5bHCTZMnNiGM?O3U~L2`ymInxbm?~3iz(@UGgD? zt2{0c6FxAYnwLi*6ma*LfHTF2fPuzTm^8SFz3yA$|G>GJg;U9UVlira+zF%Nnd!YT z12_{|=^-mK?gtlp+8vcD07-|ItIE|Fm7Q}w@({{duVQ1O>D{>NRUjv(2l!&NNBvls zc-@?2Ps$0~Ic@Se|5pf#CIX-Ena?adpAV}odjUMP)LMM4YnMJsPu{b_SNqR`;b0g7W>ojFk!tmdzI{?LXT20jduLUuNhffY|B7vk)NY|XrhNOY z_RHy<=XZ)wOZ1B#AzKVim1Y8cM60tG#VK}0xMf=Sb(bMkDVzyNyOU^fb-NyNw5HMi z$MIttgu6oR1fJDafr44c8Tsnm`w;26m36g2ZV9L^u5otG;(P`f=aT_*ZKfgQo7>G2Hu>o%T%vn6T@>gb z>uP`Whtdy}l$inHmFV!e&^@zK&QmblLSc;SMM`UsAa#v17RBZ(uC{I?m&f1Wlm>HG zmgwl0LXQu<`uEhWZc=uR7L~fZ2mo?VZNgAD51AmAWZYB-gU0y9>C?h zzin~W5O|5xW7KcyArEt57_N>6|SaA24y+}LiNA*Z^rBQlqE!(Vu!42{Zb03OINf~-AFs9to%4=>* zqx`ZGdwLr5U%4{CPq=Ls2vn_T@;OvNX#G)vg2ShtONEQ~1z9TEf3q_=fUH=W>@R$W zv4$RW{%8Z3?Aa()f`bFvmE{6c-bLI(6z(ng=5YZf5+~io%wd?LKK*dm=~^`7IhN@)g9c#p&Blihg>mU)*j zS)Ra@T5G2+AC?@~(z2h!UV71V@tb=mwsm)(kGUs}3Dt0+CpP;rA^zo`{UkxC z%ih<}`gh@g|%6hOfNFWHY~x=>YPQhdg@Jf~MOjWxx#$hYW&vWGwb8I8dW zC8vd@+_)LKYT9+sw#Lhx53?VpL4ovA3h#ooRZS*nRO`Lql?rA*w$ZU0vVP8MLOdrX zjGqyv#CLa8@Rq>Epc-Onx7>y2yM+vc`9iR{he>LVuG#TQjUfR$70UOhm$V%u`0{F^ zz)n)n(|W4jlRgq>1X35UC>agJ)A(u8@nU?O4-nyaC8fR+uBcj|u}C}wvSOYISCj2< zJ#vvV=lMyhj<4EUWE`PhftZ%fEG3>y1I1r+`+2XE}MtablNI_*ZSBA!sIU zlW%%rGAF_Ki7qo`82;naev^a0GA80fU38?Dh{tjnvi@pFdCm)Qyg)8|y z;i=};tn^D3crVZ)&5lP;mtPF0Z`83%$#kCefTOVL@lC`*yIt7%{A3(+I>0v?Ondm`fW7Jxn|RQe7otxZ#SOry!?F`0MpT6;d($5x?n zNf!$SPg_m*+GNK+lw0#FVBkVrG6QOxt=@3m-BfB2zIDmd5?ce6{*o+`!md&Bl{h&* zA2Y|~_BDL6{MX4O$iFdinJ=0Po9F#=(A720{&Bz-f;OiHJZf=CmP<00GpiitP4Y1u z03w@8F^NIRDctJo0DBQ7P@(sRl6>YktyctFa4$oWQg(f?U7u+9{q?)y-0RE}IC~RJ z?z{NA%4Q8Xr$r^@U@4{rPTQnEN7>=7TLaExQCQhPis^;3GeP7i%ieWtz@1ryDDz7( zqj4mYy*%Z=`GdF^;-xXm+i|SV`O1lLdA-h8!D9h}OkE{kr{l4@9VT(cxOd0K6O|v) zg2HhWZ|4Hf{LK8&QhzVKNH2=F&*5=IU=W1~hX)JztIcV>$Cl4I+o_F&+DK1_36JA%y?lCA}J_A>g7b^A+KYnqvck zi^z6|8F@s`k|mL%8U2$25+inAYQh`+ZZ)r<7m%_S2RdKy89`$w4Z@`d4V{@%2>k?U zxc4*A%?A|-@Uz#LTd%|E7jzEUociYPq}Yr>m>m!>Kq!-6+ap4$=AMNT_v0xvWgzf| zS(hsx&?ZuO70zzt`)`09RJ*qJBCJ;xU+WMWN*!mpDK%9zMZTA|_rj^DC#p4CsO5df zG8oUqLY>a5J=2pp`RS8L!`ioNGHu~!KTX?$WJkos6^EschP@Mq91hQge`?s2&3_Ok zO*b)n(q-yX;YXGWW2*TBWDQb)lzadvZ{9EbY@#gcj$i7=w$n&chP73BnpBuuVrSbc z{1kB1|2w|@uEk!}J1})h{Y+_YXD$KHuf)zZp-p~T5kU`UAr<8JSGIb9B(!rejpt}u z_5EehlREbE0}Q1v+tgtor!#dx38y>!+}|;B9UtzyxdkpKo^J+z$vH%tX?p+i#H~sm0fs4~^G{%Nq5nnuE*r z=h{eS&cWk{B5kwLkKzyPA2Y^w#-=yHj)8q@*a&VOly*WsItX$7ffa}jhEwxQ0Jw+` z9OvG1rteg5&T!s6qs%v-XavwQQ0-+ggb=fKy_>E4Nt^aL^ggNiiT^9Q^M5GU{}15z zJ@;&8#>`m8HkKJ{cCshiSW9YLe<%B}uY1 zq#C>X>wEnP*W+>ha=l;g=gYz~4{5P1?W$-hf0HFqL%9H(l`Yp z=kv>R#P78+=V=U6o0aRfVK;H(StD>ca_hn#sW%h5E(_wNo>ozltdiE)%S7XwexE#F zs}nb$=3+9pA@996HzM`L4=zAS$jekd!hrO|nCPeF-8G|+Y}S9x#C~{m)=72~C?>lD ztIyvxHF_WZd=H!P9h0&Ac35G+{bps(NoVx!Nq#4EHTiL_3v0|l0Mwej=4Bp>5NSFs zpzn`O#2T4^kDIayDn4Yf`W%5W8DvCOm^ntS^=a}&ti+!%?wnb#bLTN@cmM56x0kr4|)jBgv6 zQ)I{;35_N7y2i*d3&>!*e zZ@0Im%1+*!7Gk-eUi`84!(F)-{teLo66uJwt_zs?Pf_F0D#t0f&tuLNHTSQd?ZoctQfW1v{PJCrG!T?oohw%LRBbxg4Hg*_3^V}lx@;z zf1N;6X{0-v_-LF-p|hi3m<_|H$vk2Vv(NrAEhQ9SX$p<(r2*o5cxwNsnl^;0LM0K= zx~{lTUEE1Jody8cf_#*87Wanw>5oWLMVx^rS|M@Kdk))cg&c2;A|S1`JkyCd+_SuN z@?m{j+L>$@GcBC6*CV$rHK4-aWHjK3a#E58AAWs>8W8aNzJz~M_k>T%i|(TeKIhIHSg@8&lCu0=1*Z5_VR0IN4aIv?Dj2Z0};s_00LAq9Vu zn9*GyJ=q9sjVqmLu}VQM?TQVuNh>WZ1gZ7;2WW{JGlFjF*jk>j4E{7g!zX35$Mb-a zllKF1o$ZDkid)d({!)pv7uWL_L&bZsn=UOPz~RQ(skk*Hda1AlwX@XlwY3xCjR`AVJ3>mW4ixsz;bwpSLrC;EH-iWl->BZ`}Py&F}}yF{UWwLA?%taCCgBt-Bm zfBAN0m5%qzRY0Nwbj+BgG|UgX*A_DF-vnav#Zma1-U8HkHOJ!*rQL=0Uc8;PQL%Ii zT~2YGVUJ!@RybHc;QnwFVyYmQ!|A63t)1F`&nmAf$=Hrj3r1xK6vg>kIn6b&vfml7 ztn(q(i;DM|+3LuL9cbZ z9SX;tX$=c!Wt?f}0#XB$89V{1LIZKr#wyoKXkc-Ti-k4Jl2?u@%f0qs3NsKLM;y-6 z0<7|$Hy{qN#;(4oj+p!#icwI^Na&DaEbFZx6BOM%gKIgqyEE4JQ`FA9_s*4$o#;TK z!MsgS)qVY+;sZleK5}_=iqjGW**UwR8ul&z2xEaw>N*a%>BEI{Jw^gk*I%Wermz3! z^KWv|ir?1tK4jJmloG;bOjYIy1kFS2v7Zwnm&r3tc?(*%Jg@Zw{wR1|7vB8tj z5A(ykx)23F8`sxWtq1uFnXpex7Hy2oK`U=UM$i3M2r1*1nWML{2Ini}XX**Oc_gJd zA@n%>Xy^VT#F}%=MdUD133faXnidG&u(&vP3`U$~$cEA6$NqlN;&$X4YX%#F$nYE- zcL(=jo;VtLX96>B+K-A&dK`S9zg#r?aO*A}4OY%nIq5j3)}cot%*PUDtGK zh8)5j&paK{xtlDT2yx)WPfAa}m4C<)Fg|fGL=p!`N+|;SpS-p5y_ivLDTInF?C}ne zfOCz~Ln%M<*GbK~gYIjr)2^8h8#i1%I5s%TvnHL&y@)EY!{i6gY#3P`zcY$5!)4_L z7AlKM+%r19o{kk;T0#D+DSS4s=Jy?bz*$Ork$QS6arcc+nz4s7uGbb{KtCDc&_*H2 z-)b%oitMCo&@H&dy4=fhs7ZhO4-{0I-92YQze#U>4j7q+G;?8%tgUegfDY-=Pqq_j@L#e6PmFd+;yU>H(@fWiA7<=wAIG4uQke)x^^FgGBuEPi{KS;kO@tLvfuO(}S zwTO2I%o2=k{ysYT){b}1YJOBeaa2~!mN$qrwgf)zI4tI`@eS;qt)-v!VxP$&3);?b z++CSn;CXAb#q~ov_ssDqOb7#c6es1rf>1trAHyO|P9sV~tOHZVufIbjPF(+>6W;dj z`Vb>LaZ1B&{E!1r6iOy$hguI_cSA2r9vAfLy8$s9mRed1IsLN1vT8MR__Uepu%k@% z0YRT%+0|94)p8srYsjDTee5M>T3zl$5&21FU+Y`-9vMcg_ufBL7u<2n!nn27L+taq~1;p^YJcWtWvBXeF`$_UKT|T91wr*bgSLg25oOp}TSvB_rF-QT5>Zp!@HPBV%lFJF&ZMf1er> zLU;+26<@f>HcMB;KRu~kYgSt9Er}Qiv8DUKEtf%N#T^#vq0{qQujA7zN^$@e&`uk) z%q=_@^@qS*_}uFAxhP{qvAX|5Se?+x?eE9a)xH%)e=h(GYq%tU&fZz6C@%yAZccqf zbeN*>W;`4BU&Fx3Q`nZB%lqdx{LczaqD*MEC*COH^Z|ur{!a`!NzFB+)_kqFtN$5b_ONP$)He5AxSR~t7|{=c6UD%mcs{Z1FMDzl4&Kc2R6L)J&V z3T?|H_s*-`+6j8><7T~5rGSoFV?L{>xDEg`+qUfJA0Fbr#QKbaO8;N|@9K(zEMxh`g=VdeQK?IvwL z8;x^5jg+_fPY)R-r=OJY+U>+8&Hoj?`?k9JE9aeOU_Ig=_GVGSVpbPqLD~DijPK!+ znb)=0%GA0^so~eXy7#SF+W*Vy3Iba+0EbJl`VD2_RD_}O=z%(vuW|aMK(8qq6_I1D z;)bZjXv&Rj5Y{7O#vv$sJ$_z-Rvv$D-9RN{-Q*g82p#>mQ#p8J;d#tD&BPcH+DT6` zM7`0!nk~1HoE-OD#*{l8AB;@pCHQH%0n#@5EXO0?kBU@0a%2^oKTn@`J8Us9Cl!i! zP8L@U8}GMJI@*e6Ff($m-tmnVcbmgl3SMzed{7#)31jB|+eutW3c@C$$OGl-QT5jp z3BqH;JYAKF9mgCr`aUD{H>InjDW6kqt97no0;15P?<{p=Cg}xi@8l zyY<3<<>XnqupzFHd0p&nM!y58gCwYZZVPMCqd}Pn^cKc}V|%v5A;os2$e4k8hz8C@ z*4s+11lbXX1QR<+9QJGP){`b3HftwGc}?ZC{)FJD@r3f{^7-NMJ7L9C-{yO817DXFT);_YPN ztWJ>9q->hf&H6R<%hazvp64r%MY$ZS^Z-O~L3cL9QBH!G_?RE-((uPi_7{Q|GUYp9 z;V$1GrjTJ#FIF#2+)J&&ca5LF!N}>}$mhBrnLRa8<*9_d_99I_RNWtdoGlft46%Pp z;M{i-WX3+}R*2keqFC#XZwB6d*kls88AX2Ey)>O=W+NyjZ+Y(N zoa$0Q*1Y8ri%E{fH+T@f?Zen+&@{T33tWcWB+HxZ-E~(MrS~Eu%%&i-L zXfM-B9N~i6NrYql#8dGv%c^ASR!nm{IC;+k?3$+GqP5%^SZ!Llb&XRr3$G4_11V5G z%5KvBK$3#E^LAmfJEuKZ%_cx7fV{Hv3;?0riA_X-``RKq%T0&TwYHpS$cZU9%r|vn zJ*$MFE$#r1**A*MyBLGpw$wC#=gjT5H+4S|-zXYe+H05XM`LYJ@nE@`Jt1<9!~Hf~*+#m3=sy$D@0!{aoJ9*kHBi!69yW)-3VlxMZR^ z?NnD;!Owx%W#f*})66dlUn4e2wl0qI*y(%IcVBUGvDwqS_)19?srF7xzfkFP9^%P- z3!>bA-?uyO8${@Qeo?0|#OY7wm!>{H$~Ea=2U(Ko@Sdvn?RHPoK;(XDR?<3f&W;{y z3IIyLk>&`{CW8V=le4LnpmmAIFiJdf3*qTA1!6ouEw;WceZasLt|Q+SAUXi` z3D>6#f=Uh?8>NUHnM`_(d|QBZlBi9ZK>u6Scxky8HtcS4A4b)~-CSZO&Nu{gMSWpQ zA7HvF`6T-7ddoXVmIKw(6|LJ7YFC%*vYD~pC>b9VP1~>UI{GwW@-KB-%vxwdMA$S@ zAWIYjS=CNxmD);(BsDH zRUx23w<|7Nw@dX=7hI3hs#IOSxyE2f6z}A($v{Ywf)^WRxOJSqCM*+3RQy*-uy{pk zKV2r3nZJgAMt2+P-w?y%^gffnemW5-r?yNjDeflTMpPB2HlQQ*le^Q9{Z%bGT&zGg zJw@si%ba^3EvB>Vmo7w1eYLksZ;C}mw4d@JEo48LdBH3RsdRSKs3gcjQXTbE>YADH5w;F*u9mb zkCo_44hKp61ZGLWDd%=&oG4pj7b&S)E+4TY$ynsjdyt6sKfm|DLOlmj{_w)htt?d^ zwa>5`PeWQ$TQe8de6NYoI;s`9s3oBW{?5^2(mtLRUg&QAN4H(=fv2$dPX{v=N$JQ} zWz{VWE1jv@Eg1>eO8!Slbpv=r8-3t!33gf=7jags>YijZwg%!SiE)vdyNy9O&bQkN z@n8eOkU);gKLYSU;G-A-c1Q1EeyMNQ691{=G<};CO%dM5BW%RQ=HD{N|Iv?NnM`h8!OUOQ$C)+fdn!Pel85__^1;sE- ztahcR6=<2#qI*#IdBs(B>1_d0An@3UV)%fIZMTOC01O!1+2bGs!Dc@X;#^qZH{^E8SAALl&JkCXt$idCJBTS z>sBmZ1!68z53lIh`}!|q1KFI*Q6DF8vLExi9j2E<*Uvl0m@Uzukf9QC(PEb#0QYmedo}^-8=$r@5{W&506H`u=jJrwA&(RMSywp1 zdY4_cV^V^bHJ+j7_$5G|jLAe*wbjJBfcM zMZ}%>OcMlx65!DAW5*+-qD@(_N+96-Pijc=MsRG5hL-<+HGoETzi95KX{(-cNQVUk z0T?Pww^UG6@>f>l?@< zjb3RRz** z9hiy*|?cDA8tHcB#jzJ0ff4z@$iiy&Xcz?_(EJXkB4=ih{ z7s4pT1aeY!);D^u$E1UOlTgJ0vk21~nF=bg!>H8@GKg@kIa1-|7-3&yTVWl9%{2&& z(uOMJR3hAJv@1L;BCKjME7DH(=y^d_!-W`@_Gf2l z8BtJkvlTCgor6#{h*nr+YYzHTvr@4%88KcnT+x>skx+B3HA$~`4kLEM$Kn%SJrQ&H z<22+nct=0>BU#+H7%FdRhytSHk%9M&%~YbkD?)!gP#vW@Ii;5A^n5lXS(;apSVV%B z^JfTyA?ayj`NcUk2mr)L%-o1D5iXD<{^kz`=uYEz3WA)&~ehVOTb;Dj1x5Y?H(N+)ew1dh%W1g@W0cj zbf|X5DGSJ$hFNgzQmNNXGOPZM&}!dVR0@jSgNE4Mb~c|k0WWcUm{@m>;O=*cueB?3z_|D(v!VX}#cq>v$51~wLxR-lT5mv)Rb zr?tR1i<}hLlBEP569dn~>atRju17`bKXy|wz;6SZv}RkhvLs9Vu=>~$d1;$5)i=N+ z*e$}g+|pZ^3y-V!qM53Org3&jR#cc8EkSBKtaUKoehra)fJYk>MIUOE6dZp0R?KJ| zIc%&#a_o_gMY_~}hLkeI)yHWy2K{zTKjL#F(N`#e%gHb|l@W7wOHHzgP2ntb=ES$6yO+b<#4X#!%^Y576Rfe_GJr%*lqnqc% zG(?3R+5`;&zeqJ$^%qwTbN0 zm3C8sm8pA9TKPCBjvv!Y_#8+OBS;Q0M1nTeB(;l3K4+dZ8`sGBY*Wt*N)rn27|v^J zoNctmz;sb6L0Z_Lg1?8ZclJhS=FO%yIq~{~PCHrzVdBi6*0G4M3Nii&m||z5&pNgD zSKU{T8y}Cx%z(f&4uI~cUB>fK06-S=V1%P@KB!hu#xJ4(lyH&p0wjYH2YBl0$ZCx! zP6G|{yr`OSA?p}Kn{Rv4rc#t_Qw*|{lr3Qh zQO($rG-P}Jzt8`^xS#v(zPK;Wx#yg!Vc6AS7~mFo72*qlLSPW47zj2A|8MyJlKx+Z zL5jFrg?!o-9#OYsYhUG*_9Kyy@(H9lS2<(<&;32EoCG0(w4WEA*YWZ3q2$nFZ@3`z z^Eti)z8(Scyl^-dA@JtDqMgA}v@SI6A>@G>6p{r-5lKYtsOT7wNKPPWRRW<%tu6kR zfQD~47_iqu=Y~==Sds>r*&2Olkmo|TPyFgFF16>5-8;J4@i z;_LBkxR$j&>PkQWT26a5Bq)dzcs$?}UjBQa&dibJmxWRKm0K@QQ~PoOs^9jb9Foq= zA>g`B^P(iR7aaaEJWSoD{vj!ze^!h$rp5p#n3x(DfPE5VCqO4!Sg!97yRoO~$l1)^ z@-E)v5^ciXpUmGW&y*kpis|SqI&U*{#{9Ixk2As?vQ&c3~>N6D9o_J2{{5<}}uS3`8fSB5EaVGt(4O15i zvT^7&cwK{-rxEf+{Z~P{Hj@hcqyDT<|C4zD8Y?Dw?ACesGozpgR#}PbLEc+#_Wb_d zOXhWHkgVnHX?&fptSfX)vTS6{yL8xfToCA((t(I>i!C%y-6_DD#b{~W_-#ooS zi!Xc_lH2n)6a`SxMceOXUNO4Zl-dU(69s$ivl6R6Uy|BiasR4opN*^N+Z5|*l>JXr zo<_F{-RRHS^ro)A!K~|!yjeqn^g1J6IWEL*W(8deYSi*Y_njN~TG*RXp>Siy--V*D zB5mJ>e%WbGInPB{H}68H3a#isqr&(QoHQg2LHxQ7XfR*x_^jb1(Xhp#=U!( zl;4DQ*XdHi+G)vjY;g+>Rg~EZvNO-m$l;> z2Ex*qB!^YT2EcoLVn6@^IWdj|y7d!Jt1FyAoJW*HJs>x(&W%*&A6At9$56rU6x z_(U!~C_QM7#ms4^1`Lr;`lRT`1veij2^O?!u}4`-ek21pV`L1~P}ADxwY=I1eIQW# zW&aekeC5a^!Gzu(qi+W^EcGLG$dFspvTp=+2kbWeP5Ns(dn8P=FM+_(0}6Yjt+ZRX z+4il$c35#79Ti?PQC?&Cs6l)s&Re{$6+)6n@yM0anE6?-nniTKP>|RUnJ4?T_M=uu zx_exh3|6ws(1ZzH9Jf+}UO2Q~3^O+1`5d#DVzShcK6v|vF>1uP3DB2=-bfD#!wTaA zF(oaR6Lq@l&~lsDT{5u#VL@PJZ_h|`1x(o+VUNN(?XLEi*`+%(n8KC0sUcR>)QSs? zZB}NgZL8dvTp;GxLr9LgG(}r0z4CU^<~;8QR=ua%FPiYtGRrGpRZLUqn6u?wtC9(7 zDz$Q(Q_Ar&x^XExYCRvSK*<DV+|o(ITL3Xf^mc1>c&Dra~uJ^7`_@PeGI>%9X4ak~>L zyxtuBD$&{5fIFO8PzzhFdpbP;(dJi#y90gZsBEFMV|J6HS3^98*A@?FqO`n1*wq@$N(TkhD)Ay{CfPT&%b-?`zC-fxe1tE?@ZsQY`_DXs zrz8k`2fb)%IzM#Pl${t}(V&$mW^%IddhBRoeT7(?v;QB>cXWPNy0F3h%0F%ZU9i7Q z9_IuYi+a!ptsv=&)KcTqxB&y5=SLpC406fr?*{e{bUAEeFJT$PEw*W5H=gs2y?B7b zVs6M>>|J1k2PXu}Ke@*1oQOiaCiCF0o3*S}%y%Csw$>y7^M1cX8uEKPk-tngrJEBL ze%r0_PqEUT{F~!vp~HRT7XVXC!@x;HUHXtBGv%kz`)}v>i1NQ$_^JnMPx^-sF7iUj z#}ZO6dBAe~XOOO@Qrc+0C^1dVxAFu09WH&7fd&}u}?4v z*F(UDv98BMi?WvAzSuh?`0d?^EqxLLbLY9P!~aNz`d#j=i+w!r{aXvUPu zRfyO9Sz0a~DU)^tI%NNVlnvUL`})U&iXB$h!SaP=WS>iX?_lREGY_3UDRHk=k)1yz zH+oR+)h}DSaeNwS7}M##86FSnjLaJA+#74!noujWR^lvM0xDT8B~s2`7x&b3UDe}` ze3d3)A`vz<*C(b#K&3Pf4G=~W$HsW8hF4=ohB3|GMErmc+;U>vBh2VA>(?qL=~m%j z!#t0YWzykvt|4wMKnrZTA@t^G8*B)JTj-Fc{olzG|3kmz zxq%Z_Qs^s zoy7>(AI`vs$tCBerLXTsC%jMCq*&!bGYB7J5Ly^fu*H`y(CdxsK8^c;Eai&?&g5IR{)yI9f9RAO|F7AVR#o7w%rd3f~Mb`%;-Pb?vgN`d07ycnK z-R97AGfp=Sa}~P66ZgT$xa_T7xfrcedDYZ9_7LxlA0(RQg|{E@GUmdu|J(FqW#gvBYK;6<@i!GQoR={lP--VF zOAuWzWumv!N~fG@6d)#DntXxx`+absiw@;-4~ntjH;lp4ebr)!NAk*oj=%&y2N4vF z07Cx6ZOEzRXcBu-n8;XM-F!LiWMH?_GMu+Ic>ArnwktK7Kvf zSGix|ABL`nO#>aFguC>Y_BKJkpqvSS1aJ{}I-i{hEiW!s)2cBactmouRB zYaxpfn2UN=oy6Go?oM`2LyCKR$hqt;@4O`#Z~FF>7>Er?lqvEv=UAaDKUPXX&6VZeWdpvRmR6&mcVm2# zqgvREk^Pqc=9XX26fC!_W4bcSttn0W3qzY=g(S!h0fkoKb)}JYkj^t%wU*#MCAc9b|HC18VeV-so2L zR_+f5IE*xjQ0aL6PE+LyP;2qu@7RL`Nsfkz-{7gPxM&k5ihon%LU};+&%;8-nqYd9 zg-Etsh(ojiN`CMur%7`qG2*#_L~qZx;%8AxxIWM5`^{`lyPCRWp0NlN?gjptgxJ#yOjUtGe{&y2mzMmHn3M^cml77)f065 zB%yDndx_uzdkD6MpOHAyxfbp8ts^|jF(u0!1|Et zC={UO>Vhp7NR|q!*y&&?hE<4t)7iLKu&%OUg$#44<*R=Nt*j<_>Xe2K=)80;-sk;E zx=}8_eEFgxom&JRazycx0#7q_^{X0_cg6-J2)`}h)MfB=KHkq-+*8FWv%!({dGdzo zKx#cxa7f8=u_O4ig4;Dou=)y=#X25Z0sKoj78>S{;2Om_MF^RKg-(_A^_y;mJT86E z(9xW^mUH+oFmx?5sr$frK^BJ#Pq{29MZE*98?)6tyl#i-31Mq ziFsf~y&}v?TQwXdRbSFR7F}cJ8uF>fJ|w>ayKV_}ZgtmXFt9*EbW$uS4g~PYG5EA( zaw;=2nkyB=M@6TRP9zbN;mJw(n$);3RCIHORCLs28eS_wLXMb_ko+q0@EaVSl-#6r z0=E*K>LSmHBPK;zO+$2+4M@ttVqX;#i7CAp33c+uIGwk z8by-dBTP13h2yYYaaePehUAnTtgwlK`IJ*)0N}_i{Ph`LOKVx1l<_RVeKr;HPLt%5 zV)DpOuy86JE~QG^jZb@RAJrA@)D()B;MU|JE&L4q4{Y)#>s;5RomzZ$^gGYlD1_?E z52caCF^P`RYeb=RCN4;)D3Py?AnGwhyF6cHCpi^)_~LU5o;aBw_wrk+^@m?!)B2t! zWdLTpC!+JCXkU*HT6Dx47@O-GwI0AY0nK3%bDJBdB* zj)W6Y&YKiRQH56LX3t^{pQxH;}p{ z;7x1C$Ntvej9$-(V>aQPJf2F2(zsoY)k6R= zo7{WhnX>psrEvGYqfr`eP*RD(3xnwx2eW?>%X-72oL#5XXzSi1=z=G5651sx#xrkGFc zg^F=6xwI2!A|5iNmmyOs>5zM2LszVXDn22V&Nt;m2viBQ!ID$$8O|CqN)#90Bo-%1 zpTc!%gt#Q-$n^yqHLB5nLc>Ff>^>G<|E-c}lw=e)Gw1qF{t++Hi{xq}}?mP;_9EU#5qr#Os^FvypcTRfNiK`oNL!Ro#3JsGzi;CK6 zQa%tY4+iB^)o2rw7>C#R+;2I!M^dvbXsmE8_rpy7Mk}s%uD-%eu*~)s8wJ6PK_CU& zwIQhY<=;CcJr#L#w^!;R9$tm0$7?$mR_^cGK9Uffd4XK|yfjN{v;hWVxpx=IVT}Z| zoIka2;{deKOFhMq<{*@dpNJqAIs{7`pn1JbFs$P=st<;$oqPF1f)57#a_3=?`FC@6>&Zfb$N82? zm`i?Hb)`)!vXq*sAQUA8TtfoUkOl-SxzwS9@u!`S+&ViKg+E<6;(be(O*D?ZWZpAgO!9>$aM$ z9ethxn0n_(q9@m~d)BklwvO@~5ilNX6r^Pi%@z9Za(XBmy06U_?ulE zv6KXA$Q;j1PfswW`-IOn-NXj|_W1cbp?8kK%4hPGsda|%rlY~e%jZh7gY(v}deL_P zF)}LP=tff-pX$K$3VRwdBWWW0&b8tk<7_nFOKyB3u08*7GyA6NJl)3T8t)A$8X#3G z4+h#Zi`NOe$}}V_(Je1feD=EXTVCp`PrT3G3r_L9RT;mB8=$`3v{vqOY@UE1xz_SL z0{nXhX*BKfF6Fd6m8X2OrACTxjU~bnw(~vaYvP{+}*H7D%9U`Dgju3PcyhvS3izCCItxqfZsXErbUMFu+3VV(!#KE?@Jb1?k+vO7RXbUtPGM%)_Tb#bo|Qq0XOL+g@fpOgo;Bv z6Mswuo%MG=P-T;5BLY8@-$X3r-qVFPac-TaO=T46B>t{YGQvZBirO4M!XINXL)V&7Fud+S@=GWrPp>;U%KAq_!;{?Q;Bht@*}^g@AF2w zj~#UicODuY`U&qGt*0tk5FI6A$l~aQySNP-7%EKM^0}i(es4-=$vIPS%9uLrKGk_; zTznj6$9`*Zt4h$uk$zQ#DqcU?lex>K{0;Cl(wXxRO&a3j)~YX+fAF*Hmg7ko$&YXF zAc?yU7RYL;Rv5o1-z1su0UsN|oPDMLC@x|DloJ1Z0xobeO+qKk@N5%CN;GL-#p6?z zyHna-PidO5M*}%5L)4@+pmu@mfG?0B*1vmOydn>tbkOh1>v`B9N7ef$8Bk}AbO&;q zskHQJ>`>8vLD>?>`|vnXExh}VxQ6LhBMS6N!H&6y+V(5+Ww%g6I@FLBCZ=j0%Cf~e z-|1dTz5jvxp}{pB3lGEkb!CgPP|YzXdYC`o&+9zju-~ps4X9NAmQyYdv3xObj$1YM zBaP?&wesqeaRg2E_ga#B zkAaBQ%86{VJ74afkGp@s?qM99yIvBCVJ*S=)-flo*Vz{il;4&(tj?-V0lN^1<~0jy zCuO^y1uG7GlZ(Aq9>&=*eNU1+?}7xH}{=}_H5yFG|xemgk-OC6K7dE&ck;MVWRf^n?1O)ey`g@Vn(63*S6RGT<$w z@z%MM#2rR`+%W26rHrsyj{R)|vUI5xv+Kb+rTejj8_eh}!KF8>T zJa1R9rrWbSnb17I?S3zo-{67hAhbKMRQakbLMk_UPKLjdCj2$YeI18OFE3~4krsR> z54oK1a>fR1wkwD{IyS08Eg#x;)!FPNGXmE)$n=)Q+wxhzKiEm+*6iFn9`ujn%+|02 zmMP5_wu}Gc3|y!6}?b?_TqN0fkZ%pjExM0}Uq8^8t({c9{rd?tjex zh#tP?=aWbd8~zxi^mrAN{mEwI!376(&j@cWlhg6jS?4aTmpgK6+(ir-^94URoJST6 zKd`h?FMsTG;8czn-4y&vm7O(adxGrO1z)s*r%R;tG?(fBQS&9Pf(q1oMs9K#KSh16 z6|!yy9fFPBO58{q7m~ew$EfCh*gd%%!tQVWoL>IJ(&?YYj-K=D)o47d-mYQGlQ0yn zp7M6iP;!CR+JJ!lekJBmcYGpl?M0>8IQ^xoxnOA>!%pw%m3ji$V8k28AH1Q znEVnxNAE5)YyM>(AdWkgU9eNsghOrziHdwxShXw{=en&SA^^#gxlFZ~*2TqSRVrSx zz`Cao-scu!RC`Lr=0+x$;9iI(t{}} z>1wXcptOH0k3~HPvhnO#=FH>MJj%bg$!lTD`(4YL?L5jkBeLwn#_o1dZ+!eDpug~iqw*P*3W~AU`ADS(hkf5B`e_53%q3Xc$SjGEeUEUc;$CXxHp^m;S z57udFp3duzg-`L^YYVnim18;a*&BS%4EpXQXJ@yuwB> z$5ak1pKysMKuKQl0R^cjU3Tek2tU7Fe#OHkWq~}I^`EvDaoOt3gy6)00{rsNdd=14D~<4yn?i|yJ@qj~akjR@ z{|ri=Ya0;cyL6Xp!JsZ|TI+%1k*lpYgZ_hye>eCX-0?UhiUAF(6nxSb=8$@du19mo zIy^bN@;MM#5s4QY1HX-S>rUk^FxNpOl{S&3n4LGM=e$UsIy;b-=m^+jTP-`3lCQ@3 ziII#jPpDq>x^5;5CHjA~wqqVw+4k$$$!E=dGOU<@U@lLJI=(toegb}|M;Wd((W3H; zMu-Q7e(7O8F}mYP>il;URj>x7IfLCBDx30)J^0y$@Njj#s+U=0#mM@*RCV$86|3rQ zWO(@g^~--$GsQG1%7$Xt!O|({c$$zpt9(+zLxU5h`sYimd=?xb91J5=H=rXC-V{xD zg~UaJrQ`S941o`zQD8F{Jb)`fp0&|s39x$(JgeZwIXdAJ9ec$SIlGn2G#^CKK$^|D zfi$b!BlIyu+f%druMbVz<@gYO!MXaXHi{A5Ao~iG?EzzVB)|&Ve?lm>UkTUKoWCM2 zrh zX4ezR&V)tZpZ$b%y~|0ppje_f_ekc5nHu(+dU@B}rdG#=Sr{*hZOlQnJ1d$XlQS+> z-MaQ)RYQAMy;4SQ0g}5}8+IJA(*97Htgu^}W``hB&8%tUQ|%MIhsZWvgA>dxn0z0M zBAHp9YDQ_TuFz|GinkZg1q7Oe&0qsj<@2!ij%JJuC8i@GKQ)pPnTRS3%4+XzRk1>z z98ZfCuwFJ?4riB@Ble+`6^`msdnvr3BLBvT}$Zp!^D^9Tf{;% zm8gNP`rnLkjN;yQtcNH*N$df&3mf(@~Ih%VZcC(<@@k&Bj5J1$iMUSrWBRQKAg`ZzKf0 z4ZB%VtqQ=2VH_?lJY*UI`}!XjWN)Bmn5pvZ_vN-AiJ>X3epkE>&c}7YYNrC)DLDFI z0JJh4O-GRzO;M078mi>~{Zu!)U~txk$5|^u z8^P2d>%%m6DETw4NQn`HFZ=~j+~IiD5V%0#m70nQuT7_imr&+@IiZF6l{^5s?-Me(e<*Ep)NzC>cs~~yZGZ3r(cGvfxo0X0d7};9feg7`%;DsgwTrLPXKI+Q= zP!KNOmC}CS+9h`H0pnk)r4o1feXo#Wr609x1`Q>00$&?AMOPt4MMjgNtdmQQ*>Him z0;ZL$aHsey3hc3FNN$dGeX!yYGR)-xG(?^L7@B>tQn{j9dv|5|hIYhSIqR<-;$44PxPRNM%h#$FdFb`){(ON8(D|)cn_0+r z?BlJz4qc^yKPfvBOsQQU=$MFenGsqIuQ@iwDzbk;6!iCv&{RA$$woc&x7D;12%|{- z=4%P`_Xd4bD5rE8_-^}T04Gbk^?L)Pl{e_dXObAm&O}>XWQ7DExHOZ zFT~Z8__qEhdzMJeZ!_!z0KPf}XHyi!)J8#PD@zlAOmKZtU|M^^!(m@k$i#nEiP9KL z?F}+k_Z}ln=U50~-5R7|yb>FcJgT!Wttq)zuwcUT12NN1Kv-OJMc!J67nJ6=u4sY2 zfCx|SW;Dp>{V}*B)s#b@&h@6h^zKg=$5_3!n##ofPeGh&mMslw zF_@Kiv^Q;xHmj_7UO=@+XJ-q zafTeVb$2?e72MVPM0&62rkqWjQ26I}3-D}@AP=#!ra`YYaSh9|b761ZVoOJo(sz)G zzleeZcX%~!r%+dD4R?$tJLQirm{3=22G;eUhM=549#bF(D!ezJXLTtLARlQ6rZtWI z01i~$ATy`TIyGzwGM0b0)H&)S369pu6Xq>BMM17J!xmxG$HSS6OSor*;#@l!!Q&N1 zAuVk$o%!Y5I+mLj1(SW~^@|6h^?Hi-nSq5TzaDu7ZClNms%Zz7J6ke(L(+)9nXKcN zK0nBM0V|(0$76lx)(HaI+sCZ?Pq%D8wQC zs_Vs^%SzVD+F7Nq7Eh1+mumNRzGe7hQZ2*4rn*M>$*0I$HXZl)+6scam}TW!Vs`!A zo+ZYEm&|SG^<{-NoS%?E^U~2zH(PZbqCLK4a{?Z)UJNs9XrzS!%;b#R=teqljeP=) ziR?ZFJrXT>a3fl)Dp&bKq-V0kK~MJnT8^bA*|NZLrC7emyS05#IxGx~tPR5&RwHuS`F9jaKN`%Wem0G98#poXFEDuq;Zt1l zEh?nf3S0Q1o?f?INR&5)DJ;M1 z9(Y$~?xi1f_dw^Y5dts;bo179w#_Mv z20oR33b1uS3ksR8>%qg`QU=q}7z&y#Bm66d%>5n97P7lIBgBoFXQM%kxce#g-T}0w zs}(MzJxucQX0Gh+$3<`4nt&hHzgh4uC^oJ?|G`o^`@~XT5W~?zu_8rR?Es^;@)H`57q--i|v3ATZUFgzZJBcK=zo z;3lnIJaH^B#P)X4&hnVh<r12qw zZ>>oJ540{HbAM3%(fP~zUe3v%~0z9m60X-dw9$zS4swG-Py%HC4tZ-YAd~5mh zi_yO`62!1al9CA%?!0M7Xy7y5ybD1>F0%1b*hdvk#y0Z*UAcI^k6}WE3~h`X(@f9R zfkRRin3QnkRkEwV@B;R~n@0^3d?1e5zE>oKHHl;`my$JX3&D5Eq~d?MQp{@;AQqJL zRG!aS(n6p7C|+7Qe>;@MWM7j9m><3F3DU;APm+M0C}LubQDT$?l*^NLt^?xh?dSl3a>X)y(1JP;hPdKO zZYcIur)X9t_w`m^EYi^8^ptmUOzmsY-ggCObOO?+EhFYz_`Ep9D7iC-I)ro!k(Khg z6Z~@ipyH>y-Bb|ahwT(*~2}u!* zH00q8L}QeJwdE_F+(9MN9?QSXf~1y>b9{cMtX%i}L%ot+eopV$sprCYx=wpt_;c7_ z16`{IC4-muT6cXqn}U&9SP(EZCA6$!b2w`qKz>J;T6!=%>C=N0M#7 zF0*So_A}|O4)sgE`h~Fe#|Fbm9KohnT@<%j-Nfc40MdV|@LM9oP~r`bptvq6!(dtC z-5T=Xra#CgF`lHJ)<>sS$-JNzuHQ_S>0Q3}WN${hLkM$IAM41GwOg+)y|uKvaPaC{ zN1;Pk_EOEDu?FuA)y$^8+;T$^86;G=lnb{#WMB! z3LRepw(;75jzWVi@c=L`O?r8FDAJwP-778X@fLTQaA!?eDV?h{bR+dL_jsk>VCg5J zKlmp>JRM@6ehG>O9G<~^p!mm5l^N~!`WA#V$zwux#9F>bHc!$`W}`~q`0YxQnQ)3X zWxPe;{#^&{Fh1(KXn-94i7>SO^6{cwJ&Qgd$8-+|k9O&IweQHNNqm0>ymWM(RgY`# zoH*J)S}Tu=D47Y`7jXW4$~ld~e@dAz@D(yhK(V8~c=!6pr@Mkt-*}XM)3HJy(a&&3 zp9GJALQg6*17%d`#S>RQdp^E@)Ytc8A}ps%yZ9DsVxoV#*w1U=6XW|u-I-BnSuS1! zpynlUdLe820JL@aU%$4vaRl`v!iDx?N+Y8zv_3Cap*Loz>kP80g;H-z2c;^i&=ch^ z7#2nCTJ=#eL*a44Z!;pQ1rwwqn!+3wxucDgZHp8a$Wg6Q2&rh@6Pyc@BtHqgfJ8*3 z1gqvuWjg5ywbf5Enf0VNE@_&D9RctRwTQVeVVXhduyff#2aauV&^i;q&fY~XU$eMw zvNpSX7yCeQWp??QjhLLDcOSJ|oO9soo$9mqD80C5%MgUJ}iK zeOU<_btz`f|$dd(a4d@^zHNrym<(=)>{4 zpI=x;!TN7l=0@V-sH;Rh;AvFomss5>->{vnPBFXK`%1rMQzLEekCl3Oww+<2o+M`) zi&d1s9#%!kd$R?m$WKHWS=3+cjHp9C8?+ zCH{h+5JWbls+f4n5Q7|u`pFk-r~`0d$ZsU-To;5^%OYlN%mg9%4yBn10| zXJiljP03ClPxnq|JWdxAcMn250$zDgC!BXI5s-8}?oZrrDSPcwQM7E7z-L_ytiWOF ztx~=H8^X5et>ji@M(49!hdT^)-^T*^5$)~akqn~t@{I|gz4Nd@4iZ1neotL+z~Ng( zlsqT_9?sCsIG&M&bj&Cbs!WlAbI%HzRB(}C84lO##r8WrTxZZe5e1#Xx9)}7P35tL zkH9^uBI!9Wp_`HA?|rrY9G8JO-n_$$xG9}3S9rK%-WCCozv&(iBN2=#;%a!*&HgGm zJ_tl{QUx%D9UAV1vzO{5;DQ`^V_`Ql}Gzb1{xzZth4r3-lj5 z8FMCT#^TlWA+Cz74(huS`E^aWZB-2HYk-gi3|lGEiOnSZZcFPBF0>GFnybpXWj2bjK%{0oO1IuMyvLqXDzeeq~iV%dtL+l7&{ zFCAOxuy;2SBw29it~Qj~`QkDRCWo3vY*XTCMpLjQbedL;;N96*+0TZApg{v_yiNzC zH18CpwUAo%iWTfckJHbjl{U>BV=WT_t}icA-FeKkJ=FVssaJkU`+jdsM8Mbtw=;@9PRqd_fg9 ziL@6>X&-bhK-cRRjanfU7k@O;cp+NT%NJoEZ%z34)|sMK*-GGxB!)kNdZh440e2H5 zU3@~2C3EL6?A}5^8$hpOJI{gaCt=SRkgZ8@iP+lO^K1W`ayr##)&Z|-uZHjOJ`D)3Woh7Dk|H}4bxlo7H23v% zbo8_icR=v&zDJKX;ic0i)RXS)Q=_6AoFi?RHtxyTAc5pYvb|%Bivs;5gm;#Ex3LqX zkBH`V^XDuC1yIXm$-k9LWid@A|8v>P69*S&MFo(w(cza%P+MFhHhGwAO)KP!I$)^x zn-8a&@S6YEaxph6H8=6ET#E>8N_6f&=vNy3e<>Rq)R`&x#Q59e%yPYnuErx6uynS( zuOk-%mbig>7r)v(0l};w%y`fSrRReftn6XDW4>=rVWRZIWE^BkJMlF zrLC^C)JoG8`IL~OE#RBEMveK-SD!ri5AQL`tR}v8wTfSBf$l;7JP#n5duW`b7(2iA z65H|i@GAZEwYcqm787UrFGxaeJCL@q_f+v3uuJ=>{@z6ZNV_FlUz>#*ZRZJi>#1>L zF?o!{>jB>Rj%ZZs5&O}GkoX^R&9?DpUgT&5G#(Xr8YSC3Fwkb|)nFpz&h`_ivHhRN z#3!~FczG7q;*9i4_bBx{pcwzBbM0o}wHsdbv3Bn4Y-~!w(T~qo1shdct_luo5w0(AYJvn1=kh_|91E>(22Vk(V1SP#3zm$<8=1^rqZ#Gzq-g~FX41$+@u zhy(X@(_e-58}tfcGau{9m;A7lXn5jhohh$Ni+>^$&i8QJ5$B2wu$(jB>;B!$^5vsO zy!t1usJ!Hka_4cmxN;Hm`Ohvv3MX#LZORn1VTD&`0Q`K1)}VCk>xMn~iq?p|w}KFT zc5f`z*ZuR{OGVkh#`kfm=Lor&yUq55ZCHZ^QAbRxe%lN0IU(GLQkV`n(EP4}3%U5# z;{qXS7q<1S5_Q>bU<=+KfH*rm;N56=5?y9r*fly&os#V(XcRZE1P*{aBN-Ar?@l`f zFy(Q;_|ML%_VTmZW;LD$zf))fh6$$?^^0Qoc}v^m<=mF5FSqZy*$f7yN}U2 zHhT3(LPMk$9}5V@SLdHV#$_B@ZtGX4j&(?PMmd( zxbvkFi_sigoygtA?^9-$C)9=%B4AgJh|M0c(cz31&+(-;4!LQg`?V|9p+lOO2|&;d zqLo`$p8lvlMn(s}J+gGmTC?p1}cvr-9fF!~vLlYN?2b{AGXkmyuc8K7&yP}^$ zD>l77i_#GP$Iw~7HT||>c)igZF}fQ@sg!_piPGI6inOo+N^F!e${{9veI*P+1V)3( zXmNs|h;&&S0|Z4t>ht>B^9MY~b3Dg&pVxWw&F#`OdH6D zUcnna4aTt-*4)(6OxT~yN#gY!tn21ADP|GLn*RTi+ftVcbwfJQL>pFH%U1pA>S@++ z<7_22Ywb1bjMtZQ-hM9n*?;rh+9G?;uiTXmx}#luqEO0!dtNP(y;Sv8+jTNeGHa71 zpu8+6ZdrKu8u0TPnCSwvkr^2-Q%>%mH7DougwprhPveDMlM^n>P~JKD1rcs?zm2Vk za5-J!8X0_OGppWolL&g$Pu#mdbGPmgBs5|F7}s=p$T0ZWoVv{Fbg}eIU!DR5oY5ii zib|Z(FCPpc=JA7n>G#qSy@k38O3$h>g*m}ZCMTv7VS-#r8D}Lg6Qlw;vwlR~mp_}& z`zB?BWy(C8LDP#o5w1)T5`7`~xaLnng~`fWg(#9#kr$!cfH;v9-|l9?p0bt5uk^-U z&M5Ni;RrJoFr@v6GIJJC4DUpc_5q1aSdI0S8w#Mz3kpo3OX^Zv*MAoDZG;4=YYP|)X;Pj3*xaj<1< z4oqnL_ldknJkI|}wm&SKZT-xy^Y}x{v5GeKa={|x|KUDSgz%O$;3&)Wb_xP|r3DeFYDS#N zJ=Rxz>Br7a(7Bx=hzv%b6Gl{nqAC2qq@L979;?p{F8*bAVK*x_b0OBN+0C&V_3aOZ zHD=SDknY&*WK!118L_FL=8=id+y}zD!MXeG0AOA)+t--v8GvUV z5CQz8J}^l{5QOy?6LJI!7!l<(6Rgu5XKAYdqD(iilU3%f8(HwW_xLDgS#wOs4bco) zRbumbp8o3YiYW3PZ zMwJ`<7bWl>zuGJEzd3B`x>;ZK{1)r$_|;A&^v_xB3tm>%e6|?3<4Kb%<*jfl^y6Y% zo6|(lp{ zB6bkTl?$k+wC(8~67s@1C{=ys3of6|FoOJg$q)PWdt}VTl>w^UHqwxX%ZMYD(9W0U zY+bwc@C69~F+Bm6F!N>yNUuj=JBbtjQe6NOmySsYtypL5E~h=P+5yR#X*m(g0q3%v zjxwcowf{}$AfGG(%ZH$@Za$z^dbs6CO?=u3AjJKGGOy3we!Yqcz6k$v;aC;H`QK01 zP0z!2`)+Ve?Bh-69dVIiutN@pr<%5{U~p!s#(Zf{17e&D8!$|4&LqA54q?WCmN35W z_&+B>_pYgr+_BYA7qFppaRJF7I|eqUpX*w z--Z?R$xyXcvQ*U2vJToeyASq94zTpOE0l(RnE?}92tx1^#}8++zaO4942dsyY7L>e z=)tsnu75D@G+_-b4Zn>|7e7!S@sve&p%oPGR@cCIJQ;cISI(>us|(d)V5i03FnetP z6%>D_$fLWH+^`F@9^n%tev&amb*rZ!#_^C1Ny^R3&c+w9DPaR!BK(h?Pplt8*fB3m zQP)jsqZdi>P_MXUf$DuAb5@Z%*RHj7vf`W+mqI&<%k3)e8Eiiwp zu*~TcEGPPmFrHTw+eM0z{C3=)Exp_Om6JBlP-i_}BT9<3C}IC*&tx^-5keUiH+U?> z;_r&=aeG-7WJ{_E^qgvCHJ$EH z@T3Yu^qdGnc7NTa;1L6@V)ZQ4U4i|Ym&?w8=EjlT4ibtPv)a#4;{GhQ(a(d9GzQxV zfAtG|+R6(%R>HCpPWK*)+&m?7=YJ(xnbHZnlnf-}>%9%ila~>JyLeUYGg0}6bF2I)|LO7ao)>f8 zV-T4bPPzoXZqnH9*aYt+=1N9kG=aL2FqoLmnk}n}_kk}z@?)SqZ}Edj70y!(ZX)jk z{bMOTCz^TmWMg3RYS4P+0}V8oGumLz`k!}WXblPOY8m4LniK`Xj%B=G>?(*c9%v1l zW@hXmon{2rGaiRKiYFjZsjA=8D<_f@x;SlwGCRW7D2kUaD+aQuBq)fU`uhuA+Po=+mdv-;!1&gAyUb{=?c>r7*cbV-H4)6Aw8& zbRO^EQiX^Hfi2Ro$1u#VqT93S2qDnCZ#r6G6VCeNGN;J63uq{)BCa3&yZ$-6gnDPb zl8nk}UUIXyk0K&7_ske^Dy&3-I?&9KG-WH9%l_=NaQf!rD&_t*R)9zAY8G3}-diOK zT?YX)$qP8h4&*2W3iNV>zN{MS|0}MF&$oTiz#j>$Vv=-Ddm2w=YMA`lj9Co^4Tj5= z1(6qO4mGUTL3gL=vL}`IgvVGjXi+qHsezb7KiP^&?2To>)h~~fpH7SwQ>$n1#5pqx3LZ51;tZy6zs zz=C0Cu2_szQMKZgpeKZmEzNiC`DsTMqZ?7I5M^uPMF9bi1_Aawz_`&@VJk{I^?{~z z$QDEEiumFpuXq zzTl2Stm%~uhc!kDY3N+?K@P zNR8^f5zcCS;1Fk7;hw>rJ7370vb$qC3rdOc-Ukt(a4ElE61S@QtMk&NB}+WJu+elm z-FDQDU_h1cdHWr(gzAJ54s8f|TDcBhGo*i~$X{W7i9^52jWl!?J)3VczO={jfY~Z5 z*1^c8^$dX)_z+Y?+#FL845?d(;+H_RUVK&hZ2F#x#mY_>MW4wLRBI?NSDQeC0>Py2 z-(STKC~}76UJfz$;ul7#*xrX&C%%w9|p4Y>ep zG6Mh?1!wh^I0)8`Tlrxl(y`%%Blt~x8jL-&F9TbRTITQu3n(c0YRr>-=qUJE0SG55 z3;tNN^vDd#!`zkZA=7SUL7Xz!VotXf6P*Qw^F^U zPZrlX>l53*`GrTGEJQqzNcR+pztue>e!J2WnS z0%mQj+&e{RlGoFaKmB`f)L53GHtzR}m76Jg=ZlykV{IvgFXS?ccK|G$vVNf~gG>si~5wQF-$m%^SKI~VtSLEhe z5@HNynzRA-&9>pUL!aeP*EA)j;4PoJ%7%HJ$E%RxX$$Fh-QUup-*6}Y9a^f68Z*>c zg?k;-Qiwk1{4eprC*+P_U(nx6xHP78PIz7@zt;=+w3t*MTVi7H8tWDbEP`#=BJM{J z%mXb>pFUYVpPGVYF@#)#f&#dkR`rh)c!R>o^K9VFmlqQASzy0p7U^?!Puqb1968y^ z_Xz2Y&Y=B1><4%DTYCf)mHSI`j8f zP114FvtP27KZzUeoin8yG)1AoeYqK=0;Vt~uWU!yH`5v|cRV<4aG*N%L~UJ|SrhDuVhQ6y8%ReRr(lVc?9~foqZ#TCkxBf?B>=7uK54Ho4xTLxP zK*&Q}vgAhdOVMn9S|-piU_ffk5%`j4i#6v7N;&&yNleC~RX1aZJmpjvF+a-^B7d4S z7MUUllkIzEc@z`lNSx?BB6*JqI*!(2?jJoKU1`ug&!Pv#=oihZ%b5vBDKfR20Nc`w zOr;vY?tT@yHJN=%j1$(iqd)tN9oxXSaAWu4sMMZ~X#N~JT_$JjW!F#Ew=*y)^3vZK z-dc$tGZe~{0gwH2j*~P|eVGPIYH62hR=^4QbWMrssq99%_cL#aJ1}mahzZrqALT+8 z4PU>PA6+r67GK%t%=gcY3?a@S@v|#JU#GQ(-Ktu0oq=YTB>MmU?DPqRYh7>bIX?qg ztH0l~4y_JRJ5(_vFa$T;YHie@kAyS?F4_bBX&n%UTCL*=r-{0YJPOVGD21Xo6n z9>)!e%HW4Q=q(b_tr3`xkKr*Dj~mMXyO#Q}IHGWK4dUNx{b`ZpzluH6s6;g(j8a+a zEl{uch05hTUWCbLy9ta@X84|N-bqBC|90^LXI_n~PNdOBfZ?fxDOFvbAGCyH z(HyAtWy^u6QU6wmebsEjeWQ%RFrzUlXqJ-`)uG;F5kd4O_~LTKeksVTzXc;*7yJEx zksQDcXnxbN$c-*_Jdcp}a{lv%KgTz|l-KchRR6Fkowe|NIw#Bm1!-L!nu3;cwoD(vD%(z?*X*VBiatq#g$NRiq$pSgT;BLp}S#+Qbhp_oOBk4ws9 z54ykte=+?tnk}od5eG;|PpPWr%;?6?xpStPJIHcv^)@gVF5~ulQV=83GmVSg;d6+k zLEW8*L;ILs^w|8SkNAU3W%=$y;W7QbluWkA-NAtVR{Y;f_j8GsWvVaIG3SQnV>N;H zBIN~DFflgsm_02v!twdTPA$%~!^VFV#t`ji^Ua-xphnED3g4Ziw)CDCBOgD!0l!IR zgsax~sC?b)WcO8El+!;*VUJ6{n`NMTEq-qzy+`Tx)I+$;IHUz~p$>`P1gCLyn#O-s zH;J6j;4h;;VHYJjCcr&@uedm!^aW_VdEC9c<^4mvLlz)^IR?#DX1(BW8om|pBGx4! z6EJ|lye;#;Cjkh;6Q{Oau%u8ltn7AP`3f835dM@DBdq{PCdrug$Fxf@x(`2gMG-^=!0UAfWa*o zgf*n`oKXlwI!azZthqRO8Rd-lG!`2N2>g>d!goAIE^)#mIQ^nGF0?5len@%_CV~3S zDL7<1&b8yAe~~Ht=&{er(NGE11t_-~e33&DUOwn50u+$p?%vuJqT7$zoyS!*{+Ga8 z*_sCFXQHn~;}IO;mCZ>IB|N)r2*%@o1s)lQjudoEr~PKZ7k36WDa4rzk8*4wc02Yp zKuyBtmc*e|kjnlj#^sv+slLC9OPM_oxZRoY^yPhTs5V-Rb1}`-jI``Fmi@*lE%f)N z(;<7HWlzzKG~Bjz|CnB?!U>Di@@>O>ZV)$rFgMDls0bp^Bt5`tlHBUp zU_Jxkuv$I5XSeed>R)h^KwC0kQtFc0sN(MGw$^N)t`ocTy(WB6cAiQYMn=52Od!Xq zgcB6?{%FKBSh?bkF*~>NCm*;&E+nyT)I^gmdXaSY_dhR5VFJ>yJB%L)ypr5C@>G10 zDH_&~?s}Pnghz2(5?y+sA50OtkCl5wE>G(dV(kDAIW5$Tq3QEJ_TbR-qu8fenCKaQb_Z=$f%K z{#6bAc$<$`FTIoPcYCK0!XYYm76d|m!C;~1wMu1mX3+4`J(A*kIn8HHIeU?+eDAEf zT>uiD_MI5WHL%Ppt=GVp?gDQ7tmvF4BiYVHs=Xxp{qU|s`_uBBcISq?-Y4h6`>ykq z?H9jWsT8JYRJR^B$|E3FqA!e9JO$ojQ`zshf5#e9SmO297QqqO` zX>m_CTw(cRqmly-WMjw^ahIj5L4+l~>LANFQno}T*HSB6VZr#~*5*(5W2LWyVeiNa zVP;=PIPRMU3vhwm>-xo7)UYif`@3PkV52)S3|)(glHvha+T)2C?_V%wKE90q&i$%$4$F$tB~)*j^|aO5^Zt@P}g|Q$nx1n zADm0634Wr`$gyT(F{&@&wX!+qYJqjR4?dBKNYk@AHVw?+D8F$^wA%*H9pGiRjVwrM zc{`=?lHiaD^@aqV^MOI_7KW?I>vuVQW`kon4F?jC zuek+5fF0=!I~*Ek)NANCN!+J$vk;4YamrM_z4rehaz2hVjmWBk?bhE2lx7=O+-GpT znEY=&uZ7k+9Cr$Uv&KpLdSZ`F;gjmbGk8>UbgmuaP7olucMbC$7A|bQLJpUv^ z5G859d=4@HeF1Uia@&wH)QB|)wVeK}lL`;;Pd^nD6O?`;lkROuJOz25zl7)qgKQVV zc)r0OHoBDr1$DFEYUM*^hW{&$aJuE*p8$j^an(!F=N z0vw&Yb83qEV48&n7YDRqAt&EpMOW}wO1pX0SA#Z2Ai#heGTefc> z7TCq89vq_lORS;98A)Hc%3!$Shf=@tr(tYUud2u&O4!IRqw_gfWM7Dl+zCR~*qpKe zu0l-Rsq0jf^gz3Wrm2j9{F6A@Ox>=L!dYukZbh@<%3>(ox>wm#M|`e4h&vEWW3B3~ zKv*yT{(Yqb&tI^v;?j02+k5djZ#3R|9_pFYE(hwIgw_yse+TyS`my5{HKR#gyzhVX z41f~uO?HfN+dVDJ83g~B2wc!3|8ltZU;u=AAFkbD-IG(TYDgSlvslp@R;6jVh)-YE zxZzrvhTLfX?}hPl?tv(0`E$+}v*v<=h+nx!y5uudp~@VJ=&lbP3%MVrDc7kvEAbAC zMN~TSawz>nhC?8Y(Oi}IP6+AO@;e`(pZ5}%eB%+PPwE~x3==C8o0`sA8<8CNCop?0 zD9CGiqeYREGy5Lt7#Y&5)TiqyQGgP6i1z((tYp_(3O^6okE-_&FUV zo<|q?(rI(RSQ|XAOw#$MO^ELtxeI1A=f`*p_)Zr$=$sNK;F+2`XNRAM+Z?iEfnJ&6CyN2{t*=0QoR;nI z4CaFLe{2-)m?h@pqrx0mVA=sg?=L0zj+DskDpz*J@ZElXh^IPQ)i8~d^o~R%Ph8Q( zEPyE>d#gD=#9#5ZppAGoM~)+tzn(0JYNuN~@_U~_BS(f)pjMZyKWZ(Q9g(}5+tXYp zJ0KaSaT!^1wykT)&&zyN0SgNAkrC|_9?sjro0LT;OrdW+*lTY)bMJucOD8!GRO_XL{mnm+sA{pN!IxC9B$H ztr+V#{8st{pXoEDflK>ci|5>-N$a2xesQK+tUTFvrKaH=iP10JJef zzIf!aE>V8&3%E!}&7@{aJD3L|*8#sljH>7!dC)8eV;h!6U1L>tN7L8IdpKLRY2EHq zXN~a6fd%Y5)MB(~Z32QA z$6c(3-^~&?$g1svbQys)Yh}#|G4*<)bL@@eZ^G*E#iS;??(LR^i`{LzvLx1b)GLK zg!j_x4k)|;D4?dBZ+Yi;;gos+8f2R%IDgIFDrcD)A4+8&c=Xt?~{2)AV{U z&x}9>a2cb$YK-8o`7iHel!9qPa)LN<>-Wo-^A>$G+9~>bcsT*qkwUiMR0bRWCSJ@m zk;ejN5Uovs-`Hh-Xos*A`Z7Pr!McE#lyeHO@XshqeCW<`&!y&p87S89Q*DCY}yJ2`9k}L=}OBCJ65fF{j-7rhjmga31ncu z@|sP*7r%``K@lq)g%SNjM5*is|6p1BppYq_^-LlfPI9cZTwlG^JApOo&!4Hm4+ z7(`A^_q*cl782#g?~%zO*n5w2Aj~s>hHJ_6?BRs6MRm*hm7~aQ2mH6Ce;ljIVqxa@ zV`vo0pc9h7b7#O!#X*^`1^-kus{$Tdl zyAa1P%k2Z0*_aXYDsZ14wH&xWn8~+>lvx73+OJ)~o0ga`?%dnF;VQKr79&RiU{%0P zfMfHk*vZW`^9@T_T;^#lIe6|1i8WxVbY8bq(uW?zIjRV|mO?rMf~y;V=`%G*g`$NnDDCaPwKM16Z~ol@TykppM>Ky5Ke%+4H0qvR`3v55Yf=q;g=L2wv0j z0Uso;?&Xhl@F=;?!(sn%P&}s(PtM zmFO#cDmH%p7l9{9tR}3QjCnz-yE6y>wJO@OzT7qoQp#tCaRF^MHNkxzbyas-k?SV+s(66xA^Tkj z69ojTH)L*pbu0U&-Bp2TDM1^@e`=+~cFJg`dUYqgYE|MLHZ`4C+~X={j=2Fk#O*g^ zjal@>A7eyP62$*_2)E%cD~fZ=)qUhsxIvaTOVirCViwWV385SNRHMrO0VyjJ22LA!S|$Vz9P@Z79rz0vZS1G z7C29_twk>7NecyS^OB1jrYT75Qyz0Y!rQ{cAhoBSr-Uj*jp%MkA&{-60XEG!+Nx%P z{Yc+Z8S?}yfYy@q6FQLb#X+s5E0-H>BLc9bgk3NVS@4)pB!N39d*jvSy@u%IGh5A+ zGOPRh19+A1b>HR``jZOH;<=JY7tEIiniJcTrxjB2$jyz-{(VZ{dx;6pzO5bNaZ#ro zpqMf}X=P4S>Ku(*#svfBG^-hDN)xGjO6rsxVD*EASW1EcWNa9;Vbd7V?hQAE zoS0r3WXmxevrU!dLyciLYP2>#0F{xxQmXugJ?|c9`6!f-n0JOC1TZ!t>~w z-qhsFO)?RW$Ct^(MzY1S>mQ_D6YLh7aH?a0KKh2Zn!-0Bo%SwHCG+w|fnrJi{jlJo zS84MAHN%)^pLyf!d{4U#G*Ic@pO2IkCJuNdJjY;41MCSF^P z6O@VgH)n`cR*$j}T=H4FHv=mVuvwW{$sRF_SY%q}%r&#H4fesY=o246Yp{toV>9si z=25b{B_mml77l;eiM>Xfk>x|xg3_V1#zu3CPuuN7hVoCuoppP1b~Fo2a=^+(ix|M4 zoAnZ-QD5Lc8aC#Lfm~YNbm6Ti(Bp;a$T2jCg?<^FY^#-Q=hhR_hGxJ$Z@zWf`{(BY?5o>M zyS-VTNNLP+sd`I6TyR>y@@X?-n7Uew|w8vTk63`c_3ubm2Ik+vO{7d{gR-#Ux%5tZzSY6q1!GAb^Vo9J? z@~f8!>e5&0_XdOSUgkpAzf5HxeH-2tf~^bib>!SAg`Im=vCwvq-_r&nT8i#UF?=E1 z>9##?>!$LeG?h8LB_C+G6k^9fymFX8X z9T}$GTE8+^HNh<(&3WH!qFAqy>#>;Eg_%U0q=p^+f5AbRLFc#znnV zORu}aj<@v(leQS^b^l@c=CvK4-;p9z%VRc&hT9P zo{OO^!AV1WNr#}4b1?(8eF|?D)d+g@n^lsSf!){(BLr295pVOFx8FbaxpmO0>>f(O za3hj%&VYRSf05$i_eqO)R%G4)z$_W(7^X^PF;^!kQNv zH0=2X@m}O)hsD4yz>dz+s}?SBE9}ge!#u{Y?RV9W2?({MT?^5Fj1mc6Vv?Jo>rl7| z>Dt#H!>{XbTS@1>{AR-1axOW)XmgmOyLkYxU#}+y!{$ST$bBid4xPf*NIHuApnt6v za1SdA_r{iOs!ushO#d&jSM37)`(o>?Xj=0(T;n@uTT}_QQz5A)&EVuPe`bHNE8E*M z_T=~3izK=1ozx01(>B)}+3fm^TDe^s;!3guS(4*^gxCu%NJB4H8(skv^25#-@Wf#4 zbBH_~#pH%f-O`gD2KJswnyeczc34=m)RL^v&6Et2?B0K$LiW+89xi! z(+N+d9DVs^H;oy~H5A`^6+~#Gy7d><%5HWQ zD7~l??RI8P3lRRSUIWOAu~!`wl+JgFRYUhg8zy)Ky~2#u6In^SBH&L_3_o`Ea5S4j$zD|6NfI1SUVhH zM}|Zcb)$*7HDsyQaYOE+dA;~Uu~k9^x-(EPFNiYTV|nXR?c-gBxSLe_J5d3DlM^DR zH;96#KOH!UxB2Jn+{{|flg#`^6laP)#gt33K3!CKlK6#qrr@J#*N#Ibe+3OJH{%Y1 zg^!(YH~?(|RlOPP15Q?bwb2RwD5EPtbJ>u<8PCfHG7SlT{@FQ7LWatwhqx?+%mXu2 zTf9-~%uXzn|1xhGx-8JzF;Y%M6QksF_Nw{-s?A2>)uq8<)eJBtzLej#Lcqc;)a zJsYtImgSnJp2$@2>SBc9g?QYAp`-haW6O9ILvwt!dldUMJ}&*vQJIFR93*e9VY#zXLM4jZI6gZJ?>%Jzmm~ondI6*StMB5`3FF?gR#X1O%5uCGWb{Dzs820 z+5z*?xXSpW?q8mG6d5(b&eJOr6fD8gcJF>?Kn^7E6*b-=KRqG)#`K4$5mhD`A;ikK z43G@WOo`Md!Ujc)r1&ZeaFA3Kn}cYJ2FY1TW3gRDRZUjW)bOsftcEDYXj*^@U+dw~ z43#;wWhzC5y0$|mp^KsMeuft}<3bWIWXNJyJ$Q$FZwbB)fRrHICGPhLy+it4`^ymA z|8AI(!dTq1ufJ^wON4DQXjKPU8a-BwYl++!*$~7pOda{OZ7}mY_zA)^>i0*SaBPC} z&%^h4ID*TJ_Z5t@u3L4HfaZhfAYi36C|Czbxc7EaKL9$3=FTSv#&R!I|>f$la|}ZYBpn(;Q)sCM3q)SX~%I))|PwtFmBc&294c68#`W zE5yV9yp+bUpVCm*yDnXJ=_P0+>)?VyB$L@0IT!SJ@#FZZG7dot8hcvgFuRGJ5Hnl; zZ0i8T7JEJ^XCK>5iA6K!~2GF6j88(;cd@Lz4E}+*F`7woaVKm z$5P;q;L1@cO~Xrpqp~BitEQC{^Euza=Dt(Q=uX$8p%>?mSWLkKA$P?M!%L+VoKMi) zU8Ba`D!QW6?q=3G^6w4-2_Ynt9=1f9MCg92pFqFgt~S$<*7!`N4E%SNa}X*Pd77v8T95 zub8VSP|jBFqae#-`W-961;QWER4m4UaxdFCSFTFk_QuFRR8xlg~}m9egT+w?6rfd;!m9Tk73CxMyeD`%e8A=Y5iz zVhsut+#h$4@5Ji|j>0M(xk)%Xp6GU_kAHzqAEozo&E*e!Ymw&hE)pP>5GCES|J`i< zha-pp96qh@QK&VLFs6olKcVsm2Fr%3XTA06Ss^&QAbto-`nZL3nASKgJpn21;z zrbECS`Jv?zVYtiGaC$@}E{YmW zkBKGXu2AFX@pv48nm|uX!d<0aqbH}}QmJWlA_MxGm~0`tNPrAL45xtJQ4a(sPmzOLLVE+ z`85DlnK>Eft`qijjUaQ+|njKNZf}VA`R{B--Ol3hzip@t@q-*2m$Md8PkI z$#iR+F)mI);+6*IuZzSA#5GLKx-% zTH<;J5z)jSG|>||6Lx4qPOXC?84PLQ`9-rPZhZGDWs&QBUuFAV_Hy_LJAawg$|jln z4M(j+&s$!|Eu{uvsU&@mKrr#=ltIrpnf?rHny+j=f$eSO4cEG%dke5X1UV1;N0sDS z|5;-781$QKyZt(NNY>3SI`;tblvM#Q1^*)E5XJIwdCDZ|K0jpX)Yn7-}YhS74MDh$LNll6M^_x4KvzawIhM5l-9X0+$geTp5 z-2oafIusEp<1!+3793}%zi+*VI`mqKEd(GuQFgA8q6mSh-^@J3<1$3)=S)zK#7Wmq zvE~q|=-2U(lVFEnf@7O&BjLBwHnBqT?GAo3fN=?^Vo0(0IBdb2LmAII+&0`_eJC(o#Uit_=B$oF?^S&BBS~huU~e|F zF6|htq-VsE@t6pdPDdZ_ zmd-Ahikg*P@84w)opP6x6$S_7FRb|yECfF56wNpJAEQ1_fi*+Mi13Q`3in_L+@r6%cfj7UvxN5dT{g4ZoYZ$ zbE+z``M+$=i>tQqR+H?mIcVtz*C+7k(YbrrV17U*Z#wV2 z`|lpmV!1%x$Go0st&_u>C)*<5oczNY%~R7Hs^--T;2(aIw>S2Rd!+TrDCsoh`4n4? zw^r588tKMc{+jKv>orYlmH9L7YWCzi%1C~bOJ|}q5XRN# zH+_eK%h2*-w}ceY{Eul)7jF5x6edCzbMDQ)=GBgppptPVZJlehtLp0{ZKC^36<1>!b z8qV1xGU{*p4R^S}a^BYZ_l+8Gh3$oMk2`sPCo4K4fS%jJb=z{sYOR7^%Ch~fEC>dr zV%j&Gz80QITTj_vx>m3#oB88dKVxyB3kL2Mk@Nq=>pGgC4Lbk!VkBe?Y&4>H--p~@ zKW4wKKa`-gqXbsodp>aj>Y&S63~SF*2VWxwr=za;^9!=gYM$d?0;gTf1cHleTM)Wf zl;)HL|HJIJn$@O0s&7Cx=1YCWwLgv0PE<=tMZLGA8K>K65lEM_5=`n(`Yh$0SylCD zy;mHdW6|4h<#$<5H?x=$!taa=w9Ked0K(nMA32)kEu~GZ?+o~x05f<6gS2Zi3387A zcA}-NjSHly|44jj`iK+?uk9-)-h91Eu$syS(kH~oZ+e*yvxMfW9sw( z%Izj7(>={k3wd#opP>Y~>%FNYA3TxSD-11ua9xr(bZf9v&+GKhckhRrZq1(MKWBt4 zc>nOBvPl!aS!&jd|J1+vl5+e**Cu}VMIq$?saa2c8zWS{vh73rCjJYHFlBA2 z*;szO(P6%_Gk=cks7`00jcj3$05k8lMy z@_Sp^67AcHq|3_vb%UabLEh?76Q~=(#3&>(pVz-(ZuA3&JZEFkNDmX04i6FXRaGC!bdx2{I9)$w( z+zC>W4Qc)i6s!hs+sB18?~ z0$W8ZBbimrrzkM>G3J_Jc&}=!!1*vPk_aO=`zC+Xvk$}4o*63HDXlm&T+z=Dx|Dio zSd=49p`I!8HRNlP0iO)`ZsgY}Ye>GV7**`*&ztJuUSi*1w8(;;uiLV5bTw%wB@Kb@ zJmbn}Ke?`OoImK2>@%qoASs`Jp>kyXMcHCbN~ZrFyYS7h>s7b?Ex6QEJUV*cel9!h z_!H8WXm;o()f4*}-t1l=NbJS(JYixQj3!gQ3Y(61v70UeD?2@4d=2+Wf~lt5oyUG6 z$1#fD1C&Ii@1)@sFzYo3gx_(djevfnS_**Xt_W&i zz4!y)tJpYLI2C>XKxKcRSo`F>l&x48nRE8%I{qF$+L15Pb#~rxxV-t3ZtJNKf1h%E zt_ki%l!Wy}9ygE}S4$b+Ul^Z)l7psj;iws)ki!rmzZ8s-Bj&(DkZOgXYapeWI##7u z7V*8)9ahu(`p(v~#AfhyBxgB34%?fqkH6C{nHtB)C-<4o*BCdt#ocZEi9rPn3_IS> z%0askx(nh)|KHG=_(Ro&as1x7vl(M%EMs2=*%@n=R2XZCCVM1g$xhbZw{mCfW{^@U zZInt%(SnGC6m4W_7$kWmG^9evefnDDBmDF0od)yx0T)^o;L2 znez`g2B$bLv^<}6xG7>EZS5~J9aN6DcD6;ucl0W@%`&$!qtjTMpXn*9XQpGipqT~fEf5@xz4R*c% z4A1(CW4#~esrFW%vmtn16Uk~_YQq+Nf0FH1=OhG!2d1w+*g1+4PmdNdd5TZM$60_+ zGNXwa^%P0JEFlG5^oNXYal&ZuavLVr+g9dq#!G8qByCl= zceOO zP5`84P(wrG?ZV9Kv>;J>Keb_B*U$3$}%U>QH(|zbGa&{?K!B+UNPACDil&_2C!q1%u@C*1##NvqL0*V|mh3foBjZ#`jR;Ml~ZCd~Wv zyE4ekz5MQft=PT-XB&KG)YQ!HcoS>B#-~R*m6Kfbmqu-cPApvu_4;B#>Y+J+a0H-O z#}E@LNx8oQB>sJbCR7D&?6Uhzq@cI}l&_olf0rW5Q# zv%Ix`0Hs@x)c`&cNx$W>Lp|N%LyrD?`wb0j(hW2{L^qblr{e)kI0`=gTe5J-hW>_w zu2kc(a!s#Oh8YL@T|MuqDkl(gYtfAYO>(Ccr(h2j!zw98YwWBGP`_)9I}ZdMEn`ob zRz#*hcTG&*dD+hWSm918mG+ngUdH4YcrisX`qQDjZ8cm|54tm{6Sa8*2Qt>>j^s3; zKlRUNtSKfViw!84+}HulGow9+K5wpA3a{ZNXb=ZnUrbN52+-L11~bfjP2Lxk6i6WS2@0)g5-k$cEW?chkdN(# zeeI4SMH}1qhw>I(R?BMjVn@q6F@o^(l_L+WL5lPtc)Ecs<+HS4?;md<*4(oUv_=wq znA6qc>AXi*Vi-4yfxK`jO`if^XSvhb{^9avUU`Sl21J$i%pW&6S#_z->uj&Of>Cdb z*YGt+r{8ix*+g6i6<7C5eOb*~U@6DTA65vw-KS=~PF(?eyp}i^9cKL5vL{#G-QFKA z4mI1u_Dw#R+E3rPgwej~&)g^iuBX-$jVWto`H7D8eH{yZ$2r3V4pac>oVxdNZ*S2g zj=A&+CDyhT0dHFdquvgf_COA`zj`U&Nikka{ka;-!>0XqwY_2QxSI^2u*cJ;bG=W( z-#T|u46?PH?&A7UKQ;ouz_+T;)V*^J_|Y)>{aN)nlx!;TT19}|ii`vUXYlLFlf1gY>B-U_QTPdYhFV7=WC1WxpMy|vT|REpU;y!nSb`y709xhdD$U}z+SNKBFAgdsvA zjl&RjzDhXMI#%=#x0l-WZd>rHk4MA>qaSj4g7=E@43CXJHO7st(0G`KB_~W54ezJ~ zMr3=(1%S+~0x5k>g{Wn4vUjBkAA|&@%q()}O}%|tMPibB2{va?HmkWEHK;K73jamL zxYIv!s?l2#v9bhF-uXr4M3t%+Ms|MG+I3Xlgj`!6qlDwvfF*%dGpY$9=S-vud*$kv z(9089+^9Cl!82D6pEDO41Hw8r22S2~TfRlS5^9<#@5VGvmcJRfm9m)XU;YmLC1VN- zZsss1a)G^ukcZdvkumX6%2aOM>{5OY(3Iybzhl+R@@6V`dQFt2hEXeg6c#zR+sP5Rk!yY9wL4hQ1#)|jW)x@5esl*Pbw*|D+iK$I44Rr47ZQ$aL_I)7u3Y0E zMw4pPkdj@mPPC%9Y3IHjM$o4+w};Z~>*8KCR9$&HMS+7cK+WrsQ^BvWdnPhp&Dbt! zV7HI_n0#ImOrQH_a$XSPKRJO99vJgJhYKzmpCqYX86PwJibL@F(amRQTcg)$cAwP zUMubx7|J}f>VA)%OJEDBW~z5#?DP~VVJ*2??is%dK~&fdrQTT^`M~Owj4!ch-T6iQ zEnl@9dw<5#{s0x711ztw1ycU-w((;eUOBi=F;wJfHJA1nl9|@NaZ%pE{3fx1I_F7@ z+KCx&cC4flRweIWlP*i_%JK#KPvDJj3@yMbO++Aw9CiEoZ(lFu+++*vkaqU>Cn z6FNWSY(WB7)bDFvbvE1Y%w#lFXD2^brQ$nVrit;n|J;7@ z*g>FX(?5*+^+-|AUQmUOw+8P|Iq~vVmLdx+a^zcz@h6nfGS5g{uUpJ)@JtTYim@kX z=Rsit$v6}3Az|XRh<0(tg_2w0NsPL2cA#LS`~zlsth_%cEbT-2U}o6#gpcRQ1*cI7 zD20R%$r)gH5*LiZ<@UdW9GOA$4FyTup6!PeJ@-73Ix&SXoVQi%lJomnfxos;p~NkR z4_0%(*1g0{dMSM_q?8?f*ZAJ+l|p9hqmbXGZiHTIxzOdAl=Xd&_5)&Y8^4jX^p|IQ ze!3Wtu65Q&4dcHW>NLG_)a=L1Ox za&6@9@mrHZHW{$vhYgpi$Efaz*H2M#POnr$(BLz%us1d*?ne?D^{Y;NpT;$-{6btS{mT3fU-A_NboFr*nP-(IF8fB!-mKea zmT^>1sMTz1tUAN*5|ku2cKv%t!JB&JoY$|rd$Amzl*8kDEB_oH%%x}*WpB|wi))rI zO*r;le}`RZR*#J2evXz7x#8jp$Sl1J>$%+McS?}zyJ+fh4wc&7e&0!{N&p> z@~LnLRL{>2Evw?b9q_%He(#{y*VcTfFtCY?Qu19a9luZjT)FX)rYlTgK2j6d$Fu`E z$M9VZ8!g*cFB}vl4M4Or-j`9f1Z$Lo)e?@9tWDjvKkNN?se2h)*D9O%RdM4n4PWzobbrJt`wsKEa8U8!vx%_qE|g zTYXroGou}p(`BKv!)HxO!oUY8(~#j(%nf>L+IVpnrvVe;v6YPS#ifr@SBWKmZjSIE z=#S%!a=H-X!XH%)pFhymB0zn3cS@W3D!t=D%pa)8T)mAUWqJT(!n>m6xSA3d)WNsp zCDb263lh`)$34MzUD)pN-mf;6ki0=$#f0{>S^0Tj8I??Y zWbA?G(P^GI>kA_MeM!Z^RtWCfn`awI$w9OnjEzWyj%4+NtJZJDcn<`INu?V#S=gut znKjRnYAf16)`RiJS7~HNf6i8@dt>iM9pXg!XX&+5Gmw|eZ!nf>A>rY`?HeE{F1(Cp$k`%&)|-lJBjRlGOyxU5cqQi@nc8-Ft`!ZJD)j{W)5 zQmuL*LC~tg@=_^__Xbji@2*m$JU)uxV(5QH<&pD_DQ9QdD!T7Q)!Ls2GR0GZyYMFr z6ZYS6BQND;u4d_mubyp+-FtkDBl0^B|6=KcijMt%03?ADwZ#YhlY8S`P~s@xu#_); zgK8UNveq3q`^X|NY^{bOW9Dl869`ql^HTT`rJGutwk%OtRvdl7f_$qEhZe(|Ui_i0 ztLS;KyZF%s1I3PoyoJ0~W#9M()tQMys=CLcZHb0qk^ADb&_K;BG02`rGs z+f0QN=mmaie8*{sq0V;)<<8C17EmLNr{*b1C3&J{r`TCgBku(^@7k;SXz460sxWB0 z_cPaP9sS%e^7lEFu9Q0C5X|VmJFMh1Fw+??(Omg*{BJgeKefaxewO!#3I1e~V(-8U zjnZE&&+SQgi!}>aKS^sE1OKDhUH*#BiDFOBNuz(f8zUB!Os)y>?cDdZ?cj~4hE;7Q zr_jn@*0L8OFAS6O*$-F!TEqZW>-9I&Q|TwpqJT_^j!9W#S|m{g-F(g!3`w26k9DS0 z&NV=Q5ORf^J+a1}E*W35-srcrhs|v?_0%rdG~)@$TMMpon+?J25zn$6f<{sk_*(xC zvB#2>F!}N^<;TB^BiYZnEer>wZW1ZXo}AZpzN_ViNor1ZJZ2)Dyb(6N$sZG{0P@27kIP<0TdQ{#{|rG z{la#Qo`k=kN#uuuPQIh1R|2c{dZH*HN!7<5Xhw`xns{Kl%I*u35>KWp<}L`~=9KZ< z-wH7CSnKPgX={s_?MW8O5k~ez!Gm#3Y!0vq+pa}QX1>KB=2vy&Wy*CTfB)8q!!o`aYF^Xl^v zQeImsY!d^gxhYG#j#hQ}TRi8K1>q_0&+H3)8>nk(fZ7g|lK%j^94MD#fztp>BZn1H z*7_fqE6fLzgl{+1gN~jM)=Pk(k@whWPsw1;G6(2+n$M1USCf7o@SJ#iZ?bAN zii9&&4nAPL1}5KX+AL=K7?A~TVx3D8O(a;xapM6_ug<=I0py-F$@cDD&b`9&k!d%} zG;AN%YDWe!^d7C_H&)6SM8}Pe6}Cb;PUgjaMA-+R3(X$Bqp`cNI zW42BmAFI#}Ispsm$I33wwsHlSx)>%9Cg?@b|x(2!7HC<$=uJSkKV%(?&jN3v}i6 z^!1Qzy*@o0-GjaqNtf0J|IMOnQf}D@%?8)+Fl?pn*Ua-h>ICl~pWgdJU8`iBcD~yq z4I_=vP7OF!_y8x73hY1m_B(Gip~Bm7pF zwf7hZd=v(Nx{?jtKRSQ=afdv)e*AGls?fGn@;Wx$u$9xHu6j9dp_A({er_|+wNA@S zmhkHY^)3Up98yVKsl2_-Q}00ENe}F=BD^2;wCFEIB6vxVsU8p{2MR;XOns)V4MrO3;`51l1PB@KEuro(Ifzos1y>N;mN9i**T)9Eo@6)e}-@!N%;It}TWpjW%C)on0 zh;-s;ueBt~2Pja_{pEdsFRyIi&eV0#^;alcn9c{ETsz8-(+`d+e?1!vL^&-Ua)XqD{Hp__{`S1$yekm*h{LbozZZZ^{vlY?aW;ieVQe7(PL2 zA^IYtlc-5&XD#ng{{} zC5D6@4v$2lqG3yoK;Xc?$kE`GL$NWsbm#5bZ2-+nY<8!f{XLyMa|SQ~&=5IgYt=1k z8wb_3HMIhCKEeCE?cETM{hqt_GLN0mw{f>a92}i?JMY=1!cGHWUmsg9#%6EZzS9cc z^vBbpMWj`E`T&5j0!d^A?KO*SmfLp>z}7YlTRYhM^L_{Uf^tXD1|2tK@5JOeyXgA? zntsX#LOoVNhk`>wMV>{7w8mt`_990T5|ffsQjbpj^Dm&%ZNe0NrJU();&{!Erkl{3 z91NzON(3CjrGWdV%k)%TTLIeBYYN!kC~wBI5q*&~KB)zIm7N5ffhDJD2Q4ldB(-js3fDk|ut~tc36B;7Tt}euD;X z-Yt!#%u1bviW^L$0Fi`z^nKh84Uw-~VxfmxmU1pGdrJ&tK4D*xab;xq1&PSt2jOs2 z;lUZ*eHef)c1)#dgls6ILw@|#W-RYH_07UD9ZrW8cMTvA?DP6|ZMo9j)|!8j{M zDq;<*frlkQ@QgR ziXlxSI$c<%mTA4EL$VDbCl@VEe&$N=*zL$(vD_t~#YkXzdt^lB-oKZW8$r*RYv7z; z)yt#ZD&rtE_Pg(4PJeJqA3;A+%+ftsArAnF$^;W~CFw|#x(E4Y;hY;^TSM#};$%oB z!xHAc%80Nf(Ns-FR{Fb$s2mz0$jj1%WTHX-a>c@3zU4i2eI(6i3pnR-T2i1ZA?AdL zm;(Nh+FIw3AW-V-6AHMnWGp0XHqWODfsZC~^+myl5>0er=DtKC1_rh9czHM+Pt|x< zOoZemZ4hBE9W8STS!Gt8M$Lm^iZR|y|F|Rv*`lg_Sz7gUB!A%cr~BgD4COr$4Rtxq zV>W&+f-Q%Q6XODjlEhgVhIr5kZ5jQ-YH|+$srgN%4L`XvBJ#w7LDLy2Mcye}9HJ~C zN0b-ax>l;GY<%i^5c`JTGbQ2b-G~dFC`Ow4-53+`rB3xA3ZXh!n83%4e*UNen-Ve- zaETz0Kmfo&?Msw3JOEIrS`Qgmw}(8{tx}jF03)VgJ_spb^npbNh8<3pU3z0!|U<-175GkQqcb^{y!A{ zmqCEz@Pu)MC7z|7qF5LtJ zFi%l@SU&Fls={Ef(3=*SsH;ORp>FR1oe6-QW&prM;Nb3~LX1=K6*$=)Qqe3T|A$wi z(eppmtJDF|-VPhxL<&#((v;%--S43WGOvK+_=Y` zUB3!t8`uKbdhW)-E^aqY0u_~W_@fM+5zQ+wHyBd z5AL78WpB?#@ucUsvwnov|HiZPvY~+Gs zET)2dk_x5PZAwi6s_32p6%#%fD(xNiNq57d1x)sh!IB#SfBV>t)gt5#GC^vsQXEpZ zscbZ#q@)34Hlp9}{8J6a?4+z0$8L2!q}B=Z#ov7(7@Z=tekzH|w9ha@@Wu;{!koz? zob#?3-j{thJ^OwifFRA)IR1MH`nda@8-lS7cGegG+_9V051@@H+02gRVJdaM{~eqI z54OGPg)30AyO)nW<_anS)(e89P3SThpkYtwux?sOfOc2HVKL{I_8Sud8YhFR1@viD z8_9#PE)pZlL429JFF083%cr@K_b4q6?x4hI+MbykD4S1An2{K9TlHOCzsqDl}{0a)Xbm2gV6wL`Ktw@!Un)VG!?M&2B z!jgDTVMa5?16a}hE~vnm<3|ZoO1wgzX*)M3$t&!l}}6Fs`N`n$a5O zSDyK_ou&JMGa`!>>)^~2s7{J(xB$Bn-uUY_*IB@=EsAZGCV7r{JDWB(Lj+2mAWT;x z^%eG}P07V%LIC+wjB-0oK^j5!1yTFB<0ISFqE!)SZoA0#I@N7wGrT4G5gjs@DGLUwnvL&0>rxEaj$ucRx9x&m;^|H zA7m9KWSzcGOQ1n0Y zp^hFH0kQ88lIDZ0s>EJ$|tqC%N8l4dG?0Zazi%TmWJ{^NN1w?(hQIdmplO{L}ZbG8N)5KOpAem0Abt zNn~t`wLtL8VjaMQgeB8%M(^lBXUL+|4JO9!q>t2b*r3e;yyynn{cgQ8+Q_c$CYDY-(;jQv#5tF~S!#7R4*=2x{ISTGZzu9eeVMYYAr%9C35V5Bm zgfIq8v?KljSGZt-vAr^1u3qg>sx(^kuq;trUYbdXQ~t46pRKylK9451PH+r()l1Ij zJ#JbWRr-&=wYwSE+zqCKZR`tL_g<86-HWAsUT(pacZVE@UL&)Yw>8S!Zu2$f`yLD}8m_rL4#FwN znzOOCe5$uK&W8tv3u7~NkfQ}13o9ZzOEVC<4tkuiGeUrj@1@l=65DsouN^2n^%is` zPCZYR9-5iXIk81Q8W?@LU6p8BdpLh$hu6^RFfmW_M%wjxk$Y!`qoG<}%eusX@$8ee zd@yxuPExj|8bys;;f4vq|4I2;4n^5}bGhEi!X^qNHLj&Hf$E1S8eA8J{1=#?|7`mZ z;)cuvdDIt9$Fse5`;qHt^ZnutziDR@CpQoJd0NPduxxdA}SkHT= zEVgYV;Dqjw4^O%9u9TPFgN?{s;qNhF$4p$Pu8>G3*Yuy4=r?irB|CUtjg)@Rivg@@ zkAxu430ST|M7Nj2_P?}?Rk0X7siLX8yh)c}b#~u(>PcIrXl^s4zR}{1GLyb0v~4<{ zc`J^%Fb)A>FVmoPM&t7uRO@|yI^hL?3#`}8mPE4gwcYV7o4EUl|7%KVn!rE!>4)e2 zm$4hIDldP^&`XLH-gjE%LXX~^kO2bLot9x-ha zca6D~3RnaZ&I0ob_=cho;&J#s3qr?BYrEM64}7^i0fOI|weH(D!rUp<$L7Nm_>rnf zWZ~|V>*|s3B2;XCaeW1{RhXj0hZ`>r)2w;L?I{ae zS6^_DAHDkR@P*yoww`i(^b@Pr+nSDN;$Y!rB2!-8*VdE#^f#DMxPYrv>om3~M^W1< z4~}dS){=EI0fDCW|Kl^!h_y5*&4*1k{mFl44YjU6Nkmo4vtI!o01-AJZTxKwzI3qC zV=BPsz(YJGFsh|XAn=3Vtma=05Ea(l3CR)&lPpzg^<9dRqNHO)Qjc2yRVc4oMfYT) zLPw3yO?dHTs42rXDRLxPs!*-DO_yK1E#*amMKTw#7it`HZC8Dl^Q`LHD4EBKI}WFO z)Nq)i|J~-A3S2DCyyxl&JP;Mg;PQ|ity$o3#NP?Dfru!ueptc5EJ##Fk%M@l$Oe6W2dpRd3~J1cYS{@$P` zc^N7TUBQd`%bRG1#ib+2L_a2sm2y)!_Z)q{1)}!v`Z1K~ePNy_sdi`cNAi`3+5UBV zWq$&uZr!zVId(&s8Gyoa3fbNd_tiI@Qm3(muk)(cr(<2}lyVBExr=)e-u81@rTw)t zABC2ovM#?z|F_prDn56kAHCtkdg^8$hRK8Xhi7SygPy)BQlyf%N6$IS|JN@2sDT_N z_1qBM_Y6^+c4KkoycP^z!zapQY(-BKW%ts8MCn~gme20ikuEI-r&xM5*{kr@j=^?3 zB@h7=FOM~C&#SBGTg;)iZiyYS3m#}}(9O^ddAG!w#* z$TC5RUQRSz!bKR#e-@w|EcxkMqz)REbbZw#!b~e8JQ}_gI-cr{-&4JR&~Ek1+hz$0 z`805A8A~}{`cu#^$5q%%77y$le-tG7G=&QFq8Po8t`mlx0X>Bsk?K7wF~cOdb*D9S z*_D)I$2Ehvy9dco3!|(7Ky8^AHHO+3*h_BZ^@peN4hh*=g913#Jj+&%K+!U0kjM@9 z*6XO|A<)Kb(JvTeV-7s=(I3+Pghl==(sY-$|-QqPgLrtl%AVDFF*To&;PUMsQcL)ZKj2cjdH90a^BxMj~Zt zL||U6bnE-)e(4vY9!{ZVVjK;E<`Leqgm#pm|!DkC!l0GRM^NXF0ThcdyY0}^v( zC*=9Z7*g2G^Jp!9-47`XJczF2@ZO)- z96yRgYfKzAV$55g&cdu`_dn5LYez203_ksMO`y&keYm!kwEmQfc`I0uexR>?$J$}P zsaK+3E<{E+Mx)uNF+`2bRT6-w^ucsxIn-qr4A+xysbUV0~7)31Ux$6Hh@-p9Hg+U>n-1Wj0`6=#3j`*kHhlE2dPQ6mmLehVHaZF_`9M7!+L|a(G;IG9|=?ylNG+#g=j?0$ecUA)X&Q z={45oZFxfRw3vHd>w8xf#bL=@6lUtm*Az+I9lCR0>slD3R$|z_ZJ_bPOV*1pSXlX3 z$M^PfK+6^qNJ3kCRwv#yi;?~IoIr>DzPot(Zt~Sd33fp41H;5hs^s>?BjsksAJ68J zT0$MnJWNB4$agMi^CGk@&qzu6)kq<^uD^DHa#>sY$4sr=jSw4YpFn^tmPLhRbl}r> zIlDVE*@CnQ&*4+NK5lguu?pSvo6Wt@Hgg|!)7r7hG+J0(l4Nt5W*U6wpz*!BFY9EX z>2r589PdqRdT&Wj>@MSsXq95h4V$GU&sCW6ZmSj6WR9QQugwa?6U2GXwy3tQu`$nCEUbcP|e&vpb6F(FY3G+K#WVX2+meen2{HGEDdP3ID!s2 zi5@1Kouv#_$Y)YNxbd%kd48Q^eUsyVO2x$EA_kZo{3@P~6L4g^^+f}8vD05QvW%Q% zHdEhuab$ml*l=uTg)iQB@tHLjtzyYzwWZWv2(8QtOJCla!4MTs6vJG&_2Ei4O5D*= z{5pN=mg6HsTRCb0#X4C%V4fc;N@X85%4O;pLtQY~rU zE(pO9%Eq4#kMq_+p=RZezn)YAfbQ*KKq4g&5%aJ$u;}*7@@ea^QMj#0r5C2N&&jGC zcBsOqkGYCfwvX|W_Pg~oFb0f0C=o3WRePwaH6>71^YF-hJ>{mvk^b?wMFq+$xD z}>SObCMWmm=tb5PG|H zD*r13jSMNPUpYw$w5v-xnfrya+c=-w--4?Qwjc&`7&xaSO%_h6>pcR;yl|DOZ-TV!J5H#J18 z#)T5iYuJSJ+@t>b5|JXiyZHkJcww6x?Q`@KuAaA3iPfr%QIf=-s&gzYg=Gf{08ZW% z(&H_FlT$c{^nMdc>uO7lONaI4Z3RBxewmp2%k>r%ChAS>YxT8j>UwL`x@@2r>+Hqk z%CN&0T*66#>#bx;i~DCD%+Gh#<%r{n3HhuKkaqFI4?k(SG5;LX(IdWK;RCSwvmcot zy8Pu0!I9gZl*$b zjC@Bjz0RorrGH=NYTN(d4?M{rY6W!jMxf|le89VyK-O%W(;wiVpDK|Q3y#&1v>fTs zs6NUBoudXvgAhe;&V*lh$2Sd1o=Xk2a59qpg3LM9q|DgghWqRN@Tkx&7ouL0h257=>(#0z)W4l)6k z%$cxZ-?fL$c-+sZ82fEpmND!fMXR}iol`5C(R+9$FKeuQ>;#8|hji@Y?s(c)Iq1~hPp=Q&|^!t^2=c6ra zE^2|(jk0)H@lhhh#dNxE|7OEmbGrFKH%+9p=~JcJK{T0qtNr0HH6TGfh6BHD^eQ!OyuU;@sx0NGDu8T9X;YS(| zbRStJb1P8of@$v4y<@;kq{3OP1gup*pw)WX)mlN$0n>vtma&LhKexXtV&&INvudDw z%~fY5w=QYbFQb`!mwOaGkLE+h?D<;IrtBIIP7T&}o9gAVA&|o`>1c$|FEr!}1}K^) zB)%ad2^p6Wks4sY$a1UD1wpl_bo*~%%sb`CFT8m1Pc)$YiIksHF&evzD6a?-Gi^zg%of2w{XPBB z9a~CYJ1*;>Uw%}S?)L3yqg~!tC*O`@CZ=C#jZf7Q!@u|3Z(w zVN8tbp~6W9mM*P9)z^@|FlCHKWN1eZPV4pr&?A@N3 za1v)4$*XFc_^<+&x2*S6Wm>YV7wrJ4A*ulYa$TV&dun8n!P&M3JDaG3PReJ2)BCbpH4K(%PN*`( zYsl|qZ6M!x1x&OPJ5_5z)IPV|){oz=vlmjZRdEwqLD&-IhXY;|>Dg5_Bt$vrovV@^ zFk=m*jjN#97U}nbbrYHaCZtudNB%I8*Y0f6Y7O!)5Zb9&H@_#OvjpsRyl^hPsGdv# ziXB27G~+`y22=xg+2s@x`0MxX^YwRM1>0>Rn#L;LW8MNl+o|DV59^$^bv3N0?I`P~ zx+l^oJH0BDGn-^+tv1MGmGTLUHEKiv&jD9Jub86RpD6Oe=z7~VeWCLcP!JLH*qO7u zk4cB~9I|-@zdC9TuL2&RP%$sb2K$i`5RgVNoFOCSM*Ya41=YR<10TcNAyok9UEVvM zP7Vs|kUA2-($GHhyXw*UgvAM+$@LFhWdZJut^Zt3MCIum?kRy6-87*a)L0OL(W2zR zrMumqj{^=wBae5mp$OEyt^=viPq(5JSP-m;n>e6YP8@rfler6&A{&WYIp=tIJO**z zu%WXB^LLT)W{QZHIa)g_hu~l%IJ-wx&U_Ke-tSS6~vT;wo1+dw;h4WDav;8$`{l4B$ z?^1%qS}4#*M#1OFB_lFNrj@p|jG}~qqp|rY@zbNA{^-s2%lgMI=#CUCloO1{flUO} zPNfl}(f@X7=Cl)_c6Au|-GWYm6Xv}(?_-FnttldOxmK}cKCGQ};o{Jz*(MO1^l$QC z2q=FNxtQ4{*=hSlKk^na0erZoUgO2Sj*DPhU)16_{qP8R2hg$2w+1rBe_oWAy8z7} zA8EiC;Q9-@N)`e2etFZCdbeLzoNA}8-A?{9mQ{upx5_;nyCoYkZZ|fzKU+drwXJkb zJ21wu<4%fq`enO1h583aY*070ffvrB&iT<|s93Dbn%Fj*dRV4x-xG181LmU+pd5{0 zGq%WQX%viHrg!-|+x%1n;se5@%nB1F`X6#)D-?a#`h z%yc3aJ1;IPl7-&ozTT@BAMKDHJ7%5(AzekB5bvEH*t;Ux04;Ux>tVk3plyf$)7S@F z)L+}=k5Sr0Z&SftN9qhh;1?&ezUj|@JEmhf+d)1RVyk!&=AMTW@fVh-d5`ws_Y5C+ z7k()gm|6G(Y|B<7Ml%aF^|McrAO|TI5mos#$DE&>ewwq6B^X71^DOe)PlfLcO-PCZZ$?p zHQ;_BJRh9m?2d^3=QGV6K_iWwALO-?9oJYRG96viatr~!Twr^!O+@giC76s5d<96x|Ih7?B{SbW?r#E#S9FUO6CJu1~Ijm-4aG%NOze zdyS2Zs4=KAVE?9yCOw2DekHl9AYIcfLepN~EV>6o_5t+|^nW56pe1wPQpvBeS*Z&e zcX?~+_o;2@NNZz$iMD?tNi?QlJyG?zT0irS{-$>G{^nbdX%odz8TZ>Ne>luxG5uGo zmdgw0sPmDt!%sf)eV3%b*Kp|4n+7=&CFd-Y96Zvla_nWUHM{r40(vY%BH+hXje&id zg-2bnJrFIsLQ}_Kg=N)=H1f9(AtiCl&~A^VQmuxN*RC5ZlRcF$M!T?jN@JZjjy)GjUl4 z-L3d=5Ta4`Yeua$huq{p$E){BvnzZ)3b-=EGdt%qDSFtVE9vGVdCxVzMSD_!jLLy5 z*YH{yF z)Nhv!mCVP9lG#<4pZ=jB%^!BCyr0|GS@xIuN5yNROB}myu}MTZzm2+Et}?#LL961H z|HvkUUv+e!`wNGQ4A{|c&+qmZdS6gwANnz1aR&(8sxU%U-KL(T03?hlmR$OtZEQ4Ig~6U?hIP zycL5m(Ywg|p{jlGTwfxb&&Z zVqX3dvI8L7RPmuc;Op0uG(0{Yq~$SGvi>n^Ghq+>!(7gSu9V0YQ&Mnxqkf5gVhlz7 znlar~Jt;gxy>_Hl#_#fSZ_g@vN(KK@v`4Y%D@lgj#Z+dZr6Kw@y`U-in@4&ZOd=*q z0A#`zcV5}1{*4+}S@-iI7bocK`_AF23N+8~(bZt5*}*xf-}Umq4yw&Y|J=v0jE?hu z;o%|cuO1u5{KcE(NJnLZz5c6w+byPsm+#l^wHHxwTeYemv3r;#GTZVDoQOu-Swr+$P4>Z7|(NC4s+-^~S~58MS}s_S1%Y&0bq4RmiVc)MJzc8|Bahc9 zbB~k>ekT%V71fhQ%(7n{dwoOT?fkcOS2E#iUhR0x9>?c{k-8>N;;Q)tbtXF*B+i|gZNd=wjVkHh|-z?{`TaE0vKKP#W(HA)xQ+Y2A6*?T8?L>56OJM?4-cq3cdIichezNGgjfxo_QZw99Pg@7>P_%0pneUBHw~ zE8(bQbs=K^nVA#kJ!Z-N*o8HH!c(BYlNyZR)n$EfugG!-N9B#1cF2|QEd$kNbI3M^Ftc) zEVSNjybfG&-G(5z=L9vNAIQ0>w9u!Xj9=Tj7X9Xa~Js$Hf!!cD|)0@0Dz zqHGnFpkcLlJi*J#h2){y*kofy+E*9DN2DJh+H=nUzHagEO(aRr*~~_f!rr+PlKJho zemFG^;jM_0P0cZ+ zQH(Yrk*!kABzv7FRjugV&p7cO$m>sxtM?;HZCJUH`p&542H0g=33wemQ!6~F<#fOS zD50nH0uh;5-^mGc^vu@ExT#iY{3*RUH{$b1e?XqDs6{143ys#}O&S}Uw)h~lAZzjREX(xfNAf6lpk3r?`htpl~SAF~wRAmQ2RNHUTD-mfC4mYbSx@?bKnXs`~c|$GCJifcp&u!A*h<8=h04P^eyole#UP!ft_5Ma=k(&#MevQa}a-EJ7=767J z)`P3#j)^8emj5wn9vl~@GiX*4t%X?W!Y<^M~L|;3`wM%)n zc=R5UmtK0t%xuEvuyKPx_O+HBQOdGD?a&hmAHGL7xgu>QXymI-;&^9(n2k-haLI#Fl6>B7LR;4#YL*G>pO zxXoac=+wivAjR2cD^+#H2K^X`6zwzC6GT8Md&`_8iJU^|kQ4830L#d~FMsEGEO+3v zSdI#%aJ!N!S8M->S{>o*&S|_k-u~?986%7!v6FrW^_j_a*{LQ^y@BtSEDGf9Z9%vu zJ6=NXC0lSLH40gt;G+;*gV2-0FhHU#aY>wXwM^67bTF_~9DVQ*0^AYmp%@H0mt6f} z)795ZJp?cxN!%eD92(y46E-#Ad+%PSDQA`a;I4m{C@bMD4euLz|C4;UAZqY7(jWJ2^q1XXTi%&&q*Vt{XLQg zqgrBBF1%CR_aTqla{00!7bAs^JH|DK_Hm_JM&pQCRwTu^RC|&jnfTAjpZGV{jpW6; zMn8(`=T?0j_jn-OF-0(d0H+B*r*#51VQJHQT(?QJgFM?0$DnOyH&Y57K_>=msJ-7c zU4cp9cu9 zQg?eG4?TC8kQ__Nj_zCopspcf;HzSlInd&jsL~5*2wISI;PhRGoZW0rU1`t>T`KG7 zz075*VY`1*%9EGJk-Y2XinBtP=)-SYIc@s)PChDp}j~NrmW45ufmJd-=^8Ckc~BOG6HW8DEGaC=Ym>N|Hnp!!Pd!ZAn7m3- z%{P?aCP_iVm!5uyd>S^4>Eh}{vb{kg1>i_QLa^1D_=u#_2zAxPFu!iiw(FlRodUs1 zeDBP3e5NEt|Kng^Z&{tqw5*)G0;k0h2iTU!qvrtLTFha2~u9>;!I&hJ@ z0Q8Xzyf%1D_JX44ueUqI4k*l?948JzN4MxDkwhiFfMCxc6_tor39|oP`Ca{Q)=6t7 zyYTz9?x0Y+mvjQj_}BoP*@!y@ihlt{;Uk}&UE=g?KJRqq9g=OmGK!VT7UmsdLQm9# zTj_63xtrbL+!FLtQsvx-NGO#Qeyk|{x8e8_XL;jy8itD!v>JUu#*)ZM@mCkoA^R0( zpyiOGqI1rh|C_3ox6~N7i&I}(wqO~`Eax6qTG+Jl8%|Dq9fkBuWej+x!COh znL2H&v!wfI6ebbF?6qk@V8_OM?VVocr>zT=)~gj+#nWKjKy&|%1f-RhWnj}7yKzh0 zpzdS@DMxF2P8HJZAqEP&t{Keuk0BHLf^Y^FYRe2ebB2zWE(dav>sr;SU4b>@Y*c+pHZH0 z(ftXrU24j}eB4x$rhxy6;CBmb zV?OOnL}ZY;!5NzqLYpV6U+pOhhbdTbf}2!IP+ICdZt$KqME~+)=sq=H!T(Ty7_)7~ z6LWFN-N;;>T_#L9=-;y#E0HN|viKYH_fGb5YHDQ1%W#g=Xi2*H_p}Y4cD2eJPZZyX z$opy}`i`|-KoPt1`TXX(@r6-dV-dFC$$OFq2SfgKPDq5#eRm(HHK*F|Ht|vFz-O>eiF_7iu zg_3csmePrOQ|H~8SO{x{e_0*ZIOS=&VeJI4w;QcJ)w9sn5NM2_7==Ja#NxQI;~*lA zPcH7O5M^49QqW@J*PGFzc&L&b^O{d-#NE)o&4NnJ7XoB{U#EFtYr!#*rzrq~dX=ny zGj`Hh<;G7O$~bOAyEndr1lE_B1tCM3p4TGfQx^$io`4Y^Oph~54Njxs%PZU|Qo{>Q zMi$;1`*O@~j4fU1a*~rr<6 z`|NZ;S+Id)leHnwXSUb?fVvO!H`yMc24hl8>y4?uUkV}`>tOm?Zr^ApDAr`%lq}uT zuIAd#X`vI_4g!ito~*=mkII9i93SoQAXj;TRzGzj{A-m^^uDmbGKDGn{l6?J?E@v{ z{@Aa+pgLdu}Un?D%$ z$)vSr27K^L1KBR)%pO^=dY?QNyT=61+?OxD9~ix%M4{Kzo`fWq3(BnAHjM3hot<#luTSVs%(F8jjXT zi@S*`R@2npQ)YbubbJ9$f7LM$W-hh_+~Fd{fnh8Rm+0w>9k zVo76hG7MRkoIFl}p~zBF#;Gt=S!(Kr8XerS!j`m~pfB3BC>;<>cOOoVfn(_#;0zf? zEMpVgelp_#%hU{K&N#@jIE1rgSh1`R<7^nVEIWIg1LFwG5s!0XII~=i;*K$nvs~S9 zCm8N54^NyI!<*&fi}PdnvrY!!0vSQ9;1Jv?Mkp&R92dbj&5AsOJIjb-ojZ?LI7|EBW6z^s)K}aD$8?*6;}K8)K9;HjZO6zOyDKaZ`+G*32w!jxo>rv4H!@ z;IO!hI38n(wY-A+#aLziUc>!ith4^|aT|He-jiyN44rYbJmnvSl7Bz0wjg za?CO>!3Qr>rNKwZD>`8f!gI{Y0F=zTBeKx*c#giU}MxA-N>s_k;3>R?E zESIVi-OTj0NdocSz4f|v;qoniNiC^?Q{vw^z|mhLmM}FkD4Kwd4Xp5Jr(UoZ+xym@ z)efdiVJzAcpaj{IFFoqWjRK3lcwC$DfW8T~MM23D8^3VYXRQgW`P$eZSstZg|0fF( zykGZkJ8!@XL2P%&%2P^(Mh1w#_ee(;qg(h5<$bV4IFp` zR+E`?m;9kzx8Q1z=9+SN1J@O|E^pYNu> z?qB#0Dlze>pFaS(#%(QoEAD=f_Z^wi`_0S{da5eqfFUO?_bvX7)#?H7QDMLl@ZSqP zWPW+awYyShlQ(DUVIt3@Pcu?#tg7@P)lC8=c)8=EWujSP%poM?)!UoW#dS9!PnW%5XB;b;a3c5_n89-x2+C|)LM*M z^HgmAOkul^M$;nic~cW8;3`A9h;2qz*F&2t>-A527zMqncrH_@~X-G46<-HS~^LTqP^ z1XotI_HLL*$xgnF7qQ0PO%HOiN(6qi^&ERGw`_S>z9Zq7$}I6H=IY9{Hl18FOZjXI z{?@*2pq)NYI4T~%CKD*tV)qCd5a{)C-HE6&{lwqAW$GryG})pWbQ~Vj)ngWnU@bzx z;0Iz7q^wJU&AI$`suJwQyRw%5D2KH`;MI6*EBBVG3=9uuB~XjkWk}Q?gpB77p0)5T z*2@rtR%Mx*+XUXREkhB=^-<~R{Z=aRQwN~Deu`jABJnftW9~E%cYg*Qg0%FY_JRBb z+$-ADRu5mtFroI46-8;#(Gr(XNqN*>ZUv+$ygTINmo~oHy<983iNA4Go?qhB3qcPN z3H$sSST(leh zdqaP*Fh^OP{)8IBKg_)HCxRsjge1pk8n1rL+CsYiO3f6HvT3`#YhLnAfyYASZWK>l zHUXmhEmM+g-;)jcc!Odp>sOLzu9%SJaA8)mNt+OeT`nAq(15=C`leI?Rv@LGLv?Yg zT|%kVQh|VRq0v1x?olm3$K|V@mH_!DT{HOC0DIz_e6%D$+8Ry0a+73)sg+#qA9!_? zU0(L=NHkR}xq`M8Q*A&q4D8s?UN^Ipj6Walf3-bL;?#i~gW#g$x22;cx727;!C$!s zH@+Uy0v6ohA5tYljtO4d<_!qB+L|#O1*nzjjmpK+Kcj+Qsq+HC!HcIpbF^R6&){^9 zIP16}9gRH0t2``#6uAVKfNSStziZ4UMQJ4D`!0|9~3er_b+_?sHF!c5h`1S6q{lD==e~qvukv478 zM^sdk=|NYe?m>Gd5m>&zLi8u${d={WYvo0d>!mxKU$5xdDpbL_R}dl8m@nls*^3u=V81{x^h$kp@?DET>_^_2EhWU2tE<1rFY!GJe0Qx z>reM6fUw^th<-oVU+75UXRv5WEC}NrS0}LPj>^_8Jl_gK=22ivQU7}_PBbYHnjv#` zoe8W=g4o9^m-OF99$n$xFy?QJb9(lRvi|W#KRG^r{Vr$FZAFwU4xL?1m3iv-_ao&Fl0?7ZdT2xW!D8Q>s zPC|&P<`{@&tV$YU2z@jK@HhfS){TPh-c5sgz0Q5TfDFm#gnL!k(f+Dk{8qt8_pyU#!s^`XS$FfX}njEd_!8I zXzkwwmy9e&kYOihvHzy0kVu%$g8&fk+E&VJBCK=N@kL^se3foum}KNDy&^uSagE`9~22HsCbAv35$H6^CBu$g@tPvf1jH>nhv3yFg_&YP$y;dtffo=Iqx%%yl2mhfF^K;@)ZMgn|sqkIFX+)%0q{@?z zh}d8X7FOQryRK;W`_;1_VtTt|RkG!(ekN6)HX-*v*{yOfh|sh=5P21nKAY1cJchA-xlrZ)nK6~o1z+rfnm=;eFq&&K?Qyu z#le%8`+&ZE8n+C34Oad&m^(UL>RWM+o$z&Ad#8K%OPYL@{IKnEe+)a#ELksE`N5{@ zU40+nyZjTWRSiZse-HVjJ1i4)a`#gsttlDm6|Bk-O7N5{>P$LF(n^AHV}66iad!I! z)}qw`o4Izj>T7XNWuk4{EsEDQi8Z9^u+#6nq!ZeW^ng6mr&cmcFS5t~(eFqzT`>X8kzDP6U02p_P&vQZUw~|_)>nV z-G*0DL@=4!n7Es!_wblb!dyC*C*{Om4IRnAobFEPB1~8+~MW;LtB?@Z( z-#^TLrh}`c`Ton0)RU2EemZPx{ZzIF6LR;%#x}Zl5?Bq^)nR)A!FAMekvZUCOu6n& z6JdV4h)eDh->Iv-GS3Ws>4dMn!9}SIuVBLI5%Rba6Y?oI1og?!;)d!xDD~J~0u^MHl|kEZ4kfv3a+nWC18qxTEzYSydgh2zlRu>vj?2^? zGv|&p{QGZnoy*^@&Y9!t>;To@HaN)iZ5eTgcD@$Dcez~E-7($FjcdyTS4+>^Hdqwx zCue#6_A0$$t-sA}*=+{rU0mMnJBK9PYt}oAcy>BUvctHpKb^J9_CEtWvvoGoft9NqL9--Wa%tB6E5{B%wdzNW-L-}zCwP25kl7r9{@y zWC1vn;vxOt1lUr`qf54DUqs%x<~Z&64zwmW(9?_`2*C1!|O8E-vb`B4Ao{#189!q1-v~rK3-9RX=W)qiS6dmxfl>U1W)ILsCsyVeqY6V=!KJcz^}MGY zvfsx2q#k_cUMR@xQ0BCQUURF&FUWHH-8_B#3pLNwY;q=k=DG1ASB#=z%_E?Ru%7lG zgJy|+=rwSkW7XJgkk4C|;1fVUMt@pyUtI(1t42o-6iKC=qp#VObq_|WajtKHih@8j z_~B#v=A|2_19Bd$h4%Q%=1gGprnKsEcDk)m9J9YSG~K#ipc zDvN!&*ZIv(qD`2~D7z%%%&N?@Xygo-H{J=6} zEydRs##k}@RiA)PVl0=8%9^HeEO%3Pcgs!PdSnwHtAdp|Vg&Y%thq}3RwR|^ORW2C3y7b_Uih`&#*>8~ZauJ-%>2tplY(@0;q69UH}B-z&b%cW z%POAZn~uMJAbC-HYV0;9@Mwb`E^nMNt)*=|ad1aP4`)jkdOU9@Q1KdT2;I5L*gfE$ z!0sZYTWx7x>C4NJ$QR}7cY~QC5!E{Oxws2_$1nS2Xjx7H*NrGiprN`X8A48Ms?ga) zZ`_aSP7u1)v@|s7M8{7n#lD<4nxb|?E8lT^cNx=41zvq4Iu@91i!J>wyzY%rsHAA5 zz5IV=P`Wkd{QUNnl5;Wf+TK%JCL!v48YRlRXM|_&eMb)||DGFO*zFX0aK5gl%4T@oj!5Lw^?^=ptiVx?YkOA!rO7|o35)Wo1s$y z6Tzzum>4V+bbQA4YEvN;(@n8iG7%Y(nzDoq%^;2zyk^cz_1t!`FGmd_^c*HcV!K(U zMx@axoICf!MH5 zHkz4sayj0{o*hWQI3T86?}qV&evU}GdtJ7dQ*q}3KSHuos)I?Cl!0Q?3vAKv%BT3Y zGgZal@p$t|f7*=9rGu4z%|drcQIjw?i7WAjQG5=+Y~lscpAMW1PsiH{UyO%r8Sel? z<%I7h=HmEqbD4H}`>pgBnkMz)Qv8TY>H2g0;oSF|DU2j@&?J6*Pj5`qoJ{dY`Lqasp*gX0&3AcAg;snTPwQ_@8P6FWf%jM^C!4 z6v#Oy)ZL%3J2o_W=BhxCs^Ea30~BAi(qrfv|88uh{kz!y%}&qBq>P@6l8D3zbcPICA4{94yCDIq+My1z(5|?E2j``}4MQUG?8L z6$)d+_D&Az-Q*W$YtQqyux()Odj{r+g!_6MC4_KFR_0%8P0s=ES733t!Q_W*d7j^p z5aaM@MVAT@hf+lZ0u$(jj*fqp#ErMaSdgD-wX*+Z#dXEE=DG6RGzrp!lb-h{?HLVX zQaOK=akWe`k+2tIO&ylZ%Cmk$Y|st(mgFFXA~>ga<1>pAv>@LDgB?%nVW>j+Gxjvg z?T#ypoPM(4H6oQ@bLa3iSJIrr0MKZ{I6AcyaP($R^V}c8lE8sgYI70C(t$+pUfg`V0{}wy9Da}JZ8VcA=LPAGa{Q&{6=gU9qVM|7C*-j$XF?P};w)psw6)+jfhTRy>|po(kKK~1|E=EbSGs$dTPyU?M~WygiQu;Il@iT^ESZCyM(Wn|6` z@|?|D?MdYl@nJR{!GA?HA=ch377PAUAApa{En zt|p8u1Doc1j^0vwHoQ4d#k2_b?@J@^P*&cxD-=}}Jt=xrR*v@hQVsHk1S{U6@Xab; zSU`8c3J$~HB-b!fN%wtvtJSKf%eqlp17+_wKbCdyb9+M3C*NZW+tk~#?PXCND|7^` z{xwQCO98^-d)IqcdzUBaTkDcMf6(#uc$t6gE4X7b=Mez3s8CM`B=d==$*C_w@{S~X zJ27+}q*c0w9FPE2Xoq5a$trp1W@4QTp@m(%DJOZB7bEWGzUt!Hue%?wLQ?f{WE%$Z zlI{v~02I(W>@M{^++RawvOr8m1<%SCuj|?D(y!Rc{!R&%ei)88PL4-wc9R>X5y-m{ zkKm5=3LLtJ?4Bx+_JAfbO0%CtBqv?Vsk3A6V<~wD0z1R?ua|9fjIorTrp~*c$E1ef z4lf<+GT#i^cDRSXsn4=IHO>NyrT^D|0-nH~@sdR|y%xmMBVlhYN3b4iAn>_ovWO}n zhp8X9f#S5C`cOE((wkiJtv5N8*e-jE6G>U?9&Ajxf(6tLV6Go3$Y6EoG{-1<8qhr z>+_qIt@Qo9aYl4@WHfGccf6+_^=FI#zjc`Vu z@~Ylvc6Qo37ntI%Fvr^_KR4fe>`h|qr2o`QNZ*Kd*qNms_88-#G<@{QBCqp8pdC20 zGeI4PXx_qPUiXamK)oH3Wz`yh)~DdabS zD|TXAo#TsWwF#WWFTYBiQ{WPE1v!rHUPtO|;{cfcV~1CrDc==`S6m~%{Mg0Voxu-# zpBvv<*=hKfJh=t4Z7UulyaSoC)4Z)Nvg5p+cBkHVA%xDrwc25gi}tpaSd0PRff78{ zi1vk~Lha;`d2XR8((G2Ipuz3n*+_s1Gx8P&3&!R9U&A^r7Ou%py%ug83gaSBzRaP3 zN?G=@>0laPDPrbC91H30HOlyr%L-hvtv`;U_0D~#&<|hw@`5`JoFN1Y#-Jkf!U!?x z6)wZ+uQeM_Cj`T^Z*8TwS%~S4u({o>i)6bVj{c$RlS9imq7Bm04!X^u3YSW9>HeQK zrE0O?w!?>8KhWwSvV0Bt^zs3`mu9Z93Q@SK05AGBeQWiooq~;rKS@2se?Jr-d zSUN4IP%Fte;d8EN^?58xxMVb|kT<*4p!;{h%Q2N9C5U!P%aFKIYQ7nln?qL}@tJhY z?koGc>drv5)?9zECZ-X-JXYubaJDWEuKYgpsfAa}j`%m> zJsfcz^!BC)Kh`<>$Z!>i$DhW~Mu!S!tP~RnInJslF>2d(Hx}s9URGu+jBFoc^vFBM zI-kIJoi}He6trdY`Csv29EEu-8xI2`1b^V3TqDHItdP*vXvxE;1rtuL?2Ieem<)@i z#axtb@tJDcMT+5<&t8q1I61U-{ILSR;dBsG_(e$&5M?I7*>bHy@sHhKAPJ}VLcp`X zWp_{s%KWfnSMjTu1dLov{KOb;Wh8g8e2{q_h`f)sO?(vrxtZl?WvhNk62LF_C0phG zPv@qS!5L^`XN)=l^!s3%#CXiM>7qa(9(#C|1IS?QJRYW4fAg%&(_J%zi9{CKZLs+4 z_RjBX@b|f`3qy*1{RWH=u|k`m!B`@zV&_Ee7-2{h;cbAKENZ{

fPfu>ro0IGp6} zi{-SKQuvdId`sTg6?U+0OCk;$O_EwKeE1W~L?m#qDkgJ)h)(XIxL-3Xvlz))y$`wF z8V`qk$o;^nLFZZxJHg~ibKen?UbsU zyQ_Cx#-&jF7RbOaL^xY6`fC)IoN=g{rCWO9^Nd%XZCJ1pF$5jm{_dzW>C-+8p#Y6l4+kXI(u>LTMp(8Yh`K`1=Yd_ z&l{-+x#Pm!2W`N8y&kfBXrASg(+mNio!cxWRr~-&lO^W+BqIrTUyYF z|7G(Gf4KXhnzNUDSC6%{Sv3&lj%ocf}w!bxq_J# z_gqqlry}(1vUj9;N0sFh_N&sftNE7;c>?Vo_>5IOkV@p3aBN34rS}gej>)!^wi1gV z2L*7zC;WeQiD}Y?xe^H&n9$&ueiwDa(Xx>(P3s-!?OC2WWw?&qfFdQH+QD}k6h<=^VCP zVlI$ro;_N^nB5!HC{Y80TWGR)q+YzncfH`4FpLxsHCp+U@s-SY4ezNDa7)tV$9f$k z=>Sucu-R1m4=?!w`fafK4SJ5~eFLYc1aX{MmfL$Sa9s3Mpvwb+_Z7T^Y7{O?s;fnZ z%>dODrx!6Mp+9L$#765%^oyVVR2L> zhi1K#T=i#DxnIzE_O4l9156wnY|AoA9^=sRNPUvwC*zvA8U`<$~Z%IZdFLISdNfnEToc~La z=?@bzM7BiaTWJ*A(ADUEvpQoXgTz*Sb7XF^2KrW9*z zK7RKrf2(rVYs_!_wfy%%DRUZiR7>{sL|;%H5pR0Hn{M~@Tj|oxGQyxcUnK`4a`Uis zRR58nq)Xb#ID{}m@;@K@~Nu@cPptMI=@8egRNAwJd1X)pO=Kj@kEqj?ll170Ko?9OMc)^=>WADWu#JjTIZ!hjE zmMpjP zL`8By8apCxH{LfkKF!#s<5UB`zi&r;pq-cs4u09bbm&l=)Tp5ID-cX7q_~uwy@?*o zPqFaK_Y@<^>;Pwq<-3f_FY_`*?{uPz ztp<*5AmP&q^LO?IW?;WZifTqU=3qpR_2t#XC#%+zP4B-V>=c=kx!OERGVCa}?%E^Z zKqdD|1&wm6W`p`?Uo2r$RgI(ReH&s!S!i6T{J{Q`g|WeBVgk}gB{z2csceWB#}gm# z&OFjhg-MO&y4J zmS{xabPZ?W`hE@AG=gv`JF*xvY7^tl=XTn73YVHR+Jgq!=gZX4SrN9<%_c-75lVy7j*{BH6@%lL;PX0kwZ?eClI!>pM-Y0<|VAcaCfY>s=sH*+)ry0=20-2fG!p) z^k;A?8Xaqd4Hi6kQ@T+7w#{q%RNfoca_-_U%dn6OwaD|6%UxgSMvT=%3^NzW8RFAm zZaI_kWoGqL4LXXV%PpDL|M`Pi0%L&qp1znVcV$zS1JL$^Pv*7Mev9MH8_hu(w?obAgg*lAf)`-<;4@_y(c zfE?nD&uty8!aco^iDpSMOD;SdU`r~7ah)?8e12GM6mIHYj!l6+7Lljb3^yAx0e2;zj6q4XR<;`6O->)Xawo_+L>98RJAR%W z8!FXem;Js5UINxX=z26fmy8~llCFnh;}V2Wd1IUHafY80Nm<`AqWMc|;a~O^ggd_M zO31IG*)hw_6|73i_e&DZ{a1%Xg}0-SI|~oJVi1; zzEIY@j9M(KUj1Jdd31THY~AD85+cz*$8^DV;MR@z2rsns8}4SpQua#Zc3wGx?Rr-y zqW(=c+bD;3SE(wlWfhA1v7EAQnv-Rsdbwa3n#dVrQSRAa&*`i>t?bTP)69YUU6sPS zdlk{LZhpqR>{{5c8jsW5W&Q{_t;pM*Pt8bk;>9ic3o3 z;rHW@N2W&ULKLA60>^G}y_;#OkL*lkQX^nUIU# zmqqBjcW`H&YBf%ON{!4z-jSTIHnY^_+`NIa!CRl2)J53S%H+2$X(cB9X$Cn9x+n>=> zhKn)9XZ;_nE+(&kTQptp*POAUWBd!}7TI6?7jHQCYbJN=M2yW+rvLO*Iuz+nEhG9r zTGyLnUksL<$Yl|Uk@084T~7Td!R23@SWXv>X7{IFuBCkj`Cs7fEvq@^zIM@D_!;*M zfq`_)1u$bnylb?-91ZFtPP@7Gr|xFi18nIy3czLV8aN=^a5<{4&HQyS;dYDXE1NA& zsu?xOS~y62sA6Sw3^}*+y*&!Qv5ENKoPV6z6$_<4n3m;sfOj1me75S=o4g5IslYj1 z7nJTNz4}JO zBBFj%xj@zR5tVU>pmrk`c1lG&;}E+qQL8IV2z=6zFt2eqI48}K{SO$NrE=-0V3*hT zRRF7wNgLz*WhnHmb!1_(V65ck-wV9ST^HalE?kiIvb}I7w;BELdpIt1n#>S$_Zn1bZ2GN@wV=?a?zDC?sjImh6$0%%mi#CaUYrIH}&h7J$=3IVeL(`AJ zSb@z<7$enmPh|NuxQ?_Lmgdg52+ddYwVCwjO z>eDG6KAA!G?117R&SLZAx8&IL{6~Iz8t8`;k@o zBXNteNw4bMpY=VMMJMt30zzrhr>4(Ny`q<+>U{p_r-tZ0=5bF20Pcy91KT<&(74{c zi5=P=GrLVM0xWB}{;FEbhhx?6D+Ni^cnXUvOW382K|W0?Iv?D(e+B0Pukrag*z2BM zD#(+6+Jjx3p2{EkrOoBpqwKQ3Q24$FU^kwUgVnbIxjfr&+cLH_(bNc&|L*WNx~!uV zocO|&8!X-MqEH0Ph1$TL&A$&)_P|6PBzTgH=*FBpvP?e$5V1{5s>clI7)eJV@~FGv z>dHMg2h05~jH`+yKJVGbLtccck3=xR+62)zztwcZvA%D;c)6)>>#44D#lR}7=8N<1 zC&NuU6m0#%pC|uG84aw+xKz#l=TCo-Lv_6fnWZC~X@s7NVI{O-Yr$X9%IuA~6xv8}35xRI2;!|q zw9zyp?Bv$z!I5oia7=-d{kcNY`5uRLUXG}~gVG57=- znIb|PM2XnVa^4~{tWBwyl2?KA6s%?7RwVOE^#{Q*_vqF-TcUZp0%wion^JcyGqs69 z-u+2BA67?ZsaV@njg%?865(9$M281fhkE+ZDYx#2uUrP-Rklb3+GF3~hO9|Drp9Pg zPUMTjK~uNPFRMEN@-m7t@`5}pHUiU;0|EE?#cbR3H2!OQ7<#)kq)unf(Q|vuB#9z0 zkPtKz^BCt+z)rG8>1M*~BjA!TD4<3dF6zAyC!qc={UiluP5W67h|uA$*F0**HYW%P zQ6|1TTHq+!q{_o`fjOYT8U9i8Qe1yCugM4fvRi3AJHIoBS6!;%969@(+Q+D!npHTB zKPNTraTy~t(#u5FLI{4~h^4|e_@q?7x~v(c7z*i7y+G~Ehc!RvRdRUUI-xyE>tE3< z4uWy~EolkSo;XcG;jbm6&BZa!XNqd0VnTstkOL0k#HM-oSd0HYnHobN{vxbWY0Fps zu3`j_|Ft|;^B40UAd!j*DNMhfZ3zWa1~8un-q%F_HT)J#Np268x~?nwUvQ>&&ENGa z=UY0pQA?T+I>h%3$kFfF4Q9q-{S2nQ#(BanI^u?|mV;inpF=}Aw-ZpFBUW?4@6&LX zK*=VCc}Re=?Igc^;9$(jVh8lHDT()t3g#Rw>WW|Cp(DmvgUz-+zQ`YhFpQ5!Y4HiZ zChVvxAr?irZ2Q>Hp#Rdj?vAYos59;yW%{7My}az+T2N5cQCKh1)XQPc7(8=0raRp1 zbE7Qnq6g0ymrl96_VSa-GsIAzAcfnf1bfyM?tZXGy)s2%DtM*xa(rW;;hJmV}W=naJgG` z&rd)RaxE%<++*39jYpUuiW)Fuc^N~MQXz}~+nZRhV|iOl8W_ff^{o8C>OUu$P}S91 zEno&|Gl?0;p1mUsr!M&+*6Lmd*yb@IRcou2Z)bb4CiwU^q^Ebvv{1s+-RN2_YR4pu zH`X8`5sdo%+d!szBzC<`vg%*xQX1n-(zbiHN8oq(#)gSh`8zEF-L@j%TuDbpFA?5* zdf)DpB>mxyD8L4#s<@g6{LeSfWQ<=T;B2PQo=mg*`ILqk-aA9RfXHtrgXY#1{aqQf4N%ws%f8IH!vFB>9X5leU6Q59`xw}j9s-mCv zMu!%rbDI2$I)gzRaQs{30@t|oIy}9dqlt^wp0NK)G(3-m)R)?I{vG*k!*=^Q^Z~7FPXW6t3MIl&eIG=|Y zqS|vY;Tn?2B*>3o(a2JJ$p1?O=D`}4?VgQ5karQ%QMysATL}5jN4F0dZb#Rrw?Oy% z{AjMd!1b!NvJr_$I&`~`Arz<|e zM#@<#tba~QL9XG4Ma&Rh@Tr-xrEHRE1u*i@de9Fm5#s>xwdoUrQ|y3MYMB>_s#ST+ zHokKvrOG!;(AaHUg4Tc9x$0Z6tSQc#>#Tsn%d#`P#?K+ur>x+h0ekFXPmM)N{AOZS zdu|J%)v|sOD#&6kK?;l3O}Uu``23w98dIZ{hO)FeonY?fcQt>V?3C+g%S3GPLi3v~ z01PpgHM4JFp?x}s%Bu#8W@pB0XXWO7vDvn6YJswat%lZHNS~k~8R6MOf_uww17u-k z5akqG`;-J0I_0;U&TisXdJm+gtDeGMU9Kv58|ZS6=N4UawTOi{KUHZcxc(f4W_g(G z5sB|O^e7OaOFvv>c$6#~#_LIh9=Bj!e>rDXUFh++_lhDfIRPqGsQzKw{$F&^@^yN^ zI_%bZc7>#xH3-I^z^14b zALI>1F>TrfGH`Xb*_FTV%W=r}#bXzF{-}N!%*9S!UXLxM9+PTw#iTKu3SIEp9HZIr zx66(25-l0niX zC%fz6hF~=Tbz&n<0@rc2U7*8H&H{JU(JwT9BGOkw^0{5M%2OG+Yl6!9MUI`LoYo<4 zvMGWPs<&bOQsSHLu)o9lDUd=FO=o+v+gRTln`tHXV96zSb;+A1qSQx70U_Y!{0x>RAGb^C7)sS|QODE|xFl*-My#b^ST zG24Ti9y5RzRHOCYY+<2b0&7-jK00uX|1myeDPnk0XUv z<#dspVeg9>F3Uaik0g_rr@YFi-i?DFD# z$b~2W-4a2KlG_+bf6ZC{q&XI6Gp#1vfVW2-!wAuwezbHqpM93M1`T<9OJyDaQqJu8 zL|;$N)UHj7##HS?Uq_|ECEy|=Bc$D#g@0i|qw%$O`yGYbRQEU8#&}xWBp+qZB4A4T zBsbOjj);QunxKNFmpR))k!>V5IAwQalc;sxxsbOgZ)Kr>Ma*CWcxF}P_n+PIjhK2D zWD9addFA-HuuW*=IYx$$SC-K4s3qA%t$T9bFQ}Q>z68Ek<+ENe1{w0BWL?{_f)Y^F zh}i8lc8_3OW<|w}?CA_Y{nj~S<1^AYv+I1#tfnYUURxe!ky?#wPwyEp;v{W`=-qwc zF#FM03!kceh`i}Mgc-1vSzdHbS7K~g+`E#aAF~U89u2F~A1pcUarcqsSD5o9YG=aE z0>|}HPNw*nv;D||hbB(BI?7(wiS^J}>>YgO;oQzrRzBIA-HB2xfss^E5vpWE&M(9z zVmt&Y?#(*-N0!8Ec4NQ|y@gz~fz{A*t0SU_00g)^g(@81R(RZR)2u~SLlXb%E(w!a z!!xZMs&1!Od6Hvmiht;@E1Yvuiu}l9{nrN-pzK36H*r-Q2LRs$J-IqPlE6RS};+fUHFi@%q-U@8t<&$zUAz=R{ zRBqw6Sb%T>o%Q{p?&4Kkuj{;|_)Ed_B80|90m?alp`kZFy~ygt&X9?{OJLkmFV|d` z{U>1YE7$AXCF_-v6KPArFNZb=xQrnr+_k+2-fnQqUZ-0&45rBW^yh-xu6Xh#d&~aF z!HWa*$E&CxeDXO6*ZYFY;-~3YP1db@+y19d7TG@l|2ff)T>L+y%%u%<3FzcGJ^#kq z3xmzZ$j@y#dIfXlwD6Y=5P10Z|4e(*&T`V$A26Y(0{13M?Aspt-NkqL+QDxB)AXcM z=D$F?wH-0Jd}y1LWiMwQ2b4QV@P=5kFfQBKYz@=nlpet3ipokN!?4A0Bw&E0dqv<8 zFJe~d_E6}(9b4JO&xCFapU4UNylr6z-*>E(QJ?KKzG*(?SF&U51R;bQfqxYSl;r?Y zWO=iPWoa_(_Y`J*V-x)2%MwN|#Q~NezZ+lH#F}zUG%8v*E+Hlg8ykQ5rKey3k7YNc zrhZ&QQ%n0$M_13+&%d4!B>-M6k=RE(2u$)1 zjByZ6A~NOF)Z{N`S4*xE&H{*3kc-Fq1qy+u@x&m~Km-8)5Gsm8hTEenSu#@bXe6o@ zfVTF0Kg=fx&Zc9@R7#Y0n2X(Qr102#8hN0d@oSif2WI5L71~t_|yFT!TqcK`}*EK32g= z(3G1+p+S)En=J&BfpNJ>;8meh${gWg3i7wwe1Eo@NJ}Z)7uc7&F04}^K~{n}KxTqM z0&JYZ(MX(#I|O@clq@9fE_`%@O@uWcCXEzQRl2rj277|ufwOY4vq^Y?2oXLLyc47- zuS?*NlqELsw(HnL_j2p2Ln4mHWIy_6>kPX5947HZLq;LFIXfv;Z^!ybW99nzwMJ=( z*_jARtD#2n{haUp;hy^k+;h)!pVz}=0&AE+{2BimfEfS?00HKOx!g3=|AzlBng4Zu zfCIc;;&`WSGI2+)u9;9Y421$pXizg~N%!HOngd-zjIdzR&l|7n`T6-_@^JJ!5P%|2 z@S=LT`YQ5)!94dG>hMBsi-~e?0oh4__niQMD%aN4OCdR4!JF$~eOy7c41Wu|QCM0u z+NRJ7K+RL=`cQ$>+*hT=*!iN#_5~kaZNXoSZNQ`4`2rI58_D}ey!>2cX{xZnh%3Eq z6H3>$0@Afz4Fa9yZu$Xbzy%8G?LmfO;I#vb?beFDL1!YJk1agc-=ZJf(mgsJRhg45jbOzVp9DKb;~5vv zSiSS~-gS@Kl7b+ml^DM59Gx-D0C#70hn_nN0CW0sCjg{bxhG#{3upK>=l7<*f~S^W z=6;arB@V6EJmE6y0Tw^Fsk>|_7@%U)(r2-_kpvx@fx}uZu1Oly$9BXAHgZc7ctdb4 zZrcJak_^b$rE)IK#G5_f+>Pf1+q!~MqPZj^XHXtHIVnMG+%D>~S;sChLD*y(1r)on zkmNz!J8U$RE$v3p!-G8iFPOb08m|*mcCmRI(6+T>dSWC8B(E$HDYogYn=z0gD#wds z<<{sY7)%z3OFGr_#?+jSjhADUuvQG2`KhvAat-HtU#a`GWBJ?g)yL$yQ%rwu-r6Ki zNGL^BK3_+)sWT}_`75+VyNqym6x=^)AzqOJ|nFb@Srfqdgs^I+h?@Id>3z(2V#} z{G{dhrIs}RQGAPhuRTcyVd)Jbj;}Lk_AL+%2B;OLK;|~l`Ji#P%kVPxRbJ8(mi=Mm z5C_SlCkt_pcdMD5*vJO+SXI!1?JWx-=Jlz6)(OrW(n35yXs8wbinY39o`{2q0ATW0Y8swXm_YQHI*lqn6-;f*2go00Osq-I0Wj>uIjj^p}7iI0_VsI zHLus^#_;a7n%moe`RIFhS?h3?DdNs9WutntXwK5|(xKzC=HmFym2tZn|rQ>GPJAuKO=JAy=Mq;NXp^?d$dDVkGBEayTPRFViWaS3uNwd z(FoIM4_l8MROo2S+YZI8*%P=GUlfjLHDvzD8h2t5DrOB`XnYh=iLA2+d zx#f9_8oc%#8-6N`FG1W|BcQlXW@A7=b_J4GijpQD(6{*^oQs(g9pk+Ri`S2p1cSz| zzEdt#EX~gO$8gyz)r^mhP)tS|(oYl}q_Wy=!QYZep4!$Pw)hp(>3XQz>GhiAi*sUr z^*a2SUqw+}G+tus1~asg`w!{;=u>5sXEM_>U;e(#aiwju7I~u2)v^oQf;qQzil&P8 zk3(*voTZ^-D~$g*y_GXJV8)WdyY09C-3fLU5^425vFo!i2){^JIS;gIeC7Ivw{Cl? zP+llgpUVh2_=P{=)XS=^Is=QAxSGzPz)ndz+2x!$LfJO_SKtvX48L3fA811Ae5)f( z8h#Vu7CHcH6_Qs_wXmaJt=0;rF@6fF0_(fPQtFqXF^A5PO@iwFtk`G!dx_Sm=aYJ# z?ne3vUrmUGfOxNv=urK+Z~ZD3FSIloivcE7pH8#m2Keh)bGeqSZlHVr z)Wj9i&a#5n&tVDIiba_L^8%>q{Wu9A;9m^{=J3;?Dj+vJt3gZLOwY-vEcbw~u=kr# zhdT53!d?b|u-bASI9SXU7lb6|p4NI8yYiyKV(W(n_LH;`1beV$5v3JPIjBO555kku z&nc$D@I&uzkd>Po6?QC{-dsr(%>SB7o4`MK@H;bF)~Wi86xJmi$>8~b>0n43V|lIPGi#YbnSiGtC&MwH837i7pj zRxgA@-@(+1obQ8x@kjr$DOXXyPe4gt>xTHB?8nVei+R6fUSH|;mw<;?ftGqb>`WE* zE3{ny6Tky6XDp#U>T4I5>jS3UW?!opglTeua=CmsGr<P&=`L;FUz zaH|Lv#o@1iGnt0mhfa};ObxCZ6j@~btY zioP<;lLD1=+NJ$Z*K|LR9D+UeVbsNG+MLLh1~wke6`sqk@h;;h?&H@kI7*-FMLnL! zH;7H?<&S$H0y1x|CS1G;12^p_OJwgwCnQVaGtUZ^hNqf&+z-HAUV9g4w&-D_!1`SS zt2+>Z$n-Wge|q!hfw0l{ga4jk=e4k`AycfxYC5Z98jf+Tb6*bqC=-jkmWxt^C;dS* zY6N(SJh-wG`JwSjt~B1CPa!CTbzC4f=KBfJ+<~LHe?%39A1wbV?H1h-aDKykG)7un z+ai;v`|s~?WymL0{qdtTqaKxyW}0k>?QEW2g7#KgRkrW>9|0xv(2(t2In~ZG!QyrWB6p;7|&9-F{%^bP%Zc z5!$`=o<+$?t0kpzp9v=CPmRdPpQphz6w10isRwuNd;+GaD~KYblrjp0&mvc^{3f`} zxQn9T`UZiz$pZHz%!iF${^baM{qEMy{2Bd<9%AQcZDZqX@MI2nfPTSYL+k98CDn@EK z@=1UlD_2_Ep|^$(*XMhm$FBk$6XNn=;|$YF5o*@P@s)6P{R(VEIGq&B!-P zB7_J$a4$uiaC+h=enN^V^Ohs5^I`7QV^R0?erUIc#9QN5zBd035Da$9&gZ``m0Fbwdya&J?y-+w73E`ERMTDf!Kygl)>VBRyL%54nxI+S6)LNbawtV z+=lm84J}xwd?O=1(U+m4@a}65WB9%payKMwYY5Rl+*O+}@3ObgS$=xu_CG|$#hgFK+yr6mRu8!Id=jFNIRngP$6{6>FR3x-F zXhhnCU+P(R^lr%)EzwO)B8n4TKI6Z|2roLT&hjkBeyVuNxu1c|<^rVoAOqPy>mNxZ z8%{cZEpmxtpBfbt=Rwt}X@>T%tZOK@e32X-G`%X^p0M#}frpRzzauWBX(&j&ao_va zi`Mkzh3$=mf6I2>~{>}gUgv8r)hL3%`$9e{{>zkP^~om zb=rj=-=mNL(gUA!eQTyb_iL6fy815n!r#{QiZiyke|pwR2YhQZE24S>rc92P(%q8J zz@tB0e_}77_*y09g#Nb?i_+ZV+vo|6Qu@PlYhhD&hPfw{Y3q-E|6bg#Ve)g||L}d- zqHYn2Iy&t^^C^IY@-?V*_H-!W)0lEx)KU|wbO}owr9dSAEJN3fuze;?XE8i7`1_`x zGX!z1P_wmi z^;n9}bo^XqJt6BZvSmUx-CCPxa%Dxmc@>T?eH2W0ZM;{iJpLP)51AF2ww;7`2vh6p z@?oLXv-1MA0NySn1BgSh-BbGS&&5c7ejE$49k{<*c7OTW>KXdQf=hbzdZOs1HM?56 z!FeUcu4#hpteYVL-*c}=omH%Ec2CUPM{Ejt=Gm`ppj7T&(<#GkSAE4D_9zHIx%Qg42(F@U@%X%m~!jW-=8k0FhbPZgHP(5s9zHcuYd1&D%xR(x_J1H#n~{_>25;CCDNTyLd_QZE<~kLfCH(&n$%$4CTt>uDvtX2F(DFwW zeUxM9Zu6!G#>+9#-@6n(K`HpI zpF~co5wNQiP9E}t%WewFM2jdI(bsOxa#>Y2!cW2P7gww zJ`7dWyH@kg$h($Y^$P&DLYMps#uy#n*aZ_^n80S!$w0;kMke(xMpy25-t(m-sLxo) zc{Y?G5xB4sp&1MNSsoEy*Y_HZ-v-mgPC?ncQh<2qF8Jr2(|bR7bNb{0@U-#;<8t|c z2-FAlA!EV`x(vt<*g}OXlz%{!6XE+nE}F+zD@oRu&xn7Nx)i|y zizvoH0T50K2%^B`g*32c^S$l}$rWpE6^*wG!~nXX^xUj37HOTscyRLrX@^EGWNKGM zz?>)LqVWX1Ijca!w^`(_z~Oe$WHHv*^5)l49v36`jG$!2Au>)Rgp=n;A*EY`F#hn{ zY5Q8NAAD)+42;1jsGSA?-uROX22lc=JKdul9bIq=~dUzH_szIk4=tG8M%7Y za$k)kM-l16&~{VyaAL5EO!v}~t^+dnA8b7d+@8oa#rLG1BzYl72Z1oti4?cE(%iw8 zJ@}gF8Dd4SE!Q4p5vQE!XLKEyr+nH~^+qdxM1Yu=5#Hi((QX!m^S@E^+qF8D;xCaK z;UBk&Gs(s*2Kb2DT-#;UnvT0tyADag5YslcWDuRsWF4lm5)L|KFX{@Po|+&z2D3xqfO zuO^Kt#T_ZB678X%T)OpN^yGimjdi>EX8=wVY5O(7-M(KdBKOc)({M`28nN~E=*2;# z9C~L+J1rb^GVOnV5u`MvGU%3?=@3m&?UQ8vXW1=*sb6`dCe1MBJc=CXu0X~|Bo<(8wn>~`ZsW4JS<3i-*fMQ#c;fj7D1{|NHxhtx2!dc{l0 zen#6ft^af7fN45Jb62cVN6KHqNY%~)QV$8t+ir?J8~x8Sdx~FR>7(q ziq3%*L8=#;TWGRg5fzn(|?K8LYc37&piL+tKG|>9C_In%daz=iqs~wD&`Xt1n%ar#40m$@tCppf_S)0?%s8j+$b@fy>#c&#$L9R7E7-u^aZbL)DlBEGT&rUJnH zJ0-SHrfH+_&6<5x(r&+&4i{K7&2b6Te`2lZlUDjH_SEvnSOL-KGnet38l}K-9Ur01 zW{%NfDg()CKrP5@fUTR&+O!qTI;j@cfQ%YNmOlXh;fex)`t)(>iR0b}MOS)Ndbg`{ zt-=l#jTCTVASX{~%le|~an{#wH{-r-ql}@ z2VRiOo}{)+W-82}Oy{y5tZOC#c8=hLuKNCk38c8!378vqGt``F6 zb7ZrcSsJ_&fwmblqZ>baxAGg(w@p*6HMZ0GneyDm*TAr^QsEJ;`N7<$9;MSChCqzs zApQpgxQ73b>%%#~L^SefA2bfZ8#OqdA$~_wrh+OcZtg4$k|H>Y?=o@@@er|YCvzo9 zH!LWF@tQ7POuApHkvJ!dc$xp;;96^jGHLWtz|;V5T=Lu#vDT*wM^fRqF&<5y(!0*C z%kEvfDvH*I8uT{n0YjCstT<3<@+A@%c#}@pE0tMTbWBh+>fZrHNq2!emiHRGaA10v zs?LPJ=big!0oX1m=(0XOu(I+?fSnwHeP6$V2TE%z;yo1s3Y~bpi@4H!s!y}RU#8Na zWeym-qc|X^A3(cB+A$`%fRqtZ;2$#@4o3Xyo2=oWt5(y9oOQZvW#~;R&!xUm_b;Fw zI_}@Uv>;H?qIOR0pxXdg$LL(LZxa8B$u;LvB~;A?GvfA+23a@F&PL{` z@!9s+{dNwR)$7w|duCn9m5FP6SYV01xer>th`#WOL?eoe>;G2XC$^lBsP=Lc4v8|R zT?3(1I*jOY@6mWPtlH;_3Oz13T&MsMQT^&Nq3!p3;7e}0EL^qe5ET%(dm16HgamjN zUst~;ub7pZEG|AQd{h9A+GoDvvC^D?ekp?Gkjlva1cTEE6f!mD(5RDO{yDd zz;c2f){V(jaAQn;0om0n;j|LSDV<;>4v|3kg3#rLN%H&-c!_%^FkfQc&?D=2cTH6n7)YH z5~d?ctKIh(;_1Uq#^H>jmu5F}MgkdD_7E?YZU2orV{mP-R+yg-U$>Gr5y<6s-J;+T z+_19g?9GnA^T5cnu!2BEir`*A@r)XtJw)_ocfnK7dyx1LmZy&>ciN>&F}=7#GwH(v z|9K0w%{N)<3xwz`e)I!-V~YI|MrgiZUh&!?5EeS;urKj_csN_V<;H!0vPK0eFwqtzaL+Hx-M$e_gQnn zpG6)|T>?Q9IehHyNpxlZXk*6BPsF}IKHl(nKEL66hU3G(1H{iL&7?Ft{(L&v&$TlT6Hcc00ewvqUldNi0em)Y1FE)7!`FZ|R|Fep^n z1u0=bM?RGfi0i8x9@xB@{NXb%-e7{4eb)=#BYh2~cj>@?gV1^PjG(Om1S=(sP0497# z@-7~c0KZBWfBrb7N^7NX*U;qGAb`l~GE3`B;vv}~Uw@rU6}8M$io{!dJUPak&g5>B zf8Kec~MQR?(JN%XoJp`KNoG2W;?{u(;`Nauu)b8@rL( zIXHupt*{>QhnggM-2U2M3HWh=Nz~nIhZ8DEp4oJsp3f7$%x6X2mcVSwZ|^2v*G+`E z8#;h9^FB+nke~&D%76G$q3H&QaRf3MEEsX!=#sIXLIF%lJs4!i6svzI+dl|DjZ!%E zbHIM$gr>m=FR&SVfW0id&xpN z4+?d^srCO#Q!9X%Ji;mtXLF;+fBjNRf1{Rhy_frX{JLF>jT%0Mcz^#O`F{>Kbq_c_ zZKC*tP^GW`8$dSYETM=+~v>O@MN7L9`*h z|13^7Ek?G;2N}`_7<=d4rZn@>?+OX4pD1MHR=N9Mu0v8cNAi2S)Nm;~MQBb=#`Lw! z<(!OJ-j6QXgV2J-m=&U3T1%hL%=(8-$IvCvPa{Fu(;x99iTXi#8U=+`w2b;7xHNZL ztv%_;fPu_H&(c~&wWHE*JZh*-h73H1*`0-#NtdG8D=^_M`G*b&gR z{hHeFT|A@~k&NaQqRVqV{658W3Js*}vUC&(n$vYsd`b>wpuhd4i5-kK&^y8tr_Jr8 zis8d_R*&Py(3!@*ws;OhhbvSsn}dyH%>BmPF}cEniW?k{I`X*|68UMkcCKBgvDCX| zJ;>vW^B!ZHu&jp*ox=(#FdAvFIib`NhSwNgT+wcKvA+;*uKM36M%H%0KDOBEO3|dg zmtU6)H;AA078svf9P}Y-+*7EEO)K??6y_)XD+_>a_b~LvzoS5vq}r)>{v@#(sC)rs zrvO{PXx!dp{CZzH;Z&G)ZSShUF1@q=G%4cQ|m#s=SYYbDzci`2Ms^%G;vO;e*fPUe?9{esw8MQMbK7IhSO zZzuOR^8a19lsQ_OK+IplAO6l=)dx;h*tX&kte(#PPfoc}2S&N#xkGlXejHB)S_k5p z+dvLLVad#F^wFaH+*PFXzPT=Jjw~M}LY!R_Q}vgbed59Icp=FYiA-D=0Z@@@%{w&# zGiSCS2xel-s7^g}0Qu=fko!_l*$B^=G)U;dg?p*k3PQ*F<%jq$);VGbXW+RxM4x67 z-aINv#r*U^l83ny&dv5^x}_0LD<+POI6RhY!;Azx`NMjUNoEyfzT)Jq?^diWC#L>VkT6LnVV_%(-<}rSCxNW(x^a+898}!i zM$2k{T?h8CFfWERZ@Owp;1za==&FvWw5($2W|4Slf9%cnF3qFezhCVfPB=LeKjt+P zRCI4-`T-w9+~+jZxX*^J_YA`Qde>Zt^7(wO39pPmz1`?t#?IjJKu-Bkp+G#^xj+DU zb4JNne7bYc$9d6LpLI=90Vvf}v1+m_9+qJR>)t}-;ya3yeL_9(`lkG9K8m>|*XHa~ zj(lEcQGkf=p+KM4fV(QQl(I673l>@Y0(x z0T$?R9gU}aj!#%$Sw};oQ}lH{=nULjc+Ye?_|^FOzSuBcw0_}`17RLH@PHJ)3dZ1+ z2>2FhFPZxKUW7J(3rNsU_?+k+w1n}SEN~N0*!r3l!YZcO4eV0k642Ezf5sao)*U3{ zQ?ikVKR!3T&j-_e&VF*0B;S<#J&QRna;q|vHT^*A?kxU9aq$$4z2TB8daZk>Y1Z4m zFjAt2Hyyv9@-MXC^mZfP;BtQRbN_L%uMaJ_u@yt|k<9K3`=AuL6omGO(Af2pFg_DI z2}DAP_H~5)XC@kaMpXEiAXPA}&`#={kWKL7WnI9rt-#WdtU)*eGW5kE|7a4-!}Zls zm~78R0*qz9rf(ue3tL@^HjhZcaLrwlw9W04wD5n;ZId8 z@iaCNH;(fWZM}EliCaw57}BCw>S|azOD|Bn;r`jPqfq~aS_N$3OF)BNl9e>!^@UiM zID%kX;BP=>b}SN}vuT*t1l{1fl^}p1Rh^wbbKh2Q{1>CC^{kULlSqBw8klbJqW85} z>s=k~F6U(PSRZW}F&e1N|Ejd9}K0@6Hk>KX-}ux%5>|o_?(1QSihX4~JAa+}LwMfw^!vqU~|oZ$dkr6r}m>nx!`L z&EZgLuzW~vMvN(BP`XS(q`DXb%0%kHV)T5fu3ipa(n`?wRaVb1z$q&0NuR*u#Awj9 zx)0VzhDbqMOpTUvo}jNY;B)w-K=a7N@ZQu2WrXjlkJC`XjiAwB5G=|&?OtEVd)dH$ zEQa`=`TZOcB5XJLb(OiU*WXP>_kN=5_c81te=7fdo;DcMXi0S&$ox?Ugn7kgPhlhz zQrv$Xd`yPI_-usVK~VKw+V~}$pa{qa26^xt(fsm*fM5)L1N;3$0@I%E?&tLH9#p$IRVM4>@(~*pV2ovGtiDX&@uee<|GAn)r$-Hr@ zNtO5HKn%zUb0*CQdkwInxmgVSbR@BE-EgY`SOgX>LKVRn^Iu4(2?@bHqzV!>osTuDJ z0|D~4tY&a=HZZhPq3?iejC3$D${hXlK9c~#PaUM(FvmMgB$teN*|h5Am+m>1uU+Ao zVPIJsd35oftDLkmI%X@(%bZkQZB@j(JcAFq$6$4|AIEZEJuq7Pr_bkEQY>`H!WYW; z=KvZOv51-EzP~{~^t%kN@d~H_K}xTl7SXPVrCA0B*S~WuiO2qgu*11lEu#ku2HCHe z*&Dk&yLi8uMvg43LFO?d`ki1Uv`pm zF~;|h;2W{pDw4w|xta$0`#vuvBjeo4i|sJ6n93~a`ON1#E27?Mb-d~N$*hkOg8tO~ zL4wfx_lCRM5(#UpjxyfK^0zpRZT{2?JOZV2OngEb{epIU9P#w0`u&lzS?^=b@MI{D z?()vQ@ZBJ5q4x>DO;4sD4Aa2q95FM^NLmD>ySm`djrNL-NgX{HGlSWP zYUfW5H-F88EDo+wii1A6i>CR|GgfD#++ho?Fzqiyo6L0*_PGs#@QPOFV4Aa2neWzm zbM|V;pu@OyU|L3dik61-iMvt9_ai^zLyIG`4+_%*&x}%W7WTs^-M&~Fv1;Z?G!2xt z5~U+wjj|teeu*ShE6NYMIi`^1oCq7qv=XSG^u@kVQNb zhsa*t)4U9;O3@zGCSi=EnnmiNDq{KuW&%>5dP@7y8653ySNhl4b`$B*BfH&AV3Ww; zcI)g&&H$@J1=|NaE$G zV}QP~Rv-w}*ZTg$7$gP+CBvw21crxg#+U3z^$)CW3s98hIWQMZQ427>$V`$5P|sF$v^L)WjrA zG8sorNyVg*FH^6iV=~B@)GRy(PatPgb8<0P$$8ZLYnTEuky=Q?6p@RmCD$>ff{vW6M33C^BF@U&r;{;n0fL7b#V!^OkSaW`HJ~Q{!abz6T=`gsjDo^8hM?% z@e8v_-lG2ggV`qkrLuQ0yX1e=y?x99`H;%t>ZvAyTOLU~V#%rTpoBs+Cn_m{L^Rla zqfp|7?blTN|H6V2^>(Lj%K`MH=*fIyv0*&uz=5T+i7(z8csq`t-RiXQb|GP3T8o7g zFV&M|atCwKsa7Y+vyk6E+0ceaqQ0ZJTP~{^4fT)In*ODsTqk$uL2*GLQL2IR(K{8i z#%lJsGk-XfamyI3F!}QAo!8q+};YXF~GE!o&=Tj zyXdym+QBs)-%P|9OrAO-9xo$jCO(_^!|T^?uxhk{?qg}txNyav&xoU$n!T(^51UAR z*L-mXfv0)$Z88Ukbjyh*LW|NjmhMhLSa;!rD%5}Mg|Fa(*8T`S#`ttDN3{L!x z=@5?YlB)>?k`_SN^W1w9|=9{apJn6=vm z6VQr8kR6)H<_#^%9s!CbthwylK!lJ51qnI%rssB4g=RqJTxs&Lmyd?Tq_7u~O3O{@R)K z?8WPG4gX8z*fOIAW$hkg?Z5bmWf5oI=l)LWe{;xccCACl^}B@>dUa*ms-NqAsy@bo z?q<6+xWoX;H|e|pUixfhX>W@MS5Vp`h5JrT_jv4Ef%*^POGt>7ej!)z%LC3C<7&w_ zX^8?Bf%mh{%9;b|!&dIE&P$oKo{&yDC7_U8aMJ8@zdEI~jPSm|N?-(!*l$ zG^^@>*tRU&3AVWcKJgeA%9DIIzRajSbz--hx8^>7 zkl+RbEq0RENffZa%PD|(mUssFof!Kc(rzp;4_?T8-f*)kLm8Ct$U4crgF-D%@gE>! zyPOa7hl{4F3x@pf8GRlHP=tc^T8lwPCpV3DjE!7(zZ3CO)#S{=Cy4->uS^k-+%0qW znQ)@y+lDZ)?d@)Xo}!AmbP=Gq7;oZkf1wP>nqJUR#O_rqkiBdE{dKA57LBmEISQ>F zd}M9&-GE9E%`p!WHrO4`-9xJV>d6p3X4zwLXzW@t!lFV8#&?!1jsRg3a>UoL`Q>re z6!8Z{9iMiU_lOi16KXD*3V~R67r=S;v}(BZuVn=F@pWlZ#4`?!YrJ9&=|Ir_XPVL` zQ&$ZZBjavj23Wc?h}(nFoT`a-FmU$kX&;^m!_wR6?~DW?YrJ?|s! zHHPYv0`PrD=|*Ov)rn#5*ZO*cH;&x|KPbB!f^3ZzRhl6M(m%%EoI9=t#NPy(DdM5h z@K;ualRTDIMr3;~@5b^@{e0CQ8uwSmH!j!YQt*3*;j7X}jE3ED4QHgCQtVMqw%dCF zP+PI`bQi#nKzI@Z16V00lq3>9ymn2OO$_Cqi{{@v$dJXs0#TrXJick~rs@5LQTOQ; z*S))p{DAy7xccsNg0}9%ZcgVre5B-uwlPR_;m}s1bdF9S%%Ez-W$Ie}aw)4l7pd!p ze*P(X`U>_3K_cRqFcQQQb=$EL6w=@M9e(55#PO{%gTHy==lCrVjYdG!#hm5KwGVX1 z9T6RDnZamjw?~}arr)(ikgB_kZ-*~SHF@=xp1y>r=+1h-2MC_B(LFn(kJrzR6a>_{ z!S~o1;&qm{!cHJAo)E>NcJqGNaenSB?gXKy79aCNz3REypIGC*C5LLx5DxVrlAjE` z%!WPi(r7=KxKbzIV@O9?wa~KPa}+YQI$a@(-v&kYvy^v+)NnX?R1|V(c7p4|ed${A zWwC2omom(Xl>34x;!5COC{y^^8X~sR2idQfIn}FS?Y(?yi?E~yuvG*FZf~d@E z1^#ADGt#2Rr9juq7qK}k?9p_crm4u?h8KB$UyrJFZveg^n&X!<3uNii5Ej zGrT$bI$XjKGHgL4;yS7e;JBaj0KaSY1p*K~|M|()=k}MlSlIX$Bw3Nd4>40n$wZ*4 zT+<=@UNF2S)ZzYWCiLMe`vjV25MtnX=yTLxe}y}bvhH%-fNAG67AA1ENA^?{1_ItA z!Lj=1zwF&MT6HnLCJKyDO%wv_hFl!rKheBXr(o+vf#2GprTmx0qfCB;3Tl99N1!68 z{*GVa>PPej=xf*CihTeo{ zt@|kfl*Y2ZXjT9I?gqw=uhpZWE@eqtGbE)?^qik**&5M!Gmm^!Ug_3DtenQJ=kC<} zOz@e^6%KQslT9lq=3cK|iwX)z{N0=a%TUf+OM3Q%(w~K3?)OmmtAUZ_Yu8g?Rx{JJ z_(#Ao!Sd2t|0^43VMKU;4yNDi#Ir27(Kj*CPIt@m>jYCzGrVdMln~Mlbn6k(6jKAm z*bVrU{MqMHkI;TF>RVLZc!u>|xw)jb1wxHk5Wtwy>R>a#h`$mrT6SsnS+-H{?nEFg zSAA9M8aGCLFvI3^D9)t(S;F_Z<0VVF_>T##Ha~5?NmO!cpOKqa)~r$LhhE{*+SMud z62v)=yM4F{o{#w2_N|5=zS>Lt%5ztq>C2tqgl$FaMS#zhK8-*a2U?5E61)qy&5m;a z^Zl;mbGWCxYr~%d#S*rKVjc6+=>JvU79Dxqzb+$nvj3Ase*Yp5*LhpLqBYZU91jjL z9RUqjHk^&6XvZC5*}t|-d$YjdJiCs9jv2uC|DY8n#BF;ir|LlKcUQ)N-jyo4I*)WW zez)5fUW=~SC<~!@JO9>0 zj+<@^C(q#;^&{pyNJ*jk+JL{dHAUuYp6jXq9PjZ`)c8MyOLkL)c6_#_!w;YOz=_5o z$8w51E`5J`-q1WYQ@->=u5+MtUtDsb=*oDsmNT}Z8@peXVZEc-_#|)vPfXd-4hfn? z++59jI@EnE_b&&WDj7dH@l}`0eI^mY+yQ3CIWfS}yn>*$P6*(ruG@z*#*0TG8GiRO zz1UI~I_wM;3WR-sXJ58L?aY@(ux7Cx1qde2r3qxe2~gLxt349M?#*;6@K#wm{;#?$ zI~x7*!H4y-43C?E5pgqkIm!$q(4Ece<2F($0LnO}LPerSD9H5xfi$geZmKPCm^!dc z+O2_G9a7zfIc8g+{F~qRfb6c)6?}5r~Vo<+y8am+Geu%G5Kjs zje}v}?od_f$oOA<=BI-vW$;7hq~hVM#|~6}>GspAi`hx0N0sq#kFTLs7V0`ocGqDi zxbW-x;e`t1+=EV^6Nu9h6Qb7)CgQWGhbLPjfxhRrFGNb8_dT+my_WBh5as=2@9Cys z$|LMDDz&)DM%TaWv1?Z7&q}RUW=StdSNC|urmTS2Ufo#b5xs~X5|b4vT=A%XdLN{J zAfm?i^hQlFUC{SqEXKTTK*Mj}fi1Zgu zI@%&U@N;B6y<0Z2Jt;oHjgqa!B}J2>PUqM(35$1MQ&qF3(&Gis-Lwkn+Wc8jsJXkL7jj&BveH&-WYxlqmY!+HFB zv8WRP(x{NG?DL?drR-*PaJKSVK*c&rNdNV7?1=5a`T9qJF&CCq`=2`@LK=@;I3nZ_ zr~a?u3A!_qc?u9LHA%UPo7Ul=w$af0zf_ePC>2Zj?G7dj5~U(K0{e^I$$Ay9Z?n5} zEF`Ux;0V0_SC-7jDDb!q^fTl@k&oK4=(Q+yd56D+R<=FIcj6`YvM1u(R~Gn~YpVkE zhClciO&01&g3nYudE@g0rYezLnu6W66)_8w2D!;rfJw0@R>c9miZPPsq^yFgCn8sK zW8@*e1D{rxJg$QEy9YV>DO~-6{M1Frx8jm%C_eFv(EZ)u+_+r?VB5~)z|M24lv>ZU zK%Zi}F+ktA2JM3CJ6rC;cAEg-c0GCRNwfW)8;Rf>Lhwf9;qjjQc zOG4J?*ZY5XKOV35>-BuS-d?Lzi!3&c7~ob5-VZKj&wpc$*CY#<#wj-I>S%;YuA7XOGkc1)KMAF!?HIkOS3E$8Y#CRqK!pRfHTADU0G&v5 z^TVdv8XKNOM-|Rw`3Wq2nemv1*CHYUW)z@N@FvR|xox@!rl4a_DHvR-!c{C83p-s268id{$(%#jXU;H5z zGo}?F$#EeP<;DR`FCt>J0e1}Aift74LlqRvdHMLZ1MXCpG^HuqDSjVYUcZK(pTOk=FMRC`{e^zMeJy`iFV~hi9&r1(5`(zjjw^siU}`cJy0HLnrJlo*BifcGfor9QEr6n3ZUM+Tq^NY|9w$KcCw3^Id&M z_&Bud7uBTqO`GsE-}hg;_;hY;`@=nF8|>e-5xIZb7fLs+$h++gXgfD06Rd%`PR7IlCgSVwv+k1+W{J-GxROcMO zCFs%={Q0VlzBfmbQDg8Z4?iy)a;YOD()KHaYN`NmOmwVQe!=V4HHWzmDc_s!C5vk{ zFAjS&FtO9#@u8D@U+KLd`#WBvfYqX2==b@mK`sw7cO&twu(WaOt>Vbe;s1yozaF7D zr#3I&YC4&yul4oqhKA*d`N3Ob+cgq*=f5L|XMT^&?;zY)IB>4edagU=r!{{*LNhj_ zsURx`x||N^4RKetbZB@s1a&9CHVHjj%U}X>)1mH&Y3*jhkM*m|i|Zv{CvBH6qT(oM z@Ni^75MepyR@ zUTt80;Ia0+UGEHBosD6tFCat5GsdY}p3A8>i_~{>w%!VyOYhT)%b}vVKRVfF<~l2% zF!yEt!#;6w*X**CCDd~ziTaM&ez}b7y#SG9*bpGF@ujDCUXvnF0&UBoms6r0M$f;{GD)N ze?q2H>(dQuuCj7&{#rQ^u||cLCq;Y(@6o1M$<`Jdi4*MKwzV6qG>Gj>*yZvYrUkWr zgjkj`*_kig_*|F`ylj=X{k!%`VPDjzj^sHq7k}eh6Mv7KVMc)1f!PiAu!#y_(7@0R z;Ad!fclF43DVkULyD8@TQ=$Cc?_bm`aKSAa@_<{YmD&>VurNj`pvN;>f-}~&=ycGy zBV9yHEbF@W@~U*{)ZufY>NXl+$z{2t!4d^+ zgBw!p4LWWf@TVfZUvL#>l1cX_ZM2leS!W^$#J+a14<>Gj)gYVNXX2gM%I*yKPT}%( zN=}@DdG)t`JZOPtNUwe6W7Qvs#_I~$-|abQ4xudLRg^^+j%g_9XdB$_>fGrJw(-;fUi7^SyZm|&- zhFIUY6Bv2we{ZR|>z6f!>_f(O(uVD>Yf4CT28-HMaAJ0S-{ne<2l`(iN66aTk+{GK z4n;<_u0xJE*9WQGnZp~g`>0Wy=ctjTiZbGE$e~FTI)fx&h6qOMiR~$NRi2q)+x8Eg zIFegcf&NmK#%-J5@&(QU_Yc^HbdZo*E~y>5#FTk;oqEFT8e)#nB89TsXOFVo*}h+D zHRfW7pivdnb1*s0bO@Eo{aT~>&lU^9*lw`pI8&O&Xa4LskyGcJSm#^p|sf|xK{?~)&*(Pp)CZffHUIOQVkoC+a_YcM9^YVf` zmIc&#Nm72?7ou?F9#Bh{Of8n3S2oln%%?F!i;HYSEsdOb)<1>5rSQxm*D~k67q8zA z^tW4Qb%`DHLi(|tVzmp3>HWu;vsa7EzYX^wW1NC#3~bmgOTMPBFf#q|>mtmq8&_Xm zGy->FjP$GcWjnCm>b>Q9*%-d;;Rk5EJM}6|bqb^h!FfMQ%JhhM%VvI}9ThLxmI-}Z zHKw@Voj-i~9JBdv++E_scYZNNo86HA`Z_mhu2-D{j&P&b`N$)Yv^_q+Rk+}UnKK2x z;XDOfMY*6pJ7Y^fX71|?9A~DXQK7$(Kq8OTi-tgy;X5aIS%tgfVcP=8)#Y9*Y8TNY z1%i)g)#r3A*(_wQ{$yHieG{{Dn_r<_zhavsm2joB$XI+k!}=_li*#G0_2lrGCHMKj z$ohqf&HomsHeeU*cTBAXsrVabmQ#t2qPPsW0}?9-8EJy`GFHz@qOQ(Gcs{Y93bT%` z6=BT3eJk8*=!2tr6a{}|JmH2$c@%_TGiWe7*Rc?ItAjfXj zcR>*T_(y!;fyN$!_30q_*o=v+v+FoDvm&bD`M%FD+IK3v)pCj~JOrciLUZPF{CK;e z?klY7)0WS^(RZPwBfBRu=Wsc+M%jNG9agC!rXP$`19wc{gDL)%=d5g0EVZWnT#Tyj zU~CT{OUQ^n>vD|Gn$z1`Ln0@*_<;V|N3e|b$i$8*?Jc!vjxdC~FDjR-gAAydBek5YnU9Piyi!=>iQynF`@ zk0ojn8CveJ26MU7cc5Ey09C~44xP~hJ+i4;D5cP)$z5;v!q9isYfx>Ur&S%1zFz}7 zJe7#lrBEfBU}X56x=N@A&lImA&z7$ysIRLBZ_*tkrk|d?qB^8+Sf`i@6Z{ke$j@M+WfP7se+q2I3FMFG?HPkJ zWVG>465M!qJ3%#HSTHR|kw>6#N@Tv~qAIikO3mv8rOcqgkN?+z6V`j}9OLCUTCc%z|un&rlMfqHSaHpoBJrzJn~zn9YFazNc+| zGaO<;_DA2*=Mfb`+V?o!-L9U6mYB<&iH@mHE!QjkompGyKaY~r*J2H~X6gHV=2$Qd z8*4s1HM~DDJb8-!>jh8h%KUKg(^D9#D|L8ll0E_E`7cp~NEtmq!%;ZbvLiI#xcfu+ zey!OXX-TFI5ZGcI1!(fJMM6b0BUakJ!oT1yt3WSwPb|Rj(HNQJpfQ7XhebFWJ|S@% zE^eTpR1Z0-;okiqMQwyF;XNAr1LbnO3p;Xhe`1ThHQh3!?!#@g>7|s(p{^)S3wiA* zP6&0o*uI4+f7Z0UQEJfd>WmLq291T)q4B0UxuXd0p>E!)#7970OOA~tD>ARJluxcb zC4Z=J!bZOg(p~i?+(!R}L`U;k0a?Dsk_Z4FxHnZ6p7Tl=CSjH^%0--|-ktDbL)AJ~ z1`|-J%S97KST|w26FEWD8TkHO+&^wKlE+gBTa`YQw(UTsg^P(N|G{@UuN>lzvG~P> zKALm(lJ~jXT*0vYoS~ewy#i~HSXV%?*c$9m_mTQt@vV|STbc)!QSxxC$y=Bon_(tr zn>)!~#Y}pt)q4~5q3mzK#pByzb(37e9jAMQOprO^=^t<5F1({+&yfn)FCXhn=L{Zs zG|$DpeMw!CXsRig!X?}NlVA7R7wi0wUl=)m^8$IF;w)!4q{%b|1*PZWGH(R$(r^0h=MCE~{lNB=ny8Ty3Eus4ERoPN^Q>aq4*ZJy-q#r2ssF@9Up;u1RxRLmhO|1oCxW9f&fCO{&V=CG49 zUO1z?3gOH3tW;V;X>XoRValJdw;E=%aPq*H*X|7gp@oJyuYcND?sXNsToOi=EhX9R zhjNRh|9IrWr#TbJ20G|H{{Jhip6oR36wKxcLpL_(A?$8&J#*Iflie%(ds(|~2vdrj zBr6$HgVU)eRB1hA(Zn$_hFinF5?dMd9Bv-CTy}E*x8fFJOhW|zAQX!t*P)cV6DKi2 z?9ZD0c|*hwNPPm*W;!Pd^ugtgWw(zei(&`PSKFzl4j*ovJwCa`pf67XgD4Rxdwd%6 z5Kz>KmHr=ZEr9bn9IfV&+H<1)sg?0$5|xYpaLUYyt>0G|(7YSpht>86294jvi)*bL zGm>_3YTbDZKA%H`a4S^uRPp6^1`H21VHmgyn-Uy|=Wnr5{gZS9PFbUGyCpj&6U0rY zFd}yE{;bAxV7!&M^Rc|JZo%E*gkS7gR1fLUyN$fwBO@_^gTSP{r;x4>;zq&*^F*H-x0D+1HW+|=L^xeg?LYHglgI}!j60ST~Iv;w=T-0s?syWGGaR*}cT z!hSJ|=T|Q>N);L(&czq~T8ytA%yii2$RB8w?_R1jKW>6J6u{p>qRXYb#}?C9Qp{&x zUnro$=o>!|jAoA`uOqDWH2m5GY=`nkzUet8g+)P!TxBLmq<>Di+0cQLQ6FVkN8MD# zV`l5HDY8vPEeW2diFwXrp?TO3Uj|>%KKTm|NedGIUz)|aa(#=|w$8OXHCH}r{Pp`^qID$!P)~vIbWvIujj-zn{3*tK#YuKY>qP+g2$uyGSYQ68t$= zq!z8OTz&d!<{cqZV-tM%v9>W4h($vX-sj?1l|$?d_aWY5T@M**QBbCPXe`ljUIEz*!TvLA{}j% zc;vfLcw8h(W+7($@e|f(3acL4S1an1Y$$^E+eeFQ2#lNdmhTDFD{E2Zsk^HmcqQ1tcLadaT+sQEITqE1H?$qZ+2Fy&-3VXSfyB~ zI@g#s9w=!KTAeyrqzypWZRK?~&LOIW42% z0a!ICKMx>wS*e}0X~|FjYgCGcPHR0a`W5t!>O4CTaJR^tWIN$gv9>=;3p@DoZ10Jl zbzzP2&UU7F_NMW(i?#>PuX#jDJZJvlDa-2r(soa6AG2ycQH6&kCg;;6Axwv2?fy}E>fW=U+ z7=dwtQK5;6q0z_AG@_2$=@XLr0TTb=7X&~{S#|n?ig8GQ3hE4jq2r40*THYf$PfUC zSs+P4`|w1FukH&;eZelJlbqn#s4^!_so;pSy*Pr1T1Z*|k6A)uV0_}GG$)*zy+}%M zU}C6@%bX@g`M4anv>36oN!3v(nc7k0MSLegFSVtVKlA;c?{S)rVj{k@!#NGZE{sVp zAd;DJCwA8$!o58cF%NR#YK-J;+f^vP^cE_WJt}h)Cp;zYDt1qq7e6+bqTw{Bd<~e~ zhF^gClW0#B)z+BchVI;{*BijQ-jyXoQc4Zb84!)+QXhFt*?0rta zg|;W1T)gwSc`O@Nusb)Er!UL+!-J71sRQ=+H1jSe=RmY*YxLbEDe}6%DsOWSjJD>3 zpYXc7_h=y3obrya1UL1~j9>MD5BW)5>hKToSG(eTwM4F?37IcM(NAO^z(_Ymg3`Z$ zKPfIvf&j&dIp6pKPDPfj4N@U%wcne&{ykN>U}>SX{A)$4wbbV6&oHk89-CfMDS#qm zS~_zNpR>;8AzYU|y;-(6hFBH+8NXo;(Q8uu!F`j)k!yOQy&1H1gExPOQ$S%BVi2m% z^ajgH;A9TOWWz^6;)ANyJqja2(nGwcm-gwU$VI?PsY;XjE5k#>0?=q3Zt$3dRm;>TXi3pM5YZE{75cUq*5A%HbN?!pGKZXGZVMlzaq+PQre{^SwWvPayk#AQ)zB z(ncMv?$mWr??x| z!?nF$=JJ=}2%{5q1BHIC7gxq0$p`)?D#}I0g%Wkz$>SLJIE&I#6A9-9w#1=Jfw=#+ z4)JeCiFudj+!ft8^*U!=4`Zj-e8{-hplhXID@T0$j4VL+1bx<5+S%koWyKy7#H_k^CWC$X<2n4>+|H_JRzfx>PP!yz6h=wk7P4m zuS$WE=)}LZM^>9VU}5g%H`Dn|UnWBWu1*8u!^3l{_JI0s5kkMOBH_#K-wrsCZ5%PY zEni9{fyp*mAVX*!T`Ox11z&nv9G+GmW1LDrJG#1TWcSoUKTz*CynQ%VbY5R>Ox{&U zJ0VgWTP3!hk&p}~mDO`YX8+u(gO1K`NOX;ETcH?89^R!E9MNI_=s;3-@0;PH5}VWT zt$ADTZ!lYT7r$>F%b`;cwJ2?Sd|VP>LuDIG%>NUMh=sCc(ti~0@x`&S)uxn1*lKXD z<$T4}JJ(>@e%#OmK|ac2HhXF_A7(8P)SU-=8QsfW!|R`0>IZLI1{Z%UZLeD9W@Y#X z6)u6fuNYjU&ehG_?rH~(MqDi~1@pW5uh6y>tZg;>I^HsWY$SlUW{ykbgSrT{IKE7l z;d7B24n%}HOTO9q*aEjEcx^CeDTsmx^AO|bLc`+Vu;BRZ-0n(Vzzjb+R~K&@;Ox?{ z3XIyk*%;Rxe+9|>x(pjxsO!2VtB@UW?U5gSG1}DAn^2DEC;(O@UVH|DE2OIc{QC1?(I ztjsCY{N!Tp18g=bAwInZBsJ0re0i}*MVP@#kKa0O_N#gNlLmS|{DW*JRQ6O>8gthjhrD;Dd@LjP2WZV%V5~W zQgS{_(&MX4@Ip9w0jW4|8L}i(ym=q_HF;sK8uc(ARg`* zYr#m%`IJ|X>C`Vub+@T1<^n|%AAf^<1`UEuA;Cg94S#ucW-w8G)I-^&HlFtoYks%P`F(y^fD_%!lHxKbqYM=ml|zv;t+r3yh=Rg&7X7Wo@H0h zX{Dc2u$e|y2xdl|i4ib2oi0wfok;n|?EB%*d z6R$H_dH)HAeiiA}V&28cozII`J9>d51;(Ubkicw|E!jk`!d=ZXWaj-yt@0>llH547=`Cjh}GvZcO*wFg4_FJ1&mm`*3?{( zHzXY-mG9b*NF7=P3r{?B$Rc(9epr6=p|r+lZojf!2mMn=-99YJ7kR2* z!>u6=4A9hkVL)n;$pa>_y}9TC-yqEl>9@bapLjjN*X^gp<*NXXvq#Ob(;@JrN0e|K z+9p0r`D_)DUAR&cRr)Deq-o0wq3R*5r$MsyEX0DesHcG>p6q=Q+730zpdD^Tp~8Am zpqXv@0RW3{PS8@q*W8tLJL^UwnXv2b`Z!ArqJ%-c=qp3a1Dm!Qa}k%F z@?Y2+bko65$8&#^M>~F120X5iK|&MVG)C;K*~5*YrOihT*{YZGg-qydDv7(W$?u4} zEFT&H(jsBuU-;a19LL7_(G88^F%*EFl0zG+kfi~wFbc~NO_v%7{rC+H2^HID=(zlj zrau(>w!O^R8mWpd5YBu}0_+?!SzjpIMB`Hn6rxiybW#XfA9y*+v(bY&aygqg?&@31 zB&m#lJIii;tmPbGiId* zYGHfn-4H$YGii0V`qz{C<2i$D-I6c}@85y82>nS18Uh&0IqLm9@WaDCEW-o|iIi3WYdqVD}J=^bNAY!8p|3?n|s`0H4LFXX}z z%&>ns)erM?c=%so1>swnG#CDl{Mub_W?4ssP&J&VL{vhD;>=3ikV}?Cq2rn;$()$R zprU&KHb;_9wd}ne^;^F( zAtUe#6HOGxeL~u2@$zzUCtuyO{a0Tw`4UP?3h=pv0nDS$1QIY8mG?wKx_vBpjI6mg zDME4@7#ArY3+XHHPS4O(xn7%PhN#V1Dp;)RR&`^z8=^c)pqxW-82Q6)(Z3h)yNr1k zF3*x&u@5zvKHooR@=@ZDg-(*T4aAs}Hj|{}o^eM!b@AT{+^ruW_}~r@>u5K^{?b2$ zwsh(25HU?k5hp((ZPy8G(z_r+@l(c0REgiebBt@q@vCvNs`c)7OFi-2vQMd(c0`2q zxKV@Ae;Xyio4$6}+3D%AMtpSz{)*k`Yomwk(PF82-bJ>VqQrcPh;xWQX75f;i^r*z zlo_F(+vwf5sj_O=s|u0;tlaYF+h8-nw)pKkzqxNM2OqC=f0y~}%oD%)42M$Z*~faP ztaf>1ri-&B1+2x-jGIdK<8jF0R{aHL_ZKm4eVoRw3XjhN6}~uAAs3vXu*-fmV~Gbs zsTP1}2mH!N#xG&cJs;mpk{bJT=qKb~8V9A_N@MuyjPTh}jG;#Ahu?Cjny4mYh;6GS z^i~IF&IbXu2H~uOX3BLCa|1y|4nFCeCH|)!+BS13XMG<_dmWa0M$04VtrZKUb9`Yh z`gP6k`JSVlNhk3KpC`Qx(tp#XC*4g+dPzsYlJ}0C0tG~!NoRby{7;+FQ;U3&XHtTF z?;5K;I(Nv`x5KE<>U%WRz9^Xwuv7`z{JDvW*LFg7ztaR8}?YGgHt?C z>8saoX_Zr)hGDQo9L6yKB}T}UB1;gnBpD*GlSlNle~Oalbf~98A}#4tFxd7>n0$gE z?%W3K)Tf&p`z)V>8`IApl0=xutG~y;T%-oH8amCLKYmui^A$ws=Eo*6k6{g^^3_j) z&H?ajbL39t)}YW(?v4Xnhk@Aq3$xsRE42WD_>LjFvQe#4|5ic^uF9C(WHfXabpG;J zDr;{0nAW!Ipu&6senM~+% z;P5whH3-~XKr9ornK?i?67m+|(mQO{ri7sP!N-LLy3v2oGGaBG#_%`#e~A#~oaC6^ zis%cXKaFpkjQ@Z%@ZURC)p8$FS!I3ar`%Jmv#IIJqExulM`K(;N$0|@>J(cT2)AU+ zC56esO$4fd$f;|phazwB@@gYG>Q=Fj?H<$ z3Yp+mT^PsUNDji5Y3D%BGk4xEpm^lH3pxhdfVQ`1Em ze?Puh4f#f|zNK~DO9`*=fw?T-&t>@Zv8~DKSp+hp!Y@DClB3~!xsb_KVI=0OxO6Jf z2z}V*DWP9!yaVMg=2?{C)vez#p56SW3Hr#->Bo~%-dPgFOlGsN zD?otF{9t+^kFqI{?EIwMHnNjfLCVD#iLKW0`c6yat?89EkOp;b)r+W zD@gY3b}xF^!|$?%m`3j<&C}X<~m7{dM0iCcWN_EQ&{! z1{}9{U84FtHWuBvr*~VdGy?Q_gK{1|y8h+mpcK&h;;V{^?T%IVyqyo%Gku|9^Q}6R zF;xCFS;Obl$(@P9E-F!mMe!*j#!oU7oz;mUNIuPO@1hPOpT&6Nv{>+tWkY%|vU^69 z^TZYVQc}hJw2Dmdwo_=)<;>-yq#{Lppm4T^&?Ps)5YZtC$(Fa^o{v7V`}zFt>ll`PGWiWJz)}c z5FI)08dSN~_u(o$5n%fR?Vk;WTEkpPKCr2Lzd)krF^uqe1nWOX8`IWBK5f6e7tzdm z{)R^_@ffFRZFHefhHEjZN8DrfM{kS7(|igD@nxrS_GtWo`S_NV_9RV zL7~TQcC0L(PR96D&6G2vgXG#D&cWfO@(-`-1hjNm0z*R1uEky2-rar2Wz1@GX6XK9 z;`_2!UI@{v!DY(2=dk3y^9_8J*Ymwc8#eKmm6=Z4m?ksi(I>ae-<^(nXgh}RJHg#O zwl!~Zb=*$6li}|=?;fY6T%X$H>fu4v*$0wau@x8QwiZPT0LOL@a|sZ|FL=QH7zyXh zCnP;XiMlyGSFJnId`q_%wsv>^EwaLR_|KXNn2SlN9HboZ;$yceMBrCJZZlIdN! zrQnO@RNGxICaPVaG{l$uK&{$1=w?Q@ygwophzQXLpvzi#t4#nvAlXRy74-~J^rwk1 z(Zxck(k$KGOtkk$xqVilHx^@pumXwh!sgmJxKSz9&qIp9J8rM{9W)}!lhmRV zR_NKZNH^h8AH4@$AY@8X)Y3Mf3ux^1^%#MfTP3VHoi}J9)3;`c2Yqi`4Z^63rTkptKkMhqw$J~& zql#Z}Md_zbxjp2UcJ`0Mwg$iJ5g?G3>L&xI~I z`bna*rByc4-KpWp{JCwX%RcZCNrTh2lxlzeockOw?n&?6ThPksil95#w^v+%o69C` zM0@-%W~vL}eEIMuF-u3;HUYO!PH=>1_vMn*M=-?N`;hYD%v%kSXs8z)Sb;J9USMJE zaZJ}2;F%C5ip~IEaxbb*a+AsLQY0*b7L!~4>nuzy6kgW&?ra`j#|ML1`iLBYTmy6f z>rh+e#0vSevb%3df$sc{ZvsS|;vXW4t|?vYC{8{=!WZ>>_Yeje)@drwxm7 zN>nd7djeM4_$DBh4fr2|ya0geO&S&UzGD~Qppe_|cn?LvGp%ZQ(@J@HaA+7T7KOUQ z3jiTn_7s|^2ri)BZtx`<7HAfDO6>(y@IDlP_VZta0Wdk0OYmC&f(E!hOfn*vg(e4w z=El+O+X%|q+A4h6*IQ+wCxHLKs8j)Dy(8iqEGGsJ9M@7ja(GV~|-n0~IPUq?`LYz0hi!&p*LhGs+za=&X|9G z1bzY?q?5Zw&B3{R7Hz<;xfranD4h9wZs$#<67iWk9M8HP|#A?}V@ScrS<*3{U{HbLjFQ@xbC49-D;(9D*jC*|CERbGWi!AQVMSk W)b2&n0Z2y%1o(F#gks&q`u_ugLIG<4 diff --git a/pcxtest.map b/pcxtest.map index 3c7156b5..c9a3ea78 100755 --- a/pcxtest.map +++ b/pcxtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: pcxtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0b57:0000 0000ecd0 +DGROUP 0b5a:0000 0000ecd0 @@ -25,29 +25,29 @@ Segment Class Group Address Size ======= ===== ===== ======= ==== pcxtest_TEXT CODE AUTO 0000:0000 0000024c -_TEXT CODE AUTO 0000:0250 00008567 -modex16_TEXT CODE AUTO 0000:87c0 00002406 -bitmap_TEXT CODE AUTO 0000:abd0 00000605 -planar_TEXT CODE AUTO 0000:b1e0 00000275 -16text_TEXT CODE AUTO 0000:b460 0000010d -FAR_DATA FAR_DATA AUTO 0b56:000d 00000000 -_NULL BEGDATA DGROUP 0b57:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0b59:0000 00000002 -CONST DATA DGROUP 0b59:0002 00000076 -CONST2 DATA DGROUP 0b60:0008 00000132 -_DATA DATA DGROUP 0b74:0000 00000326 -XIB DATA DGROUP 0ba6:0006 00000000 -XI DATA DGROUP 0ba6:0006 0000002a -XIE DATA DGROUP 0ba9:0000 00000000 -YIB DATA DGROUP 0ba9:0000 00000000 -YI DATA DGROUP 0ba9:0000 0000000c -YIE DATA DGROUP 0ba9:000c 00000000 -STRINGS DATA DGROUP 0ba9:000c 00000000 -DATA DATA DGROUP 0ba9:000c 00000000 -_emu_init_start EMU DGROUP 0ba9:000c 00000000 -_emu_init_end EMU DGROUP 0ba9:000c 00000000 -_BSS BSS DGROUP 0baa:0000 00000ce0 -STACK STACK DGROUP 0c78:0000 0000dac0 +_TEXT CODE AUTO 0000:0250 00008591 +modex16_TEXT CODE AUTO 0000:87f0 00002406 +bitmap_TEXT CODE AUTO 0000:ac00 00000605 +planar_TEXT CODE AUTO 0000:b210 00000275 +16text_TEXT CODE AUTO 0000:b490 0000010d +FAR_DATA FAR_DATA AUTO 0b59:000d 00000000 +_NULL BEGDATA DGROUP 0b5a:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0b5c:0000 00000002 +CONST DATA DGROUP 0b5c:0002 00000076 +CONST2 DATA DGROUP 0b63:0008 00000132 +_DATA DATA DGROUP 0b77:0000 00000326 +XIB DATA DGROUP 0ba9:0006 00000000 +XI DATA DGROUP 0ba9:0006 0000002a +XIE DATA DGROUP 0bac:0000 00000000 +YIB DATA DGROUP 0bac:0000 00000000 +YI DATA DGROUP 0bac:0000 0000000c +YIE DATA DGROUP 0bac:000c 00000000 +STRINGS DATA DGROUP 0bac:000c 00000000 +DATA DATA DGROUP 0bac:000c 00000000 +_emu_init_start EMU DGROUP 0bac:000c 00000000 +_emu_init_end EMU DGROUP 0bac:000c 00000000 +_BSS BSS DGROUP 0bad:0000 00000ce0 +STACK STACK DGROUP 0c7b:0000 0000dac0 +----------------+ @@ -62,106 +62,106 @@ Address Symbol Module: pcxtest.o(/dos/z/16/src/pcxtest.c) 0000:0098 main_ -0b57:0530+ _gvar +0b5a:0530+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0255 __STK 0000:0275* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:89b4 VGAmodeX_ -0000:8a8a+ modex__320x240_256__Enter_ -0000:8aea+ modex__256x192_256__Enter_ -0000:8b4a* modexLeave_ -0000:8b62+ modexsetBaseXMode_ -0000:8ba0 modexDefaultPage_ -0000:8c06* modexNextPage_ -0000:8cd6* modexNextPageFlexibleSize_ -0000:8daa* modexShowPage_ -0000:8ea6* modexPanPage_ -0000:8ef6* modexSelectPlane_ -0000:8f1a modexClearRegion_ -0000:9032 oldDrawBmp_ -0000:9198* CDrawBmp_ -0000:92fc modexDrawBmp_ -0000:9362+ modexDrawBmpRegion_ -0000:94cc* modex_sparky4_DrawBmpRegion_ -0000:9636* modexDrawPlanarBuf_ -0000:9654 modexDrawSprite_ -0000:96ba+ modexDrawSpriteRegion_ -0000:9832 modexCopyPageRegion_ -0000:999c* modexFadeOn_ -0000:99cc* modexFadeOff_ -0000:99fa* modexFlashOn_ -0000:9a28* modexFlashOff_ -0000:9af8+ modexPalSave_ -0000:9b4e modexNewPal_ -0000:9b9e* modexLoadPalFile_ -0000:9c80* modexSavePalFile_ -0000:9cf8* modexPalBlack_ -0000:9d22* modexPalWhite_ -0000:9d4c+ modexPalUpdate_ -0000:a2bc modexPalUpdate1_ -0000:a336* modexPalUpdate0_ -0000:a382+ chkcolor_ -0000:a69a+ modexputPixel_ -0000:a728* modexgetPixel_ -0000:a7ae* modexhlin_ -0000:a81a* modexprint_ -0000:a9b4* modexprintbig_ -0000:ab30* cls_ -0000:ab9e+ modexWaitBorder_ -0b57:01e4 _VGA +0000:89e4 VGAmodeX_ +0000:8aba+ modex__320x240_256__Enter_ +0000:8b1a+ modex__256x192_256__Enter_ +0000:8b7a* modexLeave_ +0000:8b92+ modexsetBaseXMode_ +0000:8bd0 modexDefaultPage_ +0000:8c36* modexNextPage_ +0000:8d06* modexNextPageFlexibleSize_ +0000:8dda* modexShowPage_ +0000:8ed6* modexPanPage_ +0000:8f26* modexSelectPlane_ +0000:8f4a modexClearRegion_ +0000:9062 oldDrawBmp_ +0000:91c8* CDrawBmp_ +0000:932c modexDrawBmp_ +0000:9392+ modexDrawBmpRegion_ +0000:94fc* modex_sparky4_DrawBmpRegion_ +0000:9666* modexDrawPlanarBuf_ +0000:9684 modexDrawSprite_ +0000:96ea+ modexDrawSpriteRegion_ +0000:9862 modexCopyPageRegion_ +0000:99cc* modexFadeOn_ +0000:99fc* modexFadeOff_ +0000:9a2a* modexFlashOn_ +0000:9a58* modexFlashOff_ +0000:9b28+ modexPalSave_ +0000:9b7e modexNewPal_ +0000:9bce* modexLoadPalFile_ +0000:9cb0* modexSavePalFile_ +0000:9d28* modexPalBlack_ +0000:9d52* modexPalWhite_ +0000:9d7c+ modexPalUpdate_ +0000:a2ec modexPalUpdate1_ +0000:a366* modexPalUpdate0_ +0000:a3b2+ chkcolor_ +0000:a6ca+ modexputPixel_ +0000:a758* modexgetPixel_ +0000:a7de* modexhlin_ +0000:a84a* modexprint_ +0000:a9e4* modexprintbig_ +0000:ab60* cls_ +0000:abce+ modexWaitBorder_ +0b5a:01e4 _VGA Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:af0e bitmapLoadPcx_ -0000:b06a* bitmapLoadPcxTiles_ +0000:af3e bitmapLoadPcx_ +0000:b09a* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0000:b1e0 planar_buf_from_bitmap_ -0000:b300+ planar_buf_alloc_ -0000:b3fa* planar_buf_free_ +0000:b210 planar_buf_from_bitmap_ +0000:b330+ planar_buf_alloc_ +0000:b42a* planar_buf_free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 0000:0292 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:02a9 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0b57:0000* __nullarea -0b57:0214* __ovlflag -0b57:0215* __intno -0b57:0216* __ovlvec +0b5a:0000* __nullarea +0b5a:0214* __ovlflag +0b5a:0215* __intno +0b5a:0216* __ovlvec 0000:02d8 _cstart_ 0000:03ab* _Not_Enough_Memory_ 0000:04dd __exit_ 0000:04fc __do_exit_with_msg__ 0000:0559 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -0b57:021a _fltused_ +0b5a:021a _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:0564 _big_code_ 0000:0564* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0b57:021c __8087 -0b57:021d __real87 -0b57:021e __dos87emucall -0b57:0220 __dos87real +0b5a:021c __8087 +0b5a:021d __real87 +0b5a:021e __dos87emucall +0b5a:0220 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:0564* __exit_with_msg_ 0000:0569 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0b57:0222 __curbrk -0b57:022a __STACKLOW -0b57:022c __STACKTOP -0b57:022e __cbyte -0b57:0230 __child -0b57:0232 __no87 -0b57:023f ___FPE_handler -0b57:0224 __psp -0b57:0233 __get_ovl_stack -0b57:0237 __restore_ovl_stack -0b57:023b __close_ovl_file -0b57:0243 __LpCmdLine -0b57:0247 __LpPgmName -0b57:0226 __osmajor -0b57:0227 __osminor -0b57:0228 __osmode -0b57:0229 __HShift +0b5a:0222 __curbrk +0b5a:022a __STACKLOW +0b5a:022c __STACKTOP +0b5a:022e __cbyte +0b5a:0230 __child +0b5a:0232 __no87 +0b5a:023f ___FPE_handler +0b5a:0224 __psp +0b5a:0233 __get_ovl_stack +0b5a:0237 __restore_ovl_stack +0b5a:023b __close_ovl_file +0b5a:0243 __LpCmdLine +0b5a:0247 __LpPgmName +0b5a:0226 __osmajor +0b5a:0227 __osminor +0b5a:0228 __osmode +0b5a:0229 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:0588+ int86x_ 0000:070b int86_ @@ -171,16 +171,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:0756 _fmalloc_ 0000:0756 malloc_ -0b57:024c+ ___fheap -0b57:024e ___fheapRover -0b57:0250 ___LargestSizeB4Rover +0b5a:024c+ ___fheap +0b5a:024e ___fheapRover +0b5a:0250 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:08cb+ _null_exit_rtn_ 0000:08cb+ __null_int23_exit_ 0000:08cc exit_ 0000:08ed+ _exit_ -0b57:0252+ ___int23_exit -0b57:0256 ___FPE_handler_exit +0b5a:0252+ ___int23_exit +0b5a:0256 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:0909+ __open_flags_ 0000:0bca+ _fsopen_ @@ -193,7 +193,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:1174 __doclose_ 0000:12be __shutdown_stream_ 0000:12d8 fclose_ -0b57:0ed8+ ___RmTmpFileFn +0b5a:0ed8+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:1343 _ffree_ 0000:1343 free_ @@ -206,16 +206,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:178e __I4M 0000:178e __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:b4d6* textInit_ -0b57:0edc _romFonts +0000:b506* textInit_ +0b5a:0edc _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:17a6 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:1c3b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0b57:025e ___iob -0b57:0ef4 ___ClosedStreams -0b57:0ef8 ___OpenStreams +0b5a:025e ___iob +0b5a:0ef4 ___OpenStreams +0b5a:0ef8 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:1e33 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) @@ -228,7 +228,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:2090 __FiniRtns 0000:2090* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0b57:03c6 ___uselfn +0b5a:03c6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:20f4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) @@ -240,7 +240,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:21e0 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:2250 __EnterWVIDEO_ -0b57:03e6+ ___WD_Present +0b5a:03e6+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:2274 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -256,21 +256,21 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:277c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:277f _nmalloc_ -0b57:03e8 ___nheapbeg -0b57:03ea ___MiniHeapRover -0b57:03ec ___LargestSizeB4MiniHeapRover +0b5a:03e8 ___nheapbeg +0b5a:03ea ___MiniHeapRover +0b5a:03ec ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:2859* _heapgrow_ 0000:2859* _fheapgrow_ 0000:285a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:28d2* __set_commode_ -0b57:03ee __commode +0b5a:03ee __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:28de* __get_errno_ptr_ -0b57:0efc _errno +0b5a:0efc _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0b57:03f0 __fmode +0b5a:03f0 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:28e5 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -302,7 +302,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:316f __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:33b8 _nfree_ -0b57:0efe+ ___MiniHeapFreeRover +0b5a:0efe+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:34b3 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) @@ -321,96 +321,96 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:39a0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0b57:0f00 ____Argv -0b57:0f04 ____Argc +0b5a:0f00 ____Argv +0b5a:0f04 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0b57:03f2 __amblksiz +0b5a:03f2 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0b57:04f6 __Start_XI -0b57:0520 __End_XI -0b57:0520 __Start_YI -0b57:052c __End_YI +0b5a:04f6 __Start_XI +0b5a:0520 __End_XI +0b5a:0520 __Start_YI +0b5a:052c __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0b57:03f4 ___EFG_printf -0b57:03f8 ___EFG_scanf +0b5a:03f4 ___EFG_printf +0b5a:03f8 ___EFG_scanf Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -0000:4b15 _EFG_Format_ +0000:4b23 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -0000:4ce7 __cnvs2d_ +0000:4cf5 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0b57:03fc __8087cw +0b5a:03fc __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0b57:03fe ___Save8087 -0b57:0402 ___Rest8087 +0b5a:03fe ___Save8087 +0b5a:0402 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:4d07 __GrabFP87_ +0000:4d15 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:4d3a* __init_8087_emu -0000:4d40 __x87id +0000:4d48* __init_8087_emu +0000:4d4e __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:4d9e _DoINTR_ +0000:4dac _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:5117* _heapenable_ -0b57:0406 ___heap_enabled +0000:5125* _heapenable_ +0b5a:0406 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:5128 __nmemneed_ +0000:5136 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:51b0 __LastFree_ -0000:522a __ExpandDGROUP_ +0000:51be __LastFree_ +0000:5238 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:533b _dos_open_ +0000:5349 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:5361 __GetIOMode_ -0000:5396 __SetIOMode_nogrow_ -0b57:0408 ___NFiles -0b57:040a ___init_mode -0b57:0432 ___io_mode +0000:536f __GetIOMode_ +0000:53a4 __SetIOMode_nogrow_ +0b5a:0408 ___NFiles +0b5a:040a ___init_mode +0b5a:0432 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:53cb isatty_ +0000:53d9 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:53e7* __get_doserrno_ptr_ -0b57:0f06 __doserrno +0000:53f5* __get_doserrno_ptr_ +0b5a:0f06 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0b57:0436 ___umaskval +0b5a:0436 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:53ee _dos_creat_ -0000:5412* _dos_creatnew_ +0000:53fc _dos_creat_ +0000:5420* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:5433+ __grow_iomode_ -0000:551a+ __shrink_iomode_ -0000:555b __SetIOMode_ +0000:5441+ __grow_iomode_ +0000:5528+ __shrink_iomode_ +0000:5569 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:5602* __dosretax -0000:5607* __dosret0 -0000:560e __set_errno_dos_ -0000:5676* __set_errno_dos_reterr_ +0000:5610* __dosretax +0000:5615* __dosret0 +0000:561c __set_errno_dos_ +0000:5684* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:5681 fsync_ +0000:568f fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:5686 wctomb_ +0000:5694 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:56d1+ utoa_ -0000:5772 itoa_ +0000:56df+ utoa_ +0000:5780 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:57bc strupr_ +0000:57ca strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0b57:044c ___IsDBCS +0b5a:044c ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:57f1* _ismbblead_ -0b57:0f0a ___MBCSIsTable +0000:57ff* _ismbblead_ +0b5a:0f0a ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:580d ulltoa_ -0000:594a* lltoa_ +0000:581b ulltoa_ +0000:5958* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:59bb ultoa_ -0000:5a79* ltoa_ +0000:59c9 ultoa_ +0000:5a87* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -0000:5ac7* _SetLD64bit_ -0000:5ad2* _SetLD80bit_ -0000:5add _LDisDouble_ +0000:5ad5* _SetLD64bit_ +0000:5ae0* _SetLD80bit_ +0000:5aeb _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -0000:5c42 _LDScale10x_ -0000:651a __LDcvt_ +0000:5c50 _LDScale10x_ +0000:6528 __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -421,72 +421,72 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -0000:6cf6* __init_87_emulator +0000:6d04* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -0000:750a+ __Strtold_ -0000:7749 strtod_ +0000:7535+ __Strtold_ +0000:7774 strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:78f0 __Init_FPE_handler_ -0000:7929 __Fini_FPE_handler_ -0000:7960* __FPEHandler +0000:791a __Init_FPE_handler_ +0000:7953 __Fini_FPE_handler_ +0000:798a* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:7bf2* sbrk_ -0000:7c02 __brk_ +0000:7c1c* sbrk_ +0000:7c2c __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:7c6a __doserror_ -0000:7c78* __doserror1_ +0000:7c94 __doserror_ +0000:7ca2* __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:7c84* _frealloc_ -0000:7c84 realloc_ +0000:7cae* _frealloc_ +0000:7cae realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:7d60 __ChkTTYIOMode_ -0000:7dce* __IOMode_ +0000:7d8a __ChkTTYIOMode_ +0000:7df8* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:7dde* _dos_close_ -0000:7dec _dos_commit_ +0000:7e08* _dos_close_ +0000:7e16 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0b57:0072 ___Alphabet +0b5a:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:7e39 __mbinit_ -0b57:04f2+ ___MBCodePage +0000:7e63 __mbinit_ +0b5a:04f2+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -0000:7f14 __LDClass_ -0000:7f9a* _FLClass_ +0000:7f3e __LDClass_ +0000:7fc4* _FLClass_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:7fae __I4D -0000:7ffd* __U4D +0000:7fd8 __I4D +0000:8027* __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0b57:0098 __IsTable +0b5a:0098 __IsTable Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -0000:8082 __ZBuf2LD +0000:80ac __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -0000:814a* __set_EDOM_ -0000:8156 __set_ERANGE_ -0000:8162* __set_EINVAL_ +0000:8174* __set_EDOM_ +0000:8180 __set_ERANGE_ +0000:818c* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -0b57:019a* ___f_infinity -0b57:019e* ___f_posqnan -0b57:01a2 ___d_infinity -0b57:01aa ___d_posqnan -0b57:01b2* ___ld_infinity -0b57:01ba* ___ld_posqnan +0b5a:019a* ___f_infinity +0b5a:019e* ___f_posqnan +0b5a:01a2 ___d_infinity +0b5a:01aa ___d_posqnan +0b5a:01b2* ___ld_infinity +0b5a:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -0b57:01c2 __HugeValue +0b5a:01c2 __HugeValue Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0b57:04f4 ___FPE_int +0b5a:04f4 ___FPE_int Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:817c* _msize_ -0000:8197 _fmsize_ +0000:81a6* _msize_ +0000:81c1 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:81a8 __HeapManager_expand_ -0000:86b1 _nexpand_ +0000:81d2 __HeapManager_expand_ +0000:86db _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:8704 _fexpand_ -0000:8704* _expand_ +0000:872e _fexpand_ +0000:872e* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:8739 _bfree_ +0000:8763 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:875e _bexpand_ +0000:8788 _bexpand_ +--------------------+ @@ -504,6 +504,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001a240 (107072.) +Memory size: 0001a270 (107120.) Entry point address: 0000:02d8 -Link time: 00:00.02 +Link time: 00:00.00 diff --git a/pcxtest2.exe b/pcxtest2.exe index 18172a46adc54e4d5dd55ee267456b7d1721cba7..241883b65d1e053fce300581d935f8e7b9c6d383 100755 GIT binary patch delta 28396 zcmW)n^xg;1P$-~a9BKwFXy5z&bXS)cDT0pwapO&upr9a30gh=A0MNb= zy%=6@zDfdMFrUewl45h;epmE6z*IaywhaK#! z+&;I)H7J8=T@TcDV;b~IC0{5gv`y$ogI5R9LUkVZvjPsTQ{Ep59=>c@7QLoq&v;Nryv z>|sCaq#8J65o0@f7!Y}5HQtlFyXO_$GS`Hhu;oZ!g-$ISN{CaO zvXF9FWbq_#iIlDcq&yVg!Fk$fY%pjqfpQ+@j~qM^)gaF)SmPM6vkMZvhWn$@t0?{Wcogo_-ZrlF8k;Tk6jd<)sC<8 zIF*02IR?U$_aK2jJ|w?-Dq$6N*+0qegTDe@xl8sj!W%^9_Ol72I~JMMlBhLytI!77 zb$5KL?LNVAEGs_7k^6bS4-d&=;)Hoe+SSc0+gHJ*d~V}<4pzC4QS-##T%zkfWiiM_*%^k|X0#Vy{xg0ph6v5b{C04BEO)6MjezNz> zFTbG`XW5^{Yil(>8((I=d{P@4k_@pv@vyo^Nl*WDy& ztJ^LgJa^dib%{7VULI4R#S{bXbHk*MBsGe!4!z z*=w&m{rpirHK3>(E$vKtw=HIzD;@0^nJlnQrz5!Ia2XV0=hx1eS)(4A3P8#zF%ElS z;O+qPV=*nU4^3=1yRV>)$Of6w5r12NY%s6aAV@*FQTfXe4C)$MsuL%IiJ}n|*1qpI zDm89?L;1I}bn7|GM|Ipi{Qs-sCrrLY+}3Ul=?N(ev+~W~7cV=&786IlY=bN8`8nKw zjVv4s`4I86T|BhOr?T^$<8VD>_?0ZpYv1Ew%C=jstZ}CP4=Iw~N0!k38I@6q?fr31 zhqnP28VbuL)p~ymgw#%sUHoF)y&;&VyP8;xQpSA^ra>MFxUjc{%*dp_H+_+&1ivUI zWIxrrxqzO%d!1`o5DdzUQd!PbD$EdKo$T8#JRXsBP6_92WXa6i!{@Qv!8x9K#JjFhxr0}1tt8$B( zjsm&Nr$~Au1Wmb>hs)dPIC2wA5S2;)l*IaPKa4(Vb0gbCB(3T8U$x*&SJ5bM%grsH zZg_YS@gmSVqQ>oQ#`BGhT!mR-eI6@hH`&s8jZ=BE9OfrEletfWbsu+jS9HmvwHWjFk3G*$)zvc1ZsK=mhoeN(kKa9~+8AK=OmZ~bg$@c8;9 zsmiKkIe`Yj7)0;_#cJOVEL`2%R22UaCn;8HBHLx2LxvXnO9EQ~Pa!rOdcDu@JB;b$Y&ntB#zUjFUsZ#e!G1ZFg&ErZ5770Gw?0HVH80VBGyJl_5 znRT?kw4R}(*@tW0sX&L$1Ze~s*vN<*{cE^6ea!&_`B)Lqp<*8Ye7&U0y~bs;E)=4JGQd$A7MU~wA9 zkC8EZ1$Yn&wBn!b;Hq*XF!D8z0qR~i9bXc|lG=E@b|3C-?lo<+O`BE_i6?;1G^>YF zofCC10zxT-Ysdc7$yUpwq%yGlC7P}aVD=}%`&iF`8tFbEKeUU}`7yVh}i=2^uUpPN3$J$RRkw_|Hbi z@p!aAIL8~mJGGTmefGh?#!-diQNX^2jk=ZAXaQOP|DHqv6ZtT!(I@x`C6sZap0hEh zLvZeIke@1GFYSX)g}HsbT5dewJIx1`YIPnDI?d33A=Q(9`_U3B+D)s}vRx+f5XruD z((5Uyh)mnLbW}!!PI_cQz=|8r>-FCnMWo)GS_)T%weQ#BB-6L2B5+a)sR85Y!o*>- z(PI3SpUZ(}iJrEKoagJX@Ld~GI2~I@z`y;iE|UNK`R=o#+^Hf?L64*3l02umV`K^I z=HWZ{#a|YAEdhx;q$AjAu^qQM}s7f91&uYzFR^SyXFr4?iI6d zy#KpUU(8I%^%egiri=vAf}R@tXI)a|4@6Dh@X)wGhibtWt$r?KenKxm+dL^Oop9&k zBBo$!9J*mCk5Y@Hv92_&1Gyg;B)Q)V`n;kP<$B7(JmugnY2MXbNv6S)(Y3%&t5M=> z0dmIYwu%jmRXPC}(^d7r6*G8T-yU6`PF&pYsO^fPW3m>8pY_85?}j=Q3Z-Q0^{>Ke zDp*_+zo1f->0nim8ZzSVCyfULAuzMPAJ{dih-(Z1hL>Vr`zG@&V=V*-CM0ISm` zLCaJQ`~a+nBbS2Ki6`qkNMdl5frKtj=z^qBufVH6nfqT&-DBB5q+gFS?M)XNr8oe( z!8-649A*4-4STwJ{JrC7QBsFvf9kpA6^nO~YJKC5GyZ;aiI~N;oO zk=v6(ryMi)OEhfOq~11&63GENdIKZ?u+n$o{V@TcB>{Cs_zMVC(t0U z+UN&@J%!nef4(R>Pm6NxNp0%3DXWy2R10A59VS3c^+|&OLVblWIg;G(|C!Lv890;5 zIl^aS0rF#6aLn3O{m~V)0HK?1?Kk*ahe4YQML%JX&9C6;USG(-6UHAI%S>Bm%?-C~ zv=Xo4C(%I1NxW9Hwd(K5aH#DzoMl?^Q%HMI?dP^|gN%#>!cnq>w@NF7j7vU&`TNXg zul`8y6}Kn6oQEv7wzL|h!ryE5G}t73i$o09&p|sr%(p*a3M>flRvqoMh<=NG9#PnS zL0++3xtqz1_v$&xe5qj71mf~2&!k5F&eX>N%yYE6Q;4xJOI0f@A|lW1EG_}IGJ-r7 zcGJ}(Zz+x2bx?+|b<|a27ndl}=lbC3dtqcyeiA`}x9UQo#D@I`3lsCZsdfH*pU&dV zx%&0;`y(GG6{lD?Vs)#JT|l3G(6Qp-t&?3Lru#6SJS0ILV}28v5ml?_oAVzoy|iDf zfK2BBU;@7&=|3VKN(LGYihiv)DZ@QZ6_>~eN2&X2y-%LkREb)Us`+4=nc4JU^|uM1 z0Q(0a^^tJC7CP?6Nb!qXGY$QFl=+@SyWd&~V8|I@!m5$NO5vfXPIj%K|2pEcsLRme zxG{8m+-kJ-rBGksqrx|nNsE5pkrlR%dwtHNC zIyuOB%{*Y6DQ;H;h}GjDo*h5!Ini;-?4;Z|VYl4&cP{_Ls8|la;B4lXWHIc$!lV7| z>!FZ((Gj5pqEfZ7TdXOUukU6doc&!7Gh`YZWN+_FIIzdR>SOqxVTTPIFjx1a}Ov@+SWO4 zWZ|Zd*i8KZ0+1M{FT^53pVnpX?apWMQl~vef;s){8WOG=)$)tUj_sHylQSsaKGWx27zzxQgOP&{pqb!+nDLS6|6p`N?4(3tGw))B2C71?S%!) zNBZa13&aydxJO4=$KGC4&QX~X8`1Atb8@VZ*BZedI@hcIwUjWpgp|}!TF9gp)Szhx zS(S*4PGa%zMA5^AIK^iOCs!RXa&+GkUC+UwIlr6aWIROnOqo`^D}*4 zW&yr-}Xqn})>GIiFa2&EIkc+OSoDrno>B z73-9!#=y##9eI%$5A_-UbkPFJl57ZEJ?F0l`*Gpi(%DX%H{1=d-Ekn}qxU?Z5TRfC?C<0vF3S={XA&mEB!gY zAVGWjK)bh1OZQv-_7z>Z=%b!Awj?uj(fJJCf1{n)=Jt^T^YLDDws$x=Ekk+_p^I2P z{Gjei`+Zzf8~2>y=qHi4&`Sdq%B&TCQ*1D|${Y6yDNl7Fd`p+?YS&Lc0)vPX%t;Et z%Kg&We}|VO--Z{Tsx|D-A98!)Y4y4f#|8w6;U)lNdoDdU-TOOa5{Fz-$%^$+7_qMwBL=;p-F!A zV?KZN3GRo^X{4M)nI-CpA@c2+6nnwTDQCho@N2EZXu zV$o8S`nft|~QV|b2TaKJ$v_^a7L*tx02kqHi)Ki@WMhm<9P&p-zs&0)*&a+;Y= zFU{n|INg8$IM_tq4KVZqnf>=Pi7n0RD<~K1U>=*j^OGGx3XOT&NLZ^W%O3( z&w0^%n6wc%Eo7P8aQj1eH&Pz6*`u9w7If_YxCl}hQbq{6rEc0Yj#eL*sv48~B{cLi z%cQdnfDRL2VTS56d;a@1i%t+Oz`5_BXZxj?S~4@!Ut+5CiR6$yA& zDJF$L|8V#rq^>0mU$S2nZWdju4%XABlQ<%4<2Yy7T>YUfZj(uz3EUM@jvO|smmfk6 z5DwoV_&tQYUX<=7SIm1UJB(_dN_sz5)@7Ou(b^I((~fK)*Ovo>lY0wNyY z-?(~4f;U%)kVb8kyU{A)cMW^&0}1T17xWY!`{_O(FcxWdF*BZSzBK@_nB%jkkhQbv z=rgc071$6s)Qw$D`Pr(ivQEOUZI2!`vaz7vnGdUhrD~b zjFNL87a^Zu7(^-`>MukP_y*0_Dk3Si1CbQ69ju2*2SFEk7&PYaFPnNXh4W3E@;LVo zVZf6of6ShXB${>4^ejVoym0Fqchz6j{{}M{zXB9=M^>CImRLmlx9V?p-TQ63!wGOV zS&{wN-8R57IKhfCXS@Vf+o$R5S`($|P*U#UmAo)5g}V_1ea;sHYI}swSCgZhhDoyV zk=es6FsE%eeoX-J9l&YxPT_{u6J&9JAKvaEIo; zL-LQk-?5OKYRT!tt6-8@c%zS!St`TA20%zTuH-igAbkF# z2w*rrQcOMKy(@J!OEqhw0%qN{`_5PqFAlkJihm_?Mh(yT+Iw^Q+XhC)*P~sP6qW_u z?b+gY0${8kJk+I>IaS~hkm+9VGQPAsv^qYG%e!3Rzp1C;0#_^)OQ3X#B{^2)uthV z&@Q?9Z~Nc*_12jW$Cw>5fHuVuMK%fO^>=jz$ z><6MiBFcIl%0~-_f^cs^M>kn32MXb_96L@+erK)a+?ptVKL5*XU1W$T@wjR|TvFV5 zn%qkXz`Sh-D?aAZA%LR_oH|amCO_S7HDz?whi?AsQ1u4W^dwDl<2}1eftT}!|0{ar z?75a~LNL!$z3_EUA}Bvd(0+gjxBWEiHa7{2AR{|Vpon<>ZpRd2(;XLC1|VHx&Q(NA z8YJPwYR}kH7Y8|;qd&MG0?o1BFyG||6sN~I%8ek-We@L~HK;67PObWPl}OVFC>^OKyim*0kb(&q!{jOWq${m+30 z1d_HKuD(95C0#lqD_}6$0E|-VYL?d@pS?vfp`2_4saTJK7d2~~8U!^sy_rGQ>IB5A z6^z_eS?E26>t*Q=3wJ?GJniq_AW%o%OkhU0ZC8$z4)r{)JwCy*QT6r#-A=IefxzFB zg-)aGyOKL;7C@TF=N~dEvDUP_(I!EMNo+y4;gSi!CwESqs{L~7MKF2&!2MovZdttic{GlFq53|SP(qut8 z)%H*hwSik52<1OWz|rdy8VVT_X*h`#2@#TzJ^{skz28U^>BLO%nZ!Z}{dmN}$$-y; z`{=u3P(v5LK8e@vl*paG_QV5SOKt1i31SCYgvMrG(w)-L~ zEl~WzGyYMm9bc^2?mzFzKQ)#yhPj%AR|b>7gKx)9DrN_RJwn(^YI@_@08^KuY9&W- zQqJhHmbO24#_V&njI+j6O3b2!JpllbhjKn+>daxy!$aE5gymfYL6aX&ImUPCTGh~o zD3$BlzHXztAn=g5D0Eh&nI6{k^k**O_=5%3-l&Mz1NJB|8>#g`*w;axF={8-eYsLc z5MHxl-FR4tXWDk0fN0{KkxO6m54`vvFdz(;r8G-J`{$RB#t?eQ-rSJ3ghbC+$}qx; ze$cShN%}H@?ZuNz?hWq>^_H2cC0U_iAS1uFLyBsJK@3pl$?p6#$SYU1^R`vSeK8;} z(7@{8zz5w7RMA^u<<~z+i>k$(>+2;Qy1sbyK;-KUrO6Y@v_m=y8m+L_ zI7s)L+zCnKUC3`a+6YL;XziidV$G#*=I2LFJ?bTRFSm=IzkUnlp<69FtZ^wZ+)nRW zCCgoMsZ}}}AZ3OPf~Orf07|PB@VVIbu^{ROMx72LE1A=JWAAH&Vz9Ix4 zTn}3^vh=U#E9-PQWgL~jVkLAcff6<+>~kMfK|i(8>$l65ww}qJu$BChcqn*$GPS1R ztPD&;B6qksr(3wJ4N|~@_6-+yN$6`B?b;IF4lepkNmL&u$hFYH+as*=) z3l?9FePWNK@;0bH?z~lYIz=8ksVDC^xrP&~uD$xZjA-K7`Q)AKfv&U)^6w{}GTkDr z5~f=?e)3xZ(Mr`xc@|0uxzHwIds59D|ZI|-(WjYV>hh-ve(zlBcKryx`3kT|eS;q~#$CasDv#W0OvJ~rC> zL4#a3Tv#8K{zK1UKx|9Ppiks()FJYFn&8nU0iHsrT#~2BVW|3~8JtYv5nwxS4637( zAN=W;ytnMQjkB-4pG*6u{ywQyJqKRkh|BJU^4|3SY*kNI|C~a%h zmW>%8(+{DSe)fHNT+w#dhBF;|UrK1!!!PX1@n>Ih3iXj87_17b!hZa8_GOVRt+t;% zm)8Kc`Nla$?+6Zb{9=+^S6%|L6M$+NIn$`~<>F~dg<~90)IGe6uyKx*62A{D`7ZsS z@Ona&uzZE=o1)$;8WZpNzpSNq`_5#~_mJ)D^L#8;KA&65o<*ztK%bNA{n9MiJ*_}P zWneH?pVMar%5Pt6I%qIWEVGK*W?%niHP)!izOMD>BOA**)4$4MEAd1w?4WAEPM3$i zB7aTE06Xn>tOmaJ5~|ZXUxuHl3EJ>}ED>4p=b#)B9~tPLZR)fJWJ8A+*N7 zRnw^A=R~1jZJr>_t2>p!XCajc91+tZT&KXZI30OhxN9L{i!-jMCGgz6TtKxb1@xyd z?l`OQC5SlTyuG#&-z$_n%<0JfA~V|Ah}r+e(&0(V4QE7OAWW`jblxFey@Tpr>_3=a znE)B?4WFbODk=1qSqX~vbluk7C?M*=Lr+bf5f-c=j)zW46kKL`eZnbixxCL^f}5*F z52tP9Tz729x6T`Us(;%5ByTN9kn;{h;^qf^?#~iF#?>jz_7n*dEdDG04z|J4llzVW zXqL598nsZW2;$H%1Ab|vd zbeVSrHtY%yR(hOcD!?ZF71#szJlZeZf}AiX(b)FY(|6B<^Uh3Gg|#3)QCtYI@3^~@`oe@Q7TE^7(czmqo*HLqP&Hst$A?|CZ zdMdm6Ho`83fA;4xqiOX+D|8C%I5Pp7JHK%2+dszRMn4^x-MGN@UD=N?V=?Xiy?nWs%yn2HTo0~k!>(A?ZJ}JZHj}pAU z!m5NFy0c(N_YPag&tCS#4Z570(~ea5MwLc?`L=kjI$`njHA#W5Sv7m#Z)_TnngzvJ z7vG$-4^x`8Ly~v$syYCB4l;_YaL}A!+Dk%@1LDZ1Zj<%{xTX`LVr_2vaq;G+1Bo%8 z$}FCCcFXMkIq~s+We_;$EHK$dUcfL(xS4O@LhQ-P@L#_Ci|h3|Pk}rSav*}!(eQr6 zjid=S%#AYY6sX*f_m}i@hZ@zVHc)b{&0>u{xN30~CCP<3Og6dqm zvyk{8%>123C?3|pvX`0RG}$5D|6VjF%ec;h`nFB`aVy4Yjy*wY-ib{HPEH&gx)y4@B%UnYlukB!X*>koQgHYLlj(Kp)o_ zUwzIsG*LId)(!8t#UGnOvi_WeAQ5KsaX!t+u!dVsa6@gqj?M>@a>{@w zHKuNJMBFTZkb1;J^uUgL55dcFK4A2RX2PoX*0xiCi8MrCEUYEq^O}2ToYyzjT4Px*f~9IH$g3Q+$lIt^9a* zwC?wa^XM=GabCvR_WcA_qG)FUM(SF-1#HB1c@Uf zJ7EL?XOx!(!lp^_LQi58J9D1AUx;?!7|@)=s4tLGfAvnesiMVTU{*s3+UGL z&R@@h_qQVjx|6bX8og(-zFxH8$&~gu;nJ^!>;N-`5)k4!V~f|1k;G)}B_W5)#jlqm zIGY&oe`5DV(C6QwbM2)M3YSI2T+szc^K=Q1+CsYFh_&|;K zPME{1W&N+xXU;A~5X{fTW6j-o@!IAN@dwQ9hH+YkgZ3w6el#naRp-FZh`fA=XScOn z^Gb^a5WOcLcMVKS)}M%VTeVfYPN{McwHTQ*IH)}%xPDvXlxnal^peCQt{$7&}EOQjPoNB zkv9pt+JuO|tTBmg^FtX6tl2Hxn{spAvm0xy_8m)MZ|(~l{-H!cJ`)(*SVnE*50JeG zJRnwe{ppZYL9EBb&t2R+6lU8X0zuWa(X{bs(M8Y@4D!Mo@v2FRek-Zw?=L6OdE0`i zo}Pee8?X98yus7KX?Qa>xC!(eSiyhZ^I`&v(6{yM%oC2BRJ-jszc^js&k-7Q>Lj?m z&?mT3<{s+~VStz-z2t`HM<*voqYHmYOmbN(o4+gt+5EgY{R_wjd}5s4Qg)D(>>9KZ zl5_0x(Sof&&|VQ?7yLM@A2dQwt6z5HUfS}+>p3Q4KZeFAAXzyV&xr^Q6wIcVN+c2N zk!b>BY$W?eZK&F@s4h^JvrBf8q>JsJ9Y1-FJa1hRi0kg}9GpjUPVK!`aM@!^RP9Lm zYnV4*EJ0-nUQ3`|v}%L!PfV0~J9!aW^EP<$8{=|}{yAWkmdTE9Jks3Ltg2;Vnz=2a z>wo%eCtiK$vIr2ccgq?d9by~md_Fg;D-u{AMK(`=5?xHZ3mV$pOSoa~ge#EsV%q9u z;|jM6O0MqH&Dx{$vY5*fnfns{88go8EBhje3)=pOEQ2ZCIm4*ui#dj-uL-Y>|90sM z94%QD-XqlI%9dvEFGHdbxR}nMMSXvxYis;Ms=x!vtS93gS95@=RgYWT3Q`?^K)7dl z*7YBDrw!6yv(s0%__j`u*6ho{s%0Os0$b2!&?5Ce?7;mD4b0W0>I1^sJPUWt(7=vW zk4C{|w|geS15AMjMBj5u4Fu5)0jZ@V(4UW42`MS(=U;A|5sxfOlbK3=u{p2oo&B6Y zSs%ywB8m26>~s@_KYldY+K`N0<}?@a59YtaYiTiZ z2~QnKjChx3`J0(jT?w$m?FyeJJ5+=Jd9u?^0NZg`Ftcy52^wSII1a%2%Qj!F;W;vIufNNrpgmtl=;OskG~fP=#v+Vfg0e6v$%#3N1fq*aK-E5tXu3JK+K5_`|dp%E+k+6vr23L~EbL zQ%)VGn{Jia%$pOL)!j~EGP>DQDG3K|$tc_nliRs)F*LvZ!fs1$pv#A5hK0j7l*EAX zIC)_7}?@EU!=vvP-NC@BV<=bncf9zN!PEF%`0fB`JRxryd!W z`IZKK@dgnrX4!Z|`u1@A6;$=}y-Mx3MpL?cc|gQPT`nb?RGZ~^XtUn zqP7nQ+iSsxvo198Y|=066L=*P^yq!y>U@bzdPzjorhGloNA#`oZ<`)jM)0wIH?RL|O&`g^ogiZVQG}ZY7**yP^=tfO(4JKJ$*9p+x&@Qm_;% zWr-5w&96+ntD(Ty`2^_v1Oz36IzN6+_yhqlpfD_)ieT^|vHVmNLqHHKL`5@%MF?0? zsu)8YgO#94GNh!jGE`ZHoIF;6s>o1M#;UZccCrid+qkzupEUTHnjkIg0jf3wtAo|0 z>M`^Uum`D!7=}hzW2y<`uqpNk)r?_ofwiPsF|2K{wp2TYy#v;f>clwej6Fs@&N$(M zb)}wUxVd9JsGf{dURZA`!H3~{8tX^(X9NUdgQ&rbkWlOy>RHCQ^Vkd2i;S>K*l=nD zBQgpbO^sp1UdF~z;~6+SHi4SRNV~kEo9cjM6e}IkkfEN7^= zbL}%>9Ms*FAN`1?yt;5z+8yJmE*k&q?@va5iN^N7bcVOw%PR9E#Y&W%s z(c6dpKxHsKe!_mHeqrf=2_8q%O z{lOrx*w`g1hq1hZU8VkHto_2SQ-3oy{$RP(O~%$=>^60WvAc)er}B2x;=vCkqaVsw ztMheWOa#hg0?Bn4u;yx6Q>Mw+e-Xi}lEe1Zx8*^aznC}y@7Mvp$cS0555%{V;!)lK zLanyqVS&w#Hr?X+0##cSSyDH~FcDHkXooyn=f?V9AcKzr-IGZi4AiUqLe-v!N^UVW z?EZKTx$Ix?(R)7;#HsWAbccS`*H^4WJXqxT7_ee|w8adj462GkHTl1ABJKk8GpE>H zrLJmX0d%zDM}qtf%&**zT40@pM^h=Dq2_A3JcMY5RbRjxBW_IZ$l;vadn1| zGK2vV3YvvpIfROk!0K*KC(jVPi|X|wP@t-M+01eULWnf7_lipHxo4=feYn##MUbi7 z8l5^YUqC-~vxW2G*+vV}PnPOmWE`oYt#6X5Juqia4;X#nO!Ks%LR>t0qL1jeD_i#m z%2vd_2pq039EEPBSDXxq1nwI%F^VRCCJOE7k)Av>LEpU|+@h{~B< zdSFj*#S2`CY0aUNC*@(!M=Ngeh?eRgaGV9*-0d2VD#(GEE64G)sG^OBAvr@~7Z<&? zGoZYTYLwBm)xAjT3Z1CNvb2F6bHzAA0+cgA+!ozU_{izanS)f9%RfV^-z6LebP6BjamKgayd^hiv#^QTr7(BX%Q z@z0wB8TnNSUG}q%uDklZc>_Z^RXz7)#`*z)3PH^6e9)n-LE}vmW6hM`9dpvWl3KIkU}qboHDXg52GO zu{VX!7OxZ6h#z!@r5_@Nf9Em8Ai{HzcMW<;9otCbpD7}IR%IP~OD36Pu^fhO&gN|T zK^9PdU?n*bq`TJ78M4pV5KYR;Jjj#AhMG$yLLhTCIdBxB5c6g9jckr&{;B+Ivbxjw zGJozk83^W!7+2n6A5#Zk74#s;ngL>-bUVJz0@(Jy$OKJpY2ST~n<~Y4h(q#56V=5Q}?$=2|E{c+>DE*rn*MzJG+6GKUhF@`bGn z5cnw0r3_Iz41ON!JH(IgB^=^>rmPH5dPHUq@x=OiDO>Mb6!O=n$e#(TqgVOpFOJMt z(i-j)<%VEw^SRgF+2}^+O_H`C!aBUypg~PF7r;2xfv2~cv01N=w+3C1`rNn(iRkJ{ zip$SCXRR*l48U>Y_C&PFe&B&t(68s&0Wnms@8n5#cAq98p_Yi}w3JHfJ`2Fhets z1fgD4y!2sCzwd)Rbyvtf;{j5T3cSLF-S^UL@{OB+uG3+}L|NA_rhnX5Og+%*22uLf zExMDYve~1K#}gD#VaT2z1H6;GS8fFhGi@Wfv|i2y`6YztwT_=JCHpROt?!kCc3zH2 z7{LXt*WlC+F6z|X4aeoVeD7tQyFh6vfb{w}-+{Nx=mu!XOr#@6&-qOIc>M6~7T2R?8jU;MH zEte;&L74~h`B+(dN9!0F^}@YZ&~@+b9^=q%8f;FEv-%F%{ng`qa5(e0xo$|t6-}Ut zK-W@oCGYz5vPSHA!T<717?aDq^ac)$!;0X~NY&vHduou=eCK*lZA2`ejZ6NP+yW#Q zaOgXMUi8A@Fwc=RlLWyjO$$QIXo{%_l)Gj!DD^B%s{&g5Cl%`YTDfuYKoF!$&hrKA zkB;K;hiS)o?(cx-#iD2NcE#Hwif5`ObCA%L(2HH$+Quh`qpyhpd)2;yVY(gRM8SVH z!gI)3Gx)8B&GG-vr16#-yTUYzn!6Ux?%_2a+(W;;12L8i;$d1ykbj8;cN zw%GZ%+C>v&lQsTB=Y$m!R`273;1}2f)bioDARhW~tB8+;6>| z2z1sfK|NPo^L0LF4u!>K9N`X8|E?o){!jGL&Ao~POByOLxHb;VL&-qQ>l{6GAM z(b&I0p_6C$c=s%_{PMPJY*pYfH!Z9s2}VzS&AXOevxff??@?)yf@hccX+U|O@@1Ky zPeOc_$tH$q_kz%|Cs?Bu^Hi$BXE_#aRHhTRnk&|iIZ*2wS?VZMoz*>$T(?Bq}ZBht0Bp0b=UwJT$OHXyq@m8HZ z!l@`qkHCDn|9Pb-#q(z1x#&@O+9)K@gUj#Z-gi?7lyY2^fyDIDkjcQ#lLx-psnx?_ z8o(wQ0G3xFS)q#+u**TL6GjD(DUVy1A8fM=_;X{DhHY>v0oy)8B?$8|*Ib z&h>1z=B^Y_uIZj#Prp8Uba=Oj0N-OP?Kw}J*c3}!xmJE79Qx7pP@aOPXI{C*V;y$e z9B1~9`{LaT1I`!E9wej>ENA~aZ>d;( z!dkOUz&XwxOf;(H(+zicWV01BQTAeLvw)7!Z9cL_#L4+Iu-eM{^a>X*4)#4OJNVgn z5i!ueq4yyk3r+jTbsQod2$T-`Hhzr9b14AMm=Z4x5tMK9?s~0RYn();>Dq<2h7JBE-TRRnLyFUT7dbB^b3NLsXfO;k%8N{+ESf73eT)7nI7q58(t zrzC)z+)*Ir^)&@(^aXhID<524sUkc9foTsFaW;Ecis28_@hunh=INZ7%T+i1eDU%} z@i0q&8B|DH`bE&}YvLFn!_@|m!HLY|uyUFR)v}B>Ej?m6b7fz4=n@FOL zg_Jct9Doz2i2;Ve1I-DP8t0@K+I&Nj!jqAt|Z^?y$mA@n}NmK)rO8C#itnXF~TwpGd z6d;%`qa_p1Ot3z$y*oRBr=OGEkO=vfUsnJPSja3+3noQxApjO0;seN2=7o$1wuM8> zad#G|?VCXpT4Qtmmj??S>)WKKpgm}|({UpXd_$N3uR-n|>BydogS3IUzo7d1=(Y+w zyUx=Gm)ebUMpYbpCT?iIvQ?c2Y#OKX2zUbkZ6Los>3#h~fAHK4Tx{Q_h9wv2yK0lo zA-Y93n%g}xxcQ4S&mQ&8rj#C54>ZW52dUF0R32eOQx*#7Av(FYdCx_0u?y-C&Nx3G zxnm@?`podq}w%BAx+lsYM53eqR`unSc)kz^NB@5Qehc-Ef zY(j}F^_vkYCw{P^Ji7V&^<#uL2->ky&<~Lx*HOvkC(fPXu3S26sh$fzGN2_^sTb_d zVX`N8K*Y3d)4C+}eYoysLd6OQ8ycb;Nw^IJoCz0hc5V!H@DqITgXcn@Jf5RhR6YLO z6bLO16EMxtF@9$+1GCtj=cOP{wyK*!Q>5B;xI+)dr0*!n0B%{>f5>oO)_w&^o5`Et zCCJ3Hwzo%cW0kNd`7XCLPn$KD)7_Q(!ptCZ}$_a>Y} zgV*68$3aSw)*FovN>Le6_DE7r!(K@`$4)wAy}muZ|H1vZf4U#{bzRSE$mDgaWy94u z&UQ>|^#_2MAj+qqu49@I!w>d;>20ksK)!4iLbma0wdcDw;E0Rxrce#R@z(~3Iwjc3 z52;sQG^i4e?e_M0!$6a}z0haQ@ndi{UToSIqo42Ync=e!xH#?}p0MVP_Ov$fZJ0cl zZ~cYuG^#=Roz)P1T4n!`Oa{wrsX=8-ac@DqU`!2?XzPDU7$x!G!K}}5((g@x4rD@G zLg@4!YxTkIVmK;&Hob07Q`dKK7n`^jar3kN<9f9J)KTAd%1mtA^LCf9X7S;Gx2Zq7 z@4agu34^+q>!@pz$|N4mxSj3pe4uaZA-9W|}x>s-O}o zl*GEWcY*ii0MuYcT~IuK5+@Zw}H6S-e)VVAr@89iB zB8jy&FECu0WB93%gp%<|>UuA<^xeMUHcNH2!1wDZeZ`|7dsC@r$lKr%)KFT~QS(1R zP6!u=Im^CWia(u{p|>-+iPti%(=LtNb``(#>pPke_xsb_4%~&kj^qd#=eW)A7XImk z9laO>v0~xmbU-&8{cyHf&CM&YD-l-64%{L`i3s+gQEih^c4ERt|8nAn?c})JvI(Xo z9t$1O10A-mG#AG2^iu2=u;0FlP3pm>bEFS^r}^YA^xf=nA}BJ%49CkB5;*iG4^`^k z$k&*At}>T!`}7>|RxeVu8OQvqiWVLAAEt-w#@#GbJx1H=ndD3FvyG>9f!GfYb`@FI z6&+1?eE!)&5%Kc8@2;bde#w>K^2(|3Z7ALo0fnVxrR3ImiT@tl>DS{Obl(UGePd@y zU4SRy6@n&c)ADhIk4XB0LE&h z@5CMam`sO8M~NlJvkEThS~+3SD)|?ug?;$%QYKg_mS%fNV@tnT8L_5mFsx>|m_BsoxxjDoB*50~>Kw`r~vi1&RAYM*T zH?&%ebfMX&XPxAS(x<4V(dR@OoZf--4w2;uQiv8h(RMzGd0jdo-hMOZpcU;g#!+BSs<~S+UVL~s*ZxXJpC~wJ?3`4+bkJw)k@RM%qy0O3 z_lA#DO409t7$tNuVFL5J_eo$l@CVDhqnlA_4`F*SKDO?~io-+D_0 ztnlqI=KUKYZrVu-_O`v8jcjEssb0+PHEL-%CoNwSGRc#DJaw)L7?DQ4KA#B7wT=zR zX|WO#gISi`c8WZEr3Y2_eZ!fWu;Z}JFuc(u9^DZXXqCU-vg?y8p%UQX$0UW*ssv&# ztmlM0!UzKlQPB;i%=iL;dWQt-8ucJ9Y zRY<81M!+BGW3x1%d?z-|ntfTAS5847k4TWP`?~*_Z?rU!2%oNNhxEcil2=kZ*MfbLkRWkE83kn)EqL|1X09%12^K&_ zg(A_a3%+;2o$Hf9-no891q55ymi@_TUL01E=ZTz>x;yd8^wj;-mzwh#` z=~dc+^|u{wIFxDFc+GH6A$0cf?{6-)JE!zEOlst?-x4-XZ%{t&L}gxkA3*$u=y$u8 zl^Hl7_G3f1S%8(Py|BEQ$HP?VyjHk?5EzH3ffU~YaFc~5{G5ZS9`9tfALV+nO<2#! zuU7hXE}YujE&HKS?sU_UdRKYgpXlUW?8E``Jsd z`%KWXk*m|ndESXHnJK>|iaUO8g(6V>RP&L&8g$i6KJ?2rU$Gp0Swq-mH6kK+@mU`K zCzts%G7H9=`WH@^ST6E|0>0VHseDEfoJeGm9BWeo)`V#Iz8b|pfy|CK$QF9q1A?rc z!a_55PBFkYO`#7tic!e;MF6(Nt=lSMKwktUze_mNY4oyjhWi`Ji9;rA4qH?}JJc5E z`?`f68(|SF{-UV%!0WckGyGcs=oggo-QIc{j8*N3|9kM_;=H1oTsueM1+-iarSk2M zJ=p6t=6oUk)&Y+i>O1S>!LeRrwyc8_Sf3?Hd)Bz`N%@`w%Ezoukc1Ev9^pqI%@;_S z6;5DPM|uknPfRTf!Oc3Iz0?l@4)(|Eg>I$%!qM^=Kg!5Y^FUW&3nJ94Y?d z%m91ImGzMFv5=Ib!g>08Eg5uB|9951(3_!8iZ`kw*HwbN`pN7q%F-KU&dNKF?mW0# zfk%HvMG1zPd6xinGjZq8oiKsK{Z~HcSsAIB{wr@aV{6KLS5ceg-LV_xo&GKSKG5;B zZfv1eJJQKa54FT3Ah>GlQKQ+)kTrhQdf9r>nmM+)N+|oIo78{@~7i#_DzD20BhTeb-y2W8Z0QhMiC)br59sh9EuZnkuD^?5-EBkk$s z?lTZ(kTjugui*lOe?q4C-=5?FKzQWtZE81MTS~n^YDQk2E~J-a;M3whpwhiV*)fuoSl2fkczI5d7=O}#xY<+5| zU$oOV#ZrEnyKR3Qm1Dr2pS!%wdNt(G=@s>=A=~l97zZqY`QN}XIEg>wIfqVq9f)Pd zz+PoWa~^Ia2zh03NU9<~QQz?c#c5j&5pZGES9CI1@+y}y^DD``i4!8^O*C`zz7)@TPxMjCA=D(4Bs@zWHzC-@44=i+LV;rHX<^bTlQ>NvcLdFyu*+pJ$7U$t(g@9vDT;&Ni*aKqbUeGRBTqXc-#KK=84`Wyj~zSDpJ zN&OQ}QuaXvnC8p_;?(F^+bT~xpn@=Mi)Sjrwz_PmlIr0iTVD=||I&m}m9HtTA&|N6 zDeP|sLoC?-=XcaO)O|sXyX#$DPHqL}xXbHf?GqncZanm}XKkhbG$f_3#W`=yQ1|;x z@lYB*?l@zDu|LKbKfCnnId|&H93vFi`pg)z;f`RRcLW(*w z02h!;$T4*9DpH?~17JrVIv26dYJlB62(eRe-40mOypux}7Gor|rwUInp?$Vjr*`qk zKef`4Xz?sp*5-HiX(GVHS$T6q1!GDB^{_6hxvNT(_2TV}NInAP^DGLeQs6G13Zn^? zA*PQda@gO#N*OzFUxKT&4g|v>{sBUauuo>0U)H+x^q7S|jz_;nO~E zyR;6XSWy0;-Qy(z&wFr2B6n$(fwM&v`($b1J-z`Zq1&UP;~ex!^={lfO&S*^td!IE z9sG7<{!&$#7OiDK`w_^|vvdo4!oc3doBtAreKtZiymo}PC^}L{`zw?xl}^ho)J^$k zAyE%Z)^OE>q*H|Ab3UfBBbXFD`QU zHn*LFn~Zl*A6Hv?AC5<<0}joZ*<{7pzlrhl=xVHY6N!HX17U8pUTsqMnWvHW9W*VR z-Vhr)yGCUn%?TMy93U;`O!gtaT4o=_^1Pv<0+N)Nuc2mp+`4)w;7DTlvOsNsox{;E1b!e$23k z`nC^@mw_Y@e-c9*W)w`@{4?6*x@jE8XtEuz&oK}A+E^^Ha{NuvU*EXA^bbkWe|2g> zSx+Hf_=OP0MO?tTX+I=d^at+IRYKzQl0CWxExZ4?VBFP%n{^o*pJCNJPe&OPpQ@o< zpcsGt=-Z@&Q$*_}9WDs`lnyHYqL4%+*GX_Lx(yhCf9UlLNjM=C4%+@!*g}!igkguT z;FmEZjADG!_$Y4aD}BCVX#Fe@b06)H{306iw8+)X(fFJqf?w=EZ$tl|{tZ{7Q_%R< zD0Lhd@W(Vu30Sb1l0YFIyMKiT$l&Zc5~c|y={&G zs`L*SvEC(!ZGc9j$($-(# zUfULTKGU8;95R`(Z#(zyPr^Ea#KWm_o(Dv9@iP*CO)t%0WM>TD(R;P;fAS{}04Ijr z>a-nIW_^?CJA!%_H)^!9f$x-)Ua>_toK!$IV6{{`3eiW}>`a+LsPMnQA{;G1aSmT` znAGs}^6*Q{xEO)o1X=h+{A$v$A>OW=$M?+yZFn|~x<*)9nc_>~`V{m@vpq&&t!0k- z$)9Hk*iJ;eq}YsBc0FF?;R2oy;DfmZ1hWYrxqHz<%6;%KVzKJ0AyISaYgQO2dZ_b2 zZ8w*<1E%OMC`sk@g3EEGyS#ZuUAct#3SP(u5!9dmVwf|^vMwmXu$wR6uJusfC*3UF zqR=|{&{-3$P%m7R*O1+=VINt`vd%=wYv;(M3A**6@+6Y3uKdOT*=*-4aGBVsd0GJ0 zo)-EwDAO_{2=2A7X&ER`Tn=JpHDOWrR07{#9qbs)^zdFuJ3?G}OZ{jydp!LhQZxdv zIbAS)$%}3u?xO-d$@Gh{?5wta#C_$^%yNEap+Jb^J^#__dveL+E*+@m^nsz|QH9pB zHe!;(u2I?r@*yrS)3jI1f=x(zYKho5E9)Cq7lg)>w+`CA?6ZCyut4E6gZ@$fb4*S< zWK5SKVPIlIqepwFYcAGJTxq&*cyG=Kyi|khD-NnqlBk_RCqZ!}D+Q6vD!7*$ug>Ln zxyyYnmVR%z#bTT|_i>j&4Qe(}J-YS&pRfC5*e71o$ui=&LHOe*(UBq{-VL)@JvJzcS$0G6laA4lBLl|# z<4mXV!*1a(qkBCJb)9&-jN;FcX+C|r;+XC0)L2O)qY^Y(I>sD_ao-~l`A(MCfT^sbR>EJ+$?k?q;dHzs)^ z#QmN~ccmZ!tU=*o<$7B6xr|WFiH6amGDjyIh}bv-nS7#sS){y6ICcr~p77)Scr;Cy zA=Pr$*Zyz}0sF>ONL(5f!=uySs#x>UT=5rlmb+&rzzCDb1>3ZaRl+#8KG2$A16ntx#; znO-6rtv1hB9xGQYA#wIEMSdVs!Wh{aoo~HKDLMdfP>)=tOv&rC&A{Um_k9jMjZ_`; z1?1k=aROI3X+EUr&<#1xgnaz=7vVOw?0U>^{MG#K&`Y!0FR9kt>B#~AqOj(Bg6WQ5 zM#{j28|8!{FQF2{+W?)b-({&wWqU6qRY{kUcz3)o8z1aRl5I9KV31a6DHI8t;lF&5_aIu3@= z1mzQnp>YYsgXDyWq=?usxcolb%g01snoT?xkJcyhxU1pEaE8+H0nzM`q@)n=*hB>8 zXrc`@feJ^>>Nt32Vi{v! z+P+Cy|5r##A;rBs>IQl!{}O2BlkX#CFTVwxDpu+-t;iHymrTlsyDl>08zk4@YI`|j zlMix{u2btd)~iUlvm>jQmOjgnIE5O;oJ5Z*^2i>gU&?ZRIC~4Q6+@oI`q^eb2~kL6 zmR|Zt@4N}5h(C}N19na(yE|y(xCTidj#<8ODzF&vzNC>6h1vUR$O%5blKg1-%tUkd z7lfnA`UG81Kvjhs#r3{!2iQ}mUv|EXY^zBUEWutJTe4%Q1Nt~8<3K7S;OCovCT?*oJlu}~w~v56lb+cD=F zn~>=8H3kS#c1|ExIS^?fwY+PEtF>VB)K`T20k>7Ji4^cgu+l`oLV%XBVdY&> zr@vdiKtlaPZgOmz!t$F=Em80KuVWXPnxlJL4+Zw}hVseG0ysYR`okFWheZGl@DuXn z5KmMN<0q5DC0xJ18K@Wa`+Ruyl@VZ=N+Qi~# zrV?vy8N*zsBkBHDEtb~PpMOq=e4yyW!aDf#gg*LOklY6Ch{QLwMW6XY>wb2|7!E%f z{5m!SRtiDqRcP)E%Jml4KMh|FKc+_$eT2Qu13;TOSLJ|6S{Tv2*gMS?B&sx9N8x0 z*kDdhBk|l&kb|pvoQhb#u&g{UKJk|xE;#?8?&#a55&qTHPdTHjKcyrfY|`Ia?dJAZ zh*0a#xk$53Y6^>9BO$0e#R@LogDgW1CKdUAt@pce^=m13%+p&J~Db03p4 zM|Ajw!O}YT=Q;6C#^-GwCA2@c$zltqS+Lq-X|mk12xCc{jU;W&iTfh5tX*tYRCQHb-V!!6KA9%HW9WTg~kn zuRf_Z#aYWUf%{!8IKO=X(Yh+@Vu`={$st4FyAZ0FKn9s_{svpDWIA*2=vxCCT59csM!*e74$58TJ`| z3B%7J#y-v0WtLi=s%Bh26TED3w4{2@>|k;j$n#tkqeg zoL88H;dIDrf=VPb($NZtas6)RDb7jL-So%QylABCNDWTZx?Qj&FdV8scwPpV7n#~Y za?58zCmT{RS=FS$2dy_tSYZ(IDkeRiBjmB`MKZRh$yAzS0mKGz+mbRgwc}kUF9+!t zkqXhJa~y?>*=#%X`N{9|#Th}~%fIJ8tTxZj@di~)8!(N7W-oKgpZ_oE=WO2erq?mM z%!MHJ$)$he#ZZY{L8~%vXA|d-$vgO&8ZjcOOt}vJjklhCq}k-MT=%{<4>b$hnVG8` zI#O%)%rd@up+Bo0R+d_~Z#%YadRAtFn!ux$Ql-sm;*Jly(h?}WKok2sSEinJ6)765 zk|0UXj`g5r*Qdu^pMQmD*oY|b03T3U2x{*NVs1+Up16Y8MwKkDLq3m4ZQrzqW>*jK z~%ZqEF>Q>e{?s&!0OdyWw!o*#|v( z|9g~c1mB9ONfG1H&iA$TYi@|1Yf&0k;)zn{PBKh zggblxGC4cX>(f=_E|jlB%vfGZGj4NH$ZGW~(!_NA8R3N4;ee<}IV!$fqIDiSBaO6f zle8_5$`flKzeh;>JoI(!MV#D&xqjK}P zuq%tH(VVv+;U;&KvTLG{noEoxt2tHsUz zZjZ~|daRejLv+&4PDIJ_b~*;qpZcA@^mw>ZmA__&`_Dji=);C63693jC=-hIB!x2@ zi=zKhyTsk}n1&n`{SAgvJl{7Ja8WrM-HGB4(ZraGp@*Y5pV`lNHc!nIj1fW|vO}h- z0l&X-^8a>17RTge-2T-fos%PC0`C|R|Atd=PLh*!ot_nS^-5d4nCP7|n2a54Iw-{X z?HKPw`s!0=sS?DdCOs2Y!C6g;77?< z!VW*%a@c%SEv)?*nRscIHl(=V$h%WQW}P8WO-e)Ve8a*+v^Qf2Iqzacz2bV>${j4x z%GiACtXE!uO==cvguHDJuTrTdb5ySEXvD}DUXFHaaAnGd)I|9BGbtr^qn0w?RJF>4 zIALGm7-vBHEpt;eDmUiY{*bw6*5~D|U?q7Kc_mQ+4m**ln8DyX15ys{hT8wN-;cQ2 z7XDIy*2RZCYL-F~86<^H$3Mim7jWC3K^bJh8=~Q|@hG5H94_fMmnfq3CjB@Cc82z| z0gzzA>sP#MM>oa^q*${60o`G=S+%$I-1?w4FBtV+=VIbOi=f%Nqva)OeOte?J}tY~ zzrQ1KB!3lAK^Nlgjva2Eds zA5D%T5PuOiskFt*f0r?$NB&wLuKkPo50FX4gcqh?%dv*SD1(>}gWa_;e~m}NDCaxE z|SNnJM^4ZodJ=B8Ey-w*JBXV3fx6$-yLZH#)mqZ`f1s5FSN(JbP`^jMB z-Xx)XzS_)&b*JGj$~Lns!-LfvCWMv1kfHeF#m?wOb9=#4>X>L+?B&41eHVHHHR$o0-de}2Lb?a;yvj<<;clIsK`c=F+vJywtJ#?@sRzHywMy-@Z? z#0d)?9wgW6YkP9XRf$sn3o$kF_w2+0M7;=q0?tHn@3}C)_AA-q=W_zW&iO}hC#52! z(MX%aA*ercy}~@GVvh{e-LU zBmKdS9JMzy)0)w=f*GYvcO)NneS?iiUC@G!uha)C_O3(1XKa=pj=U|yW+i2`tNX29 z5+gls+^*xJu5`i#6B>+?LH*yqjreL76IN$sU81te4BQmO9iQxAg_Xf5E=)|x-&hIg zW{b^@rF3{)A|cSn?{pWh;vZZ?0aiOz*n^n&Kh3hdWnr0M`K{MA5;Xj2zuK`4b`Olu9!Dkg?9+(HzH=ET%N%B^bkADsa?4D8 z&ynDZQLL#kkth@pMc?O|St$}tA=Rf@E(kJwTon7|zEI}9BC_hFp=+~`Y{d?^2n zUmTXRi%N_>wpX&$M+aRF*f??%4i78y@z?rfW;1F8H+*qKSiKX(7|p?~H_h>->j=*r zxf^kToDL_rEAws?D3qkxm_X7pYeeWzRJ-q^2wq{ z2c45^@-Y;#V7&li3V8?sR_QeR4tw?>mYEfhd-WrSf#w8ZX0n3sd&-ikx?NjJ7!*vyDCo{=yx5{&Yz)=;Izas{4qC)Zc^gz_7X9|nF#GJO$YsgUm(mI9cihSgJ?l)BM#{s=yPr& z1fY*M_gS6?C#NoeUUtH0+HbY6k+Wg>xdnFTk>yctbuVEaALyc4fsFCPtEvI(GX5t_ zDUmx{4g)^9JIJD?QC=Q#M4Wk#)cjW%Z1M{_2P5-l84l#X{^gksS6vXwm+J82C^u>T zz|L&~1t-@6a;KI1iR-XQpQ~%wF{2Vc8nIi%z7x^B+=He$1UKr7WSxl8fs+Fm-;{62 zl_5Ed%rU!J{bcRnKvWeo{P@ga6txc-CDrNYk@ImqK6Dji%FL01(E1x$yb{Z~F_cwL$^zd92Gm?8`4%jyLLd z@Zi;@XXp|_Wz!e};DGMYv*&i{#oe>J#P8!a9`OGK#GBcxohqebFXBkL|B2JJzzeYK zN$lt~PC)RveeS6;H%P;U^X?G$Mna#vY-`enQFaGCr`6B8VIC^j&R&3&=M|be=>_=S ztPwLX6 z=SA4D&rG#KmRvTBnN|A_P*k{l{!GrkTI<9o$jYYC$TgwP<*mI+zQ6g}25#x?-l|3Q z0Ic(Kb*XQNyPbgTE#~scLXP;osyyS>5fmDE|Fw5a*0+7{0&XVX{REcx>BW0VhJ=Vx zEA*lWsYv!54WDn+*<<-vaJJnn+V zJ0=|)o4J}$W_I=PlXgrS%eBxQby;sXJ?Ay434Yr5?hn_`QqR2LX)^4B&JMm|=G}o! zI+=Ya<$i^$HKPL^T=g$NbhG-&cY=_S@kLihfND&l{N=N@JRA!I0~v+;^0rC=8NtQ- z>Ox5;XM|&;&l4M?WRi(3U^pTvE)E?K8w^E<5Dfz3DCCCZ=zZ2j{(wFG2w zeJ+6!D@ic{+PrQFmg+9otxn{r-7Rtn7|wYV-u;Tg31!ST#$RlC)f@S$5M!@-;gA#Q ztfzBc)Q+9*pr``_@=U?pH@&~{;^}}C?Ba8!CMD~g%U#{Vo_s?wpx}Mex>bx3^2EgA zzQ=#3cM6_&+MBbMJqqoAjMpkSxZA%)A7l1;6q<@4mgH+hwaRxIOsTzHaU!NqoKOWX z{yM8G5%z+lLJBRi*f_631)QeP?6FbE*_vPEA7f1&+EX4f*6o^0-Hm;RX{c?{IxMtO zwp6*yQJb)GEX;Kt)J|@ZzihplTCvbLuqww<^=!Us+6G=NTL)Ep*h!-*eP_~^IdjAe z0YY3bAz{Y-E7rAFYvU#($LgIB^u=AMLY70SyHfUPV_{VEto%2ZWE z4PF&?#CI$l+dx7h4^G8BC4SSq^cp~le_8b(%ivlTkU)ai`fgj6`@d$8Pv8g5P2+wYgUY9=YOl(2P_&hH%8u1)@S)@UW`lkbf+{PbH^h8p(Q}W_6jc~H z-&Mb1X*6Y*nCMZi79Z<)U}vOz)-AWNKkwJlz><{Y8u9*J5pJoC@y`W>67kSuoRx}O`hGbbPi{{nP~^M$dO~ufgrYViuvakN z+PKcjFVp-d%>pIcW{E`kLnxVR^cJ)^{Fa~tE~QA*-J>t~l-}`wnyO#WxqVB=FS51O zsStU?jmj9j=J03U&2&?B^Mlo8E6Mn6m|Gn1^=NphsP~x%)|4-gFLpF{ZOvW2mNUF9 z4UVcfEzUjFK?&6~Iw`oK_lZ1jU~%_nw-zk&jr_P>O>Jc`yu#{SRcPe;Tw?N5jEJ+{ zjB;H}bE!@*V(rdc5Bk0l<4>ClET;%K;_mVVa`CNHzw58#p5Y3~eC{o+mnY-bZK_>v znm(;2k0uoVkX#j1a21Mqk5l-Y;s0C>)HExze4?@p0JV2WisOd%oIj<6>>Y_keeFCh zdB=MbDjsCpc%X2V#$u_?l)69)&Hpo7`Ktm2&FA>OyB)5S(dxy9TkiYB7I3*Ov{Q}A zs&|3X3rLk?Dz#~w!dRl$jSaq}>C!sZY|d*l!TKA1lSj7;I$Z+VGelbD1eQUblcZ{A zj0c-tFkY&6!a;Di&dd2!5HkE0FnlMmT(2nrF2IVxX&*3515oWFSAX+Ur2&0x~Q zW%v)ek`?TOhv=2XlbK8%^rjtK>tz3Nr;m$FgBYnxjkkmTs5!n}41xGy=cMg8O!ghM zAlf#?tDpULllk2d-$rjt6px>(Kd2fU01BL3e|3iHi>2l$ zt#7($gz@IK;>!nf3HaR$Q$DnZyj!$;>(FD)J29nBjP}5++j9Yql=l)+t%`>%Q;N1INMe}{zIcRNJe%nR zMc8dQ@Xv=4dg&lWLYn!_p)f$`9QK}Ezr$OhRUx&a7t!1VE`%pmm<$8#djQ_+g)GL&YVmQXMuS9BV>~t?$^5yxXl}4vFQ}1c{uSGJ5{PO;8fC4BUK%;|u^23{G?2anCzJ zQI%f42?XcB(j;NPJK*sI1w^!K1Iz0e@ogSOax~IHsDo#_jE9>O%Y|sgV0dBx;HriU zWmLq6GreAF2|I|$I-Ys0MQ^`EXRhF%33m}exd^%@FP>3vAlK|p4hydUr5!^Urz0(&oM{5QijYN$C@S3`P z%po)u22l>N%iyLQ+;354^QLz=_lU1sMImHczjy(pV|uSXUZt`I>bVA!bFcbC$tAqR`TtK5&CmhFgszi%zv$dR7yrPvRhIP=5D zq{qhyqTjpbdAo#|yAOBt@0KUY7%xL0M+*dquVJ2mf&nmvI5=uhPzE&!$QoQ(c(F#j zsE-=daYxRyq~jY}3{e6nib@l-z!^A~b2KNU=M1|Jdm*4cObC5>JW3BXaP<>RmI_I! za0+pXnm6)uD2v7kjX1y{c^IFk3|`{|q{b5!sjO5Ikp9yQJS3-lS456|O?;`%cw`l-e!nB@+r5SOk7`M{99DqD6@xViFV^4g!OREncomLNzxzqB1DST% zkS~lr@sE?0>aL;}O%5)Turk6((@-I+9mdcm|z< bgq7tZ1C}*=(SQ^z1NQ$tb{s{>r278@qn~(r delta 28347 zcmW)nby(An6UWyZY;=t7ZbU$&Yp6&|Or+E)(!w_QN_q;AS=$@HpNKvIc=c5YUV`pML@KU+{lZ z_|HQ?hmp-{2iu&8)J>(TdP-qG91bd;fZM=}JNAA**fpZWh>IqDzx<+FSXdaLjFf(Z z0JVlu{0IHLgH(l}P=TGsxKkQ8+b`R_23<`8t+ataS$t=2e-&c9N&w%@@t}%kDL@AV z`Lx2f*|<+CO-vP##B{vCn2Io;b^3Wp5pKG0bEFxMR!!BZZ30{KH}Yw?MH(|;N%_}I zMTxj6cfh-89X`l(XaMVbGfn$tQ!R>1oXA6>(6td!5t5@M->RmtC}5gv+Cd%EYxI#W z=1=%mEP`p$OO0S-rAy5v;zNp8{soJJ`>#9#A24ZoNq5R!&3KeFT&_!WT5n~ge8%64 z!`J(sWd`Q_D+{!NN^(1hd7DQt`r}zr5g%TOKzw1qj2bJ5_rcI0zGriClh4ea1VOv9 zhc`g9NhRLGWPz}5qX*asyAYM<1q@m+Q`h;O^ZPu_wC=4PCZt+$4A( zvK~NiAcWLfH?WySmhy#ZWLBOb(=?+qbG(=0r9Ah}$C zm?V|wlKtbjER#bO&+K>;4t{<)>4zV6yLQc7u>^xSHx+tp_}tuUswI`Y6@yzdgs*h& zmr9|z2?i-r1Em5o(-L~f6hxdoku`x&WW0e3-F~^*phe}@cS0dyx zv`Ki}d*RBy{mP?!_Hlmh2ubXe7@%3JoEIzER+*%J{i~rw!{XSY9G@q4HL~zZTLXO~XI zbp(@qj|CkXYk_U?m3$#q@205FumSexb5rV%zkR99Fi^mw_yG{=5PJ;RiL`aBxrAY( zMK)Hcp1WJrcAy%UOHRUZ`5y*Iu-qR^qS(RR4lQfDHK?Efd;;RSXI}ubNsj+b@#MwP z;z6roeaQCboMoFtQWHV~t#D$(CPILl#qlNC_aSzL1>7||P!YR>$pYCK?mQyjgdGa9 zA(Burn5_m)-Ausdg@()?=@2KvMn9U5CTzFZxI(3cw&$*KkQ}QR)K+6_<@xo(scrl7 zd#;b37PX}l?Q;3(HXGilqzappq>es6nCTjyGh)B)!09V~zqx=V?YEYX6p1Zw%@N(v z=5d~wu8>%16Pb4FhBlBFe-B~?WMWnHDjxb`tE(>x1FG&C`CXyBecJS3_w9tjkmRqs zDk+WLy8=_l0;{WK6H-Y^KGIBGNiZ*#D~C*RYWmfJa=4-XdXDlA5n3Oo7}q|6XU z?T2u{=k>0^;}~)vB-TP#--MCh8!XM06MBzJy(7d3LIrb?^5DCupF621&A;|l#)HO+ zDF#P}r>-%rZWOl;h;k{XzVVb3P<;>vD)}#%4Q=L|qLt+)o74`jOJhX0WQpRj(yf#> z2Hn9T8*x^84n6TX7Tj4q1w_2M3Xf^)!;}cwqlLK%ktVT(T$Hjtx6O$XWA9+CUcsdp^HS? zF?~lr=Py>DtKnKlS07x6nv%j-8w#g?mBh49ps9^(?1)x!;j_Ybf7l&na30_DKt#*rr1Dx3?y`qnvOM;+ zZkYeg_lp)s{!@oP<~y1bu)!@$DWRzChcYSMmSeFXLT4tI%VU57)dACj$okeE>taeK)-q zoP8>zMh|OkeAuEidsnD{u_y+#XtRIKY^Q-J%MEA2y+vHCD2$kWRPR>om#5{nKbLfH zpX4oIxZR(&k$TasyZ5BAy~w0gf@(6N@6F|x>h-lMoA&GgzU+i>srBv6DrK52r#vF{ zK<;L;bqD+@l#wdRKEOvbsr11^L2N_50@r+fyvc5FFMOclF~-*>9B|is37KjH{Vrp?|Pi$|LRdXqZGZ?RhbU3Y{+W+-WI@_Fo>+qRbwhrG1_5^P9ICS^Q0;u-$ zpS&5Yh$#5PXJKdg6QUSJi?-HR3a7NGYS$kd1?q1O#nz?*HwqFr32JctzH@)#F=Z(& zV9>_|mJ}kMIvTNCn5xiSwIX5WtBV$jfS)w8x`}fvpHa>RX}ibBD|n zP|QCY?(p}bLRB16@a|S(3gguI;SE(~gIHwahSu0>+>THy9*x~7X6oIRY6=Z2rR5D? zp5ScEHv)$nm7I$c*vmYDV-;T=S@)P<`US{v*~42Cq4>d$CujN)cLG^eak@@++4A68 zvuyFH%m)Fb!qlCWbBE-QFfezfff}h%sdc170#~*h^k!)m;$4=9{BX?_f2t&Z@Uj4;imO)oIWd@%yKHH zB^{D$BA&0%W-;HS*0)Ov#A)ak9$rW5L2pdhQYvJh)zW8whAWRpQz;?==>8W_?G(w~NIAt9sdoysL= z6edhA$knonxfrySYJ=5CXq~2h^!p|41VBWX$s7Xr=tlXE^%yXx6_*4x@{=kj`mzUGThPy&HuFPR*?5D(yWb)b&x~ptL zpJMr=j?A;_?IS~1U38^oni$>sOis5xCjovGd6fSB^v(*4G+m z<>NlNQ+sD{pIxiotiLH=mZAX-`#yZru;IayKe2W> zsKb@sBcd{S{K6lU?glq0iIKLy^PMl-3(X&gwsF()@>mtz$vFO6E6n+% zOerER@XMOq_kOsPIPm2K>}FfrAM*`l_r1OuNAluJ)FWv|k7@H=Uo=mQ^x@Ch+iscuDdVYT(C zQ8MCly`WyCho^gC##8P-$yi`Z#WQ4gG#RVqne;$RR4;UY3XslUGtZ_mB5xonB(I#) zC0sMqTJoHq`gJe*^E;L3>C5E_%{4k_oKBtZTs;)fkSAGel$=DxQoY6(W5j1YH75n; zV?UMO=iNvX%;baQgYxS5Y0zCzZQDMaoyiaVFfT%TDlS4UsiS08x~~W_FCnL zHz%z9o)HjYe+RgC#B|L?2QS|U`1fgJ>d+poFL&Dcw=P)-2BwkCo0L~eKE|@^g!cc6 zL4EM@SUNhvgiqL?9NT#+5~5X-`Xc4r(zi&#VELZ$?4SpukQ)!?qr8LW8OYaF3@mGd z|GRUgq$lWsZh0glc=W)*5~dH)0~!6{(p^^()fXCMI}>1Wz_uj&;D+?DP6_iCVddl~ zy`OKVKCycH*RR=)2W(;fyQQF84cfL57_-lQ6M^}#2%#E{w$2u{6t)r{GgpTxnZr@v zw!&n8&%;+Na9s!LP8bL%05`10(?m&)aO>?P_Zjeg&_5|%tq9D{t^y>O5g)9s%0om{PELyek3r~mK^B;V;rf!hZ%oC=j^BwzIQQIG zF1;~-aoK|zm4DutSxuEZzvA+kX?j*owf!^2dD6$6(s{j8n^UB1eO)RbP-;}rnZutmI7960ULvOJO%IdZ)maAQ z7+$rNHt&cw7ndBfKW%Ol5`Lif=KJXlKy&`VjZEp8=wtKS!83aX4JI_P2IZ}#dyn!| zR^&a`pKP!KqklYEK$W7N`bHx6_TY8-hhoYckAAy9PiBQ{H-{ZDw5wi`I#rGgk(C|Y z3ZK%IHr-hgOs*GfO<|#9R~S*YoEtR2%e9sDWKY<~*p@xPJ9dpK={hChDSn^I^u&nO z+X{P8)k;8avd!r&R>vrl@-%`wG7&#;@a| z#ht5R`YT_>N(PY+zT^CnJi(a``P0-@+IWWmQboD@?bZ}$Lm(jQZYADE3532Wyaf@d zfQd{;{DL|^UCp1j&7DAk0Gn5S);(?x>kI_@$xy)hDpuL4)=xT=el@rTiv1!X{~WHC ztg6LVPFM56Jy-VxlvvW=+EqTmTid@=dHMaBjXQ!#(yJ7Eh|z9#7lN~X&_F*?-gdUJ z0Kxge%;d=vN3ccrp8SBJSQP%}URd3SzI)Z)mFO{L@8TSMFc@fr&*AgmvsxCp`NQo7 zA@x=xA*=xdh2(1nMoI^BpUfq}1K)?A<-%DqAv0^Ibz>3V%TAxF>Ux3PfHKY9;as#F zC?38AMbbUCm(W>VO2NQH*^FhGa`0))2kkyfiXBq{f(JJYB2~&hpvoxBYqmzao6u}K zC1D37bC(ZD3k2yUDFz8y2)`YiJI#Zdsm8%UFdi8Kb6}%kHJr&jf4Z1bk?zmJp=Oa7 zPzRhz%~-HaY3qm9-;{T&<-C!$WfNV%=(OcouGP&Ya)9ag(F2cOZzKdO9Z?u zeA7aSs(mj>65+huL#ugMy~cY?(|d-;VjcK_KSK6d z%ANdRUd+`0s22c6^lrW~=`gBSY(3=HD)WGuyL6aJ+KB6*@V(!05P<=QUAkPo4{x^O_9upED0Ixt8Mz5&|3$1O zL7Nl#R-MU5X#ObLZV19^nCuf*lHJ>|ja-rRpq7U@^Ib8vaq5Y9i%Z~K^`qXJmm7fr z5$aSL#E}{00wIv1E?@oS6kF69B?AbZj*cUB%xBil#s*5FFLJ&-wi+6A+T+v0U{=3L z!2OBU))>ha z3V(e(cswx81bBSobepyp#yS}*iL&l+Lq*?&oe-ZC6dc=_=+AvM!eE!vx+INPXA>_o z&x6b$)5&+2IKtQWmHi_u4|Yf(-%`@E)r7&u6t;G^ttOH*kT}l9CtCJFzbfu~iI`6M9K&Iwdz~0N*$i<~r>OCve70gY zza`e~=_PAPPH);D*YbvIC*=GgiVt5uV9W7O7Y|E@Gat+yd1yXT{&1pdtIGqV(OTr1 zzmnGVX|F{TJVp0JZ|Ep!y7bB__B^xlV zxFs_BBe$%p9aJYOM3f#4>{}881o}Ybo~GLB$WrGINR7rv=5De97jBr%VtJL+69dwo;odtt_Ego<4$6 z=D|v%m`LCj9WcBN3tSTFcUiCWzo*90KbCTG+`H$E6-;+)NXoEUPDWnSMF}3 z)t~qnee=!6Ni=^xr$i31;iJ=f4C%hV@3{*w#D3Do;Ikj_VBjV})w7JG2%EHFknKEd z*jAz3d48b6)GBWy&y3!?X7{5_O0~(m?hsMwORs7y@IEVtd-FP1m{#KteB(u0)y>Ht zn0q?fchdY#{wo-42_fBv62R=jgu&yY0(0UrlIbv+3Xzdugq$&aiH{bQR`{vHlvF01 z;0!0~ysZOkdwqX77vrGVJIn2Z@%d3Ihp$OI3;qoqB>a4?jQvbLY`e_raKUSh@A|Nu zdd&f(j)DO1z-}051xmJGr&%mR#d$M^yADMZ1|+-Z0J-qE?xkz((I%XalcpWf`d`Zq zaaJ3t76;=Gu%MhD1Ew9w#!6vM+a$*hKXSCC)z9khaH80FI>Y1hCj)&3v2|ZSmxZw5 zO0uASN3d#M6IH}Li_<2hySSdre0YI>0cZlV{X%7jI9c2&BfAPU2pnsyu`WoO@f=-- zsCEj6GIP6go9csCliO7*iV+kI>A&uRdoL&Sr!cx*!}2;me(cR~CUgsjFn&_O(nlQr zm~cnu8$OCi)_b)7v#wJD9(rmhwpGuwh)-q-a%vDW!fQ~KDeI=Is&m$ZwvHem%~$o$ zaS-t4g9>QS_s${Zg8{p^3lSO-8a3%f$_8T+q6& zMz&loc=!Aky2Fis_P1_s2Uy#P7U>pJiGn5n)CP0oO`$}`M6sO)EA3aodX}BI9h}pQ zfPxCxS^(ARK$JfoQ2Ib0(G1ill{-H_*C9K?92`kkiN;vPX51v}CV_VLk;E857Z4(? z-lX;TIzcdetVeNinkP^RuI+Q}JXgBaL;<@TG(KPf+hmTY1~WGwQ%KNh+rB4Fgl9y@ zW%V~tPQO0z3WQEuJ1LgjXr-&MVnb!1*RFBmizpvd)+uMU0BLO|0P&%8yoH9EuuLv_=Bd#;K?zX- z4Kh+@K+2lhPkZgD&w)bjiZEcHh(kM^dX5enW8|DR_H8YR@w2POcB9qGQD@_IH(Qw> z^nz0RRp`{|U?4ASgzr}p^}P>5ED9C&pdcj;-+Oybfg+;?Z*(=nQRw}>k!fi9ze5Ux zD6EpFI9Sd>1DnRm+Ji`iYuJ=xd@3P!tQSmlQBVI3$;4PiR6w4`u5)9XI*s5N%n+SOLVPJqeCKg;QhA!bS$e#l02Fpr*ir`D*=ZPoIP_sK2hI z{GQCN#E9GE+r@3mhE6$7PMYOP2&s17`vqtR#~62APd%1#!Ld=H>6VK<`pOPCAqssi zkQPJ5Vr71b?J%i!GL?Gw#ZC5GPB=sIG(s$xBJZTph)VqnmzkDfr%>IfeU*X#P)_`M z2O8t23L`bA_ArJa54TVvYJyKdxJ%malto)Jh*<2RxU5JvW{>@x*CamCB|YhG3FN^@ z7g1i~ALd7RIg-urdmcjrtvYyUgT?fi<8!8IIO_IM4e*5Q8NLLCX#|-! zf<&wPrVQ4BpFT3dkDZhkkldw|TpnVk4^qZoUFaaG$z&_N8ZY&NnS{yvvX{X|#(5xy zRcxs$1*edLJ5v8<`wx9wL)Wo3cU-n?Dpu?|2vl;L9XQUk(5V>h1j1T&!6MZW9^NJ{_8)_9>WNl9=cV~;reTE zOs1=s`dBy?xmx6Kpi_kZwmk$u@%v9MXRgnLoCTYmK)4vGwuJL~6m)dvfEk@TUNFqw& zTz#@L_Tnd&j?AAnp*Rr6njVU@cQ*wKX%@pOJO+p`EgADKuAf&KGuZK|?$CJY);{=( zoR)s*O^+{|!PL;&Und@JsEGZ1`vOZ_tLnjeX#DM@A#M83>dXz_4$0H?XN4@cZyV-A zF>W{9@=bw1;~zg=5|r;6*Nr>QdC5wi1H-L&2=4p{x}uvIBbmqAp9nivE5VqbyWKB zA>#h1jjkhLva2wt=V)<6{b*GeVy5##Ax1Ib_NY^(=cw9N!<)DuPLtpMbeTu236SR( zi~4gRqIPVn-sC$z?Y_UP7|F*^%0ROno^Q&QVB{V4*(SqEuoF5*^!9>DD%luTU}WcY zL%rCj(y@qz9*GXuxozc$0-n%)NVbOk|aQL5e)pw zPL{NHQhQ~4_Q?BXb~=Ci<#+d2V(Q1JmE%`gO0!c$$r|YkzyGD^SrWUlU+Z1!sr*F! zo$c3~ERMC>8YZGuU)?+XID3lK!BeSO{S6S33KU(P{}dt>MMe?0>x(4y>vj_LXzXOlOQ2aWVyGkQIOPOO|V3Wv2y+`Otlr&Zhhkhwe@8ukX!D0w>ZDd$AA>(Wbm?BO zlNQ-!@5V0CAUk%Dvc3HIK*>_aq5abx!YTv$-JEYZ+F#BMA(^poa4fThkuTIj>rRKX z>vzd+D`3<-9NRr?6>vwPJ56=A$B|r2RDv6&mX})*0=UGBjEv%_1TB@62=_tdyJ^!jgMHF z;9lj{8jQ4){m=*1xw@-Io0s;@m{cHRe{QoEx9ywMezJMG@7Ml8Pdq4BgOU+}()z6S?nMdYwToK(=3l=$X8c9o&^-08JhYPL{GRNj+yQq z8s7K(G3@=P{wbd%vyxK*tFfVeJ)Yac8 z(nizcQ^xQ{J{MQy@E>=ZJjHuu@I!?;uOYM9MWG*thN{H4t~Dio@5O~B{;D-1Hdxul z-^wxY3hd*DZ-9E5R5e^#EO|2@#}YfW!TR#!UCH5l1-lowbCmC#FXuP(9h(vzm0OVe z5t0pq^>A%^LUzTLM;-^CRz^fmDPLf_@GXB57fveLCP!KvdshEC%%E_}zcCW^p+QYG z)PX3>Lf;Tr|H&4MQI!)~UpOmP+ae+{n!jWO=|mjwSOs9sap)MAopD7t^=_|~)CSy8{gDN0YWV7KL zM_r+6RLy}2x-(}3=1sIy}{fa0`ecOQP7 zJ+vE}jYafP@o~Ikj45lqONf~HIg{j~ zaxiK2p92foQZUimU_u?L%QuN{6Pcu8b2Mqo&*m`6C+`{8-h!kTGs8vg-yu4&&wzg0 zb8aR}Cr{f)k_sX|J2ggeucNK#y&C||hUi%Gp zHWwaWtmZe#)oEHbsXGNO%=MdoOpv%=iS;8#k=FDjGLyZ)oZ%w!6J8)u%Eps4w0K7U zf}?l45vPk|ZnJ_TwI9!e7iHH}s-J`f@7`5hfu_J~Z{_;dL=ngj>5o)8VjL{mi_-pR5LQYHlMzs<1y)ius5*Nusyv&A@NmVEw1we z*ifo8J&U(MLd;FM$a9)goOL;_w~VMUZgc2XE7lNkbs_;LUqt-2;K2ELnH@g&MqJwP z%ML%!F)^jBOGfH3aCsNV?v+qwZAe?|e+4r;IR^a!y5ufhWd*ChHGpAruk2hkQ=Xae zfyOC#=eQ{$?b0%wk|7T-oX3`QGp{u30%je*o^KBC9QLGs%%wzWoVc8hhulHkpYrzL z_jz6F%|gCnOnOn3F+%0@&toBsOzCyjW-lp(XVF(6GAr$w4~R%zd8TG*{JA$h(DQYW z3Fo4d3dFq5@za4VqmyY4LLFaG*+3DI7|8Gow^Go0p^7XPo+=;{#-})~V9{?3@bed7 zqeY@opBrbPXtO3s@pLefSD!6tS=0+NbQw$PRX$%HQz%HvxL0<@BF^7#+LSLluH|@8 z%KAk5L0`BYu;bhZ`2Mwy8q>K;4rt!mR`8sbgPHhLy!b#`ceCL4lza$nThcsy&;Q<Gg-M3#TaR%b!Z`I`23rn(;Of2YXdFdo9nOwQsv4-u&u$VU>%{uhwM0C zIcALLRTIP8hP3PNTG@wpYv*1_nMsxg&+xWk?0vg_0?#Ge4M=JfKt9%AA-Ecqt1lb_ z5q&8>C@F0q6aOj$3XT-d))PiiChC2VUJiGH+q>;N0)(U}p;m#4YXJJ-=eivsAtSE8 zeGWiV&W`-H$DWqZso3Fs)-yMr1ni3BMuoUDUfGfzBAb(u0kkucCY{o$J1c)9x|6O7 zo$Af&jCxWxr2p0S0Dr2y&;AVi9AyVawjrYe2GlRzJc1EQaFG$6|2NfhNE9H@*W-pUTSE9%{5Py0>|FbT7;{}QIWJdx za=AU)=5&&Q4c|LS-^Mjb&t}<~s4Lg)q9v5nqISC`zZNO(-*SoFK6@dMEo{gOc4u!` z!r4;BZ{vyCeKw?c@3i)_Da5}vK}_NwPtr@jON&P!8|<3Icap`<^}%ySFo%>dD`0F) zXA7~F&SQI8svY3eI=F)qbV9EdyFxa+?%5}IXn+;|CBM_+ZwMGnaG|7fPN3xVxN~mesD_;X1#-${3L@8G z(JAoAHMxHilu@)3u1y{{oK=5R0IbHy6IbL%sDn4lTT^Wr0Wb8g#T)8pcoJ=<0`;w= zY9LK@Ir2%ekRVjoy16MT@0aTi+lKd8G^H_x&rZ3{j^<;%(=P9E3OVS_IdWe19QKJk z50x7}Wp0KXo)qBGoNG%u(^c3rdzbYe9(_5izex+#?Y{`ic8&4iS!!cM^xCV%mfCNo}GMkXQuF{0S=hne459wQDc^brah^T5K@gmwLhx9-Kvr zG7b4}Z^kqTgH)9W?wJ!T&$0%9tuv4G9A`dhcc{F5EoCfpuoWKSCDzkXa=|CFppiu% z=26n*yh-SaSY(`NZOKnyinY3V>ZkA;8_hRC2u72L$%zM+BSP;gz#qdOz zDIEfK50rWj8GOz#YQS+np7SH+7^lVAgoU zD0tor;WEAs*M_C4uqo9guQ-s4?zUqnNW?VWn=@n?^X-FYgboosWq%o|f9 zOkQcVpKs3`Bu)nCxitbA8+@kHhT@>yJjh(W-7+Xi(8iBs{ib!2xh?+G2%mC_q!qU# z4hGr(>p*%I=Y%mhTu{?f9%B(k9kMaJe`ACK;g9Z;FWa~gD~tWhoQ&i0O14YOCNA)- zgM>0>a+%niEZ(|Dur-_U4=?$IzB?*6)zs+Lexa(`rTxg~=77b-UlXCKB1N&iF`z)9 zE(;t!TZF16c5ybo!=0L$W%>7tJ*xaz2`f)(`F! zQN*sli`v>SO_<=clw!;7zb5&xgnyh95Gi5TC!{o-(~qB_9<8q0DZM;dy*~=cf(x9O z-`vr-_S#YH-TbfF>#XbOl5)4di96yxrsB!q*d4!oz?U83y+0~Vh%9B|S|<}Mr6c`< zb}XfbjF|5L-cMSm_^YDxE!l>+y9iV@9nK3LCv`;!NQ@Q4a?$=qDGMipjIQ5?Bc(UVo{`Qx};sD z=O^IOmAPq%?ZvIuqR>yiIzK}i(v~NOe1&EZarz6?-1I3L?ny2M95UhNX9eGM%B#E* zH<{Df>sBt`nvzCN)bo~4yB0~>IdeCn=*%vpfE(iRc93K1iYYnK#hjpyx!OffK-Rwu z8!F>4G|!vQq$tjF`{AB#!|=qzVbU&JML>XHlZ9B(G{m1~R6m28Yh5m5W(U!1E*+@0?tfzT>r09lbPwILIjcC(kEO(sw z;XZ14@Y6m|4>(2CXm>Yx$n!mh#Xt6Z)ZX!`){BU*R46G+`!jg#j#fobd1z+<1hAcB zlTex4pAP?nxwoqSR$tm6RxVlseq2K@+%#E4?o&xtmtoqTeup>n>tx~q%eVVF*6W}L z&a^i>W}e{zTl})gI9>?&OWBZonPK#1gAygMM)HS+>*~M+`S0V4UnP?pdL6@$lk2fF~(v6JqCInOZJ~RA& zf;rv75`TbTML%ebw;|Zl?d8J|M6fqI|0P_d)34#L6K>FNmf>#^Zqx7F1@PsB3i`cD{C&a$`ol-~$Al{Slc)G+glhWp z7x)@NE&b&yd>x^l-q46|A~e%mTJf(5Z|H69_zprRozaEwCiKvI`|$mQ0s7lP{5!&X z`iGDBA;K_yWEB62@R>d~j-Mb*(x;gCX~GPBb`C#J_(EU!ieDsrqc45O11tiYzRba| z5LW4HKk(~>pY&h9@f(CcbnYg8i}07ey@TH+?9qArFwG?B?O2)HV@g^AGHRW=l@%dm zsxC@+k#WI7Zw-f zDAifiUQJWT?v+L)Q?3FpU%`HT;G)ljQ^OA#`($&Zqu_OC{Ga^rQTJ0ayeXegl{Bwu zy&I4W;Y`;1(VH%~2T4juoeL8mCxR!E$E*=*^^n*`Oz;z(FR2HdQ2YT#HUsJq53*t; zHNa)@pMBaJTliK(iSq`gBlLaPIRzzaY~rgW|Jh$q&2dvB8$cdjA^zL(Im&EXm%$nF z%Q+L_jTIWE2t%@Va2Dz>Dti=XoHf5^*r=mF!Z6LGwo!d zF7D#My{X!*sq2i;QF5E^She12k=uj$iR71MiKOy=W1E#{9HtI#iT7>v+w2H?5`Tx$BeE2KB z$%2|)bxviDB3A23+`AlhwsW_FyrTYTS4u7eedTv23k1E2@0FZ^-{uV8@KJm;8Mz>J zNdCK0<7MfB&ipzdr>Dv-j_zSM83k#d7eep^PX*vk_ptYR5b}xMowgey&zZwZkcxtR zmSg*2Vq5ImR}eQR&NSGoW1L{Yg@=XihyP@yze2s3wb?Id%?G)F;=T`XrMkoxXwRZ{ zx0(Bfk6@*=Vm34Kf+&6e{6C&N~hgxwZdB84a!W}fEnH4LQ9Viizkd~E~)V}?l0xOKJG_tT7vn_6T`Aae5 z{)^k3M}aTOy^k-vWR_Z}N9bE->n{(_Yk5jPsXOfT=OxU;r7!NFNr##PBSfJx;YrA+ z%Kc;Tt;|ZV@EGvA1ydS?WlokjGh+PsqJV|p3jdAPiG$47A|HaWg6%K|6TYPC!hT+v zr7Z5%dZLJJ%Z-c^);8e&1_$5gALXp=;^dRul~ssGM3evN)-FjdrMzc6Nzxu! zFP@+ue;mZG1s6(d48ia>)#x*oS#yz`RqB?EIns?%i}~Bz+cgjphZ=;HLrsgtfCFdE z|7mZ_XHb<8(N&ef|5EsNS`cWWt&KPO;!D0T2VtX@sQYqHqUiuEf3)&!z)gLKG`|LA zrcJWHUgJ>N5Zjb-ad_26C2>C=&Kag`OOW5KbNch=#fv(=bc9y^_eL;e9K?>)-k^5) z1o-YKgsQF$N$VYvJ}e1FxA5`-Sk*CwP<+~ZuEfog`8Mq{={5dZ?OW=Q`P*4Z4_e5B zMdbP(2^`?LYtmmhnvd(NyB;$>1WpzU-7boUn2lJ>Z(3SZeEUfq#l74qxSRMNI4BfK zDCwm5j`dMxYf?_?Z?HO)I}4{AxDsHj9yW2SAYTFQ*qGl^$XL;U@gpruJkq}N!k%TtfC6U_oxfQ=cVQnGGi*bB zAv8VI$r%-2LV2d-VE4%x@FQ$wlVLE&d$m6=ohq%;6 zu_v^=?OKKrEtubB#YZ^rq+r47^i#DAFaB^L*1TPNR$0BgUfAJ(FQ3jz^O4kkQqecwnC9?fn~ zD7aYu`dVD!lw;PGpat@I=7^-YJtgL)D<8YoxfwkD+qH)OV{4JmPdMN9j%EJ5el+>xpe3c1D0Y&(-G`=JR|7TqVckZ6%**orV6 zaJf5rvAU#_(~J;2;bZXR)7a+=MN1%)(~;tWFo9wHLlEb1>bErH<%`3_pQWe%UL&VO!tpBO4dk+=$l(ejl%>#bks9>ZjTd&aE2{%0k=SmU{8hhT?JOa{CI zskrADHH}4>K+dRuef*GrxM^5Fd!24O(NA`gMVKwYCF=Lx&DqUR!_iqAVfa&ia3<&R zP;K#!mM4riC}<^o8vL7!*zfO?c;wp`Kjo|_CMI(tHuK%CO1fT~H_UmlNP?T8zS*a> zOi~79BBhEahxvE-&ngxaXWO3|^(S-ADD|LZ_$E(rtqNRkt$#snx4*r|Iu3!>!RzP)hDpw+w-2inG`tf;#73O$+19u4`V@Cj65Ox3D26cVj4BXLtKY10xaf~0|GPP{ z@-Rcd?WHv)6cMi9j~N&&=bQi#QjOH!eLZVIGdnM;s9p9OvtTI8&faq7Ff4uSzieV@ z`Q+d~nTH+jWoN3MWc?XF;+rE<^Fil0_(-?$R^6I`1GCYuiY&yH*Ck^l%?Va_N~xCp z>%nHqYPz>vPAXBKxatgsr(1e_;jt%ncoQ=D6a2^AmC)S8#$WY_G$2wvaOIKp-R_Kb z6uYyvMz~VCEbdY_86oufarkWo(aEyM@aGp!tRSe!ET`@+>qjdYKCd1|4;)S@i>N{# zO0UOv?*scm)AR4EquW%TEXCl$BxF^JbS@2k>avP#KQuTAsiGhm_^wdv)0 zP`JfP1*r7VAk}uPUY2`0OD5&y!*VIW|F^ za36tkCWc_eCMW?Lm1gz)hgsh=_Y$}FZ|MlD1mQNov62^anLxoq)2m1C-04>MBv;*S zd#F2BV1e(sky@T^Pac9=VIa3EXeSV@WBPk*IPOob6(a*GuzxE@^dh(;{^9WhsDC$n zo*dpEB7b3M3LKyzZZv5$x7O^c^Y}vann1XGfM>%m-5X8mF8ZsVVZy^RG3geKDf-IP zEyfKA@Xd$ZyJ8s^xx^PM_bm`_krQoAgQL4=BLgb4T^RbJ?5V%)?(;P;{Fp zqzwjQn63DDtXi6}VQKjEt6_4s@rG#{t?{rMn>$g8kT{Q8sICsv1q^MZPKo48y{gmJ zJ+dzk;9g`L9?1`wbN*XZnJF#Z{PFpR(#bTx5R21et4ggfLWnV%5U5a5@(YsYepK+a zbgSSs@XIc*=RQusNJO}{ynL=azY{iV8Z^ii!a?n?y*((G$&0qeYWSW-a8b9 zwc2N9lHnI|Z=Wq-$Zp?jgT@uC?j1ZQD0K5dn;j8#`n{x#DS0?!XK*j-3>Zi`OFd(K z*7ef9%#}P>i-drsOZO|*$pS;T`Tpcjb(%(1cchRJ3*RfAvJ;9KYDUWk*TEvgVO8%d zfeK@-CC7phB>xQdl5y#Wh9%U{CF9Ds24(PfKe@P3iZ5m@bnys-&o7P?*59C<83la# zFa25TFvlOF7q5nuNMC&V@~~m8i9jmB*jU%aK#?q!8YU}WRD8a0#N{qwLdG`kO|qtK zhDE9gVdDy-UF3Ut31lNG=mE&od-xC-h>GXMX!aPQy0@nj7$A{R~kKkhV2fQ+Z$=UMl$4icW%JrZfNeQ)wGradChxn z2V_cyBn)?VW{JjvF9f*3hPLan4oS#j6OMw#M`)1~C%^X zHD$-|`NyGnkpnf~k5++`E<>yLE9Sy!4j0A*Uabe-jnK@;mf*G`oGq;7RWudlpr}`| zE6Z4rs+Mdg;ekUXclgY5wldHIE9zPH@XO&tO*(q>$b6I4{Jdn?>S9qbJa9qvhH_YT z+!h5T;^Ml0_n247pd5SHHs0Nb1&LoYZ5I8hz4_DE*aZ~S3{mFmk63qJPK4H676WPp z4|e9wGZSG@?$4ia6BBf$GtapTzpuk0zgj&8H<@_3?wOGWXcKDz@PQ$cDY~oZbwi5# za3bO1aCiP4#1%Wv7xtLmaLU~nB*MxtvOkJt<{Ei=Gz!erw9xSY?iAYc9do98`&E?WFnTj0qDz8^xwV<|3yd@4>lEC>hLct9q?Y9x`dOvUU}6D#8eQ^%NKsC0fwp-X!Qc zF6`l@J0<9@(w05ZQMD){9Z9jUGDJ-ttZ|$8CR7hs`v~=;QDSsaL!8&q-ku5_I}FUZ+k1`RjzvJRlcMBg)J&nfr#BYZ za&c5xnY8As@wDC|H=g@9R*oJ&B{(kmUMSym=J~#CHj9gmRGA!CT>LFo6sQKqwGXu7 z6M6ly#F2K%55@pI4jFe4JhR7I-MzB(0D=6B-0RW&N?t;*#_xssjhH`g5c4&lQpg>% zke2q2L6^XmsIi>>lzTnzFLs1@L%RZg^>)5_>o?8wYgdnepTM^7SvuIa?WD?p3VKjs zW4(vBY8{$pNT%{M6~WsHS0C+Nu$~%Wpl}Cm%=1ho-s$Y4rXv%3>Sp>UnKBh;9c3y7 z(NDlDx8_;fdwvs=a9JS-4vkD*Qd@%8zg%_lh~kJ>=jr<8+X>2FK9zyAE}P;vw&yj{ zg4_L?gs-c6b06ZrTiE+KQM6@uk?zXG{-Y^z6FyU!y5g&Q0v8gbba%sSPz}b=$$4YTGBpX6{hGBk5|t=RVK> zCA)CoT$4duw>{5FFa1QvFrq+KbTl*__;?hUs%TYn!}@po#vk6qZP|ex@qwGSj~I(> z#`COuEUT}FO$APbEH|NI(O~f5%IS)h;)B@UG`qzNy*}%yLdb&|6sLzgb5G{Wjany5 zOgB=$aza0_kZY<+mdc$IpfMk*GJof3$vixz7rv^BdCOEqT93JpNgueS+$bJWrEN96 z<4^D7kLA#)=+RGhp;;A*gt!0RUpSC95NjEiovzxDCk{IunoF+E&E6A2N$1F1=8!B; zfMT#zo^>-&66*k9MVWg}+tjI^u z$T^{k-Dl*nnb5}W{Ep%Z^j=9$fVH~!e>z~aLM7Fwe&JaS2bppFmIZeXYy&Cf%Sz_L zMaRtLMznOf`W|wU3CKEWnQ~6;f5UEhIExJLUfgDzGCEPT+79uZJ(gSeOA}-jJQF-e zU405jw!+`UKqy*9LF`;B!FoZ+iQ5#vGnL!#)+^7cI~NB2wV}`3{P#_)wlg5lN{6$% z?42iG?HA&c_#jmFwnO6uPLK|=tz%ulL8n1UY3?mSzmFQ(v`GyYm4^~VO{0cUm1sHs zMiT^D>*&?J@GkE#w)INCEiBKV5^X^Jno8h~DEQhAXYC)b_5Nmpq!e+PARguekq^!_ zCfCR$tVBD?hCRY|nPz*}s8QzZ!6kiY)?iYy@i1yWh5Pkv%N7g5@M*NZ%&E9eeg@86 zv*AdBXd;j8H5_7cS*fQF0wwMDv5tU+ZD(lKn^~FtGVkPN#0jXAM|Z-hJae1<0}zd5 z78Ep{N3I|Da`||_@9X-0k9;nzvqTO^z2evc5wQh%biV*|VfJb<4;|kV7`+mpyK2RD z^nPZHG4B^zm%7BfewC_Fr(Xl^8mC_^BG`aE4cZ^p)yfGcAK^bLxLhR}D}$Le{{H}} zxVVtj4Qclw14!wEZSf$_(TvFb0=n*5X3LJy9mFFX`El{mW;f(g-)0)|=v7|^g@a-zGeDi?}=t}@NHLNUP8}KO@}QJ$$s(;RSO22izyqh_6x_2Ed{7g31NxLDR71`4lauY-Fb!ZRvg0BfzR_|Z3>BC)MLcqB^ROz(&C9>==fLFWi8t+!jK!)ddw*oahG zmc}p3yUAtEOsqi5A$WbP87^+$GVvgZ0}SZS&;Xps_V41P3B@iYDaUaInO zK6lvas`;O3va&u6Q)7J~fqeWYW8IkHIK8sHB?PbG!sBN1LSgIj3Dq5%jaw?5VL>XM z(HF_}^h!8q7^ho$dXT;1%Bo1aU!16d7m@0%p_EN82)_7?-1zu>{U2}PxLP+2P_~xS z*lo%pmHLnMAL%`4WQ|A&aAD>yAoAPyF8LD zY3GSll%%JZyLa87-b#YHy+)dgb|dtx=56&YfC{loxt-Yq{ofJwB8f<g~ z&fvPHjjciNGNT}`FXN~|3N3iStZ}YG!SK>d0eg6Z^Hxnbv{mhO{`LCTdB`tdf_XCz zUoZ)_;P?y1^7jnEnMAbV-z2!<=61Yt!Po&mO`eCpXi{jt`l2%QA(Wcm2}+ni1D^h` z5y?};lFGmRc78iO7>EOXMIRhPjnBH@W(^g-{)!Gln? zU;aq?{AWC@wGoy$Ip8D_X^#(vh*glbrWxa_ygxdCP?*p;rXmZn)jdN=fC|4Il?BB# zA(?-XB^k3B@VpOco8R)4rjb$a;Wvc3Lgj7R{Bqa z#Pqcoy)Ei&=6;_k7EHy)n9?Wd`{VS9Gwfflcv4p8>A=qq^-U7U698_;=(gT-UNu0b&5Ha4Au=*Py<82NPAV9KDY0pLcR7Se7&t zRE7SEGp3E0ws>^&5)%k?pf!|Md79;rP=trav=Z@2sgeAZE zQy3xxDA+SB5BYy-D%0dbJePgU?45CU_C8dlV=x}omQp-koQKUAu!*G2nBLisCDt4Y zH|uhvmRDX(Pu)Hdn-~HU)%XML%ribz&285Ciwi}wk0|oU%af)C(}roOwR@ITpkN_I z@z`JoTUFw>bHX>iT1m(-tkn7Xs0Wm97;7W5Yn{hl#dx`?xO!9}p&jgRyeGFQA`@KF zgB=+?Pmr0kbdQ@uxc6oe=L#w8r&-0NUDdJ{q>*%fk=SJdZtwG- zN|k?^On1F6ozqFMGd3z(8iK1 zt7>xhmZaF(znDym79VEFf07!HjHp0D4fcd2ZLDa<>JS7!n&;N0fYRKwy~L!+9=~A0 zW=YAWiN4-+@udgR^%HhxN-zG1B!ye|YQemXnUVm<3 zI6slZC4b4wc5CwY6=*({|2s%m85lHtA6Fr|4jM9&a4OyTj0pT8OPs|TYO*BfJ(4l+ zsxk{(g-r@<4aD(TDaZXuf^#Tq+cD0klSwdRvq^KC{r7+3IIvM(T=jWg5Y{a~kN?G< zO-AJ(N`0T-d*pMW-{c^0+|6A38NxAs>vR5JqRz$jYV~a3J3Q@#mutzelM3}2;1^ZH zILQCnZfD9A#0*aZql-wz^vdDx2UFyKGP%!U#>LOr4UmOh!mcLhS7YsRdE3exX=8Ky znQM6VN-KXdcfh01z8#Ze(T|>g3m{llB$EDdBYc_yu37>Onipz^e6f#T5`sDN;5Nujgddz zs;j{Bzp1-0dE^d{k_pCe&9|orkksIR)*O>)Cy3fN&00%>Z5E0es|hqD)T;C!ubtbv z{M>Xi^)P9(KXb^6W0HPwR3r?LkuG{Wmyjf_$kl%PK1P2r34O1^rWFxpulTw$7y72rIeo@W@>svE7h>XT= z9kkDmvVI+~o>GNARr@}Pc1)H1WfU!if;_DEGI)m%AF1ptdYszUX?U4yB@0@i`wlE$5fT`CN&`-lafD>!?R6y+UP@8dC4sqh6>gmd#j`FYS;P=yqe#NBtx=_Zh>kyD z$a5+{iCvY^!b=v^jTWSO3aXDX(Eb!Eih<%EBv0W!Gx??nBr&~Em#E_K0^zfbbLbfz zk!dQ*4PGR0j8=B5+-O&T>Exy0cDg=N*rQk9>4BbPbS#CY^6cSJRNQuE;i1olhR79) zr2gZC?~(ekD=15~g|RPBSQPzrL{P^tGT-05<-TZ$h}W-7`Dn{b$ve(bA<03rFh4ZPKOVBIyhASu7dxK=B0`WVna;5BNr8q4fs*)+ly2ENx5M zwk)-F!zAjV_gC3))krcRvoMJSY;d#|@5taC8JvC-Vrd)uUupIP>UY+a`NH5!u}3^F z%kY}kBx$w4%=a)Iic4SZ7f(K*=7yRGI`cNN*7t|U4&{pQaTj1rxy9#4H#^^`@@RD& zzZ5Fx;L>P(pup4|q@Gz!8-R?m-?~~UD>YqF<|;fxzYT7<2q}V4(MMeh-)K5Erm)Xg zsjMcE7|Gl!|fbSheK>s13O9n0OlMLQ!UaI z$72z*ofsKNiiS28#6Xb%C>Ku(j)@~B?Zt&9ghhwIZ9jUgcpJ+}E|bp1BBM#XwQAT` z7=4pizsR7KgoL1Djnpud!J-XmJPE+^Q4W&;t6fFq3rdF11C@YLN8Fkrpg=wq5EDtTlTi;~ght@HNGi*LsXVCo1dd-^LTb8Q*6|pj z0KbIGL5@c17zCGv*+Y?S`(|Y&Vsmn_J4VFNKD2C<;zFl-dvi`|!MhT!bzoAZ*bc+d zh3fXq*r&U`YtoDl@d59x!An_o6?4}B zv2FMnsE=*h^C0S2EOwXBNn4k8rPqpWmbWY-Rx_f1ZkR%>n=5{p)!g8~6Pq<7H@6&l4+@40Da;}W z!s=wEj(NoZkVaG3xa=wRTDi`G(i$r63M;nn6{(vOx`<9xKn(wKwJI}1v^COQC%#Z& zmN)K2Z~eJYtoZA=lbp*&&9t1;*;cWFiA#sR_CIr!wR|C_Fb^3nx>Y})(NsX*gh+=k zdI;pXe_BHLzpI6tj4h2Y30n)xs0~g&-0Fem^fBw1-y<`xK6Qwm0(^hv7Yu2{C#rmW zLc){N21e>EYU8`2?u?Cn{1Q3bN&gq6_q^Oghd;R4z-bs&@FK%&fYDJbc)@oROBej) z`=-YA8e@++u}uFNYO6C)r1E-drDIg%z=!K$g0KbAI-y;CtP1nOjKDQMAAjCr%hcNs z=lp$(uXf@f}I!OH9sMhgi<}%Il~m{L$Rxp z(?@GzFJPUoA@%guTw*sa@Ei^|DV7(^wa48u0TWwV9#&qM{IZizwD}}wP}u*qPhj

kvU{G&Ri?bPJWAwz@rfh6f$y^wu%Arv`eH+Ia8XqtA2akrOhq zdBMbZZc(#<%5}{%@n8}`s-evA&&}Ec=*YZS*T{D6MHG?;vb5BSPH!CSu9_@erXYQ zC?Idtd_Ml_wR12sxMcp5DZncINGyA)WxGe*tzg^`gRC*SCF5o4W&k5N*g&oT?f;nFXv2}fj! z=^AH4!Gnlb(D3cXzwl)qIZ*CIO^R_tqU-&r8=UK`5Ri05uQv8{ke5AW0{yP}&WIvl z8jx_2n#h+4bGqcr~Tb7=!O!A446P9eKP>5y3dUxAw&vohj&uzi|e~>r;o?8)0~h# z9TFSl5{piHz|7}z(<)ZSY|J5Vtz{;;V}u@Ml7py zz}Cl!->651=VYgOb5USZw)#O)<2IF8hY##FOYUHu0d2zuQld~NuEuF7#YwsSDL$vX zUVhGMA^lusEAnBDKxB0o|D>sL$)$&X*ZMfJZm2&IvgGzyQ=_M`m&yN&;9ueOij^fB z#+tuG#mWl3k>#n2IsT?3=8D8~yUObrlns^xsb{4~{T*03HJX0-v4A=9CQ67}9B#$m zuQ_`)p^e4o|70>qs5k336L!!ervM zOj#&P{QO(At|T3v(|$2D!n(lqiG4QYS`O00{FL7@GB#VoG2pT@LECj5?`?w$;vHVN z5$v!_kt| zs4#!n!hcDkz_-68WfA1F9e#9z;r08G&4WjhR^?yF)%iag`%nw6lHZE(WyzmfhFtp( zU5>8z{bNuas#ihqasmK>s?a@$`a_nDK`lJnn+%H^6T*OI?RAZT6k96#tQJc(m^;mT z{EQl=G!=a3JEpGd-h zp@e8{LbJ0Xh!{5C%4l_@Nb-SDvpYiBbx~05ne{mj>@XNf%=hD=<_e|#r6x7$!c8b3 ztQTcsoqhnU!s1$}8vY9Z-Kml;bdK;cqOk9HD$5M|qn_igAjZN!>~r%oh8#lRr}3uS zPc~Xyu0;*3wv@IEv_0c-Q$F(ELYwqI(7*i4Z$%r*eTL&FPpADnGU&y+U4kvw5Y;%;cNW`eg#@*pfXL_SDe!k#IW283V~R{7~n*!V!uw+^&S(1y-j z&hUHWFe9eD-hpJT( z#=MzWrAuQG>^8Zl9ETt$%TLVH|Fbu5HbrXWQJKf~6U;rOMkoqOg8#`CC=o6{5r&8n z149NS2(eja_3h7CONUpbpvI+wctqwv;LD9n|{La%zs=2-S$qLj* zBU;m}f3XYdu_-sjA)#a_07R{^J{%R$eY8l)*aL+;> zeKmHuy=dv5L-`u2r5|Rw!8*CGqxZR0_?lv>+XaFSzpi2_Vd>jr(P+)j9?#OBUaqfm zj2C`%v6(OTX$7}4#$zC|ma2BIixMY*3W|Yq;(gHq76GNf>!u11{YVKM;_~OD`>lKL z$WPX<)JgG&VkYbbg@?G0^Wu2cc}lp z;KW#&cjO|MDh7YVH1s9@L4P%{H#5_k)wF^brp|OGDY)K7hovkU{=QlNBhaCs1JpQe z!~Sa9k2b?PcEG)jFHMUkKHH9|<Kj@yjxC@R#BHb9tXf^Ke5C@6M?z&DrEQgq)9Cu9=aT{(L#Iohi_uN zex2{GJ6>d2zM0j^p+Bj=P@PxoUyW_u%4RZzDvy# z4~*i>57q4a1?Lc!2;X0BRwqeR4jKG}tiU&*kRz$yHCmrZHp_-keUHQW>fca?=OES> z%n>)!IaJRMEa-m$m-}wUG5|5q;a^7(bhvBgU+Nh1ekxgW*yaVqOX%oq36TT! zM)e13r+XWo3xhztr2Ec$`G@FqB_BQ3O*I5VPTu=CzEmE~0R4{3Q$7G{Qu5k@ z;5$FPSW9^YnV25G;+z+pl%&afK2g?SrSUm#n%zNjN4j09WEUYxr|2zKL0xF$;LSBKWw{_w2KCKzlR7A#9$ z)Wgkh!0r#-*k`dk52iIANGWRm7%@_(?FZ_p*^BWO4g}*X< z&Xl)&O37<(BCh#KH{k|IX&kqyE9TU-ah^V(ewr5Yq$aGj~Q20$=UQI+t?TW}04)BNyio7U0TTX-l2b z@V4uAkiaDNOQbEna=94>aDn&ov*&m7=S$~y@!u1Z$WW-d8AgrkrhN<=lXx`XetwJ= zBzwwCEcV~eBN@xB5Dn_0%`@DvAy3>wUyaoz%k`w}&iuFdcI(Ckuqd0e2r4g}Zpr^u z#00l$gE_iKXb5Yj&56W(Q-}RRifjIR|6{NXXnXtm+dTjR%bwXqTRlF}m6xemCb!Jt zB8!sL?ZtM;EJKslLN|9$Ko%4g7O#m3Op>q}g1oPGL5U-Pwf47-1C zRiNrNr0ZeTP4a*4b_Cm7%;gisT(KXX78tF5MIhl1-xNe;eN*!;;$iYXj1%!bwRA5* zA0Kwp3c2brC)GcF)^Q<+(E2+OEG4>jm%I)?#GCQ0aX#ZI$HLrkZe$U{Rq`WTj@pf0 zz+B*d&&)?hUtWzXGrOYjyaUxP;#TaA$k7=~&wcZ+faDbU?hn@yiCHgbs?=stS111% z^P}-bK4nuj`QZas>(S1W5|0dVf>r)C-%Z^OInP`jp+-E3JHQER{M~5aDu6NF|4&`X zFA(FtukMv_LMSyl@;oVGMk%4m9C4cuQ$Vsf1pe| zPF`{PLiKbs)cR|9ROF~B9rR2_Jv4Z@UiV^90&?+{QnQlv-<<9q8&CchQB>kX)7n*( zA^iC3B{k3cr~Veb>asUyEqfN*|Cp$ecW}4wN0Pj|J&M!pNtsR0r$u`IW;H^4xx3#8 znNxxCC3x569ZN-bDOT6M{pwO)O&7&W-sB@^*<;pvtQv}zV&s8!`*CyA&c z-%nk}<%$Xc_?SR^9IwY0v}>u>`VD%nRiz*}>Mocj4cqJK5{8X3*VP$#Bv@UM(IYuu zG=VoiFDn1Bp;Jf|yC|^!4GuoKTotoQ(!BHr512KMu8yNg^!HTLj`{ot&P^=$K3Ar_ zKbDqOrDoms;gb>%9i-mZ{8<#KLFZ3ufHfA7{mNb(BdDE6e138|??T%m$&W1fYJ%Ay z^Ek3Kj&NsMlkX7G388=zrMdoi+uLgRykG@{cz;dd>;WoD*ILhCq{rDW3OV;f8RsYV`G;;{C%;8B%mZnE`-$@G-KiZ5B zvRr%LO8S*b6$+#<*4^m(`wkiecL$%njZ;<&IS#{i+r(HCZUfhw>1VGo9$AlK-q?zD z|D!U=)T?9vlgwbeb(*i5({PALaf)?y_1_0LZGsOs=C&3!3xQkhu4y_TN?QIv^eH#| z+kAWy>a1|(otG{Fwl@>Bdr@op^Z7_a8~W0k3+x;o2vmFqR=T{YJbSl8yT&B~lnU#; zIU(1I<=D6=mz23(phU)%{@_{7E78VAeV`nnO88;=)jE;V8FebJ0H7-|UNJPxUh`+N zpuJRUC_Cp6yhI;9hws~b= zDB7aPEp{=}=#c8&$G`IR}8E%@L1H2=&^Ro0yU8$9viJ7=0f^L9~JRrfvW zKQYRhuq=6^!Wj@!+TARgxTzznNZhPNH=dj?tJ{8IbGR3T->MfmE0V2a{zh{{P-!#| zyAMkwf)5^4&)C3J+zthR0j0}`K#y2y(_!M797()-voJ}(?5no(PZdgUsWKCERte%+ zJkKxw$4La3Sl}Kgyd*a~=H|F~4nb ze(sqQ*C#{puveFk9b7=;B+{pf^Um^bePdHc;3M|-a2 z%(w60|06I{9Qn^<)HaD|E&t2$F}TFx_|pP?=nB<_&lv1I2&P2Q>t;AQ2$}}xflV^W zSeQ!eF4GANlUK0O8VJGnQu9&bl1x6wVn4xi=zB6d4*i0wf@(eA9gwxLcx{-cl+ZW? zumA|w){Z$3%~MZ`-*IX8i%SehNHqVl84p+sH3)J~s7=JiAZGO96Jw{*aS7;GEh2%6 zg{7dT*5M=CM|E^}_4NJx1MpG7Zo6UO5s^_+7h})G9j7Kzl9JC;FZkX_f&s5?v|(^r zz<}OiT%7yi<3OEc?G2zf7qNQ?0szV{)2NZKjv=6igNoedEoVf+ExdS9WxR7dIJ6E7 zwS(eq1ON@ooHRdS3a$Zuk&TAwmls+& zBpd-iiS4y0StJ@#1IkL2K}|ck`uh<^rgw1nNpIfX7lF5f17bzmruQ0RL6yqtcXz`~ zzkrX2z!Q?mT_d3BTmdU>z@`N(sWdB^3ER253$jzI94z{-@PLnBl!<(QXf5Q*#ZJ#w zqz)WE{lnWBeCKVLfA6a2ZSXoN59;XKBljrL$QYzv=K=$OwL}!PfCy2OhwdPVQsoGo zH$v}!i*;+Hi@J!9^6v22E9uzAR(%A)pyXSe7L^XwxD|=h0i7Y&Aaw+F{PW;?YJ?7C z@Cu|tIun#o!3p9-%mt#kltpF*zdAtVKnTC56jtN78ps$3?QX24UiYW>{AhrCmKdueAJc$M-9`cKx7$ftI+7g1i{07^sP_=?DS;?e zs-hId&{0uAiV^uoq~&$q{cvVyXU@)kIWuRk`#!JwMb`U8=$=8@piBS+fB}wzP#CHB zZ}b04@m~Z1e%Pjy87<(i%slvlJP$*E&gUVvd+qQq-*)m`xOW-oUpM6q`#bRM9 zaQsUIz@;<%Ui*;)RYgTakgJ#;Cwx)KCE1rC*E!(!5C}LSOizwH8k-SJ6owjPTN2LD z5%e^8LUOE-5EDs>B+w$qlc}f)BtgfHK*>m$I(!(OzMPhspgES7YWU1`iQ=|nPBb?) zT!EMq(k66`(A(y~f#_Bjqdf#;;)Ib2+-%v>#01RVV_|maxlOFpQhYitCnlB>+iDXV zLvSKD`kg$Q-W)5PIYC2e8yxB0h3ut!1-`XPOA<*jE@%aY4AX^_lyrmi=xm@N6O%F3 zk$RX*PDt4k;@M`yv(S@(#k7k@NEjbY_7A!?bHE@aGW{ihSn)$|6Oz*+V{%&wkJwGde!W zBm0$SXe~TERWBnIUqu*&dhs&uD9F*`(jI(D$iOP>`Rzm(q{e0`E(1r^V$K!rY;*W$9Gm_d;fb@4E9wzezWCyn2hPXqkVCd6I+uWD@sVVIp0 z<>NRbZkrerPL<(4a*?@#qX^0=~~e1dCC z->G3^nfPZ4(3`vX70qCv))KjWRlA~!G*zpj#KjtNKoqif>l!FkrfPgv1oHhgZkdbG z+w3cEYaJ}e8Z3>1{<|P{9Ke;z$e1bT!vzE`7LbOGev{6EgeKbx<)BYSiOVc_DKDiE zlAmg;9nhETHkV=>lWf(*cVpAzgrAglr8GFl?+(`GINfmP{y3UxaCH6o7(3Dd zdprCoriU*R9n90MrtQH$=#xs5;39zfYK>aOJIl9OwicT#|J%&<9Xcq!Ht`jdY&;;V zT2wxQ*f71Ns4xDL6?V%wX+-Ui8KvVCL`%rBcc3;pqVIt(_x5x^MF=vib8e-)8zr$lGB}%1LRJ@8Yvjg%v^>woH*}7W=1ykj> z!cwJ4r4zE}2PZJ}(nMRAGYxVTn8}+u-=bQ1uHmMYyT)#ZVQC)sDc9Ob3px3V z5SAyHC6s1*U1zp42hma2zf=Xmjbs*KJr#Yt?9|cD06JLB^+&)ID6md(cE;D5MFFEP zGTEy)Ww4t$$YvVlU^3Ys}gw1EQj%%8|HDBg72bZ`zzl!9OA?-Jzl9D z<7$xV@!VO`>X+y>Dct7XusO-t%DNxeRHd+Kxy)zi!T$63UsCdSzTtjOhD?YyTO95_ zbGPUP{d|h#=LT#Ax?{50v<3b87BBkXxOy96aj}E*3|bccs=?)Llxc6d*)Y=-{f37a zO~YYr=bd-<7Pe7D7G7Mm4I51(yves)1aen>pnAKR=!05M2BVuq#nPzryR|b>aQo#< z`7D*HmK!NbU0fPV#wPY{$1C^rR?$xFN3Hc=VY?U&nZH2lYG z4EdS(-x4>=IioiId^J;KTYN6hrOvrQxE5h69lGv&I&WZa+p=$@P-l`cK>WYNX1<<}0Wx1o+&h zMB+P$Hy?C~w@MGISJWB(8BpZ}s_yeHY$#l6@k}Le_QNB`s+s2w+*6cDgKYBx?ULw6w1$WD6sgJpS<7MuxhFeWC zlh79EZ6TNfR9sNzJX!PQPkgiYKkJ5Hk9rzSFRdA0b6%mwayWivt zEK+B^1Wuew`X&2RFHL$dx}w&4SGT`)qR_LTjq1~UXcH*yC3|bc+`EA&ixUXL!-5V4 zhkCwtSC(Fjw_m<+a`R>eIYB2w;3c{hwz+U*6Ja#_ZcF%Wp?9lUNM8gN>zijli_7e0 z4rJ^h033^tZKT9gegGM$G%u(|RynnMrX(ewxHNW8@gU`CKtHT$>_Z;w%8=cY`q#F0h`vV2cmm!at?N zLmTqAjt!Hj$={e#0~Hr2?o0M4^P{9On^kGYQT|uuxhemRn zT6UEy%$ck|AcZBL;-Dua_6?>;ZWqHBi@4;%WPaf=b)ubOG92hlk}XBh(baBk7hf=c zm@QaLM5XTET?)t2l!XUedJ*u2$sNvBi?9d!yo%rNoIY%29$0HdfN1WV)EK4A#&=;^ zZvtDS^0n#i$8Rj;?XMUGT%AKz+&2oG*GXLbbl|L_2H?l*cHWXa*ymv5^Cb?qgZsTqbTzu8>LVIeH!=U}$HTJV^wQyyH(cos zR3`^F{R*tsQq}sgA~D6_%*Kx<0L)zh7k%Cy#I!67S8>pr&Z~5#6DLfl)TDQDG>TuT z*y}1omY9;^BT-yYhH5%an{ttYT4axC;0Ex!&N3}Pw=n)ea(#V@;7P>d83UJqgOp6T?O>o_z3f99BqJ4UH2luA z11y4`6y7Di;M&(ZSIb_g;ymAf39?seRJOz|$cf3x50p~Fg`0_`tTN?zFaVEwK~cWm zm;H3>$yvm~GXA^iSVb-vP^IdHCu-r&iVa9LMnR;XMxW&ehKt}3Vi=fmuW9s*zDxcF zy|YIhh8@mS|I0dkwf%>mBJm{7EFNL4H=jwc4lFt(`xOm7m<)wAdKf_Mc|y!#eHo9> z*1{ahv~?(D+>kQu9CMGX9>sJAL$g*`tr7^ezka`I25w+xE70%-`Hu@GTIE%t^oy zv>SKKQHhcw!Lv+ydFG)m%Ki;a5xRr58kHO^6jw*{jM}ciH%xQ36C`WJPL*#gL|<9_ zIkb2H`^9UG`y}|XgK!?cv-rX~gxabrrRX$a6?Tkpj#a=k+7*|%scUVR=|azatgnMG zAuN~r3(tja$Nm-4Cag0u<*k=eDI-dkcMKPU7inDU$>f7>I5q1{PxCc|6|VPb8O zWptdV7qn+)LjcJ^We1VCy+RqxLY#2ZnzC4ybuJ|ou^dJD`+>K}Aj7S995Nu*B--;f zh0BrLINHT}V0P;*l8B?X$m1_SwcjgAXlEPsrIx}n#&f@3zIQ8tZ8UPG&OOoKnATjy zf6k@jD^4fI=cGk{O!-eMsYL$oSYz=)&f{MPx)nhen_+(sA=$gY>63+5#g@GqrlE*$ ze$Ya}n*ZndD<&d29M#W2y?)Zi)9Lwk`u=V;O4ysIbZ2!(N}gFE{rv3T?*;ODhxK1; zNBJi`Z(zXM%9r2|$Kfq?Fxfu`-p^%FdWUD-W;H0>5Jj=J7#R3jpV{+|GP93wLvbpWEdLx9#gm)+v>ff{-Z^_+>Kc?xp zarO3Q+4qzC3Q-@@?rBE|`#Z_x7M$O1h_7$co^^Qu#hP3nKZji{WV zV~J%@C((XVvfejBzf3nm_t~mbT`V=c^4quIX6Q+?pI$6)+Px>+#!9MF)B^_>Uvj!r zk1d+m>F`UJ#*O-D2FzC3dFl|pi>uHB*>QbQ7?&(*uT-{v+N zvIoxRMbkI}Xm9nvI`-2RXIm3=XtNiiyB6iYrc%5a7!EvBIb;6!nQDl(Qa;CHBkVNh zIRFUv`^3jl0PU1l*}Dx>k${tsl93E(Mic0ojoDx-Dn%)FRMA__j(pfT6#%qpr!FvD z6s`e2f6^nPW3BcbU3QMO+$3jEe4<@)BhxeYCr#nfvRaK((^Ar?4VhY5KLNluGAav~ zn09nI6L6DBlF|)I{i*Gj4v?mdj75xyGGks;Zi#9xps>u1=$Yck7>UlL3eKoEX5))EXGgI8vWpo0OQ85Fd1SD$XIfHmXu+2p9nR zfF2;!1=Ij(Koa;Q4v5k1(#Z!o%D#SPg~~9}_rfbO?)0tZ2w+KPZm2nO7BpeCgKlNE zV;C#Nv%M=NKlja2LaetwQDZp*Suv*Pq{TH4fma(Vt+Aw7eoo~y~ zWus_9WrZDM$u!v^~^}1*E)k zZ(p8JTMP+7u4t7&a8t$b9B#WPD0aC}Q~=j1hGZtlC$H1)bYv*^^LqFc(_frq`I006 zOD76T=%)Zs^5ZSE(iRGSQ4lSR;B{bZU}NE1*$b{hc}L6oBYhX}m1hE1wi$at?PkMa zK$^r@qP5(PH3R7QdF_mKP!_vPkPJ2|94$PO(5OXNU?-L?(bUQxE*~U*A2{Tn+3G8v zHri`_4A#o_Jw2zfl!Y=87Z&#aX>fXOsaO9&`eirlVPB0Z|{OBKGP*#S;v-ztsInN5Bn%cKo}AqlpY44!~-`xc3sOr9~^2G?w>27OW*T7 z__J2hux#h>^UeUayg|G-jY0g-kz}t)Zpc}gXKchTnXl=o7pJ@f&#VhdyaeoJ&@g=# zS-$#?an%17a$;R_eb-v!RmY9y1rT^ig?4v!bMSHNo;`v+LSv!O?`5&%k|#wdQNmN3 z6!~4keGQ)y5pIHCQ-6e(l#_BMMhwau*P%a|I}J-hX3L83BKR6Bo+fGz4A!jZ+r!qL zY{+lqS$6k*TA9wGE>_j)4aNUfvIJE1G3~d~NMmJZ}YGHY0*qG1&L@3D6Ts zd^hVa%nc04wT3IM3wL=ON=Q@s6UL9&m-}Z|@vO9fCuc*K4q*F?w9@19gk50*;L2Zj zq|`pC<>gH~^1VX!+jel1rPm$zJyOCr!?o#cD47tx>TEINkymN_^)|DVlN5A3F4r^T-| z%Kt289)$Zz$n8xq{KY|mVX{P-6fP{kraYqN{k<3XB^bwJvq>h$$6XqHaXf;sQllXz zvCYJ5iB39vu6gk6}uHBy=BsVS)&dAY1fDMDvuHWZ37Hl#j2`h|?&d)D1F_{eG3LwWVO_ClZ{};GzPG+oZkJ(N zVA8l-a)-~7mT!@S+hwd4$`OmVm+|g~+aI8JZn=QdCDb$8iBH^^r2LLirp)FT^H)WY z^U05v`rXSx{;Q*jQWw!;<2CuVN0>5b7u`lLg<9`Ps|^Ol8TTQ*)U*utCG+-_>Crj`)IzPwU(@(6N!G z2tW9DwUBD88?J;aqfczhbT_MY-8*4r>y^QOI-k91eC_ee`FZ~4erxMfHSxryJw`&u zfj~L;<?|~-v~y7R`NH%@W1mw{*K5q05l4@UZ5stP&6Mh9PYb%+p+7CwK=QwED>>uynSTRkQvxD-*5$L7^DroQGf`-#|djEu$-Q;x2UxU9p%5 zg=34)z_l0xKGI!F8T{lE7xh@grDOge-)Zde^RO$GM_tFWczQM5aaHs%6OJ&a?sG8g z00WJ5a9-uv|Msu#Y813Fe-k{jOrg&`L?Dt*Z zy%+!OPQ}K2$Ijx}MQG2yr0#+CdP_2~M_$HzS!&}q#giMHclqO=&3LR{Cc>W=>PSb48&w`-VT&ZQsT!(ENaB}UPuZDPwmK!p?QCjhQUugZr#r#)~o{U&sy zYi_JZHY3ne_O=L+45{cX1OZmUMS`Q>L_neaJfZM7tnE!H(D1*NHvwRckd;&OgoA%U z02iXxV7BDH^yV?tt!W9%x3B&y5DK|aHMYssZx`P5L1ezE_O41rolBf7fjn1@oyja^ zkGB-aehn2GeBpv5km`}{gwZm0`YW(WFv-nyiNqTQPpHm8_>pr~YtOS>_c~g2xSETc z3^vX7XO8wF8+g{Es!}iKxR!w1olx$8GXwUyzH!1s17x47hZPgmXa(;fO;QgS9AoOP5Dftx-``SSZ zgd9-Z_@3GRlEr)QVf60c2W$SH-U1=hJkhg`qjx+w(iZ$J&mq12bk<~f8!*5PO^?Df zJJe0(qR&M_Z?tqSFktCJUg!emdWTQ`53pI*IDVEncyRDovd^+a;;ae3TVtGc;+S); z>luq8!JSO3bFu@Lp0lWlY95Ndw-WVi{UJ^wQVaM zcmXT?xyV7}ca%@qH8gC0SvytHCMQIn;@$=~mVBC3iT~_2=Ws!p^7&NbEJ2wI>9u)6 z$v`yR%T0az6y|Wf?MTp3z+jmG6B;s~#_Q`*5%X z4~^MqVSrkbWex$5oWDIU2ecTrwc^bBy=aLu6P7B}VzHNbNQabEADg05HYt8+pxUI+ zx_bOgi2;kQpw$d&RptQTwz-23bVx{yx~%3t1OjO<8tMXw-vE2Q-ij~@!7!9$17!{% zI0nuIE=|W|-3M0+)ryt%x+n0fyxAy;a}=s$BK?_O#G0|^4XWH$uF zNc*srC)Bgwefjp=K_^iDVPteM#JJ+Hxd5U93^s4IY;sqC+IT5V2-OileJ;7D87m_%Vg@Oy&9Q1?j`@f}bVy-L< zXYG8Vu3Eg#nCKL@XV~bR@${4vk_#)fPq!dPWOY+D{EJ14AKmi=Tt_~1%N5He|!nTaEAI(s^Z8D_>d&?!fvM?G+x zsg&fSiH3mZj%TaQ z%N@9)4WJ)Iaj5Bl**FmBDfCH+O|j8TrnaCwJSPfV#Dn&Ih4`;n5dh*9LVCpLN>;|5 z`be3n0|X<_+B0vOXlLjjMo!QjXO2xKckAZL9X$<(xROV5s z6jA5Y)&$4sXlgvbRXdz12F#NRe_V2M*AZsk9JSMsGleB)aal%w5whbK?6{rYp2I6I6o(Tj~FT%#H~8^ zHm*d)iYBGi(eCRhB$(=?0*$2!$C5JKLG?g88xz-niRKpSCjGA`$a^reR^uX|P>~uj zz6|(YLCg6icg1M2q!{|4H1?PQep?txi%dw4jFKmcS8w{nr)7CM;y*lxC*@7zk)1K7 z$s(;xIr z|8!40xF{=LBuSf+w4C`uEG8E1nh@_FfoeokzL$qZ33)!$wkvHC5&CnS{a^J?#NcY4 zml~B7$2GddMm9-IPbdTvQX;Em{m3A^Awn+$gZxF=>mo zpOO%BYRx4Dx~oP`O^Orz4^AA8jz6g|{W3Boc11EfC9{H+5Ll|7g?EjmMDq{usFaRU zx=8TKp~U|MUW?7nj!$VISaw#*(vDIV7f}F0v-&$Te>l)!WWu=LSogYEdc6E8lWJl& z?Gm%rr#wnD`E4|Df682JjE2!-YRqfo@vGZbncda2)$y~PgU9ra$g{Q68Q;p_@&34j zSx2bu7814hbc>fxE z``c_AWy0AywpaB2m`YEexn%F5y{U1|N`IqLA`%|kmBq8EG6M7Tn$g2awg1F>`DED| z;)~50Rk0A@46n|qBFXa*K4Q}AT@z>F_LO<%;=7naCzT@o15cwdQR5er-5$01&<}U( zt^|JFfK~Go;u2JkO7!~roOxV@vx>QDKNy-a>wEb7#8Ot;EpuevX)ZBaGx)f+W;7^q zw-z&l(I-)t!cg5P|9T}Bm6*ODAFBY6&=e<-tteQ-ZGe0q;_Lz7L?R$7%MUuBz zX2R2B|3xTLfpg#mg?_=4Y&qSK0n)>RJV0E!EMfcd`Q7c8FhwlM*x*iX0ITQ-} zy_}Nb50Uq;e|K}G-R*ANA(2NgCR{T=6qyN1w7*qrpc{{3)&Gwp5xK_(+{~7LV`ej; zD#+N);voi{3A1^h8PoUVIq+?aB+BDIv8g&+B=UbfMQcLR`JXTV@g4vQ*YAhI`jMiB zc}u_80NGmF-9InkIe%v}LdgG+l`-q*X(TXRYW%Uaxi2+P|rFY_S6 z{L*1&XIW#B&iuMS1z%PPe{gZ4m88m1lX!c8RYckmvMQa0TrAoW`I0Y1em6n@$#x*( z(DITJgp7GfDMH4QBwjkthb@0uR-|!Nir5xgThKU*XLngb^7`cQ1#()BGlB{dWjhzc^ZRZ70@Q5!`4%1!vAsOyAR zeARTZRj#af#jnkLJNwLS8Wk|=LY(^Q#?y9_4dT?kuQ+Piw3;a|IcH_kZ;YPz^?3c(ixO- zOvGqJff*@FQVY;*X~!x4{g^_cGnFslN?}#L`uo3;IeWO6(k^p(;FTB7GCcH#|NJ#+ zMYsly>Ax;5p=zsAhieul0bcjewb7f5O*U0R{1A4Lf;jJ=Q(GEz>DJT;E36(y8x^iN zZeZuf0p?uYQn^PV?V$EI8M+=wah>^F+!f69KEi?{%J65pz}{1EbzvDC`w5d#F!K(p{+$ic@}a@+ZcCm4w6K;5)BYjAN^ zsGHbj@M~RQ1X$KlY2KU|;P@x~&k)+<0ZD)#DP(1E2-%E96H3 zU2&`nEb+&fE$S}pnVBN>&R1rZW@yMO>W|eyV4|(|wmAgIlR3JV zZjV;R@fB*CWc6=qh}1z#%pe2u&^wMDOux!vQ@o9-_iDo?u6U++qja1EHY>s?Ja_bc z$Q=#A_eJjH?FdCqOgz2l%pdu0_Tw~3aPVG|;-5lQo~wP4kz>V;?tp`BaJ;v&g2w?o~b0zxcOLePirJ5qOs~X z1|q>**?sKdWtWb@hHo=&jL%}bN6^Q23uoj6c|E{Re%@$6_9k;ReE-Pe2)%#EXoTA= z6oaNi#fbju`SByuHncS;A+m{WmTq5{xB|ZrYL}g*Z_*aGl={yh7E{RnO^n`TUfxLe zzf#gkoci8;;)~&UD=z77UhMG0mJFKQXb$;~FI;EYe}%FBbAv_V=P{8X?+2N+GLIw4|kGKR9)lU4ofB7#6@8vWI8(%kSD8LmGc(67S6h_tKCzQ6cOQyGv@mH+0_;jteO$a0&uH zbi}^)oGPI4z8I>Tv?rcCvF+eQ6djNy=9-*9jLA#WxdSfbi_=QqZXGmdnN?Gx!uita zrR@8z1e8%^WFK=$icqX$;P*;}+50yx(CQUy@!M=OD+?n#NWGNXEDIGm81GH^CLlo)e4_rofRgpEyM2e4b;K$Tjg|h;D zYw5G-qR*c_#$QWTud8U4hhBg7x*a98=Pj#n;7)l`5}g9IN)@LB=$VK}e0Vi~ebx^P zy*uTJ*xz#(s<|k}q8nea&7J(lB51x&t?~M{YZ#Ygo%xhBPrGB~KNHYy!Kboc`bXP? zG&Q|TLGbeNeeRabfQldM{Rn`4e@AM4f&P3O6Q6r$XQ6FJ?}}9IN4fSNBJKP{IDcXN z?2cB6^R*d{_9g)QG_q#W)?xD<;2^cNJmPI|>a;~aWk$7p$AZ^J{j2jN;s&`{B`4{6 z`)=OvC8A0Y5)piL4s*es0>^J!bWqVOoTKshx3G2-_qwHobfI7QgD@fffBa5*3G#o% z*br7$)aO^KR#)?-8KSle9Xs22#C<9Cda|SF_31mh)1Uk&6_APE(Ebn3j%OcEV&bXJ1(kZASb;J?I|ZD__Ktuk~7xalY-VtXtZ4 zYhy+rccXzy3wiD9jJ`LT6}WXo-+WLd`zqeb8l8ZPjWbSX3w&2ffzWJRPs7y$gQdl{~gu`@Xlu z$s4+cxefV|vv~0-{Ld(z{@!FvzN&-Q6?j?%dyD+E=vDRE``O@l+^#$AQ@~K}U!_L> z(r+DxEco%U|36%pdoQT&}agmJ>B(x&lG*;TJHmQ&9jg&Vn?yL&34Dz!*KeLXXSZQYWV!y;Ij_| zLgnvk3k2b?xtzCTYid`pa`+XV38Ap60f>t(CWsS?WX zZ@_9^-?=SRVUXy*#2DRT(K=ZtKMd}7?;h3DDQt|Hg>^>#)hI5e_EnpXaerl(`90Fe1`P3wRcZdy>SQOU$nxjS5J{N|y;B=(1<1rL zsa) zV#lI>%LLsiVi>+qrsMVA5!5dhqx^C$+m)>M$DU=aAj}xtDQPPUo9sPe+X8d!B>Gqy z@5V^I94j3ndybav&?c)g|J5DtaU_pz8tpJA=|X&@8&!>&+>C`cTsjQ4u&S_2+oc$+ zCb8z4{)PFr6OsLcn(0ae9yVvmR`daq^fJJhp<=AxmbGhsFs3 zn6rKHibJJ_mCTXy;*iLO)XDtLL9quHzDX%=oPbe3$&fOpcrBg<&?TCy7C58K5r|;E z?-rDP{?*x)VR0ppf+2lb#x_X@4Snr-uvK_)2lD5aMQ4_Qg142cX1CKX=c#AJ$ip00&TIc1s+kk={p1Kr5rX?5t7Eb7g%r4ab ztuQ)sxJ+V}%>2j>J|7@xFWq%7dYMG$?CUD-*Sj$Pqi|TN$iDV5`~~pU-^U&mqbZ>! zuin$99bcX=K46Qz^-GB%{o#>HdgYZE(l^H=<`b03!mw|~Ve(MbJDws-_6k`Z_`<%1 z6DXXRZX>#PwX{8K?T?Z`r=)!++P;%o+Ir8Fh6xi;th;pb-)&Rk+2l(ov;XLkb=>`^ z;truO3rrLg&w7L8QyDq?zd859Io=MtDsevjX2YJsTsP_;)}8I8Dpy4at>&m>UZ`#w z-p_V%`#W2Iv9hjNRYO<~!G) zs9{J)2=?i?t`6F#hXw7yS{k4(dl_bfdc^;!ia z>-+|DU9)XV^Pv$&(c131*9Ly+OZBXWQW@a$oV;G3-ldr#KEH3|!6?1QVNKs23+3%L z>cboohvr=`DSNyQbHFlJ@3jpcA}GhYk|gYna8oQ_S8}DCdUAdBoca~zyPyC?yJg4S zE|8S$jl6;(9zoGSBRXN^se_jEA&44&Yn|coPF(R%r*1`h3ZfJmF=bXRVCi`{IK&zXj+Av61jwXrpgIl=-MgSV)s7{wkY1_F*eU+`o4gi%CvuR zGSjv$ua*n(cw|{y@ZYRO%g-f9c?WWjBPdFxbD4A)-KI2HL24Ej$`DpfE-7EYLQ&X)8LUOtA;R4MZ}vrt zs)b8WkGGtqJ7ptep}{CaaPA>UOO|s7)vz?P!4blgv@B+c*6}JGsrDTMyoo!LRm>NzYb_wF9;TEd1W7|C-SGlfu7eZbhMwlLn35s*x@Wvoe*+|5LSnu zBg}b@hq3m!^}LRj;`2C~?kq0*wq3^~ILh^3qFMzhuXobHey-J#kR%R5D7NIPw!>E< z55eu-*bc*z{ObmT{Qt3GfkS*$xEo%j7#b83*9M7aw>7k-t# zb2U&?C`P`v0t5Iq(_kv1TRRoCpa4vZivsDJviD(!r1O3SS?s{U*GjbQwRzz=NF<>V z0Kma06u{o9sS5_$17vA~$X<_PjDm92ZlxJHP`mg@G{QnSk_DsAS+vtuW~<0pr>Ves z?es0j%iai!Qlx1jHJFS2hEFtr^nccWBE(HEeanVQZ)DC-{gYlP-<&( zN6t$m8fACD0MX;6y?#2!7P@!Xe=)w63;F}^!&Z2EOH_)}o(fJ~22!lgiJRl;?s+8_ zZ&1~x_A!aCrOS1>pnQm?gpOco@v33hiqgFQk9p?Ie`*lA+>g>Q_L^qwkMa3((H`>> z=&RCk{2;>t14(UIW8`O_$*^id4yez>Yu9Muj&iamVZ{*Z`54~3OhfMZz2vq#c zq|e3ThF|0#qS(PNqM!0#sL#ML4m?t?2(!ctlW%gKei9R>0d0BzovvPid=@E=%WEEn z{rA`*$cE5LlgG|-bg1maUq)>(Cj|w%GVA)PkaeqEG~M2?s{FcOM_6Y!psJF|7!O$& z@yT6~2CRxE6ew6R7?6#q{`6?w37SWjvDY9mj5+DdcP@2y2gPqT@ph5-rF0-{R_Cdh z-If{>p_5DUI(zANrEaCv+)Xc^(Kk@JS+7ZIf3lNiA<5(;V%S7e36z?L#488@?(%1} z?BP!4`EZ~4*!Lo+n81L-afeXYkhG_{KWF2N#J)}2`HU&C=jCBf|EpgwfKmgLp4~-2 zdl6s>b+T+g(2$AaC8M4RAE?dK^vpP1ulQ~)4N!e+H{Da7#f2zl{Bvx(=hdws4_?Xx zJAd+h31`s+B?e_LcwC5`kq~Bx4t|=KYkJ|tHMQ3ck!}cP``$k8K}3v$mV>=b`(FB*8`Gjr+w zI{v03Fu%BD|9Z_BT2h5D0OY&TMgpsZ#7(q@ci1u~$v)Ud4m6(azbahx75 zY^VzodH)D?J?}Oh+w6DLyR4zy0AJYq>0s&bMJY79Y^rE};QJY1vUKF#k0v8^vSF@3 z;%?V`<&v6pBUe_dxC;rwXwxIID01wZo!Gm1o|mF;&B*R_<*sZpZ1kx%Ht4Zp zO}i!Lri1C^G~Z#xtsAaC=4t_Ir_wo!f#pUhaV^{U``0EoL6>$A?>>S6P|WLJ^9yJO0K6PXWJoPk+(b6iWPWkrK(&>9p1M1$T z2*NWIxbODK7lwq~Q{50x&OQ)GkT=kD$PAk&vbcEBs7)92Xnmj#u_;^9zCp*yvh_FG zm`nHoZxpo?^dJ_!**<%=u;msk+emBo#*4zfT<2iQW)o03)Ci3#pFYB(ZGa`Va(0L( zOj{uqbff&0Eus}|EyvYt`A_Ov9_Un%N6C@=)M5IIlbkV(cCoRK!?J?Ovcm}!WI2cq zwOlq^>_oOiVvo@S7VC`Y`E4%h5Y+)9N@BJce`BS<+}jSNKT^07>MEI%TAZ?B9YV1y zDMADw$t&eYivJliYH1+AN@w@Ay3%n?`ut)m^R2k!ekBkn;zoq}jVV*>jnqt>btECo zJA}IXXZ+&jg|`oZS;t*M0cx?_nv%JQvd&;7wrP5H*?cn4OIMs1$Xo!~dRwA(ipMM+-(m>lGT+h`fzn&=O|Br~Xaf zC~+m@sHhFhf&?Mdj4CmWLM*_A;)pD-g{>W&LPt}ch~X5)TiSMFbGti!^$>4P0<;^nRd4Pk#h}!sX6i}P@t!Nu@B0?V8-22E1eDC%NC1P+N{`P^)o-+kE z1Fh*SytY45JWoavA$89=SDk4(Ayb1tzCaS*F^QDQJ>Wsmzc>Cgre@_<{0D>-FFP^= z5%eIo0UW2Vc*mwW0)5;oRv`jF%BPTEz<$ny@B8rEF5cb;y!%Q2><;yx(tPeR%Ddyd zIu(zJwx-5JK1eY&jTw~1T{_4;3Hb4STMep_ zm_oNH@bC#Rgf$gypJy-!^@bC=eLfGZuP%eCqv7TiO4`huBk;<6<RY1w<|Kv3gn%pcyG>Y!1_)6ZM7O<=@cPEbc-w0pMLuR90G;$|w~ zOhu}N_-MG<(c;(D(MK1V^D}gD_&aZrf+qF4cbz^xW*-)tqU7$F{2xPS{>X$I$MM~p zZ5U>5=DyEz-)ADZkI0$ISFYGbB^y$kt4a5XE|DT~=9-)23UeQ+HbW{Q*S`G=&*z8d z^LgH{=k@92{GQRJRriVX@^LEVF9AYfJimW6crkst!i)n3hmlzV^NU|K5cQ~jBl%%k z;lxolkngMSDYL*&v~`C00z?s~cUanf;9I5+5*WfDzF%__vtBye&pX$*T+SFmfn zjnnN;8BNk9x1867_fu}a`-L-EyC5yI21!sMI7p3(!Fkt+UY9UNa4U!4`qhS^ql>VI-VR{P# z33rvVZpdf~iFhmUCt&#gn7;BenO&NXJ>v5v3V<9f8l5Ltb5>=D1zI*CSS(O12Zn*` zmk+N|E%KU4*1A)+r34*&p>agejr-y%vwTA_n*!DE86=lET>zLjB4y>;VswkXXd_Ah zr+b*A(X$3Uc;w4v6S_+uzoV^-J!+EbPK&4FWD3_9v@m>`*QF;vRT3| zg}jVS-1=E_wKIc##|L@aB`!D=tO+S5@?;17iob;%%k$~a9uWMEFAYGw!wqyrW$O0@ zpUsIhxE~|?J)_!2HqZiB`#!a70yesymQC(?B0dEs#dwa@e#Vp)t^d5jM_cz!mvJfY zJ0X9g>-nvtCfcg}L{#s^a_%uL=7kYqyzFuq#Omjw<2O?Cq7q-td&@M8d~-Q<_ra&v zy8_{hD8-)*Xu(hLHxdQ|?v7`orGy&Vna4(pna_9Bk9C}kW`P)>zf{&Lvzt1d;X#h- zYf%tx=ot*Q4 zT2i^y)v|q)+?JbWrcDQD6k!oSgZ40=?%;=$X;-_IboS(l;dLS6>8Bke`3OFpqJA!~ zA)*aVv}vE)v8+*QO~f<{HjD{TCF{;>31uAy3xus-d40+3pw2ImodR9s+&7CgTH_QY zqhDNK;k-$HOPh6Dj5@3*I71b{p*(P23I$+GtsFyUtHw8P{iVeqIG_-52F zwD4^#5B3nS5D)8Sf_eeO*s+Rlg=$%(=%vEf-5S+}OJMlheuVxcUd@L5^uHuGofg%E zOZBO4no}0XCRge7tdUcbXH>#@A2(b{>sHmriB-MBwXEh`)$j^5>WMvd@+;j+8{QC@ zdRAydi%a5H{At0m`5G|XFp-@mI`Him++tEqmp#f04u2@^o=OLUkA>cQtrv9etZsN! z@FxANztc}+8&FNQzgx~UITN;ZMdU0!?bR52`!Y1RiJo>*;l+>g^32qKWfH~&g{9iO z(6j-UdsWDjsJg@R*8nR|s7E@k;E-k?(=zz)TdFeXJR;U1b`IY3mJl0<*Wx!OeIN?` zLsx%mIDm486~2TGG8{_jpQ+(v^7OIX&&QW9%&OBTKSXX{nfh!*UdK5&qw~sp-cW|! z8NIPO-5JBaA7wG!pN@QzmHhbd*RMt2CD{wcTRhbtjWz{`1JU15!+YG;o5ydxxFvt9 z<>D=zh#;u>Bhzum90EwxLk=Ks-kfh*PQ?#e+v<8Dcrt|ABNZ988xGq(u|loF-Kn=) zGG7*kX*cPFgxYde$Pb(H)DTu6{7Mr+9r4w!`&}A_E6Uxu1-z|Va~mpr+sl#H&%ubt zdT98TV0pxe{XDl5DH=lm>hGW4E!2W|BoahV!|_rCAJw4&b!fTs0D$O(AgD^;YWX@? ztre1)L$Q5>;fbNbGu-%Rj{E^Nhynnqe<;r?_*&-VlnTk~5U5PpuUI9eT-e|*2o4y{ zSKHbQh-JWOeJQRDc*c?GGl=>}J8Urw%JZUOxEVk=Qity<)?CTsfMih_l+p-I8nBXaM)HG)_U_<=e>aHKkolvL170;|S zu)t#Ek_rJ8-+X}T*_*N7cOJ|w#B4lBLknL?y>fX4;|Y1K^OK>AGE6Luuk+oj#$ zMqIxwrYY<(DzOYPa1Jh+L;%T5?)s~b*sGC68lK9k7I?0l#=F|ZLe50R{z#Ms{7JZ< z8zNb#+u9sb1~C7|JxJV!f&mH#MGsVAfYk(O7d#r4Q@rx(M!|6U){S==L)oB*x5Zjm zmOyPFt*-T*n=FV6+kueIWf@Smwctew3s1%8)KmNizX{rIGF0eRGY1KtQi|)b{NxEW zItk6}kpEt@Qm<)cC}M;VY5j+LfQs&=GkvIl zsLd(JQ)$j#E=Qkz6#$r;|EqpFf??6`fY_rkHEeIP{JF;axdLT^9apQcO;%&t2VW5U zJmc45k@`dO)4VG3T0f{Xl_N_;2Z-7ed zzhu?lp+LseQi78Hk*@Qc;AZkYLdlGL0e6h_sYux0h$;5L z4DMrVv-ofUK6~hpy=iBthoM-u&jG1MU1M$Q->>}^t*_YI7(wfpG&0&_s*+D@kF~E( zzs(#npP?l}xV*K~hwBBe0Y+$8jQ5c-uQnedB`1o@g9fjaSJ&Ha0M_bln(LWs)a|u3 z&VUC#QCeYdt;pXHOa&CU!i2cKp@dVh(RkaR+P3QIZq582#28I4r~^Io*_CZAyjzLG zY63;XDegi^{0s8n0ba#c74LaLrQxCme)VX~DS580v5}Fco{r9{o|YP5AAj8Y*fD&y zWP*A}jF52JD|0yD`1@FN116SeYv(f>roQD0qv}$d*y)TvC#!vYYvqY1=160c1 zmA;DtPYamj-ahf=hj0NZYh+?*8CdY#it!NDwr-ilH%DG?QSUY#8j0ssh^zepQaOkY z+)}K?W=-lx-3JDVG#qf*R=1NT!AxPd>JzzoTxOM1zijs0L2g%bDj?HE^Cow7uN?pT zO%8FITc3l(ku27(&@5`!Xs|kzVUYE2?lZNPk`mV{omhRPqWiHps?vkl;{#^kKkeg<#8AjnNk1{oO=l_{)bh`C5*KX8#FX-;E16l~dn(0BtOjG}oB zgvTsK;!5h`SMGuT5h!9d_cd`R?E$R*^^Ai9{8na10>3e=%h@6D{0 zTBzhqCEt4vs+N0n{f>czqrqpon^840%EB~~Q3q4v)&haQ-}(_UO`)4thaI^eaMGS` z9@zsR>}EX>EHP>RcTQBsKkHZf-s`y^yIG&qd#Ok7sr5<6S~&&pqWs)3)ei|bDCDe5 zjFijh%^6c?w zz2B2ZOJoW=OBFusa_(fshh*aCEga&d%>dS;>iq`&l)@sX?$X<4IZ^g9jyebIAb=Txh(Jcm z5)@yl#uqCzKXl|ULd^tQM2}?6nAwA?x^4s(!&^DVd}KR>t(sdv`ws7ax#*ePKP475 z@g`>TrxFTtpcO5iUm&{N^gv512B&K=wbFkv^Fwp=Y5wYh28@vM`~jy#{x_4g$4s^8 zMd?3)%$v;D#06NZWgxk*L-Q$o)UFz8(A5JyZ_$#_=qlRZv$lJ&ZhJg%04#h>XGxgx zR}@Dhi&SHQ2UDBkph%?Hmm!2zg23E)%156I?VbTZw+P$94|ZTx_nrRfiFP9iMw=$c zPSRJ28*-wgtOT2&U>vyt1}O^S+y7FJX7Mi4Z>HBe?`z8?6iifk>RQ7tTz7H6fy zvEIEvFJ!iSvNHV^!n=RR_r>gcN)GQeCpWOygIJm8`!gaB?U896b?>}L9EU>-WVTK_ zIL}0qDRuCmXUDBAQ}Rlf&i5Bqo7*h@19?r6fZI$qr`3lR?PJuL$E|(VPxsgw9rwW` zRbe`^Kds$cpM(;EF|s2K{E1t9riVp@LrGWRoN6dt&kDplKg$8vMDD1kGt~#2Cl@#2 z;H#`FJ1W?U7i}Nc_ydexa7V})Xz_QNud6YT13WH=WWv}JzkV2b%j)hGd|(@to|^WT z^=Ff=8huW$@TIC1+Pz4gK5cMu*d!kqU=AA z*r8mz9QR?P&wwUbFS^W#WEe8*^&112 zxFPuCl!j?Uo#bZ&A)l;S7vE0!k4VZ{pcQY0TYROa>`11J08+EGDkK7MhT@vvF}k#{YDi$=j&tB23S}A%Ri-b zcd#RPG5?S1BCwwSI2qLw%cSaAXbcDNpI!XZ08ziiJ1?3UXJE5f=W8?lXKWL?i)#Wt ze4>wzQBP^dK9g`J)hr=CXM2?&OG&!vTWn^)yI99N*yD6Cc&Lco$knrEWd)0ck zZS2IFs7cUlNobP8_%3U1gDx#L9rh9Mm7(S(FS*8IpeW~j$$|l{Pm5S_*=mOql1VTbh5j1drs4q`BcV>c+$*Vd_AGdisfXGd@W$G!)|d7Yyh{)ZAPL*7h!GXD7uNcn zpPLH~Hjj{j5Q(5v8^;3>36lC9Am`hqucCo2Cc1D^+p|ZC{vIQQMX1 zH5R>cw63jLo+pu){rxdhK$Ut+R=aq3izM-5kh-r6#Ko_sG#0Pg_OwQyve^21!(6=CCZveSrm$zd)-EX7Qq0QkJfpL90Dz4?bVN6T_+1F5IyV7f-_>v5(Z z90qAAJTGa?u#o5Rq|DrwB@La6+bIHSA@VL4peI67wJrKMsIE53`Xpx!$@B#a^NXUU z7mU7JiN&ZHT#5_wLmmX&XorUGIb5P~^5Z-p<7qmh5)4l;OrK&iS z=QhK{-uG% z81O$?&%f>@#PiTv%GX5%e`e|n6bXn%5lVTsiXPp`N%vd*_zLw7;}7^PXQ?70wIRd9sKV_c58*f1)=aslexzv){M?~@w;L$& z)#stpi+L~*v~UgF?FY#kI()fGzhBnnCd1?Wb8N=sFV;krVi|+$--jAb5=Hemw_Z4M zrs7ASDPU`Ie~Xzu3Fu3Mv!$`T4L<>N6eJ~&AJB>p)vHk_iWfRtU$ixyyc3kwJ(|U6 zT-y4bR}IQybBEM>`b>M{C1&!?yySpG`N3Xi4JullO_%ddqSfA)IA7e2!INeT_f-dh zi?4a`(Kx4VE1k`e`QjzViX#jOL&J4Ca8NT2H+?97*Gt{!d@y9l#zrs9lrs>7x!=^* zgq^D(95r9&b|l&y#qt+4Ax2A;aksrP(spBl#mJP9^9BhD*r@sn)anTUXB zm;EwkbR_zV=H)za(qxOFft6Nz;wjxCQ7TTD-T#PqG(`UU-AF~Z>>J(CUz^tKaDWk5 zFEsL)C}rl2NQp9uQY2e2v+9tE&C@0}n|@A8sP(o(CjU&ts&xA(m`6Fy_frRN{A~ID z-p0f3p;diADz{Ubvet$%B+ug&=Wj&Q0D}e|0%sgMBp)KKNR$t5voUM5me%AFjk~29 zA3j5xEkqv`N<`axY5 z+ZK2j)32VB(I@8ZM0&%)PQMTv?r@7Bdu>*2L$!>_pc%F2xCAJsfZ!(}xb|J~&33#? z#uzzQ{z@nFC4P zg&HZj9jhUNtJ6sp%O5MaIBCVB)ysFdS4LtFzEL&p)}f-$tN1~Frkv$_jsG3ud`ZRi z!A2I?XMlB!{Hw0!ux|Le`y72JLLSah%){TUBn9!bWPF885^9@)}Vn1rW*B%ujqn0k9AJxEwL|bu!?) zkuY>)8BO?buSU3A-rnX;5$nBo*7iMzO{C6}y*~H5Np+cxQ*Eggi3)$7$X!_7BV4^N zv~9Kde!tXNisa5Ep8Q2wU{BwCyNtLO_5m7x;BI4ia!jzSlpo&qjY3C7Dr11qqDT?u z5nu!60vrehB}yoVKWItOQ{MuRW9ske_LP(V+y45X>jLFlXcDBGi8_^f>VoA06#wqIn>;?e8SQ^~sxX1i`oA9qiH>nXv!lyZ;5Ms!(SX<_YiL(cGX1 z&2ow{+^=-`DsV{jg|4r-)#?~txJ$f<+g;6~h$Btv!4QC?2BlJFv{u)Ee3rc|b`<6~ zIEL$_E*aKXbRP}blL-O@o#;#DY6cC<9|FX^_+4b7b4bEAoiUzjZST;^yW8xS_M1)+ z5Xd~#_DiT3Ft1>GL?-%je(SO)a8xYnBhRuuph0jeAVsplP=0K#fg9leXQpA1rd!uj z*2LTQN42Xx4}~er%8VtGJL46JFw-3iDk@$|sC_LPMdK9&R7(Iw>~Oh@D1l#=^i)`^ zDBxsjB1Q?yX&d!aj$_^C7AplaqQoU|Gcn>sk7S{=v8RIlH2>EDpq+G!A`=MY`o*HE z(L|L8&N2naYfQ1!b?YVi{S9Usf9C}rq1c=}DKUc-mc-IOP${M-TMsxQ%t2LJ<^D0} z`W@|i6DdCw3z6U7w30H_M*NW;`l7zaj2u-sscc%hcdrfDwqYmG633_H;+e(p*-4U+ zS5mzwh6|lk7tz2N9jBHr2L>iYB{CKU+UHE~8ILDpuz@){B?-n=*FD-AU*)qzSlW_NUUl=di<6KezE>SQU0+{@lID}uP)Lc zd`JZ*y5s64_?C65TRIYXwzMm4u@I)&ZDy?ZPPhV<2VQx!NXIGwwg zDY})&%ObzU#^dzu&2yXKi>i-NUs!(@6b}Qtr{-T+AA+4A1y{I%mh#tiqEq!Rv32hA_kzj+eZMYUj@ z45nRgEz4Lr6$=kq5o;a^nN%(7&WcBhk*8eTSxu;DMq4D4bI-Nb9w3e%V1Hke& ztpUlg2mSyu7>d91OZrA+J9SP~R_1uSO5$xFXAMY5_=g=t-phV$#=Md<6m37uyMWFF2AFbHYZ3r@ zvqS-i(qOTx{8ZU`mBf8$XNT0;=>wk3rF>s{meEcv1z4%IkK;pc4a-&qOhFj3a-jwn zk4x&O9F5lEsF!?@9jyeI3y{MEEc1%h=$i^=GzQ90^530K`Lu(loY^Md`^Y;(`P>W6 z8b!PSJ_dr8UiX_I++&z%tNC9wJdscmhyZeoPMitThI1IUoSdgAu@>v15qhXLEu@Z+ zLn`QwI!8EMG=1eZ>a%J^1^DV-?vqf*UCw)s3W`_iw|-d45Zl=; zGF|m~^kOxFP9CWLEgHP%-oa#mswFV2wtpSkfZPe-`hy@ggXB2Qq zonnos3OX(*J7djLC2H_sAL06r-88RCYw5XyiNwD1@wBb2^Xh4uRd4VAcimL~% zJW^fvRWb7ZaGf08{@1QWKB+_Ht%bzfPmmBh(6shLErF|cZP@^x@7yfuWbwijaj-#A zoCcWg=BkN<`F{Cq9}g9F=hCtcXI%z)<(~84XljqBXWdtHbMQo`#07pXMyRIW9$B9X*$ob?b#C(>a}_q2PB zzw%4Fb;I~#AYuDdu@x~?!d~~2d%1N-?|;*URhD68#qRqx)Ci?eBi)aoBuN{aVbjrt z_!AcJhIpLo7l9`%qn3YG_b96^r4>+!4KUJqUy#H7zr&M*_F)@bt*hm?*8s^;Dx$+f7% zHa3p`5^DuExCylWr4J4(&H^{tFtMPc2zjB4LEOBm-hs`9w?TMJgib533)1|LM00^lN;;sHja!9|L_?GKx{l(uy zoV-zobrSyIFtxa&J#|p!$aA>%;o;gAfQmqNzVXHnVKye-PHkyaGPz`^wkaNw0IQf; zZW}>5F~_##^pr6!y@>XB!CgXX4DylvK&(lYv8?YshSCxy1ylmZB@fPUUf9` z=INKzcPl#5n|ALLQtNw81ZZ%p^iyWN$)$&TLt?`D%>QS28`>x_UWC z=rUB{z&YG_b(?fn1&|;tO3Y@Qr0STjtNS9dIo&P0l_?{0i%=b5 z0-b9$?v4*8W+eTwiiQ)pd5MlNv)HT?mvkOAa4WTaco0U zvGE}3iFGh!-S{`LKuXHH5vi>Cn`^K2CK2?_->=F^Yo7Ct=L}h?6DAQ|I!NuP_S!U& zOyqq}TPw7=xlQ+_2N__H-$alA2??Niybc_}0$ioctV=V?5TZmvKhA}fCS0Qgx zl{vJCTqe0q-vItri4eX#ITpf|{ts?C+~&k2a%`JU>aoCINP4iI>HbkpB^%{&kFlH1 z5F`#_G=``cjq!TH2xh727M9cbrvM6yS)rX2_Xd%rUerEki>C%FVG-7Oi|H0R!t{a{ zllj|ijlv4SnW(L<^O19-uex<-xTFgazyZ#KdAqy8^lH#k&ndVpGn0$yM+00L#lG-?Pe2 zl4Ah)*Jun;-To}BSuvwDq-eJafq-40 zRp(Bgdwd^$!m5`0nQkS1dIKwTUnTc78OSTZE-SfWb8q?ifuL#xvYn}vAYwKI=rErT zT#%Hog&_}Pb#;sfH--;&cCGzjG|5)EA%;h$Ix}R``pdrY+&A3>ke}HbANU*NuRhG0 z1D~hq^SzpYKz_q;!3Z973WN`M4#V?9P_zjP5zP#Uhr>>bw?n_xem{cy_VW~ZHB07n z0w>;j&~N9l>-b1dlrSN3*6tX)Bhu!rIA{7d*owj2T2!-^R8M|RP*;bjqy+h(gHCAV zn;=U%B!d`;0rg8G_(XJU&)EXtITi}871>zua}Z`9WINJkfYtU{qA1S~DCl&8wn51f ziX*TETcOqwx+n$BOYGzkBSSZl7`k6Di!%(N=1V$4nJWZdRhO6@zStCsE6}HJpU&e} z%kT1Y$Ejzu%{*+!=Zj{-prD5N#$I)$yes&DGOcml;fD8@V33z~zr9zBd0LNA7+k0oJT$)~W6oZ01n`yXd!X`lX+38S2Rxq7rGNa~2FTD{ zDII#t+9^$xqFD+gO6(O@K>{?YH4X&sZe)JDL#Lc+6PT2iq`YXXuD-lI?R{+w?`Y2AAJBzwfLpS^XW@M`(1mG0?98(vp!I2E)GZ0&UBy z4;AwR24Zx3tULllL4No_hq}zeq_Ef*kGCPk2m($WKZq^!k0Tk^EmLc5a_+G>s#!a% zO|w)Y z%f)DBqaOilKjOzJ=ofKpz`?XGduQKAO1IqR?h2*xyH!kyO-iiDbHD zDC7?Gdfd+xzuw@7EX@ArMkRgQkDi9U+*sU1X9uw^u=4wK+cFnl?c4LDt66aSEc+a` z0iX#IQdeggm*czyWKJe_>xJv`hh0 z{Z!c>?`%EvFRQwWA#7A>_&n)oEg#_X>oLo8*pF~id63o3B>v4T6Gr`$XjVYLQ?xb4 z{>Z%Q;6321FjwH0&V5f{jSmYvBhY*AJ}!H8JkzpWXEWYcm1i3IRZTxh!1?k7wn(Xj zd`2g45$uB`Nnl$TQaS6`+L3#zvDw>VgT}13WFE^9u~G6 zRA=9p3I6K11}a=dlHnN{F?E~eElpRolHUvFt@vzL-#Mc;qwlc&sp&)B@Q{$tqeqhs zjX?*Y1+6}+jRs5;&BM8^pY{btjCYff$wV!ggvaKs$Uy32Iw)IlVKSo$v|@ zCZwQz0DSCdyl}_hgEJbhL+xC{JPX`EXHwy`#Ju52DT1DHm)%j~%E;WfJ=G{p=CY=H znl*SE*1eo{sH@$nyU4`^90l|PpWX)J46mC|8S$3}XJ<7zKT;27B?uxpngZ^I76=w) zpW6umak{j%Sh?INH<@sm6;JmQ=G6XXg&yiqv)#EsOIM++2}M*>OclbvRgu`0a!1n@?twZCTK3jrRi!%l^dJ zN!L@)H1a$>`6e6no~CqlNV3nTIY!lKYerIcYB*YaOSVH=2cglO=n9gT^Wz=AJuuaI zgl}4z(~YkPDOqrRK$40n422W{L>-A8ixA?`zrBBFY_ey;cOt`K=i4nss)iGQliRfl zpavbV6-i*2QD{LHRv;Ieks1WQ8|k;pp1LhOS;r?2KA+nOG$BCx;j~|fJ4UGXQUNHa zxPVZOc9^#Q-3EJ!M|L;@f=&bWN2l6AQ_;SF+r#o#LUH9Gg(8Des zDlSOT<_6QWbRe&VqtoU3%||hDQLP!fsECxOd+tD;#JdyB{XOVJjSv0M;)-r6?vm zeKlET`eF`Dq$9n4+jtJzKMDbE9t#qr^0mk5zhG6L0S&5h)+bsZ4^r>O4jx}68+Va( z*HwV3+vO#C(Edm0wR}jHmhdhG4KxVBqVBKi981U2qR&|7-;4JY=jhDZVY7#27-w>7 z9HxT0vALndmcl3IDSD0g?(~6Ld&^V_H1R*H+?%=+J!z#WC@ z>|yTMDXgByKnT;?{F+R$HxIeS9%Yy^%T#K@=ai#*35802moAbNcmu3bYFC8qV`nZy zI17{P1>QI=YzDP;(A@l){quk=a-_^ZKQC0jm2#~ ze#r+1GQ@5GCCbw`7$EKu@`)mK_Dm9(ojdb5Q%iNB3)tp&5R>(oOa+YEYUWx#-o4P= zS#Na0p3}`!PS|7Sn4AIEN2*qpShqP9Ra5+w4fe2kd$y|LBdu8S1Ro!js#2!Bp{sW@g^Sx;*4ofiK%T^tF6kmx=n%h=w#E+0JRSV5ucm*?Hs+>gh zJgCe!uQtP4T>7j^ULs3`VbA(kOsjtWuj%l|APvJ94YI$c*5`6W*gpAl7Cc8VNWlN2 z*zjk8X@LHh2%Hl$Qgrzw4K~|oAl3l)g$RjSAf#DlyFx5ae`Vx!s!!CZ6UO46Pp@5# z8zQNgFs1aT;oIp?r3j|~@DP%WaWnx(w250ahTGSqjJ+a9vR?=`2QyjQQ!c~}zk?>|H71AEzuX9OPV!%fRtFvwy|xkL@VQQpF-} zAywZtFK)I134ATOEmv1=&5P5O&P6KgTkwy5bI5oa64_Mo&hD@_(SHg*J^p};8IloE zBcFKlA^jf`(x?m`pxgm-*xsK$iBdh*Q*Cq$Y8+UbkuoNWeexElpV{3FATjRw23VA< zWj0gzhh4ymaRXhHuSNBAYEMN^)S%3g*e1=gO}r8gnEB_0_8$Pl^TNDbl z* zC2I9#bI*K-jZcocd!(x@y2>8p^8S56ox~H5?26X0MGb(JTxZiJML9zN#xs3vvXD^Mg13~Wk=c>g2I0Olp{KO z7rah2wiDY*(yx2@#LoP@bg#kW$#MKqIZUze`@YAroXX6db9D$|NSnrFh@fndofPv; zG9SP<lX84tB7~`A-DT&SyRi~wwRE4y zA?e@{{+w%(&?-rRwct-eJJvgDVVd`!=N|myr_#)#!?E1d^S$Q|Ud*v4LA*UaORH2k zbevDgCiNLHJNWEfe}KQpgfTJBnTz>2j3Akv+`7UKQ(U?h$M2vVl|jicx2v>o!YF6? zEq4-RA_p@wf_KQd4txJj<^wN^TVr+V&|Zj((Rj@fQ+8U>0UfkVyDZUMQ@HZW%b}uW z!Y99m@`z0deWei!t$2gXy>>mANu*6lU$5zOAdK1dQ@*9vF355P>e*`tL~TJKLVQnP zgj@X0$8Y>8je=zY!X(SU-s(2bMuS7S8kVp^lHGq)O zs@Q-MB42uCgHKLkz{GpclG=+JV_2{E;lVcBY#{Spqbck*sw^4}&=G*`dtND8*R0KC zfKfH%%f^fZXtmf0_YALpJLHT9<2AgU)^W}vlakWv#Zlk9xAf48?{x>XBs^7bFT!RZ!_gaabdF3kcI-eX??es4c2xdPn;9H_5?rT;D71dG3U zamd?Z7p0UcoBz_v9MEjeFm59?xu5e_i_0M&5sqn3d{@vV;BbHl!9UCVO0IY03kH9Z zhUX;XzA&F-*hJU#gbbbTGD-$`%Lh)W5UyilTm&Gjt|Q1-s0h0*91AktLm!}?Of~+E zO(Td`J-}Ee8?Prkk&sW1wv}}8QxCTYGhnr5V3X{%x>xSyhzjQ&Fd<7V2c^9ta z;P~`}Qp{)nzGBw=VOXrR)f z?!x+_GPkmdfS?oKGJL7zCVKJW{L$P+Q8y3FE}^f|wR0UxwN*0-3ndOIYpJuCA{0Q*~DRQyo^ls$Rvu=U9*s_n;>e`{rr1&4MORUEn?XxTdBeLECy+{dz3 zmiZwpN3Tw6hAe*rau!zc@pqF}7H*|D1l@i*cehXk-z>Pp2@_ElMJ%`*8 zxHzcC1((8D&{L8)zfcwBP3?et+GC5jwnJ~K`Q(~D$3z@`dLNwjQZm(ME;VR(JIg@+ zoVv>$>mvWC->QA9d!DT`Z{dNNrFQZ}$)_zPft06Y^b~aasWFiq#bZ`JRnzm|O5^8h zPcBA=b%#+wS0b7emeqYaC!!r}rBlFV#MsL{o}Y^ej^}?vZ%sLA_u&e#+e$$E$$+@2 zMT7*lzyeQj0#sDi0`sCYtbj>v0s>H+6sV2d!t?flvqBLBy-#mMaGo|B_O8;lLC%o* z5%Qbt{0&ZzFN#4k*pbZf1*TyO1k^UNk}un#gZivf+Zj!N*L|A)6l}{vMXaNL!42&_ z@M`FsxYRd3Vo9CwW1mjt`M7y}M=JrSeYd9%-w7ZHea;lo>>on~URlo*R{%yh0H4+9 zw$v145ev3TKPt1-&v@fat!HbiK@w8{vd(B2sNg71`GLO)He1y%zy%3v#7nMQDJriF zl-Zt_$;5pv3XYug*lfpHwc@U4rdk;6p`U>XAb;0@fCgC@>r{&@-DrrU83#9ZAZ9E_ zMPIu{SX5~MmR~;~T?V|iAUMD~uZ<+X9L5te;A zl_^q_npkT^9N3k0MFa{1>h~@+XKJYF-jFE+@mSX=;yJW}GO;YWz$l0A*ns9!`AUrJh3oYlDTZvpRs9{~ZGr;0ZCpw2ni)nYX^|ia zT1&8ln9k@Ep(39M!?mD%4!KPr99AsCeN7KP)(;tXN8~ge+jiLW#~{V{-bNnFs|{qn z1BM5ry+IXhN?vw~FW6R>xjGaBI|8CQ*zi;kA>b0gi^=lO7e8xqOQ}p1JAI!h3sz+* zy%W2lB9teP)A#7EYRTP49x_t20@b2FL+&jLvSZfPL!Hc^M`|bks5wl#e947-Kv8Z5 zFAK&hMqB2c&!u#%XQAJSP>;{1;8GUVlmsKbr=3sCHz(_pU#%{mWqii{n_I?Cj|Gi? zJ^<0?=u(FM0KeTqZ{eNq#N&Vb9X_&bxo9hFv_;P#Y0maT%;J93%MHT%*LKpLckHk9 zZwJ+2gy|fJ#82B2D2N7!mlIwHE)Nz-`r@+eLG8JYHAd%4G`euYDpZ%CcOoZ33R^I^ zhK^y|;88Nf@isN1;0%`fHd2B(DU8&`TeQm0>$^q(lk8Qh;{U;Lht(h|V)yn6f@RP8 zc^5IgmcAcaNxOdE$B5flq@E#+42@{nNARA+)gy{;$Kz zh@1IMzY`OB$;A9+X_>?h0b6hvFjJ${&PY0&$7`H)G|y1zEM9DE?2=M)7?6CvV*ka( zDcSM+q!%xt(Ljy#XpjZL2t+sO{Ak$1htQ*(=X@2s2CtyLkmu3yh?=ga0#`!YM_?C` zRTqyWLKS9)0g%u4yC(q+v7cxZAGnE|IvHxWTp1BRS&42(04SsL-Y-yG@&740^Jpl) zKLF2e%vi?0?>l2lSwhWV>||fF6Ummfvdq|xK_ewm8B|}CLPgPHUz!#wvTsQ<1|cEa z`|J1Lz4tx$z30B?o_p?l?&tG7TdKOR6&|kQpKIlvwa{gLl-0*>yWv_fGNIB}MtiEtA;-%X8AkuI2#FY4b#XMHkZuwJ9pUY`S={m-?Scqv5KM7|%t8Bhxui(il+=_54K zipB(Cej1Yek#OYdN22Ua8C-lD7y1_Juz|^g4i%lKB_037)5IOm_r)cy3*wJxxOJtE z@~(D8I?|p3t3oT_TipadDCm4KUz^#@VelhKbJLvbE2;Fgp?8#ML#X*o1Z1vx;oYM2 zRK~Un`r~%=Lu9;`H!dg4cH-@n9sysJGp^g6FQK1vLMS<($lc=(?A`UGqYu&had_-US49+d2NT-LW}=7O6!~QDo?1 z^HQVXlBX$AIOqFV_Ti7g9pT2M5#LLyuXcH~0zrO>`vskfM|}h_X+}6?gKOcpY~o#o z!j3i7cw0V!*Hc=taX2(R8t(i}I_H?!!JifoykL&NTh#|n&QnUx%TrA17(VC2N}$z% zl~yT|E$a8dfD_pV$)dGJfiu}4rJvbos}dGRlSFStvLl|&rk8@4hn~o+SrwAXqsZem z`HVCYn$Js_5h(f!!Q2z#;}5*JOwXUbhk9rZtW;AUJVyDk3>0@Th#Pw(I~cNlwCNeV zwn1<##kYwwlVMYP(Z^vhF}uS9{OdxsJdaf|sU)t)y_)kM^xY^dPTt5i&iRj#Rf3}& zQC_z*LDlucD)R7nyb1FhsB({0o>V6YEQAd$focN;d^<{`uI{qT>-FL!jn*O(Yz@;- zxKc+cv|r=rg?PI=3bG!llZdYhm?{nM@_=kSEJ05!ez zGx1>7u%@Gtd;GqDk$u9@x%7r1JR*zO$pXDOV`QH2cEBqY4rA41%E2H`vy~E1|4Zt( zKWCGDI`iNk%aZeFa?B`Z_o&h5)Z-;S8_9*Y$lI!ax9okW#->u0rplWBC+s%;;m|6_ zftrNDf6s{F-Q<(M4j$kP#+(|Q2E7eSl^>WTsE)*Y>M;5c60r zZIL#AQoQ~H$J!97Ix#C*oqtwsA5hJ?lOq)_{EggATR+I`!)>_>a0Yy*fW-r6AMzM` zcIT>yuNeF#s%w7ZH<}%t6dO(Zo!vxw-|1i~03oxvpuU0h2m(~|vm?7AHi#}5oS+Ofk$-re(oO~xyAKf$Bu8+9Jre+;poaF~yn$J7< z=C%#lu}x6k`8ohj7}B_E!CKf6ZA6{?GcnC}lu1L?Y&F5u;iCYtQYxM%>Uc7(`olRh zYh&7DnU{dbV?{h%962SBdQzYetL=ERj7CW;s#d2)##wx}V7~*Wyst7w{ z!60?zdz_Y)tnVzHfD4zjxP1N%pHa5`P)VNw87*F01{u_dLZy;>~3g(40KIq{mmVD+lzkjLe&aR!CuAFaefUC*L zF5=0#k$upLtnW7FP=g|sS@VOrwaQad#abCr9eSflJXM%DBRza3lfmDiy1nW~qKs&} zYxwN{buPMdBaD-F=M`kIMlsPBX?ziQM(cM->ps6P+lXFIM8eBL8U5qh{6l8K3sI0! zX(WZX^H>mC&d(dbyFY4%S2p+A^ zBffR6A-D)nSF{R60}Gcg92f=1VT~L>Hk*XKI8`Ilc+RuWP*-flezwUPHs-Mf@>V+( zI%x8<+?iEe9Bwv;Og%VL06iA{H9iwpuSE>@Q%|^r7whqujQ?Yw(CmD5(Hhc;s?3R` zNwL1pYJ|bK&DrKoD(}uBQjeJS2-$6Y7S2ZXfg;%a#V-QnjP+@yk1_JX;-GiD?!4?( zOJUnRbhFVk{<7)wl@SbpiAo+44Mc9UG=1D}`Ja994&_xSl4za}YHBq5=qCm_Pka+e zs>gilJnSinVNh|^807Ts zwc4(Iyb|@rw`RsFL({BX;OSsR4(VL@dy@w zPx6y$%uLZWfc0?}lcj6=u<5BVhr8-l<;aphsk5q`YI5O9b>Mj!O<^(c*0O-=ic11F zR08#cw~whDGU);tErf;uaXv=pVuO-`k#aK20q*?@o!8!7_Jbg*+=ylK-S3MNpnt*P zCQC*c{vJKMcb+I4N&gyNXLRhldY02TnzoX-KCmPDn7RswTVUoA*qUE@!sUyHNX)9! zuY#5H@R7VUu;Q)r>&^+9g~`~mfEg(iBkyA{uf(v#EDa*WFhi->c)?@~GrO1y)|0&u zE41Au0$XQEG(}LwaRn>t>}V~gp4xj0FMdfk)mH0D|2=jf@Fx4bzSc%4>0`l%|3+>) zEtfo|D2QUmtK2NicyAm5k|rEX`iVK1+9y4K57gvg$tpzw99hCwAcrn^@?#|Hh^tN7 zH>Ct$AfgmPL)WlN@End3^Tn$l+8!5v*U-J?yQBq(yt_D-aV4W^ex$M#`KctmK#J&7 zS_Se{lw$fEc_^t;P;ROa1t83r)>H?x!L7ixZgG7tK$E_EEXxy`D$qBVAq9W3`%|J) zLLN#53Yb@QrZr8a;*bAC@`rETL~F%2u|8#E=#TbE5j^j^cOAEiVpwe*#jgu*$R<=j zNr~pvsi#gbV=hgeSBs7!+kS1>Cyo@uTkbEhJ%(qq&votW-?YJZz1P^}EMqL!u~T7l z7i0J39C?Mnfsy+qBVy+rTQ0-R)O-%jIpmUC;g)Dux^%AWooc=onr<(pKH`P>k?CIj zr()fjukjw1!(3mkt7x;Grkst=kZ1*WH5UTsMC49ofWLCj%|gP{$+@!C#D-`6IO7|P zleR@QL-ljC378v(FRr%5;vA%ZsjB)Jx)!gzcX|~}?|BC1+spU|r!iaZ&Uw1fH%ndR z;%~&Dv}GG_y;AMvH#+-UK&hlJiC4&AcO)JiU@*r4BHx1XOo3-vzxlatC>wy^$fy6! z6$Pc%1pa5b>+sHxbuQx-5!MJZ>-agNN;-EWJ9grLa?H(R$jR>^E#q^Jp1?u@_5@xG z8K1|Mm8C7DYx)N~J)m~6{Pkj9C8*7HL(<9N*jq4t_&anQNbkNMRWMl8iHSPpESWE` zfwu|1U2~O0Aascs3X_w>N{KBT800vF%c-&^-$Bzt%7;FLa84xN29i{jjv$v?lqsH+ zcuMpIDOuBcq7?lDsQUvr8UpEl-$I4M#v$+!L@686lRX4gista-4B;x}#(46C@RsuN zdkTaImI?`biiC)kiivwlgh-Z3NqfqK$ljEb?}od5IAq>{d{AmZD?{iis#vvVEL~j# ztJ$nY*FJ_N>NFpxpU}nXHS5z2PGSw4jp)Xwu&0}Gbi4`HwAqYKFvnUnThgtpu{O=N zbUSah=-AJH2gW1loP(wmyGPn)07pSNIJn_tjhwqe_wU(sK`!M<(opm%m*yPJtU z^xi&ffAau+@Ew-k{GR^dBlc7C5PkSF_Dl0u`p78uTk{xwd;&Y!JVl?L!Ok|%(dQSi z-#U<;$d% zCd^B_uS1TaKS?JFRNYAH`M3$XSV!0>uPSab1`cv_k*I19B@05+SZhpicBI!|vSM<_ z^Qw=TIyO@iJgNcGQH7g@SP%B{0t0u-HYp~o5N|*rhqP)W&krgjQZl!DZsPxId=3}sg z=kyi{^Wuiru7Z(y>NM{eMy+YvjW2n*8xAtr!x8RZU2r}z&}cS>3?Lxuf;^KM|2Ba- z%iB0n(*;_-APBD&Acj|~yi4FJKxD5=7Kys#AJb}Bzfk1TR+fNMf%WoUL1h@<-G5qh z>DUXwE23&+3%P3{fc*gy%Vx+01Qr#1$G-?P>>)8mHW4vXWW56Axm?Fsl?J%UK<{SQ zg{t-ROIsiZpf(|Iy#p#@HraLcM7_z)g$?PikH71nx8eI;H znpe^h;@yEnQ%$K=OXDNhoT>34NXUxO&wGCNCCK<7{kMx_V{#k3@l1Nlr<+Ln!5p^8 zDzmUP!Ul{@M8L;BFe!J*2-#ncGC`6$JiK1Z&w^xPUc^CkIAtjb`7t|Ef}uluU;w!t z@5czu1{h`*jvi<$53n_IRw>jJvWL^=Vbsypkh4gsA6q$zCtX!A}3>q|8#_sJZO7^0oh-xnE4Bj z?0~e+A;&9TPs>_AoIQCr9@5wbl`CU(cFU1C&UT?X%ku@@y#2rOyM7PO!&%x=lt|vR zZPVbnJfnh(sXi2PU#=V^AB4pj5tSYE<_Z(XY2;w{HxR9Xf-kyX#z^JB5XgWXY)zYn z=zIq2uW!7yV#+^*x_z(3=k>2)Yh^ zE^}4uz=9Tfw|-;KqS!!TDZB~b7USKs9eO5fCs}le*XV4X>Ab+;0&td?gy8I8eQ~Nr zDQi6iM6m%zB1wBN7(S2fkx09tren2(#uJyIMkkoWyF}wtn%PZW-T}qkPRB?JG3$Ni zk3laCo)kO~7qI!wfqPeQQlD#>-|V^6qn6{f<;xGqYbSi`DUg-N8Wc^ZZk@?3T|@p@ zRX30Iu2i?&K~O{r3Kpm zmG_B0zmw_ME`RT9t_LmmKax(~eKf{i@1Q>7k%dX|efsuoQ zz74ehn%jo^KSVuf4PVaeVMDzb?9~J5CB$#Pz7Lwwkk0%ItoR~8Jmt3|RP4W=37UEf zF{bC*cz@;RjU9G~d5QA9|AU+QpcV%;QK`p^+J1%G%oCU3UN#vIFrO`ie@u^O_03s` zNh6L=rzEQ8=Uapk!W8PBo(q6O-r5@z&~4{mlrzWfq?_ja8iUM(+9sud@Ox|^p#qAT zfX*X0Aua-r=lSpn{&2T2XxXNr5aKmZUy3rHXkVU9Ndx&X-Msf%nk-jZ58qu76o$Vt z=dc z1t{%GGsOVA;dstZ2+sr%z9Fh+PMlhie?`a{e4WQaWz3s%C2W`Lnc1}q@|jtVmS)QU z=m`U4rPD((xw{M_i+ID5zFd!wa^#!AO$rc=!Iy^huISzLzfSFq$C+p7N?I&XxQGw$ z)=`Il?G2AX1y;haC(sSqJpR^G3V}%Z4XOi)d$~RP4jYfU6$>^H$fmdTyo~k9L?+6* z>QWmwM^${RU`Ol4vKLq3cI%)la<@Ov@M)y)%TlCV-aVbLL8R?A-gmMJsLc+BoHPU% zjIC>TSXy$kHbLn_nr67lQ=t!s_LeNw}Zx}Bm?fRJ8$rhp-H z`6R+pORUP&5V#frL5r4>%Vj3SFG$kSPd3u0F}Y!+>m#0e1=7^cb{8h<;>(;#CrkDa zunD1s{ggL^uOWl|=5b{FA(9aZewo$Gp)`#V%#L7}cnY)0_--5rq%Upm*3c%x@t~)gDx_1D075amHkH$6A52WpJ2C?l)}T6_U=0y*>*ZrY~Ft?u-Lo9m_K>mV^&!>||U>FHoOTxISoZs;P|QRWgbQ?b z7dY)=2wx-E$&g@9p0cGv$EtI_rXR8=(+k~rA_gwYTzF-z`42s#JuS=_%+fjbcboN& zP${i1r)Q5L@+QI8Q-lf8*9I77^}s37wpl@j0g&FxLa-PY&>5-f0I9zTH7&i|^ z;lf-T-4XwZ5E6c{OideT(UlzIS}NT@tL zLjph0Pdlw=IQcu3^$1pg<$aNd0MdyjE`qckhV3xuYl$GsGE~_MQa-e|mz-EZf)g95 ziL+uV{)yfEA#=<$Bt9l!T}?YM4L?ExA-mPhsyI?fU?P>df8;-w%%~x%x0ZhP%A(Gt4!)iLo&BJ+qr5WA1Xj6+!5`Dp=oy@E>MLrn>&WRzGvJ231KrZjXj3@ z|D`!Tm1~x;nyC=2Ye!1)z|)ZJ(sgZut;hI{S*cXK2Vc||sk8N>cLY5V^j92pLn*E| zZ%o9!%Hw&K1|xjPSneY}2kCNEfd-0qDXG*B-TB>N4MeAsnGi|(x$&@4--gMz?1Xun z)E}8AWlUY;Fs&Isc`=K<-au;b-|oGrEIg+0kgZZoEfF@)6gukAa@1(Q2vGjk?!TDk zkNKM~tm~0gUHG7vmRlEE82_1^c@HV`(#P@4E4REYssEm&5Rd}P8hc$R7}wAiZtknb zW6SK5g(>N+C7pL9h|aoaIoqGBbqO#CoXoK_DEsGK46i8oA06B2avnUDKWq+s*A?Qo z3U-qRvCly3O_XUKzZkohvAa-gu=Q zcEuev8Tmv^d(xe(cN`A7+j-*(?NOAN)JGZq20t;JPd2}yy*aJJe7`ycza#h5(Px^R zxTEIpJ4Qs7OzVmCCF~q`^p;MV6CwXrKB)eg<$|WAM_DnTVrUFx;oA`d3J!nmmj=Z;na)jomu?!X z6Ze^K58puZFRmUAokvBNzkz__k-;DMg|!oh)0XLnZeidJr2_qkc?zP}D19ZzjGJf4 zQKEg_B$3a&YA)#+`JIAB#`-s?RN$?oDE7soE|`YT$@PEmT?2I7R%%kN?UK1B$LFGu zB-7%LuIOV!7Merv@PO_6ZSyZAT$HyjadE{O02GT*?X#qFonMH~z%kdr^{QXm#4|ie z)L_AYhZ27iiv`K-nIRGLiJJF7t;UHWR{fKOMFj-?0_7<=UMfaHQbAEbVxgoilIvzq zfacK*2!wFZw*;#fb4k@JCger+XU8R)utAX#f&myJ#V3&(AQ-8B7fLWYn@@xpjD_lI z3aCOck2(C0(nBG$v*}8sP&q!d|7zgFVG{oy{7-^fkgiWPJ6lGNWmZLL6ZE`NXLCZi zUen^kgq(Ab<9AF%kJ@i0s2`oa`lVy$maFDYHnn|)mh;jgNj!(oRDGW@6EC-!l)|f? zVl0A-W0TWj6^ehVftt7q`4P_^$mr5%Ky?36ua(b{W)X#>#{Dm`G2< zuR$*ymk5W;{>aw1uQ+5+Kqy#Jh7-+qP1#n-@s(`L{93dW*0PXvu)iB16IRHysTp29 zx11?Of%S?mmpf-c9tsfqauNZpyq=sS^O5DkqT2AO6`osrewk75U8M5Xy^^UaZ{p2Y zEthP@hobyu*uX~wF%=0xk36!G0-W{)wR|!DDt2$4ISMI~E$Ply)TR`;)3!A0dgtS= z@+}l~39HMJf!er?F*Zf}Kr5GyFVGni)72RYj?s3_dWa+@%~f>)$utH2hSsh+6OSI-!wB0Z5iaffVDoMAuYoQcA_m*x~Mz+z1*z- zCVR_qcSlc^pxOojev2*#tl=J|~bB`zyRa>FQX~Se^ab*v>G4ruXWQ!)`N#@|0f+QtynSA)GjtBBE|vTz*$Z zOj+|z`TFl!*i6AVkdqj9$k^Lpk`B^EiCKS`AqTVsKkp&qS8u>JA`1~5Z0P!r^C0P1 z-fJ4$$8oWI(|vt!to0{hZGsNU_wsLwxV21^%^@#rH75mL>ElA0q>X<#Jf70@7vFGl za1j2RJ{sfUp#Fv9`6(h~6)pP8mo2)`!^;Q04{~)<+MYD$8S^qv_HDWNqR{-Sye&FH z>K%nbn{hjoH)X;yS!$8v%72%{ikj5{gSUe|n1m*7H2Mn#0ogSM!D97d&&_NdFxU1A zmf;}VTFwKqmhV3p&{W*P`K~Y79?y0CPpK4=qLx2=odhYjhtir9A~1Xaf)huf_m}S4lJ+asm!^+ zy9JLF;Eg~G=(gzzUEIV$xrTwPs|rfshm-L1bEGUVq+~W#xO)Jyos@$w$nQFlQ5v}< znCzts{S$peacO~FpbQ(=txkTmprp7x?%5U?2W`byY>e8?q zqZvI-kDbt*%IsqRtncIxkxVSX{lR^G0STTh0t0w(<)3EadbB`&5iOqPU42G?txR3M zTK8ckzf)ZLasOLY-rjH5I=&-pqCa;m?7endhq0Gv-p3GEnCYMoCtRnpi`3oGLEZjw z$F@0k#{WiXY+E(y7&mUm{n)&=vb0$`HDSJTF*=8d1kN2JwPqJli7|a7d(u+X>#VTp zVF+ffQ~HNDHzE5`luL|IZ|q01OpEp)yY>{g%mSt1l}-*bg8&g~Nji}Pe6@*~Zjwyx-?cdZKsGLvtv6&WQ=q~wcJ?mW-) z6VpB&-*8sFgrCNT|CVU5IJrDw_cW%8-o&h2&%W$262RF zE(s(1-gpr)jN7`ARlHMla=at+;5EodJ%RWg_h)k)0`(u8ekZ8&w0eaU)#dm`7wFEnpledK-WeB#)j=&l zgs{~4gb;u2W7>Z42~N_nLiU#vq_0b#s2#gTMyYQ)sNGKqvh286cI0e1>B(NC?3(cW z?gZle*HO`7vHqJZ;DeD%d_Ak*XrJgA|C-hL9Xj^%f=}|LcxaTzK*z@;lXkJGR~Y8= zBmdYAURc4iU7L`^sufie;i6M67BnPfU7{UqmX2Cy1YGEJk1tou_d#-OH>x5*EvfeK z4c2JhZlM;iRgm`(l5N!nl7jtu6$j2YR}cA?M-cQE1+W-rSNBCfBwE`Q+^$?t@Rkx zVB`E_ew)L? z9@mIAF8Ef^8b>exH?#P6n`XP0&A~Gd`%JsK9Y#{XyoO}Xs4ha@V*4CJ;l+*!W*5Fn zy8pzn?L0Misr~JN>FegU3XmtGZA}Bs+X?<(K+qvwk6~#yvZWTXMWvge}xDD-Xi?gU$ygPo!1U-e_C?n&F&V~_~bSSRP-gp zGQf8y9Ck8jTei5r>+ezW`^6cRFbGu{d&&hxdxK+JZ0ozHl3_{xj)od~mOpPWt*gmODtoWlG1iqhce&+E^k>6zL4kVu_02)#RZWGwH)w|SNP4}$nrYzI$_ zSSDI8BJakdP#eZ(#_>BXYU_fN@WK?HmZ52tXE0Z8Bx}`;d7o5CO;B?csqAY^toDHj zKK_i@djIIAonULt?#FMbz3qu)k+tosFpd0zVxVsyZKUVx@j@0nr6k zBur2HUS`r#pYn7JfG3Vu_0_jusUr3y!8fbAv^@BHIB)HK1yi*wC|r2&Yl5Rjqbgd{1N{O<#0eXn*EsyG zxC8&HF2rByhGt7>VpHynpRkxR8?oCR;_;Opn?cB~;vxW$N7KVrR};}yXQ%afwa=8v zn0J)Ms7+P;33)8iN+Qi2p!7kv`2fDbZN5pq&+O3kRS&*hNa?_s_mGhKw0`Csce_O9 zH@3)O$jvXGK>&f(NFjDnzP(+y$1wyEsQQyG2-O<`5gQQAUXZt^-EVqyGMa@xVxpJP zYw-rv52Sev*~r9Ewe8Gbm`TJhXt;BcsFbMsZS#9L89$1CW|E&Ui?^gDoF`4?vU# zqHNSUo>k(49eXI6ft1qtJfOgipY|sGt#A*h+`LbP+Rj`MNI@;GvoY{_0R;)sUsGyE z0a^f=kEoQEG*NUnWK=COL*o}o>cZtE&Q93a1wY7K&lwdU!=F3EtCigf=i0Wpvy2ok z0J&ETFAA3EPRnRWwnBL>bDy_#(w{sovxlSf{3BVs_*%O5! zjH+2o4)IHVm{$-4TJ0$I_M0CacJp;k?r)dJVxsE8I0U~i#?EjnKRI2zbZZgs7nEr- z_dE%DBie0i1%~gCslGE(CZYUD5r*?9BWd61Z1B4)4*_97XfPJ=!?-a;9{?o3JUX4| z;5X=9>!;hjCH+L@+9n>px(#IbVS9DOJ1ortz5fa+Y4M1t79>AFo&ozQW#Io2o+`)U zAWwN1{l0JNuSq^z1C;~5$0CP^6R+QnDZO1p9Z;c^DC6Hg z(mY>q7QA^mHW@y3pcCd?SNT9Ty~`pLwx?8|m%ChxXG(muY6c^gocr*B_X|WQom>u~ zeGHMDf$f>CXw;Gj>G(=_>eXW2$d*j<4=bmQs{7}MUgeacrTR(*ArqEcxqrVCg?y-` z$hV9iXQ>JsjBjU2p?$b2?ZxfTw?a1Dw9S00!oL5`QnVLu_TGqAp(HMv1tb+*e^rzd zst-4-;nqFb()pYZ-4rg~Kf&jA@Z`){0l_aBMUSUw6shAU5}w&Ou_nhP%E6(2>WQJg z8R;7qKEp4<{vg8+vsAs!MipWHM87%sS!uhq8f$Mh->i5o=<(2r1fQ1Rr9e3#$lHgD zf-#kOSLJO8%1OBjIOi2;0D-1Rg;h9y;QEn&K|8OGOk0ZPMaMibq@a`GS3VpqXyQk0 zUij{8hs}SQ-sA16vttV9DRGxzX)tN-ojx$=hW>8{*+Sm_L`3lpV?&k5-XvgZZvOYZ zF(Z)gJ->RXfTS&7vtb0k-&U^|SpI%N#8?%4uFy+G9g*3O2iSfwBMy(a(4Fm#=S4Qz z0Cd;7_Mj6GA(5y(C~60>s@&G`qUv&q9d;C61w467=4C1yOVg&(e|b`6Xi);lD%B^3 zU7tK@g7;5y&{+GM%0$68hKz@%MgW_?f@$&%&)WtU%dDRH_gWYzElGx)u2b=3ugIv` z>3P=pm%kC!anSBS{w=dV|3`TU9fk3rqG}Fh_&vwEdA)vKNupa$&dbD9^9L}Oxmr|f zT3X_BirBLkIk`-+EDuhMe^rL;VuszIZ|36NlZzN`@n7zDmJ)caqxpJ#AF!oEG6`Ov z+V~dVYz@O&FuS3h?#H4Rf2+o3y30HlUV#EM_rYjLYx+l+*m+D;w3GUq35U6@!0_T? zS3AHR5iPVO4>6D{*=*7vK5@$ql}vTRZA7~Te3Bjv9dLIOI!$#8o-k5(%Mj{?DI!7% zgKZM88hrSA-Cl75t`VGhgk&*RKpxot&3yP!wNF5}3_x=wQ(MjRm7x(2@_9HL#t>m_ zjKw>y)K14Vo;+*r@EEmjw+Mk(@t$qPi=nRi`8bQ*6RwC0`sT!rb$jnjg-5P~*$?># zIPhZAY?-d-Wd;C{!(6Y6X+vkb%Z>8}x$>acQEu>J2lrKP7kME$s>_N9-aXqiyu-AE zJw4hO>=H1tf&_#+(?h?1caG&O7wP`eL>F2IxS8Vq=S&%0x11~DhVm7GEa!@%&!#R> z3KyJtD?~Qd1c_qFN-vEpoP~o3i$cyuoX%9=5hs()UMC?Hh0DdVGK0lVY;jpmg~r|c zWh=PCO;;x|5v|-pW2#e3(Y~dZr3c`o72rQt?p5??J~#sv^TY9sSAFWQM}Gjvkolg+ zuw#;aCXE~>KN}yS=EWNvhdws&%4K$%US~^In_3H$LlA?cLFRxXyR)teQuXYO9nDn4 zBREA18&$7B4O)frz(y`NX3M7o{*Kp@HaTHDm*I|LyK^1rrav@?TN0k-s#qo0?6m+O z#(^4;7P};f5(FGL()^b(CSxx;mnzfPK@NySwk^4Bw>7<8n>J1cIQmBR+Q5>ivQCk( zHRmo7(@jjRE#VYFE_UJznsgVSBR-&Z(;{2hyGv6$SQ! zLAS#gcWZ!$_6IW5yK}eO0DF$IYP08neJ)duYTxKzCb=eo6QAWGMLK$Z;Oe%sL6l+C zfp9(A$EWEZ@bMcS$ER=Wvs0a8Lhby^G=-fap*Eo>v>OLSIz~{4WWY`l^$0-C+Y&28 znih#uO9rkD5M6nJQ5%?-A!&(e?#+h}&u*#e;Rw4i2tHtqw;2|Y zL^AzO1yPff#0ay?C1;5t<={~<` zeQlpIply9w$y#-DQv|g5hYi{{%O+vkf6r<^WAmz&M0OdT!7&FMUo)I3 zybm5cV}%v@yw9nZVV8}_%Bw)dLalVB@+vrgTe4Sh%;ZjS1D2NiLR0_$G)@0Xgq3tl z1A`>~?0reRrOBqYrT7GCLCmtqnjbOAP4Qji>c9H9i~M`~GE+$AGt*)pKTo%K$t}(} z2T=Eo8Z2aJPeq89`@veArh<_`xkN-o=PDXHD&9Bk?D&z=A^P@Y~E#1QXH|2HRA`4&NsXUklWkeyd#b)5L*j?qX?k|Js_ticy?d==7HKVvreh-p-7g z%eCI=khPp!#K8B-m`zIxncag>aj(8@Dyjs}$pJLedOlZlZVHbiQtKTK_6QL9+*a@o z8v&Sxe$UL;g!j2N@orH+EY_GlxoRpcdD#@Vf*7Tk0G+0}ruaTnsxP>{`S+TX4AI3< zUI8yw6eIvCNR*)hVn(Dbf? za#2TJ3&q_*ZDi}T!@@_%x{fKaWK4jb|E$mdnPuNoRjKDXIN_%QTs|wsAG?+*m)_z( zKHZHGQ+QHvjvfW8O!A!)PG z^`a6!>*+t4T2daGd$0}tltZ>#GL&zJgd3FWSQ`eln61NJ#Nm`xRE>zNfNutL9Qq8p zwE*|6aJQxk*YQnv4|5;*S2aAZr*)$AGw#jG&hPBcTC?RVgI!aM(<;yo5+bO7d(I)m zUZ*320BZtpr3*9#_Nz+^B@91sYK6GCoUzdM^0d~%&y}0Y2zL1(KIG=Z)2ec* z(5{=Ob%g5~ilRg_AfkZJC!&8E}kUWp|+vIDF z?I_Q~1&LGLWPh7cJzvrM{`o^=OODPZ(8_f_38ryr?p=mb1fxWT>=k$b}^An;xiyI_k~3t)tlgl=J5Q-a%3ppcb9fMWDgc9UY{gi_eG1B?XQ zO>V{)!-N01fP9ykSF{K5vI3&FI-ih)>b{Gqz!kJ)J=(V3w0EerR4exOA3FxUoqg2B zU^Ajx^7Huf)%p=mQvW&L@Hw5zEt}g|0i#|+enDcaaE)S*$wrsqkFdJt4tGuE_LamP zet*x)R}G;i3I$RTmkk^U8+wu!ZYQtwBd>GA6L-uY(x>|;4Db*pHhhxXBEq8I(c^V5 zyz3EHdM5$GQ{t&oYpD1O%yvlj#kgp#tmrC|18uq$Mxll!$UF_c@pgoe0qZ368Z!9H zKdWr(m{4pxABX&>nhDUBSciZ#XE&X50Cpw)B*}8*V)RL;AhF|MFi*xs6CwzC35oYduT`45%4UVOJ*0 zz(Y&v+8#1`_nSdGQkMEgs{t^8_L+RzBt`eKe zM$5&quBHe*ya6?s|3zK+PT#v_R2JZ&SzWU@W(Q^Szs2=if548xbyY10&<||N&_uh6 ze>XmZjB4pfB`F@6K75in{6znnHmXoCOYciWg*)C=|5pA3u^3TQ{DD<(ru_9WVll(= zWz93sGeM751Y)*_NBDgs+6D|K)RXEl@48>JP@oHnr$2ccp6*GV!E1fYxQ7V%yR*&@ zD`Q;CT<6o5f076`j>Jq_fP+}%t3vvshfsB0#ckBR2F4~eA?1@^J50d*JOp(A+gClR zyLXlfIn9FnWCfaq3^4@T0b3I*_=(DAFnVn^Dq)GBRv2b z^^C?(y3uJ!xli)!_fF*F_?zZX=MW@D<0r^Hv7XG~%6eV-IkOT{9I#c<<6L>;aRsN zcAk*i&$Y)A$?G!n8l1avT_VSwOU*{yO8RW|Grr~@yR6(|c&nOI;}?WHyxZ*pxd%~E zxHx^nCHVJH{zg!qtDGURH%MsWpJ|E-k`CEtFy0(q%+8WyRi5ybu?9ZqId)Ga9&IxX zfdgLW&F4Di5Z!ZI80S|uC#Z?)5*o8emjFlhR_@exE_O%TWi|kJoJY1&n%vfHuaJbc zUzSG|+>d>GJis1G-42F5f&g8|?ZG4u;JCGYZ1h2*1!rM>7*X+5z8g<+lT`w*xdwL^ z^z?-*I>#+%H)W0^{UZ;;WdTBt5aAdVo_m{|@o^yJ(oY?#ZLl)o>kV*XpxX+D;QnK8 zP^X;(a!jZ5m^g&5^2HN9%i2e;`bzTIW9w1Z_DA@?dtLvhUhUE$a4c^QUqo0-NfP#O zMix57b-O(_08xjXFtBlPJ(6R;EWYbzESrwSAL_B-<+MGf(Z5-%Z%SzUUl&X%-j&x( zY&8yYxDn*Jj8s>7cu;Yyoy!a%=79)Bs_Uhn0Oi?_ufvuxRQ26uitoxm+`7%NO!Zyq zQ+qwWTbB`_O_5{f93$)CW4G0R<*tDR9*xKMCEBi0h?+*j+Bn1=kN1gaK)ZmNnB@fY zX(x*B8d*u_9wWpPG?lFQpl8rAvZ1g)7=7q2ofQXEw|t1{pjOZ!1>I zS8j?1qL zX^g!&;&)j+*OE%pyL8y?BeHI=6&11|_Ig-y8 z5uAJcux~C)K!=t?iWWxEO??Pq@=jV>KmsKHsrkuDs^2VErn%}wrpB1KJQf%uWT>FC zGK?W_+U?dgyY@3We+!CusNM@8u9wfqMF|npIE+BuqCm>$| zQ~@QY1tRW6SI;G>YDEDsCLN!t=NsV_Dl?OGjTvf#Rr z#s%DBK^9UInNfR!9UVfv7a5q$JjVo=+QF+%U+)d0uCx8fSU3cBRcez3;>;vYIS}F8 zxqC2W1DRGc-{ZOep^u#K9iJJ1W_P#P(rK7<^XIj?D;paBZdPG=J|5J4n!E(=m~fp} z#a>(vJZ?7bEC)vyZgQ#~Axfi$J8> zf9c|ZL+_ekFn@aEEg!X``3|i`_Pff)Z#tE+S`c7Co!Y1+WPZ%3^dzPTXjVU|kOj&h ztxucTYonkua|-#-9C-NJ=K_NBN*gs=Hmt^kqLdZHS}({XNwEAk5x*FK<;lmxWyiG#Me5rCteR1}rI)|5#H=4K+6>JWBMo5ww*%>jW&04x9M;B;tZ z)2bT8H3gZ#rqtB^hpXNHtFmaiJPy}*Q;lCz=1s2o^!O3*n(a7_nxP222g5WGR4iR( z_%>faGQl`E%_51+g~A0ZKI^wgwU0i24lCcwi}+;B0*N{4#bP13t>$ahJxh77RImD{ z)mcEc>hW@bnrr9-I0)^NCit%U!QU)Uw7?xxDH=hOvugPlj{p~^GM`lkT#XQ#WnR74 z84crMQ8IU#|7++>(MqL6c_w)zBuR*J{@(xYH}{MCbA7JgeO+I6>Ah^pscY;`D#LwfFkaT8 zVp2!ngvQx)7ByyOoPC!D91`Wsy+iM;o0TH0qF44=em?( zBzR9s)ZxMRYXWGrC^W*$hlx`E>?d(5q?%pNiJ5dX%$sszZdPjVOp~v)nFvU4%F$6h`DV)rpJ2tMOtT$7$c&YZiZ!{gz`UQOdb~5}G;~F2A(S z6Fweh^3M|6OJ=b3Dj(HQ`n7|%>Nppzv0XZs|GeYvH?ll{c~AF=_H)N-k6W+uWcwU! zl0DMXYmLp9#3Juc>mKIq$8<}dZ&`BkA|*dTW>f&us zV&2SGgPBc=Crcc?b4Z1~{+1oObQ|COaPt%Ue{zw1En7=z`m~1k( z8O={y`U;&1{z$ClxPKGX+JnEcv&1DGzF0?>22G&vOD)GJ!Y-&qRl9K4DPzar$A#-% zg0V0v$)wa5by%TN$-;Z@aKNB-n~o7>tDooW;!el^j?x|*@3wwPW%>8iVU{-5u%bjq za79lrtny+!&#D_3Iy&sr&^x~AE%C;vmrPlw7I%I~;MHE*zsg=urXDn&lr-n=Sb5b=Q^NlhPs}3U7+RtuDT@RhnCjG2iQNGSI zi;qEAJON=uvz;{W4D68MpMo_%qj|)U67tw4L$>&!s0+(pwDug6go}pi}8Ao z#ft{p#KHnZ-FOr=<;z}>SCM`!jzX9wpB=q>oz)~%1*IAt+}N&)99jw7q)}VXaw?CX zx}#MuyLpmiJq1hYcpzFj_GjHhlZ}vk-kJNFIqb1xOM~CEUM8bW`v2|@lYM(%)AAu+ zPgBCzpmQN%e8v8txLuPkJ$4Uy=1_EXVfXV{c?}K9!OgByG&4yw0XAekgf(5$r=L$L zs$}2tLq*x~TP62E?Z(cziO1A`AzW`0M6ePbWU_>Ify-hkNKB9_-U4AL*>}Bms9C% z${+x{sZ6!T<56rq`HlN)4JAPVsY_EnNZd}#5|jQHw1>EAN=^VvqqIxr6JC8QLF2eg zuS^D_?z{H`Z9~V(Dp2>67r`INJxn{ub#SJUERQVc{xi1x~$fVXA zkP?a3C8-6M2KHq1RqcD=D_8t(KY*`;CDJ!Y3`RO^;s1297IcBMI0=(npPeSTo-7tK z9FUIq6QpUpDLUkwnUZ>}w9Xa7k5MU!9(IOmwdOa4uf7iS*ZKc|1+E?LBiBU zSAN_~SH{iUdBy4?Gfm9e=5(k%vO!p}LJHsFJsPsO7Y5AK^Ry@xK=%Q(Ujdz8*_-n` zb6ci^&v=Q>rh@O?zZvqQ3ix)(*AM`_j~7|^GDzS2xo(8!72vm_=qMfUtN8lcYW|u^ z=0c#5TB|wm^_$?wyPyJ_-{^r(jz`x36C^$1y-u5>mmX>fEA(=D<5C2mt}A?Ftgj1Z zqh&W_hj+5IG%)Qk#Yjpq#D2T2h$(C6*M<)?iw@nY^2gxmRH<&h9OB_jnzxmD!jlhU z1U(hxEfXWonhj4)-FTa1cclyvICu{4CMc${-C~=R{JG@QmGzZ(otA)~5B&evf`1d| zKQaQT)yux7pTF_Q`DY&RHGWxBcBEagXoTzi(uH4o(!pcBn9?&)GXHa&krr|OX_?Ps zBRH2i{K|zH$D*8n^XA!5;sDNRF>(;NJ*F@>{8}5OPki@Us(0}0bG3Ua%9_6;r|<#C zVy)ep`b#)-vtPqs%dYdnn2-O&`)uq~aY+Ec(dgM*N|vPM9jmJ^aAc+5|0MWt#&cqB zSg`U?0;g~ypUvTP2GBW%C;jK~q6qLXtU73r12saOcfcFN?fmYIF286p*+x+q0}~|L zMy4S_Cw@v5WEK{cEIoJI+^6_fx@7L<9DjID1y;gm{90==ZR>zya$U!Hl^r*|ZSE+z zap&y(ymbT*!Af-1ENx(L1;AIhRBOZ?_YJJY=b`Pieyb5a6IQ+XJx!<>7``~#ds*yq zP=OM%Cw)jkJ4wLv0QxE-ajKsd&LGXGSL2FZuU6=yT@;W%UH!QFyX@c_#U*sda#Gy9 zWYtiXSJ=qlMnXbw*w_U)Ls0(`=>BQ^L)C7~#G9(%tDmwKLhe*myz!b| zNk(-q+v5A!q%^H#(%=`obfXBuBuRHGTA_iP2dQmgl_jAu_h*sG|E5>w?1Y5`)tr)P zL^%8}VHKGZe(kPvHAp5mQNI#@)GaVpOg7*#fk<9S@dMsVwrdwbwojj`)|x)FqBX!|iT2D&I5y)q8RCR(#DK7=3H?m1F4Q8`(EEz%|}S z=;cJSvG9zZk|Str-yp&!)!31ZfZS)jJI4Us<#-@<9!-*)jYU__>lf#bM0#FrvXvI~ zyjMP!)#JzOx*&0?HAnpiFh73cR7G<97g99Gz!e(Z<}nxLrE{Wg`#C-?JuSBZ32Mz} z(!exW3xX|sLKl+B?>T>RujjWGq16%^3Y;@h$LGGrBI_-&sJstOtLR~hCgSEAeK=g` z8F2bb$6loL_|ZK)rmmSIrtI>Jz6?BwvWf*J_NC&}VoIYQj@jDue3E zPoMAE?Pwst0UE(Pz29|Dg*)E)<@pS6Zu2{t)IZl&-qwHjy2Cgfcurre_r%z#86E7% ze#2nxg4Nh9%CY!gu!Glr^OqTGK@xlL@QUEA9U9NG_&zssXeU5c zzi@l~+@URl~b3jJ5k2AG!;@{ME?r7k_F zmzw%}<{ti<3m|`T(4D(%$H8KRy;IxJW_!v~24&zpWA9tWOCd|cw$#RPpU4@fu`4|P z^=V28(=p0HaR-VXYFcTr0|kZEj-wtt9nPKJ&I6MKpMjf7Q@(Y6M<4P>I9Iqk{rD$E zpp=8YX^(g6Ewl6|bjgm2*7GmT|3g3D9N5u-nik%8Bk88z-2`p-?K{s)?i2Yhp{uY$ zEm+a}ZJu6P;uDi|_C~r7^SePgeDty}bE(a&ydx$NWpQRi11x_P$#s|B6p~4?#zMrA^D}?KtyGT|4j>^j9gMHL@eCOKa7zrzPnZRBENwWhZM9 zj4>O&sOr3$l@ie-6n-6uv}t>t9->z>1wXpg9Y~i9cnzFQU3;{xC#QP)Qq{;8{Kxv_ zd|BPmJpWY#F9wGvKJdeFc1nEp$|yS>gazlE*GS?8pPPF$WufN;Dze6W)$VRYg*etVxjV=R2P6cHTN`7I0O1J)W0rSc)Y zVtt~kN}MVV4PeUEh0n^xa&@#L^aQv&A^gqJ101j|yJ(Pap)kSxLmKzKPAKp70nCZl zAN_2kZ@$B|1#s74N6;o`Y`?Z7st+G`c+%=eZP8OI1Q;9vd@ zXG!IEfeay_-~(I!_+cZ}Fr79xr&b&~`Mffq((qJQ{i-a!wh>BAs?#cj+mH(9*;6yQ zXe{Dg$I!TK){lRBICvMT`{TzY!D<0XVO6s|$TOP}GNU}G(vlbq5!#Xj1?F~&HJLU1Cvfis1EvFgu*vqYP*%q~K<+mI- zD;&C#TF_a`qqP>}g!3@cy~eur3Q%&9+C+!2=6^t}LD=miAlxZ=E6TgdsulX8Ayhxw zfJ1jk*);9{?kK#&f*vga<#{jocPtrZxr>sSjVr?;CNF8uSvDwZ{Y{;ry|n}D0XLYy zye(@tpIRWC*Xi)-DBC@cnpW@N0x@am5Q|NCaidz0&Lsg%nMa3E`ufdKA&PPO_@E|> zb6w`0%iUT3?9azRzw|D zPR6M0meSIG3Pc*vw5%7<0BRlEYqB)`%*e%!)v{=!70@`-`*kTa zP7Qj@!7LB`K`b;yCY}w)nKFqgGm2a#s>#bEkZHHEmv-5Aysbj3<`WKTD(^CT$!Uc= zz6kyGqTQ(WrB5OPTLe@0R}*ud z_?~w?g$J^(=nGgJx#TXWZMGLH@QS|0)G={H{Zj;_Yy21^rp3(OgDy z#n$b`+KZU{M`(=M0^a!NC5eV58}tK&DKXtZa1* zG|$-H!xJ;ji~kbcH319XGpgYkl#Cw*ue%W_CJ)$9(k31?`7AtIDBRa6x=(UyMJAAv z!byZZf&RBmR5FDuE5^(N$|jh3Cui48f6Yt9YgzuH$der5y?ixf;XVLDg|Elf7=PWE z73LF-@eMii^BESRks^+^WZW|{RcjvxyVZ7_nV{d9x;%S^fSYCEw>+D}q+&UjC{rBk=`9)7thh~%WG-Q@84|@iR`;`SJlBhtI1*&uN_4n0qGKQ8 z{c4gEwF|6!GYg-|pRze5pPI2PKf9b-G}x0o|FJW#fctUgQ`{PLAD+^bJmIzVug4i8 zyycO(v`S{5_L~lzte4+WA>WTJgEtED`0x5Fee@sJQb`w2YEhK6q;X)VXM=vpPs+GbiJINXff(G+MEM=M&W@zK6GE#xjev zo(MCynAv_Kr61T=RhP69ogTMmf-DQF1o4Ya9rd__;-u16g+_q)}jQLHE4xC zy!w(E!ANTDtWHR2b z7-XpAqf%iN+g)!DO{x49Tbi48sZ9x)8%gJunq)g`0g$?_aKA$EyzaYPP{W~#bq5Uu z{#4OH*jj%aQl8IT`Hkf32C@HT&*>vd21W*j2CobdoT0B_yrCR>FId1gWbYtEDcmyl zdF(i^?(5RCm3rD!t4Q~EGd=Bs=n^8g;g$&=yIz*7K5A6yfyM8b^m5qv@QjjC2f{Al zU21Tdvoh^ygGPWua~Mif=cNs!EUgzsz=220j6fsi7)--JZOP^2K&7mIeV6cmJRM`b_9_0dHQDYeW@vBTcxFyX{!7@B)1kNygtJuyNFFb-jZ#vjD_G<(+ z;!b4;J_xmac!b`nKo)N6#(kINs_)O>73Hplx(*xMcp+?B+l#9xT0OC4<=4^d@CbFE z4@$>dmZdsNKW-X#9;eB=w)>TIq`Sh7qbfpGY(Xsx_~WzqlNq&{0&rFb{PLY`!)!ER6 zQl4Jzs(ZsJo~a?eYIEy4PR;30K5hAA`O4{~+tFc~=GLVI*DGYysLW~sD5umvMV{gl z3qKTrs*=3?O_TPMTARm)Of3GV@Q6{qA_+%qi@fwn2}7sKfJ;vEf)#n-8D+tj_jm|L zDDFZB9|)ay4Ce-|y$u}WF1!^ybe>oLrE$wo>zfNCCw}ALHUe{lQGbPgQ6EMCAWYF@ z6Jly+ZeeLVa&03y<(W01YE@d=4G+TjQg{J#TmR90m2 zrzCwt{X=Yk=}5@jX7JWgZ)cV<3;;A(k;PHhKr}!O7WWhuhB0FV%9sBfA6b{p~#5XSZzI4&!A2&ai}>v(W~Kms@lTEqtY^T}MN_e9d6 zK}j`oM@0$*?5Q|JBm<-qR?*~%z-Sk6uWCgUz-N+_mp}mUgM)b)2Rp(K56|N)R;b+B zM6|sDk9z2Y>V_vK_rG^c=$XB(+4fgk-EFMREMJ+so4T5Lj`}by4GA;QFSkY(t_=r4 z3E!!WAg)awl87TUewA*UfyVY)e$a(5X6mn0-IW#1WM47drA^HJcnSBRZ!_DMp+-$o z+r~N}feJ%4l4rf#6WmN$dmvm$IPU&(08KU`2m+gSB`|kEoYcOz_HB@T$>}zT85dlW zd3JO-Zm8zv*%wdadTYAQK4^-oucq61t?f{R3_-14?*x!~IIO z*|t|=PM9!1@F42FBY+P7+e*SQ{jG&90j55}9s=0- zVHwt=H7pj(CPqMyz$QrN4;BkSr4RJAF(-9rAy7xX3ky*hvk;`CegsnAn9v6I=+8qN zGk=poO}$vV4_hE8+*TRLJ+{%*h1V=1>4s}tgCPE$rz!w|avy1zEl}Q(=-6&f6H!|o z8^+mINu`kC_I3!DjiWv_QsB^fQILDX-L8qc2N3#>TqQ7Bquxu+*{44J`FU>Hfi^H;`c% z0X}@g!9bZ!&83(y|GgYs)q4&b?IL=Vb3na+= z0Mhk}BQE;F>qng1%4%&(#(p6e)@E|*yzCz#$0;?9mGYl1{c(~dXXX|L1@|K^?*D~s z?G3R(L>Eu&K6jca{Dbli@6pUGKT~x2W2yEbs}>M*hna2E4&HPkEpJiA?8npulHhNp ziI^9@X+GyN(8CWdkZzge7`g12!_XzXlU2p(VKG3mEa{B~4zE5P3=W`fB}!tj=^te< zfKM#;!vF^8!aO&CI#G8aT_Z6SkV*#)6XkG0RGP@j$A%z%4&0VxA+cjOG*oLbIf+ta zHA+dpGqv%^l2U3rpW zTqjN00!3D8kWGj>VJP*d3Xr@=)-rs;A*x7N92Q6yOHj`Z0;Ehj{TRcO)4%D?mb{)}Ryz4@(lwu;T5PDwPd0w&o*l|d*hEN1{|VLfdMR4GAw+|ZNm+Bux&{W!_oT`S0DhRUvaI4 zCR2fXtltXwNE5K{u(FCY8+`}VEQMf!YHA;cXx2v+B_jwQF$yMlnO+GEnj^Qku90^W`jXSGklvyi5#PxK#dWK_OhMUSsg5_>0&~q(yfr)ERqe` zLR0>uf}F%c5SoZ6DKH6M;PT~LvaD*~l@l`hbg6rNMnfU-?610~BfOB$cWB_eDS@

^sl5j05oUuSxe9$AM z$TB^i(vVAMrSz-H`ye~q4ariJ$)6={&g*8`n|{=Re_z=w(A%lEemMhVb$|n-jUrT% zn1TfAv1M|=R5EsoK3qXoouev<&6LgjV=Mn1aJ$Z+v67^g<78Aur;yA}APr}qgp3@9`6pw8=iTCT!8`5mE0G+bDk_kN)9=v=^0EQdD5 cEsN2G#?-`mEIK46M81KZzA<9k#KOP;oM<8^IGzwijHFO0+eA7gfrbhcBF5Mfx2dVeV`31m zQj*h-b5xU)oJ@j(sds|S^vu4P9Dtfl5|g#`Gtp)_`(8QSP?tCmn-YPGT#ceeUEGa| zBs!9PUnQPQi5inkqkI-pr=QqwBE;};=y*4o91k%_Oa=rm52R2NQ+9PzA~JxqMoenW zYEle&;&`Irg1a@m!#qsPBvKSD2D)@I+;8mGkzL(HN(%4;>6?xwCM2&?BD09U$*IY4 zZSX^@#Iy*sAR$3FDkDmlxa|{rJdFr$jHBrnxVI6_h|$84N{RaP+ORanTi1V2N5sav zeeD)^jYotAYNr+?6*LfGjUK7@;a_B;li81tr;@OSL%(+8lA_W%a==ODqnDHpCS{ci zKu5r2s1Gb#02fBs2-)M71foyHrp47I0DhPyIRo(!tj}0 zr4zU{{dSQrOoS9C-dSotmOZ!&kSF^PRu!BviM;M6s4^DIUsS$aM(F%*St~J#@mU3t z*&3W?Z8mWa#@WP@i(gMNz;e4tHVM?Kzlkejf0ASz&eWf*xZG1CrGMrARht#%ZA)@- zfEfqN!L9whZFYj_hy{&0Jf2f!i&VGuFG6C|7VS`c%yLkvA2Mgp#HZ0tdgiZ$A2xLIxMDh6P z3KBF4ZbN=HkWlt^!lpkVy_x4qVMGh$JNHjCIt^(wX|Ww`4{_|)K^1ryCc1H7Go5`{ zVwKtJ@~ybNPa)W;ewFD2Rw-cJO*X84h)qD$>i(*Jyo`8ikzV~2;ZWD#J3}mRnSO>H zg%`|?bnffp=|(h;YgMfp;vZj>m=@z8fvWg@a)I~nO|opvS$w~H%*{Opc&O$>H>}D) z`i4>|W)!!Ta91u+l=U^_uEE%-a-Au+6Dp#{+Oy@rfxJHCfXw!Ds2bDO=%(D*F~_yh z8O5e*E&Tz?u?AveP~}2bnfVK+6vt`g=y&~ruv3%g1F} z)KYpk{K1BGK_;;b(_E=J7~WRv5}H`PzwTbBv{;AMBhqL zdVLwnau9;>9z@>i1)W?d| zNd;eBVXjxg_OJp|4yL_+T2z0fi@6yYRDvI`c;(KK3gNXRF5=3sJ@|}%9rdBSk$rR} zeyygFvyYUBPnsdEkAK_fmas7jng1+ap16c1$cGeuO-n!z)m<+5StxNof8mE|kcn{1 zXY=0q2b7nW88^h|60v2q=&6#H);jdv@o~@oiO%+Ai%xb&T|{ViqVv0w@cxq0kwGT9 z@hx(UfWz7d7M+X=+Nluk{g*bAA!Ee1TY0uX)_RAxn+6l?xxDZ`Vog{ikhVCbp^h3t zSf#wxF9!|Fe$KWKA>$c`DvSuFIa{-3pv>y9d{-52J~r-VA-ULe?$q&I?4?;E{*`{e9U7 z1{pJR#J9bsp*~<4oiC6B>Z&TC5XylxXym3r>C@xSz|k@n`s-ZJpZD4KIZi5%)T`pp z^`=VW4|l3R))!5d98oFTt=At^Vh4;Uc~KfE>oqCXpuJpOh+g}Z-)xzudgb~su9BW~ zWT>H_r5oZ_v%+Q3S7fSwxJ9g$KC-q_VI(;ZFE-q%frte)A#mGw^#_=ncMfFTO}yLO zBn4{)-D80IYDN6d31)`5zn+K>{F-Rn;=8vhuyQkb}_^8WcJk*d>yc*v+=Q@>NA zQ;$zlC;vaS|0W(5-HPELegXNz)>FMPp&kk_~jrBvO) zL+hW_Yw7v}`=w*{b29|w@t2ugXkRs|+a_&)`XQeAT#a93v@Tv;_d;Hw4O5cEzN z>hLlcxCt*fDGV}4Y9LM)o^jiL$QKx~a}PG^k`aF*Ra4d9_dQ-07_)~&Ygf0g0%Tc` zRPVMJpg*82l;4H}mA~xkVEj{yd;Ltwp>z4u;~q2h_~iX+N7%vX`43qV0mtNQMveEu z12nVFA@t^Df9kw>PLLd0jwrL*f5OkIEzUi^o#wsQk^~g@lf6Fgd$=E2B8cXPh8#N@ z7(C+sMxkKin4RU7-0j#@iQ}l$R~~J`A=}&&+hBdO_dB)=+@jmPoxCYP?AsuGJ(Zifm4Hyksc!nep;c5zOj1 zYmZQ_=yZXTl?G!asAMztu}Lwvp=9wQ#PUiZhn#SrV5E@NF+nvR>GaZTFJ?HSv98dU zZA??HxnKC_q{D|15m<#f!4X}goeg)7J$rL7M8~hJ_m|`8k2^VZo)Rd!|=Ys9C zC7{pk4M2r%u8&vyQPx^0j1{iWEBUO&VpKZ*?c$C9S^7sb0pG-F)CW2TZg7H+f^J zbsft8^J6aRYx(>_z|6SeOdxS#U7nvxxG9(laQjA=C4_g|u^zInxO<|=eW-pF@96;?_ua!Nr_RjDhe<EwNb-$<~(QgR|>hji`>a9^*}45>K0v7`7of@JgXV4EIQUw)Qo!${?U? zH+zdGc-WHLU)~oF#|tSvV>!orDkp9!j%@fh%<}!@&W0N=xvN0E2`QPr){h#%_3L}_ zo67dpFMl$&M!yY5Z$+o#J0`LC7oXzuTR>`5yRt%UsV*{kR?zs>_jKdTu9`ej<@-GOS z=m{%_yUplw>;#w?*dwVqhE*o@t5xtWb;8PmI+lM}$7wfJ*@}^-FsvY`O=Cd!3X7H> zEl-OJQ>(26La75*DEf`%mt9t-R499|P7vbnqNHl{Q-N1+xHmK)O*<)ZNn4=WD(-=A zH!|&9tmN%+eFQflV%hJIAMR9{#W_IYXOfwvYiUP&4(D${{%3EsqD&(TB1KQ5_1kX% z3tNMkyW++o&(ChX<=)6#W(+SM*}-~jaN_@WongO-*js-2+bW2rC9y5%Xc=-UmB>nA z=IaZh)3mG(q&d^FbUHMRq4LFkb%Nl8-9K&gNvqV|G+C=8>gXl;J=NXiKsv`Namq8- z6>1ggZbsQahHmJk8e9D^PuJIr*ES5?;{SkVqK+Xs)BREy0XLcYmnQK5$e=yh2Xtl_dh_y?q|RUbc!e8sagMe zKQ!|9)0d@RI8>s0L~Y*dALNCJqP5d!<|XYpOMYMFpDO-$psDB(+xX}B5joJOt8lkJ zLMHpc*eP7q%~d|b<11_m={p2#<@?Po-YA4LWh%`9c%7P0N$2xyVSe?l@>DDe>jZU3 zp_&>L-25Y#|F3L8OtX4i_*i^n3S7EW9&zId!oR)?{#)q7+C25>h@7k3J}f6MNaR{1 zFa{nVZM~5CZ|3bCf7l-0Sm42v+T;O~nfJWc#qLPY#xdIDgVPkP0!OLpJkzVQdx<+M zRfV7%OvEDXHrhI#>Yj4FH!eXl}z=3#@2aIi`g8s=`@sy z=!D#76jX9-TC?`tPpKleyzgDuuK6C_1Xd?f{~O|d-kt6q;EwDrgR&h9bemdFa5IYq zlBJc4H!~Bh{{i0K$vk#Sr^K$x@pTPJJAdIdc(zvyzwVk)PYbPEc(c%w0t+_vV6AyQ z?ET-ayptvEh-cF>ySL_4-?FjhF0Xh(f1rTQ_{5anTBPB7ObLC^*gf9MnrQJnyXsah zO~Bs6;Y4#1%xD!cnNKWrVY@=w$TjVsJ3VF2=!4=V42SNXH&ppv;<1{O!v4*W7(M&E z+oG89-OYf5o1sTKxd}UAc4B1_y6kp-bCt~IOY9DNm#C_>ZH|E@Z1{yJUf4#50t~#O z*Zx0vB{%iFye7A;5K%q`02qh8W232?fO=wvMnRH52ympPCIG7Z2%>5Rc$J2dZ;6ug z>Qg4i%s3?hFglKR#Tjxd3-C^%L_~2dPg*-gwONo;sooLE&Xkn2$;0uuxS&ltW zYLkHHKA`EyF~B|f1K&QvuPFhrPyY}J*fQW&6F}WGdnOl*=t4pYv<$-&g@ZxtY&FZ?2<0Y@dOme?vH&+-#>y6Rmv|_ z@I;Xy!y3k|-4QA}&n6pH`voOXcqsNluIY>jBYvxv*g^!Zj;;iJ3qU9;v;_tpI~wpl zg4ovp*W(;oei4ZU9NB$2q(^%Xv#EOf82{c-r~J_99bOn79%dGPEPm>#W$ubcPF5e} z=ES|qOaQUfi`5za)Y6+tV~0%bSp z8Y!t~Uq2t`?NCkFv9jlm0n=DL!MU@O0O;8cSiW-yai2dPAsE-g6-F2u4j3Bp z4Fv)Nf$ys#@fCqPRqWij@)Tt4*SR+c!57Fj_zi6-c=%jtW9F#tYUw6S@Pol@ToEu^ z*X|3z6Sr7&h?$*H^%~j^p83Bm_*Uf!3-$n)?(^uQM8W=D>9E!_C}wZXbZlAo2BAiw zlLu1z+lmOOUN@-p_B(`};ptyhg9(N>(kg}q!d9GiS3e}ebuf#@7TPkRVo?N8?+^4ojqnN}bUIESE{KZ#%>IkoV7>^e#8`1;Dah49(@ zbrZT@Zw{0!EJ1D7{U$dvy`ZI#iz^sT6HHR&^0tw5NIi!T$UyOvHhzCI_O3%hjeq6F zTf|lmY3J2-CYSsk=T2$~pvxl&m^9`mR@|Ir>B!R1z$c3OhA=@brD8r+(a;wU^U# zEDZDA26JffpC;=*xLak{uK7>uf9BJgp;yF6M#s(^V595`;TS0b2Od^EURrJZ@Bn@V z&KBG5Y5tITs9o~@#C905dY_0`8xyZ4QsEmAJ-x*^)D>|MsWiv78^0k%SUHFIwvSf? zHZ@9QDOi4HVa-f-Ob^3vFcJ)7KZQDBhKNY_j6H&07cAWtvrW6pOYg&d-q{H#*m3W; zey|0;bw0$8zYl@T2NZ=rsqsQj zg8}9iUg*MF8Frcs4`Ugu1#dQnudy7rXwmQ-*1IjfIt%<~A4*8%sMOM;+HRLfHyFro zKL5?Ps!`pZ{l5*oNxSz1oPSK5tm9P4|M)GrF-ttX8Dl?+hB?vC)NQI&f6ZXd|Kj-;9(^JHkYWR}Ifk zX>P#LtG`C7LL6rCVY4=z4UocU^EF>k|M#m^e>0^rr$A>)ul>-nsf!Wa(#B&a_4#7P zHs;ooS1pUYZE-7+v(>VCmJCCE0j_)ye(3ZZBV%!PkAL%Q_;=a7vrW0b#Cii2T;N5! zc>-|iYgbd9cVi%68w_OXqzn2#hpiz`yLv(sq~FKA_$P214a(aBBU%@Mygf()0BV3% z-ByO{#!ul+!Dupi8nJNK->a?i9JtB9Kp1fz3o3K?kR(mQ?)5SdavTv*ZJCL#4!*{$ zFbps6s`U#G{Bh%m1Ap8(q7eJBXIqj#$sC)jxy-w!_WsdEl84Iro4R)Q{?q4kO_jty zL%E7`-1*u)LL~BhFSl2)cicci9I84?L*D>>VCxpnitRbcmCOIo&hJfudHmS0BmK-@ zQ=C{#`{Or5VE704V4PheJ-j)KDwAg439prDs(WXZ*mc;d1ph&4VaGcE%M@mxKd2oB zT=Z+li)xwZeCu4Z53ohWW`tfmy+vlDv|m9L>NwN+D7$CFDT|kRwm(W$h-M3f?F2XO~dz(96WWn}rKe>Q}gczotBq`QK#ivs(z0wF|P0FHiC zS^i{M>ete#;*pZCa*L+F9svy=Jj1=R*2T`f<%=z_zKMgqyum~Z^5H(X6vssPQl zhk?)e@A~iUu2}7x$lvCDrf4!VU4CsbH`$ies+R;hX%fB{a8w&)t-E!TW;zPQ z$kG-3W25)#a4UEM#)0qK*Ni33f%~5^hHCt%&d${phsLsr|D zD3e)d01m`J(fIKBZa3KAYyB8kR=o8nh+@}i1A@(x-a&K02wVU-VEio%^qMXZoCejt zRRMJVTf6dh04%kp)W0Z^1p+uw*BXwg-^yF9i!j|4$1WFR_!!Tt^9C)=jq`0riQt#iOsiU3Bw~-tJyL$N<{+OfPk6q9> z737-x>phQM#)tj|I%{Ifc0=Y4GJvwqhab!i)_B~^Nhd{=T~tU(YyYx!g0S@bBNmPCD6R6*sv2-6W&&k|7nw162*78#9B`8|d*+zp zoY*`rN+EboiP6+QgW%Lbt+hI-sg0V7N$;MQ!DHGh-@3^Ql`k=@&RmPxldP&Xi&VP- z7m3;^3wL(?Y%NH)YLxKU7vMMuPK8zW_ReWPOC`Ew=t~|{%eILZWhNS-of}coj z>;%Ms-N)bT7)xP@2x&hlapW*tSIJrT6zP!Bnv!xjLc6gSzrHyh4!v}X<{-;>s(oz( zBggnB&kAj697hbIvsd8oX)U2=CN%!jJYn1N@*Tds~n~ z-Zh~D177O7Bi6e%7<7T^;7JLQeiQcMLeaMHcj`?gh2<;GATtPXK&^xMby8ze*^VP1 ziT|z18G-1>=XNjrI)oA8wvD9E($>va-lR^78X>L0kK83b$_S9>9 z{9J2-*&{T@Iv3>7OxJJ6KoSH|Nioz|Vsi8zF)f*v8WHt4ZZPsm=nMrE^NN@n6|)VG z%8<>BicBMx>vl-npEl4WGXgQx#$?swUkFjD`3G%cDM^u&Hh|tuqsROp=;K$qzYnJ2 zZ1tSn>+D6g)1s&+5+mG_aY@vKx|4ANz}-F6iME)S;-qtO)q?1lxSbH0w4Z8|n6kf# zDC^|A<4)GqH&N0d&^Rek(OE$^hSUMh({Nf!He`YU1h{)AMkO+~tyTZxako|X{03*y zX9uCa5o^R|K(CRQGKNi0UA|9|3O+)d(09Ku`Idg+)+mMkIx=$dcG`omwEe886C5X6 zl8{`&Pt+RF8=DMdPN$t5l1Q9%N;>ZFJ0c=B5KxY3NaMG0GK7F|N<5}IF%|tq&q&xi zQQBg45Yb5`GYnT_qTX{HGUJKuNy*?}Z5$;4c}?eaV%W*SUid58TqB-#OfQ=4qD>6j z2WnJLA>_Ys{0<*R4pG*jTK#J&;Zc+E$@E7Kgs$V7@qbwY{rIWW+Cv1OBM=jf#ze$& z{IvdS=&SKcL+u;73TiA%n!o}+LpK^_e0w@0SrkULL+~X1vo0ukmqMrfJf1)auhOeh z^o~tVf9~!O{}Ex5^L#2nDAL3vg4XdGyCEh%fzgZ2!|tDap0d>?j#b7^Ou7U(JBT+u zBkH#39-uB~BzQEX0s~)kd{VFvB}E$x(;Zh=XK$uZ**u>_r0Ym~$&ac)Lp z8Veq9vDg$(cZs6%tdG#BMV&p6#X662OEZl} zbW27J>Rubv-u{^qTYa|5yBBdSjydifz99UrE8;MGek3YV-y&Q#*3$qoF$oiYY!HHNO`1h>I z^yIF)MM8x0dKpcsf$FM_5g?7bG0bdju^Wlm4qMXPf1?^vX+!)Z9h-U$Nf6^+l%I)y z!RdotrzmvSdP{2njCZ}~*1mGela@*>5T)?pw0)8fG@(KJU=-zq_x43s-OeCiiHXJF z8C}t5N|a^wW^UE{#FUrSdTpm2KlKk@4gnmUuuca;_YKwBT+V*4abBl3J3RYp0eB=i zwO!Uuy8|MhaT+UOFCU|iC##Ka*#`zLOBo(W~k}~Uj-S(p=y*D zv`|HD4Qw$#LviqMOKtUAYsR2bss?L30qOLWm@}6x{P2e?8-e*C&Op8TN2K0nT1cp` za6{mebQuopA_2g4^H^DM*z1eJe-TP8K=#6Ygs+8OT=A*gMo*T-62MFMmN?XUJHcQK zYMvHaPl`bJ3z&uL0xN^mBjfeBA&n)K&LvvUFsKM zOKjE`5f+TQ$3Oyk+t4fsdbsiSiebOwYsB;;t}sxv`hYK}f3^0ittl^S4Mf`wEbeb@ zaCXZq-Ww`ubrqDhSN6Zc9my-+9$|Ks6lyXSHx=z#Rz zW<0)r_7JY^OQDzGITn}q6)0-|dZ(N$Xiqr7G$pJtioo_`@-kJIY%cno5809xAKv$z zpw}!lBY)55!Ip}SP}ny8>1|~U&mjf(bDbkC^HU_x)~+dSmj)#0^Q`1~dt_EAkF@UE zc~OCS(2SuY&zsegpXZfTosm=O^xGsbBsXhe%Nq%bIu19<*>dFD?Q+M->OD&C5wd2o zcKDB}?>_v~HhsVgKKm_74#}nOWBP4UB$Y*N`1QCJ0np-sJ~thaz0IhlHHeAc z#!`_Dm*X-&7C*kGGdjr%fzyKpYsn7S#fgW&jro;Qr-lN$*g}pr10=`%CF)WHzUsw7 z;Brci_uzEA5aTKW#8)gPwGijnEGu*t^Y1uEZp_Up#gFIbubKbQDf)`W9f>M?P72+; z-5Y~gS<9N?<^K;3g%AE<)Qhr)XfuKatsbeLXZV)T)IKGeyjMvv`SIn_yPJMUj*%T} zPAFN7Wy?z5l+8LZj2^h~Xve34`gYv`CgyvT6{v)IHIegGpcpGg8n=Jv~9l`Qzf1GiG+o zNl^O{u$0U(rtu`?a8QzIZbNa_`@HKg-M>i5`!S;gfRh4Fn{jHWBl(14Dy3a-y$O^ zCy4N2QnuYtw@2VL8;LA4D9|qT(#WphJT8Oa*<3C?v=5Y9-(w0M6oz>@%rbq;od|eq zg4cTBHqI%zXsdW4hh*xA5q|O5!I$%F3?E4m2zfzx|fE#rAVQ*R`hiz2(f+Zxd||xCeDPBOhBisSKI1Om#1x zJWameTK3M5Ef$Gqm(P6mA(rVURX$9=O9;MJ+^IJde34}|z6&vxN7u%R4jXNjy=@dV zoz!G1JVrk4fIUY5%Cf{Z695oZ`Cn!c>Ehmfo>jBIVnOLD=N|N72af1i<>YDC5fKIINyD1K9h%A)w<-t~FmP)0vta6x-La{m0QK$zx450RtF~@=Q=1 z%*ET6^OO3Gi1R`&e{ovcJV&+((ajZT7hs5Dlek%;XO-zrD^IIZ}AIH0;9AgQ4$;j(=*!RK>8-&!li6 z(oGU}V0b8~vo|GK8YHx#F(KO#I3@)Sbm)Fybvszp8GLamZADIQzR+U8&D>7eHYTSE-82J~-2FbOdRtr)XH1JqYS z7VY&_DwmL{5fzSBFk=)CZl4k9XBpb#Kjt%o?wXrZonXGH+EG+14L(x$CJc2>=3OUi z;eKgDdcSk)~F*E*1heiV9*NU{Fju2Gm=KO2SeJTXMYu-siv#M|g zi3=fN#`o*#$5RBFeUC!~=>K?>bNT;uBZ8dMe};GC-dX0MvI}iyxqG{KsLut739>`s z?UAoqUwM90ga#om@arWfhk++an0ZHoJI?R!I0FgHZCvH@*KT~7keF%D;Ft3Sdzy@K zxFFUTPH8&@0;*kF6Sk6tTjb*%LCpXFzN)O6C2_g<4weR=+pV{0|SXRvnl zOEB^$$~R)fNVt$CT;oBNavJdw(JF5D%$(+9?o86?K~X*hP7gU_fy-|ncU$lIvQ^cJ zgT0nt)nYd<4j8R^w447}%B4Hse#CIrcqVl*-iqw`+9ScC*ahw)zfInGExvJ`v~hHYsBnR$_I1jGM=(t-0kvTbn=On&RA^28s$JvYK(dFP^9HX{nY6S}gp zBIE*Bhh6yW=&t0hT=V`zW6$SxzYp`RjvC;N!h7H)o8_y|5!+*o4;7zFDk$UOPtSvf zvE+clxvGmk8TDYC`2CqLz+%>)(xzU&g-$8fE5zsaghh6{T!*^Y`6ESe1tp&j126=y z`r)Xz1q;}G00~pl%VVb+F=XlJ>Go7-_M{PnTJOPu(D~jny%T@>3x9H~_JQXXS^4m5 zola&7yR+{M5yKD4Z(OE@Qr`t0e0*A<@ck5*DDeD-^866~PUxiU)J*2f%cagJ^j)Zt zDJ1BTW+iQ0bWg-sd8k9qAuq53BaZYil~R z)@zf~`m`WtyN!Xj-#;YEUA7696aA9r?XHXN~E@==|9)9zo%IFpZnZYVI z`*ACGs;kiA2U%B~AytMyyA?nHC*Gw={*X|1N}`lX<=-inKtaZ}U8jnsqTTI_ttu(+ zWsZYe3i(FQL^4MCKx?PHRg3WtRNZB@o==_zcG-i#@qV(S^^el_%Z55Xq2qi;yyjXO zj~)p$xs5=?F6?l~!0%tM)4#eSj?B!B%z)!Mb8e2j*XJ`@Nel#ya^rG(5Ix1k#jQyo z=iiLBs^tUg&yJk_^7tfL?FWjs7P`FKxvbMV>6ksDz|}PMZ~%FWXeeCV*vN1p*ZsEB zwn`SHI-c8ZFA14CLELVIJLm}?w&>T;^ZNW>@i5tRtb{(bS&{bl;n_dSAFur!fep?ZQ_peqoNsE2s`tP2G zD2Vp=nKi#jh*VREA~_04JM1UB5aawY2M4OoE=dqM0 z^!MgZ^R9H=1TRaMtBx{1#p|d#^z1rq%)TcoboKQ(Tc`n!To+TgXjh7I{Okgbh0a^M zShm!W0?6%NV(!~6NWGC*Fv%Rq6qJsu#!JJf2jjyfQVIIS_lAR^s{hog75;D%iOm8S zKXWqGtzm(1=k5Y=@eJ;tg2|>JZbR-L2Yu%|C1PY|?x)T`&rp6b^v=Tw61(wW&uij~ zD|eS9M!f7~>z~QJg!o-KY^R}G=BgHZz7Mz?YnJC?V}kuzM9ubp>Z{mUo)}45K%V$E zNi9eTS?CXmgDG8h7hWNNzPjNH2F{E#P&gYc^mPAvamWTj{wrToYY$dp*ELq$W>STj z4EZZ<^=OK`=Tl>A$*8ce|4`}=|3DRW3etDLdi7xY#+?(pj` zBkqDNSK99b_cvB5T;xP2s!uvVgKOIGzBbF>Yxz3M<@J!ITRXV37}pIXah}l{WK^P5 zpug-TXzau&i@$uPb<6Ks4`lG)k5X-#S0md&eixQR`-Vg1PCPT1W;tnormlSSTPcW# zwSAZy?b4s%=X~P{U~|mz{>;yLVq<5rOC)pnnyQNHcJ>`1hOyWsT~wq;TL!34)Q=xl zj``%}S65Exb}YQS;>W-2^olXMmGgb-@)mRR>JD)ENjE0NYUj4cR^Uoi@r)a-1aN<` z>7XL6D)~nA;N8(DeS!8mLv~mxjWGQIy`xU}+i*?OXK?#DEOWhj2oy;?8Ra4)26Q{J z=sqrFnSj!S4^{J}HxxkE{L^e5>NT828#A`@5#vtTa?SfnkB{1St1)~dp+(1bHbL*T zozi}5VN>kOFz|Jc4ab2iHUqf8Onu+6Ugv*vq*9pcqw;C))@ zXS)tEKRlH6UlLzw3j!4n0+&>UBYL6UD>@2xzN!_EFS+}_-4>Ws;2`vCIHdu07Pn__ zN3-*FPqKN@cs75VaUsnIx_&mzZap{6W+aEx0M&hJk>B)>d28MeXl-eysv!~@&gkNn zgSg~XFt-hSwctB2Nw+86m`rnpUU`#darq6^?9v|1q_xtz_zl-{61aID3MApU-@d|L zKN8;-z)B4d%n_o(J_NafuRx)fVYoAThpCr$kyUChjA#= z!-7RLtuJ?=&>(~8@!!c{B`{rHrgSaUg5F$MW-xxn#?h`9Cq8KbjyzBbZXG{QdTBQq zosiFGq(;yq;2#TLX0|e&!)*L&(xesb_Lhy8!?@>66Y7D^*3Ceib!)d>zBcx^y#qqv zpai*JM#|~mvbCE}awJ9`C9cH0AkL1*AkFL?HW95w$jkQQS~K?F?%6&?%A;KV?o%c? zAg1hj22$mk25bAd$>Jd&?XfWhuGC>`p zqvw(4qv--TZQi_tK2y<5#tMH~q^Ni72wit2&KIXYs)&(y&Q({w_R20!%-mVt!aY~< zK%k4}xtjA~s`Pdu7>IM>U#zwumkCQ~>v)OkoGz7!dxG+-NzQexe~CMB;8jV;OVKOA z%1xUiCGGT=(KRz67yb(~4GYhD`InDH2J!O#Kz-VJv7lDMi8WSMi!G>TART(;YPij3 zWalZ7{?XQ-NEebf zHE@K~-X79Va5)m#B=dwNWdGe7u&c_+9ItRzdM4|Y^(|zpYd(x2o9wn`LE4J=oFSNf zD8d6JC{Fqi-Lri&;@>R^Rj%*Dmjg#4qr!)1F(MyHotAN5lmAF>AZM` z22?uQ75yReJ42;RD3YSA)B?E=|0l@4-rAl)m&LL>G-;H$xxehj(S#sSVBKC9uu7d| zQ?f%VN^kQ8yY;q%N{VTq`k+m?_byiwuqe7#xt0Kt>>1{J zmTj!3O0#|Ke)6S6WP$bi5DnvH;i?+UUXk^QWjxSGbS6ERDV+|~Rcx?xBmFOT@2j~u zlP4M(rk5Zl;dE6DS_D9QYCxHWoaP*FPNa8E8FC5p+#fZO+X5ffykX|Im2yG#;BTA7 z)Gs12r6CdjY{uoImi^@)L?Y2;Na#M5NTFA#HKJ zj2p5nC|xd91$pC8&RVQcb&ejiX!a4}G>gvHl_b36)Ix2;1(*X;f#Eq>30KbCaxS;; zbQKlrIL^7~iR-sNY@eNqgc>029tf6HBXyai(?P0f@Kb3(MB*kTLDD$(r03Qk3Q!3n z1R)t7hrP-6KD(~KVS5jkU%El)Cj^FEoIa>Z>|@?HRmc|cBpF{aJ?K?&XkTia&?{;; zMshrA3s&-@Q1nf<@bf!8%oVRq?aF-6(DF*on?(cAU%v_m0`~9Zb=rK$6VMJl4`MYg zJlrF@gIZ2lbOhLwEUo|3TH|YtB%HGyAGd7OIw|XRa`qf_>2}5Z(lUWmRLO8~lJ_g~ z&^ZY<6p6zpwk^-siQ3+;?U>&~o~9SZHT5@uI4#)|)ya(I4tAYuu*+o6V$9_SzPvgx z#aEA~@(&*f`jS0qIG=Igxh1~2uJtllb&dd=y@ze_J-O;tQmR{p@6Yfo9&x@3uKit7 zxHuU39Y`-8eQ(jMU-X9DoyC9tU@>aAv6{8~?aip#`dS6|xL`1%@C5jBl;K{K#-*I- z2=ylEy`H_SwQOr0nsui&zev^gG;`ZtxM_-K&vETVH0rpR+ojOh43_{E{ajI|O~s@A zlS#*}?STzHwS$18Z>$!%Ilj5(dhVB>RJ4t8P$&HT#QA5Aw}@|*+}_-~U)i*IVi=tM zJUqKKSww}pF!bDF?0X~Xv050i4xC+gFYG0YIDNMF5;W-`2xOh}Z1AW%HfIa6d{w`_ zT`PWbPzSsXSFYW1V!&(BTPe&H*k%9RTU~Z>QMtVBjEhRGvGCjaYN+I`f`Ke2Jaugw zD5o~T0!o`su;|%a`8#*^^v;+hL(Libg1j|7OZrBpi}332nWPPn-&wc2H}e8V7?m%d zeTJwjW4y~w6O2#WpFu%SA7j8QPMa=w2_;jocTV{)&(!NP+WVb5kb{R&(7Q9RJ5_6D z61ldlQOa6yVm@**sVLFvzaXmZISlfdP}SNAxgwZzSj`Fuu=Mf16Tk$dxpB_>s9_Rp8VLa{o6 zr(Au375xiMpN!t-stM8&NDhK4FP1BiB5*J9|Xa&SnVY zKnvANGjno#w>WnF#rOb3p?^=*s9@=U3*)VF=XX&xrAjs_QS_6fnRZBimRgH$=BURO zFRv#4N@VWkxh%2h+pK0Kj;xh%WmbWJG0`)H>4kcp{be$JHZRe|`xbP+>9yd5q5j z`-DXtT+guw1-d6I;WMH)sd#(&BYxDcCX{R-Gd1q9p&N8ap#7}(A|_D!A^6d3`?c~r z=ijLT2ua}Ib==0_COAb59JA^cjQfUhmPyd5B=`VBY>c$L+5cS|1Ih@@Qh&&*gfJ# z)bVV19_g~?9Qzolkx@%Y#Wg&RN&rU(%2^+3X-D%tq6qDPnCt`z4qRvZzCVcHcJ}f* z;zhbr=?IyPOaA8pNUnF#qfqWYVMbCUCDA9*B(hRk$x}Z)7x49-ab`FHN-<_Wz=9|s z4d$z$!ju}WNgg=;0@Y0M&3<6TIq97o?W_E<=F7I1vooLrD8*X{>13ngN_r=StcN0# z*C@0E`cG@rf|uK5Vld?^J%P(h!pg`&i6CtgM>9i%7f!aZc}H|SqCQhnwLOxex^$4% z3Q5UBr-2Sb3(GXdjsx-WD?*70;P*e!T{#m%NA?hNC}3o?*Slw!x4sIl>O+_jE!CN$ zb|U2p@blZC&&+;}{ZvGZ{A(N9+}T>^l$P-@Nxg^o0LWZ2=J&V~cSyf;E__F?8H$T# zH*}&RE@vqIJaUMvoTLa&lUp_y4XrUfDOz6DcXD}AY?`5reSaVFe+-@XKNS80$8X=c z!Qq_E+0Le{th1HKPRMG>j=R&4J2Pi>rAgmUMngnoyW(upu(yoXaYz!f?%Ut+e!PEp zz248)^PLi_@F}GIS$E_Jx3N>)QJ!bs6(jNUZ9jophO%AWpw}qkf4`n*`+-MC%8kd)r<`6e;Xai8pY&xl$6FAu$` zx@A?E^52D}=`RPh!z~6j3A81Av0;ct*dx_C&RXG&a+GqGuq2(YjOqt^V8Ae}7vhdz zcrsvVazQV;+qS1#Q`=VZPj3@2Z0)0&+K2x8&X|G@!z*^HBxR*lzAXRsuXjbtZ+Ycq zeNy~w>E$bmKlkZoI4-oW`9k)Hfqtai$JDwMxzpG24Yi3r6PS_tq%2ymg!vShI^#at z@B&-pyO#1VGOm@$!kzj8PuX`XIUZFbYZGNCqVKNq0+0KRuMeqN$_)oY5`T3%e4w^; zD)UFyS|kzdTPk-24L-iymG4;)Ra#)76+iM<7#MsiVxFIrR$--&KOAwbe7bY;ct&GH zKd24*JDoE%-ZL$Tbfb;;v5$&S`-Xsv*{;B|49U&7F2JEU8nE%NcaJv9kns_#c=U8> zu10o;f2DXL-r#&&GIY41fm)eZj@dnu!!}i=HXocnh7JLRcLbN;3NW5b!Z4MC6t*d6 z8(Sq{=dz@v$zEAv-uaMIVsXb9jb55joU-(g$Y~CGkiaI=g6I5%GY`WAJJ%2|H%$*3 zy?-tyK&QERrk{;gxkZ1`&t#Ul7272IftNd@-BcrzEQpl&UaUt3*kQPKgOA0Q%D~-Z z;`AlE$r^J1Z4&Ix#2~cLKZ;NFJg|_2>8S+018}3NUVbn1#8aof7WSOdh$!p@!~H)X zLMKUTsWiKbYc4u$x7e+wL>J9^^W!VEOeTJ)Yx2BHFUE|OlEfs2YKn)v)?`m+r)iWl z8TEcX&(@Z(WsmCP47R^RFeSy|OdV*h<#7cj3?(;XbaztN73nL zWl#nfl=4@E@FqAh^|)pV+#|5cZY5oJkbMLAcs%`PCjyVV@;(VEn`c2}rtpa-vD59! z*aUW}owxq&8ZXGv55>RH-gZ!_L5DA${i${*hvl$6qxPe6Qp1my)<2(|l)DnT%~v;U zL|fCayN_1r4XPLf6sNq43hEg|R=l^8V}8u}Xl4A~c>U`_#aF9!<1OL$;YOQ^gH7n~ zqJw*_ygSZ!pWRisdzF4yLsSt;dq3`AwgCae>4`i>-nrAvUP`nquuRh}K?tQPcZ?{d zT665SUq&gnswdu3XFnIQ3ifZ-VF%sdKBA2`-%`WUp@i{fvbtcO&1+_o98Zk5a~F7z zcrOwva<7DmsmwCsOCBt`t616pdjD3z>jZD%f9GGDd`p;){hzjf5bX`C86yA_y3KyTI9uCXTWlqXG_v2aR( zsf~z3+c^{JaFG9b76-f}POBZ}ft9KGXyobzYND@x0KpOZi1zm}h*0g%>zjkPNh`eE=E$H|$j?uxxwr@9OPv}EBo zAiO@4j#w%64w(WMnvwU`xXBLeKU5rI^b@>ZiVVt@-7WH|8FCY)l@&M15#9OEbr4S2 zK@QG`N5#njw*KT9uHTytr*BcnP3*KqNN90I|^SQ8;WedpRTT_8`J| zOZBz)0I2s-xHtz4+|>p$T3_DIQrRaz6+qD`nV(ZS=LCO?*&$eM=0Xrsi|X#Zt6;ObtcJ+fl&?T%MvLnkz9i45VE zJPiy3>E1YB+lP7^z6mLAk>TcW^%vP`0LK1-2&VH{tGh!gyj4>Mr!8B}7A8rx?Tpq&R#d=Ui+igQAh}m#HGfXJ!?2jg5>BH1%|J z^t9Aw0R(5y<5R~8QnBIck*-D}YD}|h0Ou&QFE*-%Vr_eVM4q(?z_cTGNxW9pJ?Ve- z`Y8R&*F}a5kfxj^^8f{|o)&zOb1L$S$bJDTb7){G4~X<5Jwj=>TV(QM)@bZ~^&XRf z7>uD}!%qwrhPe{T|;IPT(mDkn;Byyh71gIv~ZPIpTp z50K%+(_7iAJRtn8$fod`=CWPtspcth4D))%C0HY>#ozMx6E*g3Y3Yd?9sO&{MS1vZ z>B{-ev`?ns81I}?new(5lyHP#%h{D8u&~jXHyct@eYLS`=NF@8!st4xX-Yrmv(ks_!A6i_}DL2I15FO zczcmIo!MFR3`J00?LsjgrZ#HuT3j&dpY+(jvr9juJ?GmMYoNiP#Rys!{|i)c85vey z{fbtFI<(@MxjfYXm8y>8uSGSy;vMZyFwmtKFkSfT48qO%LtEP#Ta+7sz~8SHyvZhs zn?ZvPEpPBL?k;ZZtWR%oO+av+q}ktkF*ItJb~~ zOF(DBblizOfd4L%A6n?hsLQd_oZ52xQjhSUKniIm=+qaPJ{<{VdOHybR-h)1^M>O~ z!4NCrXA@_=$lpgtN@X!!iUDu9Q};7tGJtS%kY3E&#Vf9rJKKhyTN&`!$WX9LgQfF< zgyTC_S;AhNI|NCdew7(gGBZ!z`;huOEcH+R;^TeU%?=V7R++d5sdxvy@R75exXb)S z0?-OB^+Jk3@WM0!?-`wy_K!T}&6I}#5XH4FJ(LbT+DbX)5FZ)UYPp+Tw|QUdlSb-W z0@=8xp;-&MwMxv2nADvCe$>`Mg{>CoJne{yC2;-w9KPz1nhSTB-1OPgn&cvG=4%n! zfhGmC^_k6BOB-r^Fo$MzW&NGsJAA2y2E;AcUKZb!VfB_?CJOTS*~%v7gFPtoq?{|M z7S4mFajKJa7Bp=-3LvN*(aK#yZNg%N{ayV`gF5++y#aNXcEk3(CHMfkY~}6jFk4xB zor6VxfGL@RKt>SAN-v0)N)%i29k^{lrh;rS`-~Y=TX0SH?bFZUtz2V%YZ}6uh#`t5 z?dax0B7T{Bwp==5dM36I5&>b~bcg8jB$8GwNZfARJo5GMg6Eg!vk?LXl`QOIl>=@m zP-eZGGoCna{tlJ*3UUNq2lh!)LnBoW4D}NDA$E19>HY_LdL`{IkP(NCP|Nc z4W2WStS~9+5b&zhJvHL>BEwjr)_4PW8@Qq__}5ceUcOOIV2eM&a(BB&yXPy*KHZ-d&9_A+b+D>* z+0<06Lx7Mj+8&sBL~2~>AirnFr9DF`C0OUjGmFh_j=+I}rl{}T@kMT{S9*k(bJs0i z!;|++x6P3;FM-Pq*v_mEs|wrGP$oG5fgPL@xRSDELMjj~qoB>x!nmeZ5zMEr3kkm%u$Ix9uIid00f< zBE?W4+#8yNVF~=%Od?Km4nG&skA1fn$8EG$7r|8u9nBg^l2xqcm>qUf{w@S|Yx;Ec@DVeRG|6?2zrApv_yI{hq zhUJbt|C8wQ9K3M%50f|#Y!YxrwMS*sVqOHAR}D^aE~>NAAusP3iX{>CTUU4|UEWTO zZbB3A&A=az^rz7338@`VV8>RdUlAk2SsIQBGPxopA51Z?7I>?FSg`Ld$1;1}A~gCN zF7h_$_v(AnuSKqksrY~Pbc&<0mJMe&&d5w_yeCPuK6SUbF3D+aqlboZ1x?P-0;$~5 z17zCyDWo_OnIZbG+!PDVz}yf~)TuK>V=>mb25~noBQri|v&tRgap zpzx>Z%ANJY1qYj7?12z}T1aAmJ%|cP?DzpV*7Z#vQi?2lY@^?{+2|OqNB?7^9DcOJ zbY2x2Ao1>uaSEa_zEy!~$cI_{as5BRbRvV`R`P3bi`wwBe?J)@7!^gN9snc4sOI_E zzYj&UFV-9e_`LbJefPWSk$o@V<=|0;Cocs~8=nz-h4|_L+F8YP(#8b5y9Vsq#eWU9 zZJ4%YO$zVR!+5_HQ-pseKfm6Fp&0cXDI*s-fv$zF+kO=|>~^vfvWfp{=KpKm@z;g~ zMK$qGz4Xw!EPD|IxT#PyUfw~M|Ec+Ok)L;R~pXB)C-_1>UsGWe$_xxVq20%AMztr+ zSaVk^kS7Pg&}`)VMqc8H%oc^R!UOt~|CMxtVknXm# zH1u=RaIh7-HuN3bWm7-z4^Q}{4i&`qlk|N zAe4;jOp1NB*J$Thjsr#gU_(n^7uS>#7ni>dXb2ds#fvtkC++qL*~8ZHB3Mb;$7@HK zPjQffNB6#MfFN5Jzt7>z+PwxgXAftV)k*UwUHaIc1q~2Bm%i58oy74aF=|Ccd!6)_ zVqh`)$Cn==9!ck}sUTIL^0Xvr0~a~xHGV~)xue})x7?SXR?iU6e?ZYK6J3n{^Z@`}b8czn)GU zOm2-QPXhW{;ba+H+RQHiGa1!KRTluXqJ#A6)hQB%j#gK#O(yRLWcG|?w!Qtj_4`&G zD07iFpx)bO@-9a5bFP_(Ja8~K(Bp#s>sCjTrCWYzwc1k0YrByI>Wtw&u^+hbl8+F9 zKekQM*&LcH`RY($|I^9ZkO^$<*W43z%H8)+_lgOC3|Lv|1)Fd``D62%+got6Rpg^) zOT5lF8jzg-?yiH><1h{LnToK~qM1!{-=pWy%s#(?f zGG;kR?yg>eW9_4vRI$XJBOJ2$Pc2Tc2=lzFy5S`X|LVIUjQf$0qGY4sz1*tk>Z+%m zFP7yiF-}Q)X{ETftIdq=v|Lz_ygH8@I*z z;DT@I=c{XqKS9Gt@AbkGMCB?~k6B+_rV!BB>>m$aC}mmoIEenXTpT|OFv94ihU$rd zE6Ady|51woHwvgLb~rU#?Xeb<%Wkor^ESv|YjL>39>r5;)yI-PDBQMYcm1#$aT6k4 zYKltY$!R;FZ23v-=IA8;WC}M!cPL>GH&k_vj zf9eT1St$QGFXORG`gC);l&A>j%aV-~e66*_;%pI%#w+9L{9;TT+Lu0TFBX^~bw>&I zJ)@k{nl63j;d91P-j}`ae<<%<($^uUvED!QT@Gi=T9Xi7pHHtLk(BYkcu^@W5&udk zg|VJe#JgU(&Gny#3Vhl6yyX&|{5ejk3#xg1b|#VAFPAx)=rZhT( z`$WV&)&5N@-Je|&AMCU&q;0xw_d{7nXrM*1Zd-FS!o-krZ?>P$B(XdcKXrZOia^C- z;co6%Tg#^6>E|VQzhv%=+a=F?8R1&J=y2NG&q1LIAreCmD zcwduRV@2K`7#w()G+uB%`RuJwrTY$cyCEuwM?V|`=u_Wm5l7XLEYA4@sR#2@((*eT z68XeaKb-8(mlwJJRGJyw0NEc z6mJ(0JrQbFDI)cj^1tuQ>+v90+FLmtP;6~;fdPJx1ikq}{by*06051JVoagD(>@3Z zy~B?6fIX1MO`U;mrT-}Q6Rb*&I=&+J!hHS*lZWquV|bRQWwxV?_9&skW1e_0UAZux z6U1s`beI^+Cs~^id5UK@_)TRnW}??A!qExfMc1(0iWWLx(VNHJ5~nTR1Q;2KXm6m& zZ#;VHD-^7(G>YDGJYQu#WdFnLlfDvpFMiaGgj#tv_>$7A;ZIw5^Lym*G~vN*c@ZbHl;5(9Q>6jgnIFA6DczMB*X;MX9Xk{v0awGGwDE{Pw7IrNX>yVAcJ z)tmPm4g4zW5AZ+Lm&nufA5u6>j3hq$jWf5Z0$X2Bb{SK(ENN}UY15K^GdswF!G|l? zJJ=;gO8oXyve$E?m)uDd@$gu_B|(yw(3Wp^0?QCFx;o4A-SYXvT3|%#_LfIs`u-5B zx^IE73x%|d*{H4RT(=&;J znmi>InCRSLo3y09l^@q;bm^0!$wT9@&Umb7cIZxQPR$v&_BIxb2kOq&?L{0YRLf}7 zzA!tA+&ZYoK{Has<~o=o4gaI@r(;|V3n_9V4#@m+nXdA4ZeJu&Wp{Cb0pYh&tV4Io z#V7V}C3+B$6Uef;?u8OZSd1z95VdOI*2({kFEDWqAp1!V&^2S}voyCe3c7957@W0; z?EzWr6Jc@TDRKIL*@5Xm1h(TS}Q|rxlgl$0C73o9W zlh2URL2oCIX2KV9kZn+RT|(L!uZ9f1>m78}1|t#YnGss0+{0)Hh%9e*az|5kzh6Vg z#i;Xpxn28T0#(v^tu%0EK%2Q%inyTcR>dpo=j_+$uzlvA8p2b7$tJ3~S58aPj=PTi zjTmnWy~cfbLev%jCiw94d3hE9KHstg#Lq_g0BGPrC}Dj?=5~jxBvEcnHjnJ0_C1Ss z7}6dgnil}_<2r9b{Cfw?G;B=$iVBxhIGW>gOr@ut*rNNCv}RK`#pJA80|VQrm2V0o z@3fY71v^9qxUji*Hqfd|Kq~D8m^?5S=E)ogLQqej61>)ngBt^LK82`yY(x>BK z{3h@zQsG^ur+FESLm3?hRZ zrs9sVwBcOqRBpCi!e{d}ose^=G%aMH@IN+QOPwpyD+XVta8ijHRp6k#;>Qmh61cTo z)pnGaWqH`ESDsw4K9g{kw-~^4hq$#O&wc}iZ}7v< z1;btBUBpN|f-E31xu{*!z5#(E)$#$3YxL8tYDAsWgaVGVv0H2@?DU3{5uX)(KLrC@kQst!oeLq$^`T^Kj;Mkeh;$ z8@FCtJ@a_pT^BKT-Sh3IOI+mD&<{-~rjP2E&$MzQ=c*7MKeENGk`JYpXw3|1G1n&E zNw-o$P_%~-c?;F2$(7Yg5i^aj-xFOHGXt6fsC8~-hGe|8mYG?^O-3@NI!WvG2^Y=W zb&xAm-hj4~c;cUN2gCTN3e}@#+pQL#7qpGwsti0|!^D&v0Lf=cNR%L$?Xd30aH~$e zi}i&y7GmY4xc!Lqka1-rUGMi)7pWR6lZKHP+9@Yd!C)gb+!GXUs(|^Suug<{@KmS$ z7a=OiTfKshWYNbJ;+83n$(4QYm)joYigKl(lZl_TPbE^iMx9tei>}ItLh24RrtD@% zJ5Is+2rp89nMw#oX=5nLMfOTWsoTr)Y<0|rGiP1A73>lx*!tJdx?2eY+?-#GI-WLG#tEs9G@fltd*R~Pnv>KfWEEoB{mNxR9F^l;BBZrzL-)w= zFPma?Bd{tm+&MRKYt+5AxnrsQN*5N`KJDM%K`PG;{>T2jR=w=w$d_`RZ=4L*vc<;L z6`}~e?sa|taM63h7=!oJe!IxDS3DZ$#20lp>kX^MV@dUPQwym(Q}YM^grRH5@8}l9PQ{AvT>~OU=rK7lG8zfy zF^GLYRHy&6(6xVaT>-3)>uPsPCiN@7x;!|!Avw;Q z(0k`$DMkb1_Sx<>Xm#X-r6l!0u;@n_9ih|JUXr3a`Tt_)-=^q)W(=fqI0Z{^t*phJ330oIDVGG1UwcHmtBMm)&U zCsf3tNJ#E#B?V-snCy4okKgq&Wet??c`^*gdQ)QDPd#B7unjT(O)21){_KfVx%Zn_ zbiX1F6zk*db4-*r$9)aSJ>LbEG$`xu==Am2l$MHv)po2F7T$>`|H;I~tYGr2yyt*J zn1T&TBv>@!g-Ln4;+bP0>P5!sF%Sat_F8c5oyjq<$Ww(4<57y4YM@z?ujk1G9U4r! zqFI1<0&Q~tOe|v4#2!HvS+z$9g(Hz`$d~4+rv}r#yl7!Jv@<_ns=EX@v;~TL{QU_3 zgYSA**!=a=B&x!rHnV4J$bfdwRm>JoVy_!}33yuLCT$P>5- zmmX|iK1ShM2l(lc!Cy@DU}vYlk4$hEQ7;|_?Is5aQ9`izfpi3Ztcfoqyv*bpj@$L8 z9|WpjrZN05o*@2b(@z&ANVx$x2;ugxWOE%Pv;SE{?sm}IjN1XW(50;-`6H#dJ<>et z^fIIVO`xmu&!j*-oGNkZSPPBhEpSk%hgLT39>&)4cb9ueCkqsjgbwOu09YHR=DoEc z4zL>*{S!+SY|3%n%^au^pJMIBzb25t*LP?`KQjF$BTWGonk-N}Er1h2TT;I4eHTp9 zF-!Ka4I$!RfuC2jC3A%m4Z@DzqKDBMCk%U3q?`c@Lh4t1(KLurv-kfXiT_LMNs{UF z6p?p&;SqItjAw%~hRhJRMKm2}l%3NDror)WKVdQeDIMrMs$#Ri$i4*<;OJO}2bDy?CKhjp!uF&mgeT^g(LKbzI% zTu9l2Q$}6hav#+Czvt#{8gOjeX?G8bj1eJ^X8*Oi`q-5hkjD@hULUc=hlYIr$6;gn zgm%Sn5RTZ>m^wI2!Vmi0@rOMv2mdSbOs4GEIqu;YY407r6U$>m;kQnP+I+TozZmKv z_o`yL<~JBUuDw83lM;%re=4j_vPwwxT0r}sYR@f2zLfHuYs=Dz=Uf|N!m_~V_^1nc z0GTipovQV=RG=RlWLJ3T<&c4{mDj;ny2>X-9W*F#TcwY!G<0^}NO*{*kO26X+u+fGvGYK9>do_g`*1y|wKpf_FSKzr>Pv z)CD&7BB~YWCwlXLGS=+Egsdwd>f>25eKK)_ay?=MWMi^sD=$&9$Cu$%#RqpdEsxxb z=5Czx?}P~!J(jo3poL!|K5p5AM8^Q|$vb1>6vn+2lMkNaP{Gr0@KA?siyCZk9hR@( zs6puRp|5bWpNne%{&0OaQ0{_muhV(_e_!U9?`zuua+=r523{T6QR|LlSlFDF+-ogt zz-#n19YD_ik#D?Tz!Yz<6O@rEAigbcx?aES88!ed9}CRtIv%wurE$ZCjlKDZ<1~=Y zWF~c}dc1rXj+HjnHWc&il4Vt*@vdR6x!BXsc0z&~UuS24(V7h5y2Y<=rhxPE9&PEO zx!cTzMGc<7k=)h`ax_BQ56Z^psMFpoNOY>gnZ$BjHz|F4Lp@Xga4520w-=Y@3%Ez< z7i`SVpo&Gk_^%mKf*|9O8*)%}pXk%ZjYUhEcXqfBxx`F!&StW;QM#cRhqdbkZA_Lp zYI6D7rP!XFc5bVV!LZ&wp#CLC^BJ$g_6=e6qMX^hr)NIT%+Y zpvOO@|4N?IT=KaWT>^WWKi2>tK+VRFFqKX)KCv=jg{o+K!(gS|Jl-dw8L>0q6X6rV zwgQ6M^oU4=N|w?GzA9F+75g7$&o5GLtsth(KeZUA{=>WR1MhhcZ415krj$ZMZr!;3@x?j|h$G%>*@3;XXBbhn&|}#Lc!y(U&MS%v9%MJVEyQ z)1sj-T;h7z+76))Wl+sWXRq31Vf-DNVKYr zoyUFc`JxYyJdQv)?FsOVdEW;nAPBCiPrPvZv_Yc^A!DVQ%M$AG?sJ~u+&_dytcL(D z*ytZiRu$9+eQ|yX7=Hw`vWN&UysG#=n<|;d_&7Ly8$)Q}@!C#?>)s%}u+C-IU3@y7)INI_x6a!L2V>~Vo#zsBA(AHN-WYau}qPh`xEyodj!#?aBM zXN!Qe1Kowio&755j7rgm+`ho5D^K48nTlG8j?0VsyrbXG&n>F*&|hkbG|@Ly9N%B~ z2AU!Z-`Gk!zl$T}o#ZTH-ZRJLB3=LXsnV?S@RG};i0j%P`dk%cl^8mqFUC`=6hFOt z=bC5DbYvlMM%mN7)bE=6(>2hIg9UV!KWFAjZXc{&cfnJ2zc59~p4Z*iH)ppEaGpSR z=`JNQe01e2CjvL`G~vXyk|S*^6Ibm$0^u>K8*4gk^zX+rE6zmV7c{N<%}fU;kGK~Z z9G}C!n5PtQ0@d45OuD!93#|tqIJ|fAmu5hW&VKZTGJ^<|h9#cZ@%>i@Lx?B(PN*29 zI+~L!5Oze=uC9dofMb@Em({Pzwqw#z7Vk0z&sXIJhDl&rQ}Jzo4!$@8@bWYN%e@hH zjAkB77%S1XT0S$X!^{vhGf7z9p|oczpCE~w;FUW@Jx8P_&He2Tu=#Jqdrvv-Bk5;> zJCmaHc_&#sXQFLX3BY4tkRWg+tAi@mjW98Bacb*Ym0F1-fir% zEEKh6^>(06HXojV8tcfVM-&U@GZ_6e*zGkMcfhVmb@R04b&yXVB1ls)0$W_A;sdhu zN4jLCx!fSv6lv5wZq@u`qiiCnXSXZik{%)3Gftspl#8JL$ug@TU^;7iXl{$x{}3p0 z^{?Qhj=%d9aBT!`Pi@M7pqVo@mSL%rzWM!>Rvi%d4SKAM&n#5%G;M-W5O6`wrso7fs(F*H30>`B9LGsg7) z?5S-xAv0C-6^&PSCZF zzQ_)rW`GP&RQ8!qFkbtLCvr*Ne2cH?9F$>{FQ>f3la+P69@RjT;r!X}ZPCE6)?Bqk z(|Z!@cagF9bUF&&lH3mCt{riVia`Z_w#HrK{!BcOyi69&zPoKRtAyatd0iV25V!lS z2q%YESZ1~}DMc{sK;qt3C*uD4-UthQ<0y;K z*{##m`2g3fImtidbes^U2d8|9<(p5vCxYo8XlX#)Fa37TK)F-DEXbm3hSUwYJBU6`t z{uy7fO+^-}mZ6+BAobqsc_i`J?68!*(v)6=+;pdm4xy@Y`UFT}JZx;HJZCD~p1(nb z+e3QY>e+4>XCOUY7zF0n=;$nUE+DwNfB)WXQp@@bqYa;h&AmL}y&sGP&($<2LaAb4 z(u&{`t<6eV?dSz7#buVzk7%b3Yey<$w2?pEkDJp)a`sEC1-3EP@AE5ks9F9cl`y3r zfVB)PQD@KC>1Onz_1R)?IltS5+e1!5nyMtj@uS0^^&6v840Ew!Rk?Km z-@a%(*dkd`Z5%&!`8{61!zFX!%+s4dd0PouF&T)dSbqSK9AD_dxypWqIcE zNC4>Lm6M&aIMxPPRP?KmsYSa2dXZgmaOUyrlvcw#7%qbUME1(S;K9+1Sg5U&B6a|o z@jMw`x<~S`Y|3n7G7rcU`9S#P4{aYV1pJ#%K3KA^c1WusmpbTy%gDn6K$$?oHkH9^sU)VpHUDbI9P=7 z_@9%R6fJ-d`UMxEw>f+Y2ls|gVo46a=#_aQWL_&I=@<6%Ldldgd?kqFLJ{D_*cwzL zuH`6ST%%ZqT!1!0+s+jiu03ycrnQ3<%O+Yb-)u$dZIL|Ln0uMPX=hKKy}n0J2(Uj= zNVlXDfbZG@`S<-EMoYPtj_e~dj__JrYv&XXG(CFfF3YZRL4krrt-iLx$D@;1;&uY~|fUgeM_zRI@U+Smo_n)r<^`98}MQ1BsoO;ktb2jd#QKNKKfkgN( zlAdU)Avzb*kg0VtWCaa0pyRZY>U56dFd22{EvlS)+{1=+Y%b%n1{2!OXWLCr`S-XT zJ(A5%xv`m`N7(F1{$x96kr;udTm;3N91!ybO+8%=s@PPK($LywUEx8=3iEI)G;FQAf4dy>_dmN!;kd zk(T$LuD7Th3&$Pb#C|fkK%|mzpIlVqiSEf(;kd(_9Yj4dst4|qmsmWJnCu;nbJ#=> z^@OR;xKC#x2}EAAbMyp`yu3%GE;i%zVm@8ojwg;JQ=P9(2+w_Ol{8Qd^ixPyF8gR^ zI)O9)IZR~Ur$q$g{_~mcCMGt!^w;=T8b(&qeCo7@5$(aW&EZVA&|!bukeUmFf-cj5 z;l2=Gz0fmaekBZvZi7v6`0_t&GtiuHt>095h2tKgqJHs`S9sPb<52gUD9LE5O|iVR zO^NE$ww&wIgC-`h6{@UpEg3&%6>VG#$Df}WeX;n0_7W9Q3(Bb!tGR5Xh49~7GgKuQ zq|KVUznj3HJ?H#CL{x|;%w*Fmk|K*tORMb=dM)kH=PhR1A+%BpEk`PJbv9$ROrWvG zzTt95BwYy+2%{A_c<3vo)DQ$0{2u%5{bV>pe?W$H!rw(D0*h!N;jzFvsKDVVFV*r3 zR@*dH-U)VQX~KejD1RF7n1HBzY%<(_bCl23L=g%k_q; zq2e_ntTYsU0-wBn1q+#U(QtY-EdSLdgz~9+=FK_zzVNRG_Mk2WsZ&zMjlg@MQdb}$ z;kAZ%tq6r%cSGb@YY7{{7E{Hi6)QqDCj4mtg`P`jKo;8X%|ows1$2xPYMZS$-k*jG zy;HHhn?L30XZXkirj@i!AI}k6#v9xG znj!!8&82?p&TDERHT#+XH;7}giw(S?W4$CCud?(*pajXcXQPEt`#fIuH$X4B6$Fj^ zJ1~z44+Yn*3zyDYT9u}>4*fHqEd#1fyua%7;%6c%JwamwyXJ3nl1)ptDnhD}LoA~q z|MP&)f)t#XLS)Q4r>8v_*Sw4d!C(E{CW%B*14Kt@f?oaxW1CN5;aB6E2#8bkWJF6Z zyED~XLOn@j8alaQ3o6>V-3YwFa?5HRmC*o@y1bOU z8AnNh8%c-nyAbZ)Ey``YC@jkDB^Pmfs?bm zKkJmyNWNixYFfsi+^=X1f@J#aPspj8ajQi(+#8``%Nl8uY$2=6^dszwZGOP32p~cZ z{YOu(`2OV$-6_CP_}<}eCOIfmT}{x&a-~m15H?7>W9v)1*hz0t{7JHHh+_ySMEO9= zr6AYrKc@8W>>P8*OuCqJ;7y%_o8}+G@d7W!B)2@9U8GMYS9!9&ro*8We&so<(@(Mj zPCa1z-~n~U6hB8E-$RyCEeYs8SeC4>Gd}w{7m=i`|LtBFpCWXB65e z` z*AA^Zjm)_z5J0J9Oqo5e&$c6%+k60iNNQ-8;|Y=%R}Yr7(YuQ=r*DT8e2X`$@By0a z2>OUHFlF!B!`uyqax65abVJOI+DVlI7$M*QAFW?y_Il}0k@PFzAEACZ`!JDo$qkC- z8IkN{8^d_1iK$wB~^0`L9i2llM>&BWS|^7$SZIVe z?Np(w2d#-`+#jPM;x?Y@SSKnalQG5o?rElnUQyDG)sh?@Z}`w~(&o^0VjFkRT}9L7 zf|^benq{AC9z{N&AYBQ{^oLb~s$5Mwiqx{D8Rvkd4wg}jGy&UHyf{?J7@u_7SAy|5 zJ^$zNR61xgZl^XA72uWG_9Brl<6hGW?El&wAOZ+?@3wHlk1DBh?lz2>d3S-mb$-POk};$-eJ`dYv16MTMrZ zc-rCtT-nb1QO%0*zC8G%?_ns9J3!wNroQJE^zdD*;?DaZKo0~Whkg1=tmAOkE8z7h z{`yG@Z32bJs2V&PEi5}1QN!1ctyP&8C>lFwun7(DKMZ}rE2@!sZn}Ng?NPDoBPU&m z(_@funQf1CkxhAFxo@z4^~ZlPjwUF_?3l~V5$kYqBkXd%RR348hO)+b#M)Y3{#4Bb z99Nde?-O~@C>25%SUxWd!oG@rTn(N3fcP2#&jX~@fD$$?0Dt_16P zC0@MI>JJ2+4-dvf#A>5Pp{l=-Z(ahWUdqqM;0MOn{R+>}9mpN8a>QSB(&k0&1C=u| zC-N9F7M&ZniOv|+uQVUNq#N!o=vS)tm$qKZ3}Wi1#)v-Lrq^+^j9TLZ*yh}zTgXI5 zmCW1MWrZdus|w)NagC=QKr2MnR|piRo31|kv*AO0?PR)ST6G<@Wne%L|8itYjZ{`` zrnC>-ij{lbD&_Iub=Fuo?Q}r+d%Az0wVt+ zQtgsN8K4*TbtI5q`d5$;uUZMxI(@kRp?)NpdJ+oGU>p z8_i|3*1Y%7*&t%4|1~L4vtw9ZYIW z?bOdH==lnBlW3Ddx415E;jD#4RMtcpRK2dV>2ou=uH$#Bpf!d4)+)r${c`W{A^d?9 z6qg%R=nE=tr%DLVy|2)!#|5U{jLvGWSmBqiZH|;Us>)89dw%00wqQ2Fe>>A)QbGNj z6UVa7_IC!k8Yas5^U80`dB^jVhNDzPaVdztT8xHH(YA|?7fZsO6jP^?p7EYF50AU^ zu%R=kHfE;sk@CXEu#ciX+WRCO9xPIb_@C%3IDir(5XVgLYm9;bdq@zw8`Tn%CgzgR z0s#VD>yOv7P8>#H~=c zW=7-o;?{mMnhm6~PXY^4(;>%Zie}H+p#A$?0$)ZqzxnIcJfpe|>Rg$!T!$OFdSoDy zDN+wBME(PI!G%AH-5U17C4W)|$X6>(KRxXGhb){SimD#9I=z>2OF|JSVF!rF(q(h% zd4IoMS6#A_gh*tFaSNMUC04^ge$5){MY4aAmmJT`f_D6Y+ zwQPp`@CV1x$pyE~j&TxRH-ndGZh(FQCW9D0zFSUmu*)1zIVOC7Uy~ipG47|7FGVnK z?2%PjK(CwpspSNn-W?$pNYD6BM7dDaRqnF&@j(|e=t#Vx!q`KTl+_<&pv5d&B1^j- zYZynOe3F$r5sKJl>|HBqDQXuImo);GJ6XO_B5(^F%HLSDCq$Ff#MMj%Ileg-EYsOIc;g_lKRG+ZL*?3nV)iolp^UwAURzM<;${x znCO!!(9<6W2OB`#d*zxfEe9&b{r{)tH)A*L^hG%b?gmA<6g)2& zeK^9Nb97JWT+2k?6HRyioPbYQP~+bT`H^%fFxP;lPrJ3UbfIk+Z`P4DdT!KzZ1@1g z=w?dG`T)GY^m~fze4;r2@v*DnSo6@TxCry!e(J3ClgNer@aG%kwQn8NJO+JZW$4)sQiQjKoBh-&(AJDfUCRL3R#-?S%q zu+W~i1bLERW0hWwvpMOOP05%{6?bWCIO(bnx)uVoZM7}(DRuQPP?H1NeAo-{Q0IB# zd_BHO9a>v&BoL*U+{V1x)#B+I=vq6jk`1FNS_dqb(UsB149uUATOzsG&z%t=N3Dypk5qUlZ+ zX_naq^N4>do*7n2d>kM{Psd6HdYQIztB=WTYDrfpdO2u{b!(yNfE9$Bi{k-o|D@MZ z8`~2kfkX&U{2f8Goq-PnAGW2-|M|>t2A;Jl3E`EXKBKI73nk1a$TW{=ufK4^^b$d3 zch4mYpwRX3cM#KsfzMh7 zhy^FrOjup*fFD0fXjhC>fBKx5_eZzajw_q{oY}$Rci2Sp%zUqZaes6XT5}Cf;ZKD} z|88R5k8Ha}@qF%e*I896%^^yr_ZcDOSF58(6XXc8MwBqrde!Sp z`dl1KaS#Bh9_}Gcik^)A$nXLz$wM!MK>t_m6f=oOUq%3!BT^C1fMby9gbIyJL?(g@ywg|S5M-`)24YVn>cKNK{kAx$E05?Dk=4F##wQ?2*_k9!= z_ft(yT=&%5E9T$^X$}Z*Wc%cwneU;AzQj0Tu+O$_q%tVIwoI`Llbn%Yp@4Q~;>pXt zz2^WQpD8c&7q8au;z7h5D74S4FR1k&|L3Yzbg~S*Vp9Ge(FUl3Brk?bf#%_DSta9s z(2zE1x7Jm}M`DYdY_MfAFB5rN{l*rHUn@$yigZ?w-@JiuMDUCIhZ+bO-kiPMui03( zl5NmJgCg@3;Xc#CA8zW}uDQj((E<`pOPt?H!)>`e-JxjosOh_0MO7R+r>IYvUs+d0u0Uj>`F4I-Np0Y130j9k@F#7uryHN565gMOV*9a1kRR?nly{Pa!_ty!P9={x}rChiw?DfJWfC9upu2=Bme3N?RH(Xdl3 zxs4#`GyO;V$gqfOipG?+*2H%2EL#?_Flj{;pDmZarM!RSB!Eh~_n>j>-e%7BvNrgO zHCf~qf?fD#Z7+utQpymact30{KlG9lzDh*Y?42M(%lSMjFWr~vC6vK#qRcc;$_>fz z3yWcm0h*#@z(Y^j5BBGkxn*7cR9K2}pHtcaCB%`Oq2Cd#q<66zbw~>LWJ)lU@T$7P z{z~PT@R=uRoj!-I?pIz_C=irlA+C{`72LimHz8)xz4qsE;FAE!_s2!Q& z1`H8;$=g<})1cp3>^o_~Pd8}MuJ(&PBPwC`0~2tF%H)Q=hDJaS zR2UFHByaQV3v1}}Vd2D5??V+?Lpd9X*`uZuL=P`~QHR=KH>dTV@o+M+c2yw87RdQw z$=(28yEf;}y#5&0y$|G9r;i*2ic))sSO4YoL-}-sEXO^+gIjp#)UL6|{d-iiB#r+P zyET4)!+e;Vij&BS{^8f~9%Y5mK05YWuqJtmSH-@rXbzI9P>=RGG@@B+*xft;dB=YU(mH9bco@v z)rY>VE`HizK{wb~ji#L$dvPbaUWNT>k~8%%hnrmTaTu!M3_OgIDI?-5t+Sq0oc?N4Bf@=l z9}v5vG>>=tuqf1(Y2qh6sw0+@_2hX;aBnK1yL?+ld=aW$oY(>_up$e5c=KE%3d5}t`|l9SI`+>~fKL^dl_EzXN{o6Z zY}+B!xp^lb=tzN5jIcK>VIqqI94)}zLqdBza~2RI-u(XUzVp1#q)>3VRvuD=h%^}` z?Suc-2X9+rw`nptKQ6OAukx2)W;czhS;_7bj~Az6FO9h7V9Gjc2PSqlWft(2*DH~DVP^{u!G@_j) z%;F$oo(S-3tlA`?3vI+v{Aw+07q&EE70qmVX#YAVLV3UrBUv~PN3O3Y!r5JG@B)JW zigv$vc>nU*L$iqUI5Rhp;`;-_c{MZZWVp*D_eCY1^X+o?>nRPK0`4kNa;A-WBT*H<^_FxfhyH zPHfKDeU;q=c;+E1$3^av5!=#H$P)yryqZRUxIVTJ>}*p&NrEDw>QJuX7vtOEujS4r zVs6EsdAJn|u(-{;#dE_iv9*HK>u(1JzJr~#MRwR0g8b?$qTD6GXF}pg-iYc@BK2Dg zcX{pTc+?uGY<_P9u@(zi7d#^oNV;a?@wiLQ-XNGT_Et z(Ef%iSfeA)B{s8AP^K&4j=-mcxi&R*4S8j+j44@pEr}x-gAgG|Glj$##^<%W%2Jt% z^n#ol<9Hi+KFI8J(!!~<2ze-O*;iqp?W)$h5C~k)$LvRfAJrpv z1)o1QgG$w|FEcy$Ym@cB4~o)wZ*#T5Sq5{8TVU4DYB1bJ!uE@!SfZ52ucm*=JutXc zf&dg1_ZHFm6fO7x0)GOnSwlcUVu{xuH2gKU%W7>chY$D}mN1ZcLW;zA%R z)kku}$k3>k3%gHaByeY?EN=7rImZhjc}%`_z+6LBZ>t7PA14_+y0%kzoU4L+7&b~d zvcg?EP7{_KFN26MZManxPeSF2gSuIYC#L!!4oK&80_WXpi8NtV>lK{1r@Q!pMrPk_ zykv|)rjz!?fk#|G_${suBkheS(#N6?Pxo(nOkaCWQxu0!l>6A(2qYW=(pD%ILw_Es zUSk;Q$36A!+8Rv}9C8g)Bu~tG{%th(koP(^IG%OkP#|qlJphrXGp#wV7gzn0qG$YH zg#pr{3|anNivM7YSSVRhtE4CTs;*}%_@_1?_Uha?`69WYW3;anQ9W<_zoW&sspY$W zr)ILnS!>z5T)V6O4TqspXC=87m^r28Ab%wpR?wlJvKn>CM-8F~L=(-+8gihH;wvy+ z+NXwJ$a^Heh~-wnYe=!>bjY9gd8rv0kqfn3;X<+HSrXHk7{M+)!uDe|@{)Ek`;#d8 zBxApy#Q*-i{_d7&;tIR{3i5N)jTE&bfdJ2ee+`|LSeJgLMLmJK>QuMyd<7Qp_-70! z6UG%dzq7A!^A%q1J#l_>_7=06i(Y2ep0qFEt|O2Nj@wrq(CIj%egPJ4^VZcCHFa#C)kDWKh3+QaRb z1egJgeCL?R?jgo+6b+Sh!L^y5(&Y>nd=r9>1u4gtBrtM*X8 z5Mq2F6U2afa+ZVxX%&g%>K9ZZX*X%K1Y4OGa)!hj8ODcgP|pW2WFOM=o(_wHLP}w9 zf6homDHpdtG7?qF!|Tr%i7w?A@E454lnM#^i$sc+ii!J6L>`GWrTt|hv8A$d{_=?m zJ>CgVSvMe4AC!?Qu@KcpHHJD4r_rd%(9*`~G#+E<>f!Vo4H$+-IO9eWhN&6Oyzw}Z zVPT2GH(D{QZE%D}TZWxI&Y|%H!_f)n+~~q^b;G$gdN4e_aNdnR3|~K-f8$9;Kp-xt zF_;k&iVJHDXPk<_MK+#hL`CDyG{!K_#^T}{;~5EwxO0t3jPuF3l*Uv>S~~7R<3+}$ z3|wYo7K2E_WjB%;Ik~vJ#(V~`fP$ko7BXl>xZ=hV#$`J0O5;_=wd=SWjirp6w{W)` z?=bG(!`*Luz<5}OD{rh|R6fEzZhXRcT7|1_tYOqX!__tZ$9P_kYiMj_G`+wzH?}ZZ z+i))%+Zi3NaIYKRFy40Jx*EF~J-xWT#(u`YAa1Df9fR>6_o4A4;}dZhH`4f-@#QOS zv~i5_Z5%hzILVlr#?3U&GUn!S3yq76?@PEJM+*1$QMWd?f%x7xVI__dDPXxwD{ z-opK9+-B_T;{G=7G4}uA4jK;`fa2qWmD{W{EF)x~kz!>5Wb0?PAP;z{QiS>RDK zCkzA&vz;oBqP7Ziu-E2Ul3aQ03TkZJR5>bVNw;BoQowU&m|t|NgqpYkc^o8s&oqnB z#F3#EIt%%3kI*Xx6(p>Yaj`Srmof71CZn$un3g#H&3i#ZrE|Pr!?tY@WW~RGEIPP57d?`n$z~wMf9_A3|ZllotpuDH_GM zg`4)0n9n!iiPKcWB9-|I?nxYvcdQ0CHYv8=^98TBpehAkDK_bMz{rZJu*>2#<IWqjPuZ#2u@w=VuG( zO!NsR6}>P@OjdKfQ25Zwl1cjRju)nq@5Zw%k@U_!ci$0}fw{-o19WlK%C6Z&yq#?W z*&sHgx!Y2Fb4~Om7(A>Ft&5W`ucW0{8ldEF%wi2BdvvTtWVY?i525SU<_938Jd-*= zJd^PfWd6^x=7-9-cROTUH9O$bO+@6s()kjFoc`7pN3d`z9J2D3MRTRX`O_ZcfTSt9 zRK1iJr_j?U&O>zJa(yXng*!4x|L8psadA62gxMVhFe~KMpCKKfj+!N;S>9{w=t5tx zRebOE+YzP$U~S#SW-TrV*{phpmJ=h1p zzFf)PwlL2oC;dT~-yv)1Ysi8Uc)~y#S{Fe?9JZ!uYN0$InIE3(MnxwSBC_{JpJoIG zix7XpmBwy~Hw!ky^8J3UGfyw7CltL^?{u5`KH+(cNAmHp;Z+W!(__8(Y~ouc5x6@M zzkhglYT>R#`Q7}`oje^0Wd)G=cjg<&+TGc|Q?O1*+&tpf#N8P=kJ?s~2gz%YdJg&a zTU|Yfo+OcONPSVb@Qr}xP>fLe@nMRjyAc#K`BO4EG-`jC{2~NI6X#QL z5B8#Ndj{b^e zlYgI0=~dlHc|uLo53ZqsQ_D1IA|5@uds7Ccm?R+|+HF%To*YlNw`j@`wCzb?2H@c5&pRQj`6 zt{M%Us;m{CCsOa6Qw#G7nn3NTMUzkv#un(K%1ss)om?jX(%W@+SlcPTDdoSW)&XE4 zx~GFcrQ`Iitc?meA${yihwk88GSEaH+xKrFy5~XW$wW9*kuo#)*r(}GDmIB1H^R%8 zn^7m_d$d3B$`g(q<`ubX?J;iS=8DVrIGAoqX)6~uBbiLTfeo&SeQbk5qcbmGgmn~Z zP+`eBLtIk}Hz`BWFN@Up8x}lX3H7DI1>y_iFHI_hkXwh$?J_pUG2He%DgV8EEU^hq zhK|8r6RqPXA)j&EU$NFPTvdK3iXwLg3S9)_7!2w7wVe&CC8<4`i&@U${@|nEt^%PX zAJ;(79*4Q(7JdrFC~})F+le~9#wII0#DOyygodD34Mr#LG7vyV7qn2CCiS@#gLf6 zs;MR0jmdU2|5P2FODvJ#9xQJ&t;hbm7_5`L%1^ZiyE%=w>EF11IL zU(dqIO|#TWUQU%qdEpT^}!5Z({?Ye1ywk?n4DyyMIKDGz(DNHBx>@VEwKOpwgQ&_f(1BbagEm$?%t z<#@DkOCFb$KlE|VbwPA{%uaWi51DUH$;WXJJHXw88zDi+r`d=91Bltb4lNX8ft&$LB#?z1 zVKPUis%a^cuIkggk(pDABcLy9Bg1yQmD|5A?u_iro}B!H#(Wcwl2mkb%G#FS z82~qq$|P22hl`Ft0s}U(K@a3--wtbnj%fXv(ugpgW|mt{#FXSWWHp z{U{#n@LqHpNh%4f`&m(S=zl~5%@`D~kP^@<=KCXv^ZHK5_>(Ly%a6ZN59oo&UqRBU6}&nZNjd_ESNh=&0^Ki^mltE8`@perP9V74G@K_@Ua(}Hk~~@ z*YFWD`6`Jg<=O}L_AaH~C8-nZ>8W!Ps-dYpn8XOHfC5c2r*%Zas!E;MWNS z@&AqfvKv@4e80sX{>txkbq4uaLdWE*Ta@Cg3aDma{Bko$+aUF*eR;*Mp+A#uz`4sc zk_uPm#{C8h-29MH{%SQ|6s#KDQ7YpuCE2%!^j~rCJX7OY99*Aw(E{RNyI~!V3lx|yCi*k@fj7i>73`w`;`$t` z8N2o}St3L5_SZH0#x^G)P?yea$uB%N^0XGsYBj(*vD>S zRHDRpoJus*Q-L=9>9`0BwV#mrh~NTF9tr6~FsUxZW!bhB+Zp&ByR@hvYJ2LAdRK52 z;tG%=)<3$VN6^|!6Qh!TS7vN<<+^EH%x-gFawH(pkT=kJknUYoXSiWiof0KO&jfG( zbjn;KV^X05TBUi7)T8dv*1(}!Hu)Y5IeRckXvb!_}I?{k6ftz zE#J*Fx=#R*pi zO-q2pCDH{q6LHbj6x&VSh7-PkwuDt+y1JoYNIOG_#@C$SXvli`^)J|K#CiWzKC54a z_z&!PzbJk9p*HL5KmRKSoChq~1H}nBFAL0T_qcSrLJZRQwyvnDr*XQNIZ=c4_TWt` zN8##$)ERF0ot-xj5W*>91XG|hl90tX_}y9v1{bXh(0Xa2eH+;;`LIm(Pn(n*>xfhr z+xh&oVd}e5P2{&u9+|h)G_JO#l5T#YX0pjT$VX5cv8P;_X6;n@Qw#ptj+Q1n_^~Dr zRXME1e1`>;-f!D}DI*y=2QQ_b006-fSkMS*`Scee@3{!?zICgi@IzO_H2R=$cddbp zhKCXtPb6ogRw&H8BUNlQRlYc`qzE&g1!{dPK!hY;W&x#!}1pp}!Ns6gl#vE8-iXcClguhifmK>E^`MJyvaTY%(9T^>uV%8}E{Ejcn! zTwly`v}Z2&<6l63ek!0%$xm|^zPemoQWev(!hd@&Bqx3sp|X{AZKgbccdr>Dkbbkp$aR)s>+c-O|k`UfyEqyloYz$R}$7K()1;5y{+a<78W#HEa?u_ zpeO+qN7a)srEp^h&o3aJVWjnJ3k_n~wq&39pIP@K5PEqnD}zO~m8~>sI-e8O>0M3? z2~!G%Gp%eOz}NG_c%p2W3A1p&i0QP$q=qf7^{davnQ~Ey9J%}-?!UK*9oYmcRTZ+W zT~Fy_Dt=Lx?;#}I*;DhZ$s;A`HRG9Pr9)_N&r0Pg#4qftrq}nn7<6H~V;eVNoR`;! z=xj1sM9tnV8Lt-nQJ)k|h<&eNS$|;j4sIBdf#7jf+BSs|GsH9mTa}*57D^z~ey+Fv zhRzl(OaQ6p-!k_$SfqcU;vuA&$k2gjw(Dtvw+>hjnfA9FTP;DWeZCVCGuZE4p8tnOgc&UHXx+Q7m;pS%h7aKU{ z=Z5@(iaQP=UP6i|1anFh`*ocR$^v>Nt+cq zpeuB-u+ADaPCogkNin{mY9;j2!*)gkVGq1e<~Jnn{sRe4A$DO3Z#<|FT38tr@qjR3 z&@UNFfqf!S;E{&Bm3$&Ck<= zlOIf_0;8?G4-memR;(9;HZgQPrc>jfqN&rVgA-rxFp- zA6-<;Kp5xP?hz=6t5FR;=$;yXbhfd2nG$SM@lLaYQ=Xj7*^O0U0&!ebedu9Yr=^)0 z1f)i&yWUBi#l*X@8B2N|5hGG3?v-KAK|PrNq|98B#oXVbeasw^J>ZvsWOvqUkeNnr z%6B5)=7gIf$MWO2zSbGNuoQWc$WXC{(;X4PwfiJi`kW0ud4gT_TV#`!RRu=>kqCO| zh59rej5Sz7y4kaC3X~@*j#lLrh zT)t6(ZvttmdM_BvAp-l@jVUkE9S*goVM- zn?M78-sle~;PHiA0JtZ2e6PvSh+Ap%u zk9$+@^rPlJRl^00@jxFj7S=$CsZqKPw$xbWtU?rfwOGIqI{H`t#dHV7ux*zIYtFve zs;WeME6?JwEo%P;1oU} z1N-K_(Ipr=pto7?{ia4a=EP(42W9nWthpMHQy)%*Zhn-M6an9A@%v;VKTxqNbGkT~ zU|>-+A`!@Jr~SQ8ev}{Vc?HBhia0*FO{%){A(YDd5P>dDiMdHagBCr7ivuj&p)FhP z9FuFDH#oh6@;~Ms7zmtTW+Akm3i+gU@`fDQNJgsG`8OVJ3I=|+1z)|?Z{6+2mjU(? z-{m2$w|5hk-CFbncL3AJQ=jG2+@s5h-0AQUNVgA81^B~OpJ&+ONkyK8&e$#rUI@rV#oY8#9 z$yvSKut}XS2APcSP&A%Wi34~+hc515tV)4S%Z~c`lrXHe>|)KIztKEZZ~NFjQ>@1% z`tcO?8r{I+`c!fV!kkM30ju)d^5 z2|&2p9NcKQbLsGI@DVO%Cip@$D^p=>YY;qnzr4Su!?3(J4Ypa{tsNv71dLdG7G9jC z9`Pi)*+=$;mS&hlz8Zi)4z3NZ3@%SGx7OsD-}AwAlR8CIvpK}^5ApC+X8#6!4F$2u zjy`fm=wPAEK!l3}LRS+-m&I2fT5qhLdS{XS*ZP#NGT~Gii+mA8dAgp z*;WQc@#`IG{#M$7Eo+EyyW)_X<0+gf^U0Hy4HctGQrH|^W*TNg{FFnGmV$^b4T&T3hQi1dO2p7?LJ zGA<$60Fs4VMy@3ryW348%vo=#W9r*EzgE%gRe_mwBG0MM@|}mS>|cW0IiGt%%Z7P) z4_=V$XgfXV0wT`@h=DxDivVdCjY3-a6i;L4PbZ!)JmyFR)*rH}!Yg7cdL5rbi@!XO zlD#w%N_XG=lnYY1xc2Gk`LFruUTya;0-%ztmM7dJ(TgytJZgOF10Gt5+5;dAf;{!L zQ@P>>5#=@fHVl6&o_vhGp8OCk#;-#|+S3+GlL2`}&evg3#LdoL=m@;uIh8fOD3sy5 zj#YmZ9wzTB@in7Us7?4>7P>uHjLFMoZL4J+~eagDJiHEJW0OSzdeFMo(dz)~HzamlE{9MaLm!2Sw zfJw?^%g$eldE@6HP5gtL3%10oFBaESfy&@GoKS52^{B*B*1UoV1oqRGj`gjMsxpso zSVciPH?NlzzBN!aSRw4 zmkPauBdo9IX-t86zURUmCC5UQib5m_fl#jhUYrOGSPRb8&gY0KnGAZ? z^0OEk3j~G*bEGUAbbps44x$)Z#QU3W{D*WII zb3<)~OUP}+;qT@5%y7Z;7!Ab&A*W}8rgt$R#xM+6E>br(v|Qu^>Et6p$)1z^kYnbo z*n@>bkL7|R%lYGIz!@45WM`s`SFu<15=5EVu-PYjoU)`!}`*! z>1?f&blI|aAw;>_bJ=dblV!q>%~56S{Y@3&H|9*XKe<3#QTAPj|3~8nCxsXFeezuw z{JrFc%p$X@&-e@8h1s=H>!bh5FNSq??7C5ZTkjLQ|ELU$Fz5CC={3h*^AqmX3m625 z_*;*^-Cvcxs>S^K%nw#7j&Qna3yk|29HZo9aD8X?`LWGy_k3cFSi#yEvfRM1v6ubk zMW1}Jm*bhXPXxV4Rcf=xvr=he5^bOJS<(T1XdXPd96__XV(>h83H5-vWXYGL<(Kkv zRKV%PE5Y92Cz9FRJg}Hg%YsY0TO;Te>!^9KA0vVOyLzz4H@DdaG_Ky{do01StQG$e zer5`t;PG5zvdwKvH0IG2cW1yCo*=TNV0`MDTB9cMmrq`l^lKl>jpsgLpRhwwgExId zh;*NbNwYW~vPd6P2_8ilYL|Ld7bN)A=aoL-)4)T)to~-_QNTHGVhSqoLAf#c0NF<= zb=tO2g*WzAp^aYNxM;KtbH@7`9cHsTLOEd`)1&Ug(;)QfvwyqYQ@Zy;p&djr#as03 zcUxko%W5~@Sfuxm)hMQ5u+yd<@QyfR41l;LVcv+k^nI^mXPy=)V0p**EX_Lkt}Na4 zB5$7ZlJYOaGxK7iJ3AA(loRmJyhhCtz%5bjC^LDlu%sq2zb~ms=L|lUr08k%I&;GF z-reE(n_WYmTz4fu=T}W5Ydsb2>TXO6OAxn}U#1s$YM-JF`g&e)_Qbvt^2}R;d1|Vj z*t{ZVJ#y89ugL>Ze5q%DMLWBA>4t}aSXeP%rU!PuWS@SLUJrPLhIP&BpDgiP7$jrn z6+IrDtl9td3|RJHEKvS~{Fp7Wsu#2RRL||RV7%&H{!t)6zKhdZh1b(}dOBPlb&6=? z18BQDc-E`pJuZFU(SkFd;e-QlH8$@7MN~D?Sao*k-TD8 zGqh#>?|GkGa=QJZ?03ALBVC3(l6aygUKnU{5RGr|Sv(fMbjN5s7I38#!{QSkae-a< zUCX+D$6s#whxV86pK=k_xwjGhz#8yz`Dgt=w(DLp;39U*h6pf3Glu8A)zp1r0Aqw3v-v& z=d11JbUG{jVE;WJS}VxYhyRRi`zt4Nw!Qr$ReLptM8H0mUuy;MJuQ=SB#*hEjb+Er1 zF>5q^5pb~F@0eB|e`&H|Z?&)0Wglid*!pN+IBf-^%b5nfgMtNVONd&J92l1xA^dw*fou8il77}0vad(^Is_kaJZDAEbn1ML!Q zLC~@5KJ}y;SHr~y5>yU<@xwYWFI`CT`(lUto3Q=s zryFV(=0UNZ4tIkCBs+ZMn7$o^d)$3V6l*EU2ojmRfCAOjE2M4HGN(DB)TS1p`Xr$i z#|y&5aKV|e|Jk~y0`{EHtc?%0+&P(&oKSC-2Z+XJeiDNXjhKOnzWduvdl!(Gl?!ai zbX-;ab;C4&4LN4%mZjSGxsMiNOla4o_X&1MpmfR56?FSsS=)Mi+?s4B%u)QuYZZTWteTKx@6;R8ke2 zNBjh2LzSLVG78p2->E4Ar^T+fhrK%moU-|DmZRmS_N-2zXzN44cWcE9pR7TfFReM@ z5o3OSoz~jcyV=(C&R~6?iJ*{oMA-uFXhr!e;it;1d{^)m>pDZrtCSfvrcPUQ>hgSu zxJ~tFv#hlT7NUJ>6KU|=krj7D zo%8v-MOKd$8-&5DXz^T6_moU4@|jYVPLpcdxUbvu(JvNmA1PeFdtHgn-WLghESJsD z7xw*4YLEv6OPwYFuSzo zGwk!m&hNa>xpQMH-7ZYxysBg;$iQLSWImFdDn^E=jRTg9MK}1+z|X}Y=G2OX1;vui zCA!?T81&Lobn*NOV;O#xOD9+G0^34}KihlZn}sgQI~hf^5Q2NDJTnR?vVdBhkL9x) zM16~WEHoTnLmijfegU)p`J=ab#@(Z&hg1?Eeb{#)sN|Cq7l|R1@DF@St zl6mI2()<<di#Se=3e8YVi6~!X;EM9TzTuQ2fU3QE$|DaQh!D1|9FAhB}TJ28$OCyfi;U6a4%^?3^RL`8*O@knSTd&Q1TVnwXEKW;AIv|XGjiyoCipEke4TU z6_=}Ch24_FwQq%VbPA2MD1{H)J_hb41KmtJGr9sdg8s!zi(o?cqqt*xvM~YU+rmOb zX_y%|@)*i}RaL$Fx9N}Q>cUQ6A(f8LsXLg+K+-s1S{cY;rC1~r`_kCWW@AU%&fnzX z07CB%EOo{Pg0-j<$b(%PLc2&=f zz#x&rJ5PRIa|2sl?G5&IMb%1Mn9w`P{oW?(CQg1_SG)HTj*FOdAP|qTP(&PHBDJxG z&cBqyyHjF&lJ-Sw!B{}m_rtI;orqm7>5g#x`O^66vgQqY{DFM7G5soLp}KbGoy2m3 zM5GqR>(V1MLU&XEBi(NX6#^~N=${ESDr>(&!zBp&C#iL;8Tn2%Pu0~ z794R$Zzs7r&SX;|MTXC)0}68|sgkn~QVi*wlTYrWeT5P&I#6yzMWD;jJJO@jL~(on zHbaMm4}YcBE*PuAJL(ond=iV1y2~B#dXiHa*;pOC(F#{WbR)Ek*rT}Xqg}ng1BWWp z(}z7X!`}_GYyKy^>bY&D*JO6q#*Bl03o`~7nn{?bO_YVniz#e5=u-+IrET0e_^?#d zCy@KCDf&ptoB`2>(pKaV-WM%720h==C1tU@qeZ2r2CQYR@-=}g2R_u;ssqsmL)GL> zaDhQY8Hewm0t3rJFA#qZXFWOK;f{EisZU}W7on8=O(!*!x}Lkx;e39+J5tZNbd*2f zf|Ixrve0etLdD7b+Z2&C2=(pAt``LLh%)UeW#BE=MAR!ZJ4Nvp-5-aERMh<&W`S}d znQP292j_(41?QgW2OoU|_0h`ZTcWA|wppgYKSj@_Ke|QGSf?%7Bj=?)b|p?Ka1QO& z?JnpVpV`4H;C^eF7l<~5D2JeJ7B0G6P0Mq+x(u^@Yz*jWXS)n;AA|aUfiOK6P$v%1 zQ*g84%@`ZC)AORCS`?<|9Jw#~SzZf}ykS{_wMu!#L zqmn-kM#d@|uT$462{+teDQ|KUlm`&2p;q1 zR@|>q74N@p1p{(*31Z7jBa86sGG>H5BI!bbuKbntp#DuYj zbt9Iu_E=`XKLL%+Af3?b!Wma~6|~ZKj;Z0ryo>you^LZnH>Qqt@S?e*!@G4eRCawBVKV^4+v!8mL{;-@V@8ttB|ekpD5swG#X>mtWSTwZgI6#N<<{ zN^69BTKp6eDyTm-G!W3CN2JP?0y^5M3%d?WSfgX4c7AO^hbx%Ek6QC&bP?e1Kp{KH z8;BmId^z0P?PoXS--j|Ozi!^WvvMqpvWW_WXK)h2T^$0ZeTz&L>{|2>y%4tY~vysp)~Pwr|G7V}ekBugZ&-nZ+8wm>(Y zS*teDnOSjJ!^>F<)Av<4X3!|nn$wnh3+G|yTh9lgK60@6BT@f@rtruAM#0+o9l=g~ z9ReW4x6Bbu`bPW!0sEJ%0Z=qQ$-;IHlv%TfY|D3_UsjMui4V<{feE&ckjy5FAD6k= z?W{VZzJFNQfP`WOtr3AGE}Q(_;oS34cHw&Oa8Rfp19w|QJ^ip#dnt-bOKa&>5^&F-bJ2sy>XI?at12a$Mg zj;Xk_vTMXNY&1t#asoP3@1Ie4Vj|W}ptMjev7W%gom3z0jCK<}iKS?pg?NW|ok`|T z{9dL+uhJC2Qwb36=v{(33AN+%G5sY85;Vb2C*LE~SCx$a-NX@yO8VnMmKBe>Pnsf~r805WO_Z@5H&^Bv z+%-A@t5f(o^0ONpVF=*pp>7B@<=!YU(z*pAh4W!U4{yg;?AHo$n1T@U8V+p9UMN_# zov1mS%}KVTcPW9%j}U$YwMX9QKZ0$YpNGLhl|oWwi@U`RxhH-h)W7ityX~&rDIp4u z$cpBd*N?beR6&AEj%UYX29Oao4i4|(AsxxYqS3k*hpi@2{WFLY!GT+}<<*omJLucX+ z<@$&5_nmh(Gh=3qF?Pn-$DTc5Ft$WvO=OoumSk%)W6g}^NSoG!N>ZJJQxTo)p?(#~ z64Ep|5@J#z%KP*D3(xgj&-Z&@_vgOhkY`D~CkHnk@u7#sp%K+JXrxP9olVJArgNay z|7HaHa%NpvX^7UG6h>}53gO(X)4a#m`%s!ffVZ+%>!Qb-Vz{U-LJ}2G)?R|Bdn4os z>TYi)Tl}8%UyYdot@3nQU>#f)mm}r(XGWOGN(?i{Qes~jukcRn@pj(2^YLg6d+Ex; zLN~2LFug9xop`d3(uF;x?W|~& zU_g`bS)jj!aRii|wQ<1;dyV~NQUr>d{3&dh?M{<24%Rk~`E|wPz@G5I>2;ldy=?t$ zyT6+{pE~PA)3uI$ZOc^)>aLZUtiu}|qC)dR{@AfsBCPN>bjp8hcfE+(H_9Bj;wz+R z*Jj@1Gb8*_Mi}Ah4DRt`)wrU{AR2~YUv^c>G5St<1ynxj}%!db>>3A{M6*wm^rMFc|mva6;%%Zo% zulxjL`p*zYm4qqB+5gpz_l7ZzNo7~kbjChwejSvNu+KU3b?y)CvNLvtB6f_klXPU! zjv}~HJBRHc8;@j04(CWII&JiLIgJ1qX5OrYH|J}~47K5K#si(J#`|%SvMoh=S(;w6 z_CR{RZPPNBGIi18vrz7Fo{XYQ*uL#I*La=$Z<5I-UepCu_a9nRl{1FcjTbHA;A?FY zO?K%bKlk=g*KJWS@=`2(--&8`B#f#SflpF2bc44vyZgy%5LY*;H{@Ahn=+?FDZl+%|NO07iGR` zW(EB5b!BkL^ffZgr2kmgZ&_I_vE@?-xBud*ZpAN)g4R@zZfJt;epB#^YL{Ef1}{_O z2ehq@&brUC%-CcN`&P9!O?mfMbF)?nDkqx!B-C+?z}5YPt@$eZ)j#S#e{+0HVE!5} zxO-(Q0L-`28miT1J%6P`|uIOw5MjA-&{@PB{8t zU&fYRMqYo4A!_egh|nmb8DdS<-@0qilxTb4Ey#pOmI)Y@iz8*o`r!_T0|U6SsHz4C z<7)h&d?U_!d$R2H{i#k>YHdB7D9?;&fWfV`Fs1ha zPjr~abgCN1biuxZ7AC%^Z6+vNH8na9*c}n9xnjI6I>hXdQc0zBwdG<|QvM+?tf8QC zB#pqVoL|f$f+(qVw=A6T0)g%$GQ?`i=y6+k9I%I6J@k!vTLW^Fgh_AM=}eD2)c^~*2%axCM};2Q{ln8`&JetrhM z0j#8@2H=;_u;`RGmXmaLDR<+uO4_m@l2m!2Y>EHi+uK7s@_%h%z$}|iItyu3#7kM^ z_}Dl;3zPSjA7K~+*!Xyve>XSz3+1x(I~4O)YiYo%cGf?k*$}tZ$D_-nCdC8LxlL;>7=d9u)g3QKdXYY?DINm%1@Y%bc1W72SFg;@H zl>#_~J(YLEAG@poKiUG0HDX702aJzHj2okGs8>>ZU4P^NUzn?!vg56a#p4{Ga<{^= z1h&^^39);iRQy9ElVW}4e`f^GjIerZV?%EAgBoW#p3%4(&)&YF^bB5l$+-GnS@T!q zEOyTyvDO~-{iUqMr7z)cWH)(X^k@IZ`AWkM7z5^=X-Miy8G-G%8&}uhOf5LF@sU4& z&VG84zZU+~3=>x_$&@BIf>hqVvjJi$ni^tVbuc&!f<{|#?S0fcmLysI`?xdxB}PqW>18MXDXQb;Uf*Dp5ZmbB0J!tQ#+3+}at zJvW49N6nN%o*$`G*qy-l0>HAsZjAlM<%fRrVd&w-aeimFn3QP4GB=Wg(hP*0k`eXEn1UWJs}z%)q+5k?i$lT&TcocoB6xks`S0%|_>ud+ zLEZ=G^>jsp^F^6zC0+#-P?XC}@O4BR0W48T%@ja=&;(eCfqP^37m(hW6qnrbhTXpP zm3$A;B=HYXx<4=CD*D_+mijj!Q{;YbSEBi6RAn^H9r|MDwOAWfwmMa$g(@MX_+v|%F4czJ5h{en0Ma09-QsAxDpF*Ha@rEOm-307;~Gw7g)Q3?NCB`8(CBf4#odn(jT7> z2AyPReDQg^w$@4jj7blKw_kh?9z+U(;&DgmU?6co4Wn?UwVi8K8JT+j6+^!p`kW6 z{+b`90a7A8!D_9mBDb}X(cdf4TtCUPXrg`Iu1>pu@lc;5(hnyWfUJKxTOK_}nwE~K z3J-6ctcpanOjSk8#e}`Cs)~u(E~5EQ@^@dRLIdf+YM~B_=ajx6*u%=(Wi&KnCSr352a*oW#-G4R{?#> zd5QOhT|OW(HK z^8(rCKq=;<09u2=3Y!~9mlU)S3uSrh+=&cJr2X%7=qbkD#i=1NrNzi^BV-oC@b)RX zA1vduXY5K4Pd6r30-*>d(yf5PJV;g){U9c?NZv5@vXd^b~y zk#+RM2yk0oLdviFoy0JU%xM45R-&PUK;YEo#i$UQFY_eIxEfT)GCUp1`BnQ&H;urV zf8P@PzBK18|AU#?{yHk=-)gl34(t@Kho6dh1w3BsgP&$<%Tj3TlWY7@M4THc(r-+^6?#mgg^SOrJOY<9Fi)qs8Q!JbCK;17HVX(x>EOKQ~K$`NWH=W zb85I{t_L5H|^9N9JKevDOq~taPJfqY0e~$wuHu~E%0JjU_cVv^-AJqlp zzAK%{7*ysS8}#I?Irm(o8c*b4XuTQcqJ?o+{xbx79+T!tr60I~w~m8Lxz_ zj5(0bihLvIU8Zi90WD96rG-)&-e`OeLe`>I(wK*s3ljl<7q5?H+w;K7{7*oF(yTwz zrs}C^oP3ii?a$CW46uukrY6*T$!0xAo+FdFfd*GfUjOG{x;?P|9%8%T-U!l1VxbGN zm)UlOu2@(UkiAj`@&$@#D@O$rN1vN$IXyEvoZD3ZBIH+Pun!H*>d(h0A}qAV-9hA? z62)+#d;g>WbufoW;nY>)J=50-KZ7n$LQup{rMUv!%+8-~BicWK=|2I9^6{&kT1DLT z?z;&;dDB)BWu5t>7AP}|YpPP~W9doVk=3nbkv55Mj)Vx-YkCd3x&x{H?RT}38#e4_ zyR+tMS0X;=SltcIm3^qYf8c|?fggInk;C>3-4}Ss$bSgKlC<$oJrfgEH)-&{O@EAF zEFx76)q!D0>V@i*KViL~j5RKrq+a4~*5{++8}sh9l@6A620VvavisQUpLhONyKBU!d9RK*>++aCY;xXJ}{ZkxC_Sl|wM8kAm3Pa#Y1*l&|4IucHi z-tv#4#kf;DqceJ1@&7IFKCKo*8N^j`pgobI+KSW0BoE2X@?ZAb^idh%L3ubXFJ0%C ztPleFtx>Lt=6X^3I3JZD2h|QFuwT9UpYxe=%se(qp=&IDL@jdwNZe68SdAJloVLe{ zeBva&p7(nJ;o^Gde^9so#c^PP@8g|8o2;qs3~g3UrNYS>uWwBCV(2^MIzL+9hov6+ z(hf@AK2fcp+n}0G$?X(@Tp6Iiw}ktx`bQg@ePu-J zg#r*q${m!%796cMe{$-`M25+w0jmTVrzW$FaJ~EYtivyDklW?C@4UWIWzI{{v;V`# zKC4QMU8TD|@n6L+2o!Gql`mIoOs16#VnSiLjdZmk;Ik41O=ZQPD}U2HzAQ8+04|d4 zwthYqR?z1`4R%@>rWr}Gp=ErrdG}+-e!F`UX#jSFE~decf6qb zYlM9u1G*AtR<5kbC5B%Q>eON{{0}g2)WR%pEg3BClQEMgb1(XhB-GNso3IDsWVhj* z$ALBp>|o5oU|m47g8%re*P@1(CU5W^M8HXhyI|-MDj|xT2qm$}YpB9B&RBcf*I2Cj zBX;d`fYa`Gjp?#BN%#)=zS^w#{W)mUnFqz`vCF@0u_?U*ucHV~{MerR;N6!!o$?KX z30&<((C4zt0O*q?m=&yR%vX%j9|tHZt_XWn{FuQ?f^Xr4w`B}?C9%AY&(S4zMVOYC z8-iw;#H9!+=dt&6F=}$Fb;AfK+kc)>^)oXqb}H?57vH3iz*yJ)YYa(lT*#HEK=xgXvmk}EbjVHQ6*;I~tp#OkM(_Hv(Y z+w4kCd9mMe=Oe6qF~0=R!lTq`R0 zE!rqLFWkUx%Bg?6;y^W^T&$eJ;?m5Y{#i6-0v1f-2IoP%3=v7?)eaM`4iJ}9dINQr zKQ0$EZ{aKXF7U%?xU^sfX68&MwG@@k!X>LQJTcK^4dvqMo~Ebi{ktSRpy*j7-T9;g z%vgQ`fY4mQ)CTTV>H=4gpKFW^h+Y$?S`;M|d#%`bJ}KMD%Rw!@U*x=mWh{nqzY&^* zoYzg6t~QAQDGkh*yBvwjJe(kO$&}H$0Cq7nFQ!oU&AwjPC6T+3r?w+zu^EM?U&qYS zmFBLcT9Xf6bVe`k6(X8WA&TPD)8O+=@TXt$pL>($Zp>a>_oi7#*CW@0gI&*51yY7G7 zXeMEaV~Nu`*YcmW6k!$f7E{V9qqXDPG59*~E{7%9Jxa&?I%#~qLyd_02)$I#=t?D@aI5>5=s+d zRELM%ll{dCaQyQ937T97QM<|YKYxC3cdmpbmg`-X=iG2X=R`E~GMf8%GE0$^#(PeA zWlZ;s%sCOa&iA9Z>Ch_}sE2RIQ1@U5c0pEY6juhd)+0~~bT_{9_Hsz6@a-njO)=0H zZPFL*QjOPq!rNh)j(@mG@7lpuA+8=dETGk`jYc1|+fQUwP;+jyYEn<>63`g5LHPBr zU&4tz<2eOHVR^Iz);AW{<9c7uAyzME;K9B@=Io~p?4y4G&ui;`BSegaWA8o|xRQ2@ z+e(mig=G$XLwh`>zHKGSt88MP8H_ud&9nC8H=8BwS(Uq1$nTErVp#6#(rxO3O}Y{c zbul-euMzj+qZ;$FmFrF^bnJz}{l3Wvo^m_U?bc3(KbFmQI4X-d{59GWCp639JY1*0 z+3jO*UgVrKKfPKe@dq|1PoBV*wZd@=-PF@hBCGR_W!Pu?TNO^&$vB^FJry#Xg>;!e zq)clx^fEAEFw!IaNC9ibTGw5bb=mTOW zn6zblndOUdjfLk8-B6QR2B|9aNd#Ag9B?6YR%QIpGJ0%E%X_8yhg$F#E@57T%t4Yv ziZz__{?c?vWLO^I@egwzUww+9`_mrypvIGw37eSxevdTOmfUudR*rDWicfgAYXJii zkr@U11mf_wEO(^7njw-!`+?CB!2IFUiZAEko4;~(gPHG{@S?twfssLh0X$@YG4wNx zGkhz@1V#CVxNZFv3f%uSQ3s!i-Tc0~*^)GOf7H_brSGhiRt;a5+tSHi^Pk;t(J5M* zMI$IRXT1$Cwj%my?XuTjV{5>TG+HatK(7oyN|~pqho@K|EWjMlAaFMZtOrKxQ3(Z` z*D!j&Q$Y)bqF+4rC|e#^AxcOo8{W%B<~5I=OdsL*+Qj0vbxbi3 z7yZZz%e{W+?|EgxVBh24SX{5$q82u+QxT+LI9Q{~KnIYO(QLAlGAMtdu+TAn*d>e( zysK%&@?0^1+*UwJXPKJ~43{Cu^k-XN%~@O~1^~8deB1;@ckrX3bNQgAkP}AYcfpv5 z5N>8Gy1G3-(1f8k9%j5NCkq(VMRr7p$p;3f@_vq^?t7B_>bpX7pHi_8%?Z?#xfqdb zrR(n0Vi57+U-t%s`_}~Z8?P`G>vH8s*M1UDv`4u5wkn-o-A~E=K#vxcis(j0>Hekd zGw$3Xl_r5I8n4Cy*Y&>tU`3@8f$Vi|Lo9OY->cGptgLNf z9{L)Ro7c!1Iw2m9GHFQ@Mp2dNXb_m+&QZIp8alRI#@n;bxqOeuj4_mQq95ACFiJLZ z$*bpe#TQnZqn~J+TbD_c-;_r@q^;*8Oq9xJ!!fBoDby94amh5BczGG-AqWw4eV} zNnHH1ygKOm5)0}bK`??YadW0oo1vf8VW1O$6-~C8nwi5EmR8or2DaE9iNmNQ!_=c` z0|%2lnj~Q$;z)GNfmm)F9Dm40I~>?|49<*7k4#L^H&oPTjsbLM54*n%oLlvF8FT9a zAQx6tW2tLshH7mvb#%k@xLof&&OUy7fBA0#gU3&{Iry?&TsPfzdhFWH$hz<~C=hlE zaP;!rVP_xCWiUiPZJqW{OvnB=;RMa1f=WMHMP~90Br-H~)-?jEXMU|E1vIVpD>B z^5Lcwt+e!&jLf6Qe80LJN^&Np7$Dgq!yMx)oY3Qix&Nb8K+tiZLT?O50QOm(A!rAx zyV3EgHrxt8z7Pmg1{(pO?j!H$_4bo!aR;VxZOc`HjBq+cf+osUp={%)$LKF1r-KeP z_LppJ4qDe(UNSd5=w7q?tuNg&Ljnr<>7kZ}!3=GQb6-hd8b*EylJvxXNt2!*f~M>& zbw5L>8ud%6$_Eu|WG~4`A2hk^ACFpvmh8D9tB__I9v;GIhmasCGw&hKy&k4`NXI4w zgA%Xq$(0SpLXvy4;}OpwsG!fOr3ETgzAyyQJ@=)VO$?2B4!<^gHS|Bvo=&s2p?c4| zwPx3b0z93P%$-NWE@DDvAUzfPdCUe>6MyOc&4VXu>@EqzkhwlbpCX(;0?5BXZkMF< zCqGAnDc5mdPtU9oV*oH-(u*d^kx_f4JP~K5R}cU~$_aW4Kk8zKFh+1dnRLZ@`L9w-F0 zWru6)>)wLE2pttPL?->cwbbb-L3DLVh^LL$H-ex%=x<&GsHf-nPU<5BIRFd5ANUAu zI$JXW@1YI$fuKv@?lk}a+dt&fEhuG?>Mks0E-qVJZd(}mqmo1M(HJ4uAky{&N3kiN?g zBjudzz`d2;ZhE!J!pgCGPH`f%(h(kDX=t);UaCWRU%j&5`BGK)DG4a z!k_fVPyUogw!!_1rDm*a50YWM+Iu}$53+_uHLu|J=9!&CELd3lnb+^yat5w-D4qIw zbz>gRs`Ga0Jx$!|pRJVtc-=*oFqf8H@P1#vo9BOUh;2`ZP0_V-IOy^Px&Yx5zR<0i zcJcm!3uSn1t9OkcivHq+5%`{a)djz!RT+gUZ41Q59m+x(rkpTHeTO`EbpzjIVzg?! z6Lp08!#hQ_<;YqL5GNZrqG63yn419+`G=aNP?kq%C;;z^b{SaQiuR)!q(cZv=!35C zI0>MDmks42F_R>+)n4C83F!&Y&LU2d6Qn$B;W}fCc&A25mo~NGKKH7ps3_79%7DZf znk?lSic16lDWH)oxuJ~Lr;_Cg{UOL})ZX$8O4R}& zMOe`?WCe0m;w|_K(vpWrgJVE2^)A+^O%K2+w8?X{sTs>CfDjJ82Z)s89Er;`+z|__ zoC_T?cI8|=t>2>qkW{NZgej2fz}-M!98dbsBdv#WK?jAMHquYfR4Qsj{6*ZJpy^YL zRaDJ8a8wQ9d|ntl(J^0{xA8xqIVy%3S){pk@I2F0clcuT$AO)?T-W|E#J_?!!0R8S38 zJS(X*K`4xWh4eRp~q z5-4AZGe271yPBQY_O0#>_;ygL`vf@f*Qo?VZyPaCk4eiCf=PtV*~=9K z=+`1i!A#i<7dufo;PK;eT!V{jd7a%|T)R^Rvz<}u_yV0kR(H=g8`_X4h7!PZ@e$&%1eZD^4#()HNPRT^+TcSSnrK$M)8oDZdg zL*z`7Hbaz<)9d?reD5Fb$NdM~Kit=KJ@36o;GD-`m1BW9U`-Gh1ObH!0{{>8zv2H& z=6_uPWCU-_(|9XzSw%w;0%FV2eEWPo0u=b6P(D$-NSrY?ZtrvsD9j6#cM$|KznqZa z#fmqcQL>F^bTH}%MAsSYul$p7dktVvVe(|-fk!0ZLjoHFZJffPa0To^!PMY5$%X`K z;K@Sgx=D@R8Ns@f#q?!oj=aF@UPU%)QC^tpT4D{BI{06BgQnC+`Tis?c#1q&-bRX( zT@!B9q%T$N404DwiVR;sW?3zTGMoFD?!P}><_G#q^1;#v>#jRrS%@vwf?vnwtJ&?) z|G_iLWA!*!TVgkx;`xMgj>ZW`pEzF;U$4BbKw|t825et_1^<9h&np1K7*HQ2hn1S+ zFp`N4+TCYN7mew}F(~I3^j53)%i_`olC(@ zneY3f%CfVhQ9M%NVW>HYgC7FK3;njdfMjee1Z|>71f~X+T0r3oS=_Qmv_(y zOx}#i9-kWn@&$%Lazo#tZ9{!!PM?r6jGUh3BloyKCCF+4NZEofLqW>+qzMr2(z;-*BnQ><{Wf4)xCEAL^$GlM}#Jmy+o3?}x>7AZ)Uw^hV5;^w-fU~sX- zb}cnRm9s!x`6A9{h#R;A)aLimW(%U2%rMB-;qUK@qQoz3OwU`1ahw9`7 zUdfaOH*#wk$q+{@b5($sv91346#)<2VODs6se|Z zLqK-F?tqZAO^zT*ZnO;Xm=vfGE7#7H5l4|BZx#Ewk9YcG=`&b&2{G#+jC;1V!pU1U`7p~AydurLe!n*!lq}qXoSEV+ zS|(0X5TfuSODCw7VSMS?JTHn|58^2HA)n8M)?#X33LialBPc_EpBn9=gF8Gy*%D-uQ|GKW|?fA z0{~=m%ZQ{h%kWW3tM?wv0N@Qy+t~7Yip*3i=d7u<8y3>n1xcms3qm_;`@E+HOB7DV zXqI)R7LOYbGskX8{3ox;MKhiKCOZTa9(sSv3)w$d(p8yuX?thz_3mS7kMp~H$ov{J z{eHEmvPyB60FoGZzivYcGJ$S55sb1u>NPz>`v5WC_P%w?W5faeXpaQjHvBhM&5{_; zrc*neUj=m#j;&Zt0f5>15{L~;HP+%;>;{?$U1ZF#1?*H#;gS&V1^bSbQ=x-mZ6vNi@*H7Qzq;~PjQg})824%eRVNLmrZoB7p$?Vf+RvFnP3jqjhY<&xWX zO)ecOI{6B6AzA5)Ol$UpAXV<=cJ^IPoJ{}YN+av~f?Zx?g2Q!Drq4r&aPI!Mq+xiV z>g{Ewc=Whx85&r=v-;CG$SyQ+Cr@E2Rv~Q=u zwl!5AuP{&6hw|lw&+7x6(A{6wZtc8^`^OM55*O0<*@z>SlK)&Yl-O#>e{SBnB2CD1 zNbGlysuNFK2WyOGzHce!11{hSc`~*Ffi|qX>(6zLpjNB=*SvLnyGJ~k^lo#Nrm}+z zd2FFuhDy;+I$N^Eqza0_loDW3kdQPcTz`6eUfD{85UgIv0ByIwZM1l45N0(_w~4=< z%!Y-DGl3g7xr~9d36+?r%ebG35@MfCWV$T#81UX83GiFcLzu%1e(OFxE+SL29iISf z79fzWTCwdOdv4O)-*MX+pw`7y&d}zEay=m!`6yD;j705tX5~1C^Q2TrlMJ1Ai>#h( zinDjS?$_Xv1xfMGQ)GJ#-gPVGbe@ww!kzI0Bn84Q{~~QZ*`)9?wkL zg}A0{03qL#0|$NM>Hr%Zt3hAY_Hy^$p(}7$RZEx(0@oSOA1>%6@YqLoeOT{)_DGF( z&c$*D_4%aGq}uX^(qAN`nXc;WeiTAm;q)0^!2Y&|GkyOV)t`I~ueTzQG2(|Uo`e1i z1KaSuE-~3q-VkTY-UEsFo^dv()n9J`3IKmqV%NneArp-d`YOOrv7puE0FiF};-i8Y zhtvPcRC|82$i9MU9Zm4U0aZ#8&5Yq@8!0M9GK(?AXVa~fSW5FrdTKUG-w!p2y|kye zrxSMU60f>1-g4|OtydZU`pVyQt`Xp77Wsy&4tS!TqJt|!cGc!M-SodxuEByr;DljQ zhu9NB`Ul3}vOmiFRtq{)k?p^%wMoLH-;_vvK}=AL)iV1V9q%I21TFw2Oo$#}NKuEv zb_>#F*jQJ7wy5x5S7Yy8GpS-eXGNh7>Gr?t@l+JqpN%+`zA>|2d?wu1@F!}lYyC*0 zkgUxu{;eOWSrK#P>-KV^B$P>bN5W~|#sDy&Pr-+_V;$4zPd$PDST^jlZN4i zFVe9Jf)0Q9YRY^_qPG?|BR_RNk;Vod$_k;Iv$U3YKdi;>b;17>BgE_{J=cCqh#e3d zamN^jr@M)t$Fz3+rh36t^t}yjM@QR~KQC)+zy!u{dL3G+-C2y&VGBj1NjQC7b`I;2 z`1HjjcQ>$k7a7WQi~UG1^rb2?x8O_7N6ZSSOR%jid>mEX!xxs1h_Db$$_ zhSaKP#e9_ATw}}%9z*xnfx9$@eJi`P>n0B@h=oY+GQ$3)_N`HmrIbn>0_$)=IR|yO0O0OG(RzT|GV$@eU%{2{V6pyI^k$G*C5FQwHqW3t%_o; zTUOtR@OL^hMp9**`|%|+OIFE(^qY07{L|urv*RgCk%x7j)p%vE=Q)3AW^_9b?>L=X zT@*qyCk}oQq*Yc{koA_dBlM*|02l|0jm&LI2UFCADvvc}s@lE|M^=Wj#*f1iY|iXAw6fk8H@!0(iL~b8m4m)A$h!=rsP~Ejjp4MTHbq zKu)pKGyFB&=ZWm!gyj}p3-*`mHv4(Y)ZVNe^iGmE%?6@NrFS5BtLD-gi6TV<)6;0) zudMxPX)?Z0X9YKmLB-z$x?$fSyq?bqoT5Wja`sG9LXgmHhAeb z=iQb}%JnT>S#x}L68yaXNBU{_1Vp)x4)OC;T*NG>!+X{u-h^h2MN{h@TA}^< z)~FsIcnE*L9XCg(;|-$e^iG&aae?%EX%$A!AG4KJiQ;?AzEOqsf?hU6<>nl3x!B1I zvu;x`8a@s7Vh=4BOF+w7fv-LMeXsiEN?yfC-BV$Kz~)#Nw6Rq`7DnrFhVJR$Qx@i+re>HiQ((E7%7v$p<}!=({3a={3*f%arin>Bj3P}Lu?zGDoH zonF8RYo(NsNT{|3s{^M1onh+RK|w|aWW+9d!VyBzy6t=}+he^lTEd@a6vv~S>AX$! zj}fczePe3YpUiK@+&u|1`$H)eMEbU%)p#R&z%c=43gly805$$_28_pi`9WL9Y+&SB zf0tET&c>nm6y38c5PpdL4D%96EcS@kT)fL4@X(Pi@IECDif4#fjC3g19A-n#FoI(s zsQS$P@W$7A#V{xiX^?3)La~Cv*&_`2jD^2GRUN^LS38ci$Vm}fMOnC@3>9D}M8?qQ zk$SXI(+X6|OfTQs z%`h8HQs#f5u{=63hu%&0V9J31=tfn5mqtPXIa?&=7I>rMFoox!ccSSa^!tH>FAr==I5fA7qA?-%(!CYKoXRSeMTplt1CPa+T!4{ZUU zja{!r%9umhQbL%(u>X^j?;&;b9q_z=e=FAE@HlQ)HnJUw?(awR8n zsLK->FXc&Tt1T%*Kba>)h8UJQQ6Z}aUppHYm6R6`@+W0w{;J%Mj`q3ZKK8&F@i#`u3Xapl#IGmOngOh9W$+!tfMc60W@2ZxshbjDK9TBTE8a~Z9IQwBWTrbcy2?xV>xAWh|E zj<@8meqQ!?gl<{RCvA+La?UCx&V6X{QN>dM@@4I>4Vtf~mQxh=%BV$%{-*B}M;=E_ zjcQ>v{CwL+%kS>Jo#KsZ^c!H0;l$$)+v;zO49$KX7Ze*gc5e7hvkJIh=j8X-jD5yl zv>>lK_*Qq6Wz2b*FSbU)HQH9=q$k*fOC3S5wz8+W-JKiq*XOc+_I9Rn;(x+;ZOX1W z1`a-@N6x%DqLgkdMAfIx)6_mISLmlMZQ11L{PgZId?^?PWIzZA6haUKDz(&D$0CKGm!Vd8}UlVk!4DZ=2hn^ z5eElS@?T7GAC&rKp_q0wODqA(?or`=M}krd z{no`pe7%(Ee3M}vK5R_L#`iUp<~m83F0`(D0U{8xtOnjNSb>u5gpo=UW@-tFCc4f$ z7#lu{j68CWO-0#~Yo!Cx`?WU$^+u8$o-P$g4jwZdtUWIu*n+dHx}`Cb1Ug7b75>;I z{lMx3vubM#4Tg6eF!tR4#>fA+=aJKh+p+H3^$&};?n!(NU|7{w2OFXNjC#laJLI^xL-BW9A0;hV<59il5i0T{r7`7u zEgSt^757m`1YN2B=vN0W540#bXLbl1JBsaU+C|~cS*ZyAz*DFi?mhNW_yk*RsxZI)N65h!I0JT;W zQImc9mET67b`h?NJ0`EyQ_-a&W3QOEP?p?wQ;#kAm5G~s{>rUidhXPoF&`s?iD!!X z1@DiGoj>b%yTTxu`>jF>1_*q60Knsh)OfI(*k)Y2e;p2uNh%?&dTY>ir6@+_&&&0j z1m8odL4D*uh|S=KuT_qfeM_fM&rcDQGkN`7dN&!{(fm4?d7#2sI@7Oen@=Q?F0mqJ z^Wc;b|A6T&owfB|YKYQJ#vcW3oXz|;=Nx-aTb~&tEEl(RKk9LuytMo3=ck+}hn2RU zq8|8%d%db3*xMt0b(MZ$chpl$Px_@=@JYs{w#uBPhkz#X|q7uotn$t+MJc-ui}BCI9VU{n|%9P(Ooj+}gvd#j#vVXyf~Y-M22I8ANOy;;a@Q zxcA@8m+dgsi(RjsRbDSsHxH<^c&v49)9>{?m`T~D2|}YCPiVi3hFhBl$CbHaryOMu zyOGr~1N;K%HN`PUG-#D|GC06u6iim=YLe9-ow>?1VIFUUC^g4i;T1nu zcOeO=wefo81LeiC#pOr`8p^_CzCB()COn6b*qosJ`v*-)D43>-via0P8+9X7h>74N zyyt9#@_;Sd7iue9D>frIyX%%9?j5G@PPQNJX)^C*t`RC`&j$L?` z@$@9)xBT_l#_0=_Lamz@ALnj|#M+g$-VxRh<9Fo!W+E*t73x>4>vD_|KCb#LqXtRSd-_f#(58uQQ#PWnR!?h8W=?+wA zdCVnR)$b1!JpbKvq{`GD7OEd;Dr>EX2GMU?El>I7rIYdac@eW=2kaiVYQI5bt7CG? z&BUj_9<_LU%5!kvPxb_S@Yu2^b36N=ez@G?(65cZV^mt3P#gx@tz;4xf?S-Yf1Qc^ zN`Y7`cAN~cy>}Mjk*D<{ieHLpH$LdR@8}1*L@5P|zmNk$hf=ks>?UTo_Vr0PH_p)(o z5(0{+(ss$%IAaM4}ZgJ>XTFK-C`d^A7iYuEwdD-2!J!<(hchT8& zDHmj>N*Gsg*2{vzjGFiUhD;Vq{4To{`qj?2)<`%J(0-)Hk)utPw9qzYeMLJ)cje@O ziQ@FU>!Ix((Lzsv1ScsuXeJ2)eS{N1Pg5%`bD|oLte_Bn5Psmv#A&TBH4_S=bU*zt zAaTOAqpQ3^iG*A(v^B{R>~_{!g>X@(G!ItudkAk8Rw+-1snL)l2vrSe88(A%5 zHr^dfl(&rmIQ80|HrE$8S=bxh73yousQ(P`^r_ zy>Vdg48#{QFgP{vUKa}re}n3(pP_$MxXJr1cbiexmL_Ph5v3L!KoKgB{S&NU^~y#z z5KOICa+1%eYc>hsHF!&%$3CKrf=+K3x4C2EuUMOPKTNrc__g=jtUV<#PvRjQve6`o zwT-nsxRCE#Xq%7 zzVF!4ZnRdnSO{W#ZLvtz*i2rDQ9ifU(ShH4(N z$eG)VMFZbXpQz@gt7e$CZvfQOA9`|{YgLnv-`pJ~Ym?0TwynRLKDRZJu$pWYK)D_2 zK=oJ4|q%}+Mvp-y&m`pSB>c^qu<>l^qE9ox?8_-s&s*KOmhYlFOHA(Tqf zZNz_$ zpieYl9W*3R4-t)6#wG+)q8ZEFf?!FsVp-b|Y>9R(dk2Ce(TU~kLU1Lzu?`<093>uO zxgRHZ5ItF5-UJ__FU!xL5I`ib0)q%Ah{3FolY~%W7%M!2aEeG|MV=-^5znxqV+gTC z5-TpAkU%`kN=zbvofg!8ZnENO($F+=CE=v67q-)Rz8zZ zKrCbxT_O|{FSD+c5Uvuhu~^p$H;6Y`w{8>uBi>=%y+h2-* z65p}j_Ypo2Ke9f3CiD{rSc5}^FT`Qi$XCKBaf~%SL6{^?v8HDTv&3(#x$lH|;t$rs zPXdR?Wi9duOT=Z?$}hqyagFu+4`H47m$k7;*dqR8ZSN3viF>Sl;G{|t^bSel&WNlk zpTx5aWLfr+Me%5;&s*xzlxNIpHcp`7Ve^q|vdB}E2{OO5E*}!@GefriB`H3Uap(lX z;x9n9my!m5jJ3m&3mseHWa!=EJxs^l+pyo38ytA@GQ)8fBJzEiCk}@UR}8Z&L>d>P zjK}jBsu{1?emf};-fM4`Nt#Y@o>-vaNsM*^cvSPg&X4g=R1j$b8}yWx5c%e<9P~qf3l## zh8nBwyg~2NVIC-a9BrA8ZcXOwQ_$5WJ}J}t1%sd%f0?Q!bq56#EvPI>*`f^^r!{wWTWyhUwCfEeztxDT)J!gPdAK&3@=}fEd|^LS==2|Y7S%9?;ws>A z@?ILUFr$8d)2wHia-6PF2D#gYF@%<77DvM`YqCd$uwY2lMvn_xh-Q)pxWJ5+GB=k$ zb3L1ZKgsADDp9q!?db}ifjALmj4HaRJ#g3?i5`p zy1fe86X2+p8krh9^;h^E;}2)7-FV#rQvIp*AC3fk3h167#)J+WBzHt?RNIh&# zJ=A6ByHDjEX+(A2e~E`BW_#5weWFKYL)NKuL(HoEDmmbzc#fFyrJ663#Yzq%6X+@>2 zbMzVnb*(y>;K7`cpG@3q_`@3I2m9!{_J)<>Qk(u zLbEMdU;uLyirnK013al?Qgf$;YnlW_hw{D^Cvdd>gmg2u>XyWN#;IN(`Z0AX&X|Ue zm^$azE5F{Z=W@hQv&wROOXvGmKE8)NFvv92saG#v19pDYqGB>#Ll=u35Bc0#a@}MZZ zj)~j|uWk`H9U{cmr`i&y`Hd}Ck|-W=(*E+XinG6;X ze1n7$Xuid%K)f`Z8$INAY)-hj(VIM2aBMb*B*&VUvX`7+TBt5s=qG%W_+FQ@_v7HE zvwl;wz@0}pPIsY?ltW=IZ>OLQM0Jvu=R`x&1Z;+ToQhD?kA>Z>E1Kk^qE32r{luhI zOS6>t!+qmc9iH8_yMNz(sJI`(ssS6Nmno#=rVg%Gat9)huZq4m|6Zsv@muF1nCis{ zMlJH{JLA&mNXWxlX`>Es{osC(kwmFykkR@2DCUE>7S7PS;`9e{<4ggO9h#*s<&2!l ztZ38a%8x44N|{H+feQ||Y|w{avw?TTkF>6%d1e!;n^mg&v|}(7_YBZl+lWri2&sW8 zL^2_~E8~&gIeFdR`0lL2^B-RraXJR{lHBrJIRFZc@{GBrG%y-MpfW(j`L2{PbH)NTBeZ+ z5Zg(qS`q6hC0h^)=w;T34$H5yy`w4BD_Xa+j^{KC4kXVs_>*y#EAEv3$Z^KBol2H{ z_dd_a(wWlI8yF4`x?iq@gvrnF?j3-Jrt18cpTk3IpeNX&Y?z^l1L#O-V>Q{P57J#%`ctu=P{0z0xuMlgk3C8x9X))9K=@ zTsS~MsOC9J^O_qSyrxPo*Az0`vu56TR39Ph_WW^1+}fyJO1tC+hMA#aG?)1S?Av!%UKi{>JVB>-xNl5&@`T zYAy0y##YX|3|r|>DEpzp!VR*26S|(^;$#%^XWWb?h!ChqCJK!HV}q3sNQe#u-0#&n zHcHlYS>w%ZR#hK}IfeA8G(qDF$lWjDe?V;N46l#vB-%Ivu*xDAM=GIHW^u?lA&0#JAz*tvt$mCm-mTer@vzeo2a(<1hj97T_jhR8jJu86Ba)Ca2x2wvL?;-V8_ zNxxevyn8VQusoHdZRwE&XjwWXX<9mv?dmA#G=}tlO+OW@WAl(Aj?!-2%9b>aKUcsP z+j4|!0_WoFM|b1NbV~{)J_AEZ-6Q|A3?TnyyHmU`=^nP#<5s(jEBxm{S)QrYg&|An zvv<_D;t}Wfxm3ElV!A2M_K2{<9Q@j^A}7f4!!~N45|kxm#3m$F0lxm4g$>!tCq7|MuE#sm&%=`lkxH1HR-wz#kMQcf<((KGnX zVDxV4<#744<)zwo=Rs;#KeJm!W6sZLwrMGasTr(N6bkgDcPKbGaVcN$2Y|o#ovThH z`9QiyphO@k*fKIPBIUv2C*I0#`xen$ofFFwGBl9_Ag4YK>B5)=Z|)z$vjX2vfrL<)bCk4 zP5V^v@o~~yuSKaJ-DMr$9tw^4>{Zzu+vDPQ0wwCzEKgHzV=)5B0_;yVEuebIF+Y2k zX9efi0omSIUSHHKXyk;+UO*Ds(tE_Bd2D53TclE#@GXL(y3-&62JK(9rBLIl?e$-} z<+pdm(k4g@OWXPfH)%&8L;JhQS1et~MMb_Od%dRI;%%4PY5QRop(X`66Uq1s`wKAtY}n3fKjc|ZM_R~& z{*2MZAgpp0SkeE1^Jp3t40EXbW>q3!ph(?$QwDmeYRwJauU4~98(GwZr~pw`ihBkt zUJU|E9yd)!KR-u&q6HjW3=%z5fLMG3`5T#Ik&!`6c(xsmGcL>IpKLq7i9LcIj@HBI zlX(%Jg)n_P0kkpU54l_A>j?*VO<9*yv_7j+Tm;I`y#0hM<|d4!o|({!XCxo3+@U_Y ze0c2jp=U^V8Q*Ne=FXMtt9Hx}zv(y5at^~nh~~hKvS+x+3YfIxnaBG&cF4Z0u&Q15 z%A?i%wpzQ_=j2MWbV8PIIeRO01rwwmDJA8k=9a~F9`@HLnY+1nVqk#{DXStzi{E_R zKul-LJKYH?pY*lr{d(OPK6*0bkT{4MD9RR2eq(tEZj1yuK3Pb8x6v+E6=nHF62i;a zYVh+}l6iTl){@=zqHL*rAOO4l??Tg)7{Vc%ArI{OeVHksSpPO|;2VTmUU3C+ zmiIX10$9N*F?XWJSSd`)gswF=Fsq70f9`h^s?6~Q9Xr|+XRhChZfy;gDNlTA;#ppu z4BslBGULYD-rkzPcpUmZnf$;T=n(qC*?N9lHQ2l?rtwoC{;QttoIZe^RmL%eX5N_f zBnpC>wK7jMHo(BW{Jd9HQWI1QYw4tmN964@O3z!#A}24?KG+JIf}D0fs|~gnjRpn; z0F57wz9_?-r4QeFP@Z>^%Ufn_Nf?O=mNp(|@!nyBjU>LnpyGn^FCr)MI^O1qK)YlE zDI?`q0h;w72%2c+9Gi0ycqza`SSluJ?7tLksDT<8mM5Vo=xQq+nn;@ z+J;P<0ZaMB_(O2s06^OoP5!{^&3igKUhpkP6nx+aW3E524~9ciwK^oXPlnL?uyYe< zA0U?I-cbW4#qjaTLP(Z9R&$lcXb<@c&w#lat|?3vLmk(fz#zV!6~U=1om`J5*;X&- zU?_7jPz@g39Jo!jugJ6z9|e6`ir!TCmeCQYnKxuDg^L`#o(`74! zaJ*pye{?p_QtnbvJ&OBEDf*>n9z8_8(Ssd0RM`z{-=fZF>Gu{4`SrDr(jT@z?U#T_ zyA{Sm370|}hyJqmh z(#l)k^JR*nNqJ4>1y0F%2d-Ze?=xuOFsTnr>}ii3j!SKEph%Xu1_=;xo}+yaase!D_vE=un23#x zm$`fS#f)y}Dv+-4ivsW%4Kdh;O>nFFTPHvjTOFT&KH*wXm+**@@;l@yGk3eQU6&aL zbQn$;TZknh3M+FiwkJ*PoA2jx1P|@ljYVS!dy`VxMkM7jFf_7{ztgzQh<~NdCQn zNOZ$hDd>eqc56QqL#5AliiU15cyDedVF%ui+(vvJdt$J{=rdfiM)GcjU7Yo>I&nIK zk6o*hhS$?6HjYP79YgY8@rs@P{v z66!i$`+t+r-UXjuYk4hOt~Xge2ob-cCr@hc$RD*yaJ8!6hdEe1+^Z@?oY@7qjUcOC zr?%Tl59j*h4THub~St(dQBW< zVAa8a$Mrvi_P^#^7fQS()c7#hCw8<@_mwzO5uf3_mp~;>Oa2I!Q$BTKx}OK83$RIp zjrTR`fNJ^ACd&H{bp%tTpxxcCtA!(i*oZ8JW2yAzj4DSUt&j?(|J*mrp7EWJ(vs(? zhlo&|`yCdKK_W?zevgf1gP>3i?-%{<_K6e82I@m#jYY?UqBSPi5?CWs*K;;oWxO*) zOtfl(s((~$4xAau0$zYV5N#=6_3IqP)bg7QBGqx6*IK>y5vCts&^~Wv9*mMV9{xP- zWRtaTUUTNDBTLIPXp3nr09nSDy(m2CSkvwdpAt|m82kuCM2LB5hpvsnHfd2BlHrUFR-#DjlXVZzG)`cA8wXt5Kp+mnfE+zNImblT9va|0g;-50*Ao4?m&s zLXB4$A!ey`T3Ca> zmDF3vf$x=kwJ@S`klfmbk4L!SYwb(X8|_-SV*^p;MjYo??K>Vh@reqd0cDyQByIZ# z+P}zl&uK3vqCB+SUNkAr2h4wdb>KNsu`hPs*agz9Btwv~{P*m7w6@G|*j8+z^E&9G ztI%^mDNrH*i?ddMjd=M~uyS;=b_nQ`QjP5?kVm~*aO_FdNF}UVq5PXIp@YQ}xyV0` zZ!`)cGu(~%E;>#w^L`oVLhW}U`VUL0EpgOLbj2^Fxs5h(Cs}~cCCmS6KVdzIr~yHk#0o8Pzp8Qf*>lIr6!q<#E-7k= z&gUxU{e&0l4#e{>{>rg>grW4py8b<^4%gJzKCyuI+LD6Kt_hYzFN7{z;vT z=hsyKampVT^NrpBrN%x8m(mJaFApfyDEtsf`DyJK;$5^X9r1WTkHDsP><%9iwM&_~ zU##!#H*mJ;3sj`#*;|TnZA6oZK;0A97SOIP^CIVIa6SDO9oUhj{BD2%SnF>R5DS%GMYbt}Q!H~)MnI*Fj#H+sq-LM{t5Aa;l3?i>FvJ$d{q4F4I0 z+_~@7APRDS&6#^!RU&VrLVfq7OwBhK_gjc*UE{0kUslJ1uiUT|Y2ny{`97Np(x=aE ztUA7b5p2uYM7-E8=r2sZCM0tc;(4*o{vqfXqYR&xa59i3ZQ~hHCLp~OCF93m(Cv6S zX^r-9l)C{+yXjZCS%(xInD85i+WB1z+pPMmV5eWDD)@I@byMYkpv|&$!T6s8HINHu zFMCvJsV##0i`bw!16u1c(Rtz16GF6T&ZE-B>rZN6@Z;)>Q$w6@%osFsYn2I;A1+1w znVBA%w)muNSC(Dy;3VPmxsuZ0kMgEjj$=c{2WsNllSm`CK7Jg&(GLm$9_U1b4>0`g zwC2BrtKofMps+7AI2EXV@CD8s_@poAxCnZ_!yzpVBt;#$^$s!{k2DBCeu016KUuCT zu{6|jFT8~_g3b#wb-t5&>_PFL;REj2X%K^KEW9-)Y3PZ{Z(nab$M{{2Hk&zsi!fmaiowR2=;ZsN*cXv|%J+i+rGLXK-Mghi;HEW{#8NVT}82?j79m6ijUt=N1 zlTgQ!yw>xmoz;+!)VpRjdq z%2;@pHKoAk%iaV%PUat37%6+3^?d;v(U5OHr|_6WWnOKAhDbFmhzj*BY`x!bhFm9h zK*e87bn^?w+Lwyo*nGPL{4iNuv0u*5MZ4}4Edu$Yd`>OREBPHy-C}gGDb<4L_&-l^ zm$zo2Z&$SNw$e(2D09U_qiT3NO|( z(nRkBkq>6ZD*f>=ee80LzszSqYVtm}uqBQfJ_}v8c3-K9P(8eZmQ2TeeZ7$t1O(AZ z@`HqPN2zlc zdKVow)DV`FYx8Za2;HS~^FLRD=jTunG?n8Q79&p0nh9uqesXWtayoo{(%OANAtGfm z3m%cPVw*c1QM@ugYc_vRkr~C8kZ?7^7=2z;OaE}hg48F{EiI7-#%I7M@+13BF3L9+ zgAi5%?gj+LOAG@h*!Kt^0>`NPM-?=f9`@Vv)^#Q2diysTrCiK{x#}6HdVUBZI!*-^ zg$PBy#lLc2M-;^XcaI?=XXOTX{QPnM`$WLT30434ZT*;Z7k2TG^emX)d4q6LhaXfi zd_AvV96I|r|J7(&jhVMC_5uH!I5`CLtE6V>p(_c_vyb!}e3xoIR2RZ$)2S}Fn2Lk; zcKt8>JZM3W=W+tU{pSPzJRGaoYMJ?Q2O$`^`s6fMAR*z?rLHcyj|{0tbEUzVFvWwX z{1VNXxl;s2Zgkef)p=-Q-S5F70`P#&h0?pB6LXs~oMiyI1;4(u3Iso*w0tw{pIuQF z%tKB9xw`ukMO(O6s8eBf)Hki%jcP{-u#os~y`2-_I z%MGvloe^2KwJLd<(a@c?YU`hfyY4i9^ER}Xe%tBW3)ZsyZ=>5z^SIlZzrWqGOMGKH zUwDi8j&w_S?zy{`Mgr1>y$a@@x8IgoyxRLk^pS$Tedx_|Cf8uT1(`E&W%4?wt;Etv zlA7FvUSAYVbg!( zsJ}I)Oz&!0gb$qG@3$jA2}u;}pT85?I^{80);J9dnb>E4c!}v~$x>|@q zlgk8732}z)d~;W$90*aLpi3UV8gvVp)c%X%Ut|l~de4&=0Hq@j%G`pg|2(*K|A8D6 zO_d5^PWh_L`G=cT{vxNe0>vB8`Kg@uze&gEE0oye`2cDA-4cJ#ae$IRO$2_H^tu(4TgmQjmb%HWt3y|y zlYm1sD^Ky|mIhWTQDSSZdG&nOWvGICtq%3^8r5sQlg_e3ftZM2ExYri(EiLs9 zxXWE#ryqcr4Nj=I=mSMHw}BwArDh<~%aLnv3c?z^gQ~| zUN=R+Ksg|AxNSZ2mDE4KAn-y1)5Y|=m5yFBkVwX&E&pgMDrFDX5c(-% zbk+=PR4m7#0(=gM(14K{^w6Q{O(1`Rd+CtjI0yt~X7t)HT*{!9ZJGi`XZjFCXex;f zVYypT0|ABUMGExLl||5>p-|vu1*xkGVOVT?S61j|Lc!>}tRv_ULc8#m>%Et$alw#N zT-WGLQ2Rna27-$B=sx{ILR!-oM`0;xC0HrQ6iRRyT3f-(fF78P2A_DXloNly4YW5L zRSWi_*e*>2&5mZS-lc9N4GtDf)_}42(xL(&)CTxMy8;ehx#Ae!F@ZmT0j7!D=Kvh& z0cyOtu(0ylAa&eJVWQ}728z|MBjWI8GXgb=y)<*nyPy@}Iz+$M$M^Gv(so#_0tbqq z`@6G)!-fMldOw+*pb~gu_9K7)7?UGm7DmzFUAN0*F~CDx|C3=aID^B+0mau9EGkj8 zMy|HE%j-0jW&scRqrQ_i+DV871qr}@;2KQePd3Sl1>tEF*xv&GRUQGh?F{)^o7@8f zVEGME_KE8G9PAt!$@v;5!1)}X0EPAulaP`IA^#YXC`Enl`oyqp`8v$?sHuiRVo-GXn!~5=h1@E1~Wt* zRZ;Yk#D3}#?dRzcpk%jE7b$IJ(851kR0cw*P*h5}2aH{CC_Wbai1MhXoW(Nh^OAt%_U!k)IGV64-3+F`b(JEQJOdvg?Guj&Y8{7C-~KfyhMq z=}P?@IMTZeloWm|dK8-yHx(_)>cXZpZeY^0s{^SCvuIN07^LaAVX^ZGlUWLEHZ7hw zc5*-y?PUbv@N-}j2y#7<$npf_pOOynsCJfjPrLlZ(_ZqC*&%-tvRKfOlY8gbDyhi$akK2Pn4u7c)lXY6PRYnk%s^sR|w~ARovC;4zTC zBgP7y&59VrqYxt$1V+QQYt{-qH@yegjL|`A86$9=<5Fq~@RkJ4Mha@j>-7dYu24aP zF|7XqJAU4GClr4miiUlY<`gzhzmEWsgoXc2=|}6=$Z7};2!g=7E_@ogb*uQ_u@ZyA z0k#!#JJ@%B-1GB0ORaLN?jn>zd$XXTSB@FNtoj!Unhgi#ZQJ=A|Cp{vmB8zPns0#Y z+pUU8!_iO^>SM_WeKB%bi8~A^j5`-Aj|qii`b4X{Pj-KN-WB4S2z|wUB84lVl2|KV z00U7W=VGxxy)$_ngu`>W+cB{Y+AS4Bw>0};E3~aSV4C|7#u>m()K2p=DoZXVZ0Cs? zHQEr$nyB}PvADuj_w2^HrK^RN9gB}w;sr@Squ(`BPlo#)Ap?9I5>|_~-Lf1M0|qoL zc;8fu)=7T`4D6j;MtA71Dcle}1yVye1yYFpmMIPZXmS!FS|0HV`U~obv&Z&ZcOK8R z01R5I?F94!70xtWz%kN;M?0|sIc731E`v;hVI(2n|`Pj*_f@3oB#1z602r1N;A zjgS&rVDLohYrrrOczhaNgVrB12;i|7@|%x z@4__)!`*hXgWd-N{0~or{}`4l;gybGE6J~1g)^6FOZ!fDbg|Y6Bm=uOeYq;2WB7@9@ZOB92*y512ZtxFjr&oEt3pQ9ahhVP8SA= z^DSrBS!a!kj!t<5p=(#Mv;kSpiy4Jt(b^~OEMf2~i%39#YWnw4%Uz241e5F7Kt1RK zyh6nuv{kmniu2)!2fYsh0A-EIK_aWR1&58xlYdPc7NLq{i5RJo7z16p#RiIrEVqUj z?1j6NuuUrgKa<=|8h`!#zkCjTx&ak^B1tBD9KAX?4X0>|p;m)MDr6maB1 z^MIy>pdv}Va84Iw>#uYM5Wc9m0|3tno{Rp5;`@u1;QJxt27gh}Sw!yHK?*GinNV*C z3UxJOpNnrX0fyXBEG7(8ZiTx6&MzTq471R_4syp!MGrgj9frZgi@q*Kw&V>7_=e{H zD&!`*)QgT17ma3#@H4-O1x80nbyaHiKaF-)xr<^)1E@J<&_BMuw*!XW`vgT(NnwhE zFi~5g@Zoeei<9(D8Gnt^|87M`JKa`tu~$$k79m0o^8O0z$oyk|>+AvZekGaYwS(jo zx#*Y>X|CT-JZU0A~1#g<_=t+?71#g^oYq8t|i)xF>LMnijMSt53R`NPw;)1F0LqbyG z)8@y&^Z}~Y?3sh;J9`;$bUuT8mJf{4#BT86!GeIPv<`yf()$mB-8~58MD@eU(2EG% zgzj>ngC`@vSqFYOf{<7XHV@W_0=(+D0-0Vq<*d^@xrPM&A@+{KgA(Yir#uzje*38o@=}4L>J53nVX7?mJ2WYg;g1Xy1WOfk*Wg! z$b~)di3bP|V1&DOxD0jZBZ00aivl9B=yRwJEo}rX34dy`2m*)|z`FwIUJGRde{kqi z|72;%k+l<^5XJ{KJ_>{XwuO)u7YGyx@$6%T7LFF_EloBK!w^6K33Ltt$^aMu05aU3 zAarjENwEcR{3+3Xa&=>Lb**pha{xvcXcmD;do6Hp1zR|C+jVdVa%~50&O{l2WC<*P zFflSSG=DYzHa9pqVPazdg~@MMX=-cRY;7TM+HrD7Eq(BJczJr4uWZ-^002u52cQqa z4h;9LL%s(G4`w7246pzIA=yL-1K0r{LdqBH0u!eK0I~uR9s>Xa$|H6J00035;QAO|=61%*)gLOH$^o0G9oo&hYAP*OYrSd)!X@X0HQga7~l delta 19238 zcmW(*_dgVl|G$05owLv0dy|#DNlHe>*`t#(;|_1h8If~HsngDAND+~BWv|jOqRUxH zb?1;u=d8Q$=kb00@Or%dg6HeG3LXOw9s$*k2VDSa1AqV!AX1peTSffu`2Ugp--ZE9 zp`91Cx?xw;w3PV))cZMpV}4$NN&;XopDEJqU(`OdKA-@A^#NpD0s!2vBxm^)CYdd& z7$%VhNbM7%TO{gdfp>`q9Y8>7+FYj`C4u)UnF;`R&Wj<$OQ@5Av7w1lb;-n|;iax^ zb6TT|f{((>^VeM&is+Z4%2dRvqA>Arg)LC}P)SsWw)A_&@l+pZx*|}~PMVR@9A(;N zDBa`=a7r}wkNN@6wV8_`zaArd{`qi4fY)1543s(asM7W7kN9#OXr*|jhQnU|4m7Ja z-hgqjCw{v=iBGuTSfX%H$b}n8?W$W!38Woio?**p;CB#_E||eXJ_Qge3-mIOPT38p zU=EkGQ1&G#k+gc@NBDCRjS9-bUeS{oXc!UfFPZJ$f>R-FcCN?fHzod4GKL*l9gRGO zm|Q#LF3NARSz`2OmQD5-aTEMQb}bNc=STs|Ny7;ZVM4F3mW7EV?}|%TDF!S3;7ezd zpCEN<7{s{zs$@!kJevTv?D;1yWJ0SNlpUp%(k=TUA}V!=yBU1-8ii;94jl`)ze> zo@1^Vf;C%u6lO_hNK9e4rT!crUK)x9;!h+hGXl9k^>odLw!i$|rsscoRXdPBk@)J1 z{Qc!G#XR&hKw;_|cq)I)#qoonENO&)z4AV@fd{bp!As{r!LtBWNBV%x#`#p}2s{Wz zJG&NRl<>0ab!aoMybnpp^Pb%jq)9P^dq!^a4oCa5Jteq$2fTeiS{&JI7qDHxCgM}Y z1)M=;FQwX9BoT9`Pr|Xk0w^^d)s5IJ8*vZy2>90uUBzhXhbpr`qOEw7; zC%f@uazlRlYt`B3;A-PVH5H4h_9FW{VOgOJiVxvpH@_>1S$8^JJ zM&9UBNrmvYnAe4&U$d_1c&x z&yAN8GP5fXq$|*1j*n-9l)fnRV`Oy^Opx-0apvQ_>i9(%>W-wQZ9CF)AF0s;pA2$s zU9WLv^A6q+AO-YAys%~OlDd_xm$Bwy6F3rYw^_wRIGsxz~3OV#H%j+P$)8jg+OTF9#EC*|An;m4fstsu16{2A5TDL?bXbv|QV4 ze-?8AVkyZnyyKuf$xn7|n7f2l^6)Jh2;&~o7z)nZRoHv^VE?h1*WNxKyx1bzP@_7w z!4XpyNEZWg*$L91HvW!~E`{r+2jtnf$nvTd!0#jGQm8fZXysb-J$%^5`(%VBsG8+!6Z;~6gIzeJCr)MS5U#d4E;9N z+7VlZyiECxIo;V7#p?}1xg$Nf)rqAMT)*)rlFIhaXERC_q#yOoKjVis2gEyJ-0HRDSiIN<3F3NJ2t~#@g%iCmON+JtMA+e^V2s zLuNlqYIBCnj-ZB0-fyUIF>>Yja4N*`9*0r8>tZFXihd9a@-nHmh4VIL@vtG;#OJJG z%*kDAyJ(yAXr0+THXKY4kjT^I4_q1eu_35$(a`?6bmhhRzB3B)l6xVR$>`rtx@=Mw z4vM+Bu5wj2H?N&2sIZI6vtcC4RzEfkwk=8AXLWvcG7u%71bGN^QD1*dLtmL)mAgf7o=b4eb9ib=wpJrnvPBKp(Q8*)8q5Ry+LxB_<;Cf~2hLG~L z`Sg-KLreRL!KI^q`?^oKCjB#tR|m{Rj5=plL=BL(3-Lmney-*(ZRb+NAI7HmfHBAZKvd1OfKlxMk8J>feQz5*g}{C{xCZ`C0o zPp(Wxn||xzC>OhNfpv;_R2@v*IOtv@vox9*sdo4^=ics!ZW{f~=0=X%p7^WBRw30w z>4B-=DmX+zj0nOt88Qxfok@lozM5T8wK0QnwYc#s+CNt$H9scF4p|pLMMm2tfq<-skV_KXoSOXk=xpta5?^`SFiCj1 z4z0i1eOHG0XMQ&ekhA)mF|~c8HcSYDKZe#$B_=#Av>}NP))HjA=*F)5HnLB)^{D&g z8i{Cls#H>7y3L5un^Kj6qW{c2nEu^7DfGaV#f0rAs)p>M)YyVPj)zhSYA?9IT zI!ti{iUcqR^my#T&Gye42M4G(Vs+Z6W7Y^Y0r8?F=_tX6XwQ>2TTc!0TpF5nk(WqB zw`ZL~9UALw*MD&6YnXb8=S>iBo-t;OLj1V^CHws`ZSQ#rwMoR{k-A6Io&oOk1MNgB z;>=ao*_br>`v6tHNmoKnQe_X1CmC=YSA1z)C`l_UpZ8UOVATfX=e>8Y#t1fL9cBNM zZK|~z$Wue+(3X63cq*Zir1R5u=ZVq;DH6Dg&u%y%#Xjdrs)b)uKDXD1cKN{hASm+o zW!6|k(tlt60n1elUj9>MGfjE!sWBN$O`f+h(`bhz1YVZWSmrC0JZCTW?Pkpe~9bB+9DkcL|!P%raNW{hiomeP-$KcTTE%OWXiq*3hZ@?5<@sjl1-8g){)CIX^SZTV|@lnE4bnKkftnsn= z`>a16ru#mQ2#fygmj!lX+d40myf?-|3_Im|0NVm zN#5zNXtU!6i~CPh=#6&V+&CmU{mKtM9g2L$=Vr4a^9Rr<98Kt=*r~7}D(dVFBaQQ)0b;@(U z4$z-+!M*ln$rU4F%NxH~#r=_Uf6(wp*))H)WP%Z873(iPwy_y-OyJZbj?r<=r`=onft+Q#SHb+Fv~%o#*^U!7vAJc%bFREWY(Hw9launOjWW-m<)?m z^N)LPv;CXYD|nlK9GEbq_0(@DSa&X7?uTZW**>X%XLF4B`*ymdeJn7T36MixX^0i= zQsx8SCGKvgePEsijDI9c0=|ZARs2Gcmzj7TeQ0C6;QautSI0d4TN>Bm+i)6qy=I7Y zEDYp&m+TXK!{%X`eUkEczyy!^_o3*UzYoIWQ7FaE&OSQ9{I_mFP0uEkto*hO2|+lctb=b2DCGK`&^jHGS>-dV?Ef}b z6~)Qzhdv1c8-nT>25W)QhGy@05>8gxYrBL2vO3YtUdkAwo*NYh-;J2fI_b()x}71s zIn>fEnsW-cyDpJ)tmfyh3Gs5K>?^K_-iO)7dtyH42cf;blCR9$1v+3|Kp55s-+`<0mQ=qsD)wvBppyIOfn;l>wQ=vvL=i!5G?ubIe>z;YzS7p z#0FKNm$e!_f`AIIt4PrF9%y^{3akgR{S7=CmtMpHYk)594S<7qM$7bxn#K6U)SrFC>%)7u~i;1Vt z#)mTd3fQqp=^^JfK+>RsMa<<{v3QEl@`Br6;8f)hkZ_O)mLQ2)H4Lam9|fhIA%%Vh zA(FDWQ7JFO${}EJw9$dZ48aBrO`jn_t-1pYiC!qyqQ(i7RXS1(v1H|jh*5%cinQ?a zH|#Wz77$NQG7GA%T@o{(FQi;O*A6fSrFY){@fm&NkjZ}@H)$RAX>zB@{5C+cJ-e2( z&#|DTs>(dqqR}Uo`O`RFWLev8Z5OTb1!z>m;>@kbsk4<+o!;R(P+Hz&$*8 zCs`z}+UdNy#fVU}x>Q;-MD9Rv>Q@2pwN?*6s8#^eI>ksV%H`2fX~G+2-Zgu_3Z>}8 z0{U#$3q^Y7W;$`|Cpkof^vGIoJZv-ib7#@2tLo}0nbd6XuORN(8LQT1b#UUP{d|^$ z2n}E5?oTmZ;B+|>4~QT9XXgk)rV<~fI1 zK(sik;(GqslBH^wogs0M(8#UU^b+D^ob9cx-%*J#SIdQ@rM4WZtKjx})REW#s0FEh z|6~XIAy)`Ccy`%9jxV*qdTRn;vn23WR@w=c!EYpK5xaH9csP3F*Vk^PV6WCoN8~++ zF-q@gd2IJv)$H%=`%EH=+h75kZumLAIQ3GH5IW;KD~44WhD|Mqs&zV)(9TNc&&bssKrvy)?6II6Sk>dSlcJr`MucYuzkcEb~}b zsISG>ofKjBbW;EE!B6pg{RHYE_oH;QUV00^jsx{`AxSWoYQz%P`qh*!@F=r`m+A4s z7b!Kqz{;B)(Xal%uPb4oy2($N_ncZiUWY!(xDxoe!|x?^Jzc4qxK{=n|3;vDoQS1$ z>7cY4{PJn{+zztlSv0NjDAX-+%;HgdL-tg|(#MyAVhzXtd-_T(6F9Ed^X(;9l(c(R zkk!<6Yk1sx=7Q{}oU_8sfi|h`Z zT{>xBdB!6~g>5FpBO0#cXna&P^x9nGWEbfDeDL|X)KA580vY_zuCv|w1A}hyegK>L zmTq@{7f?BuxQliYJ9+{X?&#GqDG#Xn?k_t~7y3W1!qG1|3wV3VAFV>CO zH~_LZ2%8`P(cDTAfJlwHc2(iA|Hb`udgPWSrEOo&hoMLizk1{XW9NH$nHuXG8n9XF zzlC|_=cAf0GB+@AG94Ml{+2K4mM|$Sgxc!32(k*(0JgJ@!1xXaVFeY7M2#rza~=Aw zd%<>mvUQ3!#DjT+Jzl0N$d6ldW5yu$rBgsjl+-7!FZSrXA#+^R@=O zt%^7f1loW;KNHe8^EM|l9cBLq%GY@oitGc$9GOpF(Vl~|oLB~>1Fg0hn`(XmycwkA zfIh#WXc(nWk^&cZhx9Bl{B+7$d0+?fT0aDE#E$inr5TAVaGJ}S*=StO_qrEHk2h(w)jL?2sa7}Dh}y9!D+-oY@XujD#U=znyVSc+S2R;cgr)__IIhrinAZXz9S}EQYjY#gyHu&C+i2Q8T1Ilb=5mzvnKqoPVIy!be&n zOOS8*b6K-u=hmF-=tj(@uXW>!Ji=E+p3YRV21}*+b&R-pK$AFsNb3Cr7p>fUX#&Ai z?wO%v*M+wrK>pa@$FR`OhcESx6Tc$QU#OoSQ)RQpnfZ7#)N8rWIx?fqbw1mFH<|BR zjDn;X!S0lzY2snht>1}TL`Rk1H%WgHx=&UPb{YR2&~**T!odoh)SAamifJ;QoAsHD z+W{)|(+HISAMtzb2M6TOu}5Z~J4AX18q7Y>SPLihWi_nnM8hwHtw~M&BSy@g%@_ai zh2N7KoWbZuCiD-SrLk_Fpt>;XJ;Zi^22>`E>wVQ#>q+fCPLQw!up${a#AacP5u|eU zeVsm<%3JSz9R2eCB~_=w*;kPEM#Vz{zdPRZ!ALpov;Vw&dX7lyT>92dcNj87ESknQ z!_Ecrp?4NtcWc#AHbbt&2DSCN?Nzm&OM@l5_vOYGHPUmY1i{YUA<=K3-hVAaovR!E zIe(IOO||6nPFOCPL6X#)CdOIk%P}4uTKsJjvf);=Js@6bNZzo4c5RPrPCfxrxgB?P zmQ}7f?1rAxV2z3dfq;1qF$#3K>d4%;U-4Vx!pljLbixjC2S_Yg02)#$8l9k@F}QOn zz|?H-4pjkr89y-9URZ3={@>ERBs4lAjVki<@VABg)1;Y~o#@c#WnYI4djTB*#Y?3Z zE1%Yf_mloqqB|E54s)n&M8@OYm@tQT)qKKJk$?r~KV*1|RWr#z@MQMc%;3C^J5_di z@9Ot(_@k-n)kGReLc-)vbT+BYRP|bZy!W#cbp*c8Cnj zU7R<+e!LxlKGEPkJ;}w%zlR>z_a@VJcT}Q)yw#~+MD~}QJ|TbPGI-d`JZuBLkpHTu^K{Ne{$CfOGPKz?;({+J|C-VNZKcmG?K~)*JV!o@z|xKLy!Exl-O1~2endA5Y#|gxjYPW`$(?NNvc^}(YTtF zW1QJ&FvxEYG%-2xR=)~`dgUP5UX$dZbd&W*;WnwdFH_Kn9jg%7kkLE}k(iGsD5B)hzzMY*9~|J*OJ1A^?}1y^UN=WxQK{@smeOBO$5o+w|v zQ;wumLCpW-$FG5zSvY-*A@fZ|n1tcU@Tfl^|A%r*!|*b3VC3c_c+E^!tI-?2O1r)3 zaw-}U(Qn0rYBYVHjy?=sSGR(bA_|r)_AI><5&gV~>5&_*yHR+xTMvs@=G6x?)c+R2 z%UmCf8tU*)7o;|wf2N-Gt)E9Ho*p@bd96N?W_NQRuN#rT7~9?bcDTv@Q?yNQGFr(* zgY{iRL)7R(%k0YpsK-iIa*@&ianMiR$LkQxpEow~4g4i{fbr3&BwW9bp$i!ImFFk4 zABNpoz3e8^W`4r_WB|;umeiF-Llmdfe5jZ{yKN5?=2~g02SRtG+MMM-U zh7+e?B(Rb=DT=fVRu(5mkypSf;*==LD&eXF%rX(}z3ZU&8ps4q5JgKHtAo>}=;>n( zaE274Ls(-R(S%}ZhBe0>rdU{Ft#H;98(XX$&Yt4nh;_m_Q(RoJZnz^9_oG-3+%d{= zPwWYt7scBL>x(-{@$<(9-~uVwAZ#!$gc2Ht4aY@LBBQX;xKk8d4E8iGmU8ASHVzk0 zNl3&d;gTumQn0BwJcW>kO~++W{>#Lk$7K;I7qYQLTn;5S51Ws>NGT}9UcwboNX1w( zu7pxrhP{j{r(C&;t-xKQT&G|waW^P8Z((oa?ojUD!`{bLQL1aOwYUeAhmWw2adng@ z_1LGlXOxCU>~mZbrTGQ61=mV>*@kV$bx=CHuvFYD%Ij`y53ZNe*N+{*4N{0h!`Knr zDCNyt>=^DH<^2cjN8C7NViG%r`$U}b z{=_jT%vCH4w?5!k{;{#!I1XiJ7rTesryOvxybEfn;JfXTcjW`s z`J$d>$yMj+tzw>lyS<5r(i_RWR58nr>#udM%gddjf5HpI>+{L+FM5yL{yL4xiZ=<7 zvEqHgJI2aD-#0smPnJ3-a%J;}F(c0U`|*%Jf7w+MX(Z>?eUQgDRx1X|KV3`p7peKa zT5DDmOS+Xs{pg<#T4TNRrOaivg^DQ|$A;_a_5x{jHSOO&0Elr3sKnrUV1LZ-Zc*9Y z{``LM!*?+9dMZ@vCDuFXb{EfLX*@-D;+)L1M3St$mBg2nAAY}bKx%PD`uAiCL-_wf z!Rf|Y{j9!8-#d|BN)pr@zWjDuJeN?z-)HVUx4gcEJ~TA)k!Ao|AO?1J)osH-+@O$Y@eHJs{DMFSKqeFb-A`~kgTJe`r|1+*!5b~>G`JWBSFvj?p%ut zb$A{?luj*QvXYl|?)`GT2D)qYGI(KCpYML}sL?k;#`K`szn#qT45f%H5_Z>I!fyS5u7lAoWD|y7Z=)c={ zhu#BMEj*>I%K*X}KmahQ=0;*}RTEALhNf2CoqAI7zXs~wX!eaA&gQ7Xj? zv0Ds-MnR;_Kn^)_lJJwrYw-F!c z%1Q?Icr+e))1C)s3iDXfzS7ub;f-cN(VD5lmmkRv`n{fl!6>Ev}7iq`rk-m;<{myZ|kYEw58TeMxd%tvy=Q)?&I>DMg$jN3Z65hTroZ$>o6#*`> z@AVYcXIQJ^l?y`Da-yNsf|J>K>r{eQVqpMXQF%#MAD+6npP-;T^IX?%iM7N_D-?Qi zWR}iGqLXehZo%S>m)lJIMVFnEI(_kz(Z`pRf)#Kp<aig zdoVFI9}arhkR$OjRc4x-d=eRR7ICjUuh{o-77DZCODVi&Zi z>B+|5_q}w)B$arFzrf=B8vu@r6ZrbZAbxRm6N=#a>*`dz9QPI<2@&^*u{y+R(Y3d!nFYa3XE7J^(Ln zz2Qk1kC`PcIH;vMc5qpy)~bMI7D%gbzcq~k)d~|xZv71UAX`-TRZqp z-}hu^BBW=i7;3GDXUbWtgaoS|n}h({RlxA+k!)?&{BOyZ*55%jizt3b666%*;OH1& z!5gj4o^^r*jAJ?|23!J6eXqz_hSljbt^JX&R+2<>TNI}vZSbJZrN6F8rTFjmth(Rs zB2|mY){bAk?(qt}3~LJ43nc5pukJhUl88#b9|;n7zXAK&Sh}N1zA^(^g0dF09im=H zM#Al>kv+(O$Pxwo_h9P=|yp|z_>Z;XC+1Q$xHZ#BP zwmJ``ZmIut5cjaUP-8i$@01Wk93fuWnL9kAd64GM>D|4a(IE{ntX=k+X1jSr54Dts zb#|*&xvPB*a>$GOeeg6#pvcoX*`$*9vwQ)s`I>LTx&6c!zmVwbPlWIG>C^CTZ`c-u zB>MvSrs2ep?^I`qQG$(mUUV-vjiDksP=*0oeJ&|#l}q|P$MOz+rQ4}abivEQk5pLg z4BD7Q>uEj^IC7u$jb$7emuwhZIoMQwBlI0}!F|8^;Cahnv2(5vkqcoS+V^!({#vO^ zP+rd=%J^|)$!-W8e>7-JNJLlc1!h>Xg`EusisTrg83+MJk@xO@A{Bfi|5J~B{>|cH z5@3~$YNBP@^qxSjem#->_{Ta~A^*F=|ETJ-krCFHY3+S0IMKE=cFL4}bb%q(dw%R7Y9DCGA zVBA@9Gh$2UGQ#CE69YajCSoEqCX`X^ER7R(yt?q8KH!>fXgM(=dl-&@j7(QG{uF>| zwox{}!anzHz|bx&YlfxLQKhSKJnK`by4GH)I$Ud)RBdY~eE#VK{!CE;i>?pKO|&Ao zNRhb}vnMz+V2Fu+%Js-*nnRi51#dY?_O8(`S`^#nzHd&j*o`xU`|&dG`JMV{(ot@#7Y3o!n<3ENx1m9GinFf8fT1SQvxjB) z&uk@ZCFaOn`jZF1;P`3qO@SW?&<(e4r)0!3Ii!>*I&{xAeT89e5z8_YN! z(kqL5l~uw*ZZA>`^B0lzG8ef8h6$#|ZhLEdTyk~!i33IE{J~Y7N5@`F=xme2v_{p4 zIz(p6L3&xZLT`Q+%>puPc12O6R$3QwK?r1!@vm1Y)O>MHTL+_}pt z&Mam3hjm^SW0B+e{F*g%!D5rqyVvMN40&JgU^tbI<}-&e&1BTJ{sEakfV!|0J^d+N zspK@DU;7{NP?&(D$ZH6yp+{$tAS4PhgF$Z9BVIoHAazZ&d1S|}=!T$Jv+&4Th=X{1 z9zkUIseWRY?p!$N3G|LE?)GWMn-quQlfCe!f@z>hoak64A6qy-deZ?ASqmDqD8!fVmfg^p{K$p8O~>v1qI}Lu=(hubi6~J|Gsy$>w?St$!T{1^W;D z3;SW%V|pnVr3wToH$Et#Wr}A&?5cT@6{1GUM84P6fEVhv%usHVhGXW;sy0ZCm-9+8 zRlje;XAZsQb4hk9nd)rV+|q^ZLL2DW zkV>Q>zM1v0KxpjQUQWF5y8({(R#`HutM^K$g)gBNJ+XM^1H7D>9G7t>K_`hyI@Yj9 z^u40?CCFqNJ`UyUSlZrmugq|8dDr*nMhD|4JH@Vj&>?eSOix-DL zA;?}|pqUxr_|p_LH0KSey?U)SuokuR?`oG%Xp0FTW*&59nodS5cPA!Je1#Hgy-snK zS&v_(fs~5BXS9u&sSN0t!*vEHmSlItKQ_4gUef@Y+KzNs8useE01{+tN9*UkYn$j$ zPOSxj84p^Gr|a5J@aE_be0f5G448jkvpT`Dx}oz!oP?8ttXzX_dH9v&oOkvPU;pe$XxL4b(fEQQdC{Gw z=BnNhDFN-X4{DX@S*&#uC&JWIt~0mDn)Svo^s^+y3mgc{>j^9xi0>})0LzQVovsbO zmUCb`2>_?qNXJWDdV%J#VAjehS}#QRI$A+RQ>WehO?1FK`wkdUN#CyRhJ7t&x??>1 zV8U84rN;!y`ky}Gil)6|jTS9E%|8283<^oNA&mzWjS0cExHb=N`zc zy&)0jBE&+H(uCwFD5Q2y8>wY;NlG(I*-g!0zNlQmtP5BLIMFFLpLUh$r6xbw>l11M z4w@U+&^3aNtSh zc&M&SS?_~l5uqGeC_wnqR(0ZO5@sIE+VH!jo*z{k_63ar?kDg@!LNUFiy%I$mSNb8 z4tCG6B5MHW@|kuQ=53W1K1C&Aa++Rmsnb)j!|EIiQD@h1v}CGnj3%D1*FWyk%ci@R zf{4bGX4~dwK>4>5G)(DU@%@2;gtHjl1tw^pCKhd`IBuG0alm52)sZUW($=m2L9uSyedHdUF{?INzr#egI z&hMi{o+8cHyf$2xHKy;qy$D=c0{*XfPe=z%Y>u<0pm}4)(FGR|@uYPlt)zVfINnJt#3OhyKO#N-!Cl;FkLk>kqA}|O z8P-c55ix$v6O(b{M2o$KW+t}TeUJh+Wbzf%A0McrzBS1?{6p;`22=1+!Z@OYI9vXD z)9NLpsP>lU7C=VQh3D9!92USP{8bu^{I$Kw<9)rj$QF318>On{7+K#eE#u0K@HS*t zIRFcUN*Fx=^%}C#Zy3NQOp;OX0g!$~t}O+PSx3V+CbHz7D7!xaz3@7V&j%&{_8H&u zQn_9je6fW<=xsW$b98DJ)E!2QlKRlWfN;jm(dLiba`hl>MsJD|8aBgQS)GtdkT)nvyn5-;1mwXLM5F}H$u8%jey}!4^RT7%Eu~La zW%SuCvT>7tTZGd%e?{ef ze)}t6?@wT{%L*LU0F?V|dto~aGqrF}2}<;BVT~`P@_AKnckBkTOxVc5RC|M)rn}`) zNW|4U{_`^n38-X3=2?kUz5Lm}+|Lp)!fC*0ddH+D5~wgMpkJB?x15e^7Vm?#6!(P{ zk+S!PoRP?gPvo={#GAc%U3pF5J(6&of?o4hH%2jNZis~hRNW#+N4k54`tk;c-EZQ(5PtxJMlHlWkXS$uCC z;lBny-_iQaA?;k%N!EB_pZD~kIO$`^`Uymm_%OR%K)eS`lxF`eqv;2Nf_csQ^JA538sC})zCZK~`NTdFl^>FOK z%Z>m^kA0xR@16wtT93Kfp-B+=sv^CAAc}T5dHsmZ|Cqg#%|Rm(#u(u-JC^}A`+6rf z8LxO<_T5CyTmYl;3t}I)G+xHbJ2vfs(WJvXA9!q%y8zQLz%NQZK;HOl9A-3H*~%gn z6clum*Ri~<5GS+Ce^IU^oX0rLD_hLB2GHLg3{{?! z6N?E=R)2W?ykEo_oKStIU!m*U{?e9Z3|xtrnC^Oj6OdZ|9;)DS>iq%>APF#|PR@2} z>F}bOP+GgHT)hB6=^X2hxR=7PU_)wDuEg>D_2R5w&Y7h|Fem>f*J4p^#a|~6E!`d_ z;yQlP47%kqzU8TxlJ?q26R2gfddR`J7InS?Le{@7j|YXk3nPj- z*i;#I9}@#+$7t~)o}&T=;ctQsoyB4`o~qQu)Zc)Op_gJiN`h-&K(cP%Y`4%#s~Sw?(5+l8hx|0F^Bn5)vKwJBi`S0nfy{N5G&45y`;j9RXDk(oi;Z1l zhb?X1?R*?-v^pww`dG8j$Cj5`ZT4bb=QXufs%0F-PMb6jYE_WiPKx=Tm(*HGmkAU* zeWV$oRT0_dDR$~SL~G@^OtRQ%eDl6mg?U?wSjKsd){2UJT3P>&=+cUyjG1Osh*Gu2 zPD8YqwXW^2_k!j|w16Kg_^h0e2LADq*kKFbyu+Op0ai-D?27-aY=Ki1 z9aaj!D-|>=Qz99D#@pj2vmxqt!P?pNejV}SwXvqIjMA2ZJum*G6{WsFZO1ISuA}ae zU(6HFo&(!ry>u&{4gA6O}KIeSp=9F@dV*&+zx)o+FUnrKkmCRrFOMIE#2OPws z`7Ck+u8m{2l38`MH?wv>f8^x6fu4aP4&~`TaL{`&i!bG!SeFo~EJpVN=A0R30ExeV zM|Pl%Vj_AHiax$-G7dI$psMEXSX`U;E1WFfihAq+jLX=4U5*XbJ=Y#Vrk~G=;Wjqz zKaF#4kkj1#T_7Ex(9$^P%6-l+6tMXGpBtrK;ybh_OJ~K!*0u|<2uP0t@Ry(SqUr!r z&_t&sLi{Pk;>3cQ;-oSRPc2n3CMiWR{UGHG5q~D}bdOSUaHx%1l7iy7dw%`9M=UQ)|ZRlolZ6jDS(D?=hp?6G}>o z5dhK1gJ3noL#IM-o5-rfi)t7gi%yE?_Y~G&i;j&$MJno~oytaoQ#ts^6nwV5Xa5mm zyF_$kKOW~Jug{+&GE`gT1=SWZ2(B#;bd)Ss&njI=_QwAU&2lyh&{Q+c-Oq4<{afk) zk3TXv%18$I3;+8Cz!>QImQ2u#A;KH}ql}G?#|GQKAG4;EEKwM`w6D}%O+kp+*yCaW z{-b5JnbDAaW_V`&Gxg_;meI%Mo;QeqfsE(}(!@xG+Q6e4h?!S}L|cNh?@}3htn0A> z);xb;|MWD<>{rdnH%lKox;}wP&;7bynYGRfq1#R_9tP~|lP^uvLW5I(@$&cN34c03 zf?HjK;9rDCU-T&Z0Y%RCo|=n_kpG3X^puUz-DAIhl!b#YD}{KMu&>B>!1kr53~J2q z4DRFgNQsXKiM<-14pD&TOU82dvkG-PHR6{i)oOmB&_6t;WFzv?y?bmNA-$a980 z@PEO%VE%`5>`mvl_d{(-+pvb+lJU~C>uA~kz3YND$A^I9q-u%Gy?jCmzTo zmCVZB=o3?oEH02q*8q-}ot)z?|F3!%o#^`GNh((Kq~3#7NXN})h<3K*>J)?ZmCPRw z-|QnpVC8jY-xhYJ7QTFl5=ap!30EHb__nr+`Cf4lZSrQSMXx!vKjF^I%=_v1@jgvn zsG{pu@r0Tmq+$6}kw&Nw1W@>?ib&@@_yh=?X!!u#bX?`%8D^|~o`|*ZsCuKH03aB^ zb3TP}!$oR0B&UWy-tW<$DdI2G6gyoSN_y~HzId%X-)bw z!T-xbu362T+F!aI!yhXSZ&Cu*i0S?6@V8R93q7UKZrTiv1NSuoTk+H)qRT+}J$(T6sxP5(^+FLY^4%9J> zIHIm|gxMe~=UYwfg056Iyn0-iHpBW+-JN^x2Y4>J*l}5??IDqTZ3!G!+LkIRg!}QG z!*&IF_zjT34GF^nZGw(fNXq%{HQx8zs~c>`;zIr-2W6|gVp~gd1`|`Cs#^w*x1VhIN15D72ziyEptq;t6nxT8?ZbI4`B$%<#4*=ww&K94DyxG@g z-ZZD~K}{R<%bB^0KSa+~`74I5B*CStA4!(ZF~#DZ6Qk0Xz_tmV8_~t;UOQf+ag7hV z*#GMW2j?p$2x)N^)WPP1WK!@U`wO{GYZ2G5%8d~3ULGEegmSI}Q?i{ku`veOVl9gnB@0YcGoxT=%+U;Qu6MO%AU z-GN;sjxHZty?BV73i~*>B1;j8{<(Hdy}M z5U|&tQY-8&nPn~&uhFX4+k*TAoW6<{yHQVeZ@JXzPnk5C=rYw_=zqM=J+ZnH%3 zy75D;4$sA_>@g3QX9|F=7cjrIzMSP4eC+#omm>J;i4=1Voosx$d0HWrBza)3J9P$2^Q<6yzy+q~-9^aY&8g3~5F}~}vB6?T*&*B|u82QeTS8;c) zW6IVr!TptI+C}Vb5-PI%2HG|!1HfumD-E99R+O)}?P$fe|xOL?6Gf&}QDR_a~<}8qTL1=en_0cE$n~(VN9dCk1-L5M?%ZgmY6V+OK zZk|5ppMaC-AKqc0`u{U0>!@T_Z}J#7h4EedTdNqCjcJIby&{dXrTAv7x8UZ9N?E~f zg!--m#IR=_7l$bMty_NA8M&$J5Y7r|{E?egm7aO4!y9;54sa9TKJFai^$N z`I3myt_weS!XD!f+-bpoAGp;#P$(c11;WS)KSy4`SK1ZIgpgGq`nx`kw#um`*8T%d z%vZd92-NXH9@}Q5?R&RMc+~E5zTK7h!=qN`hRyf1phyF z1I7nGM!|vp3yQjPFDD)72fZTn|9?)cTRhn7oLY#5D}V>(8f{En5TY~&9)ZW7PH zfs!Cifx(bx7$+zy7y2)3op;ypJexWp#_)qnggH#nLVzsXNd3@HgsKGj|AbeFT zl2L1w96<;FUY0$pXgUn8)dh?3EqxBSyIohMU@w>hK5Do+0aXpRU?6Oz8Gj(O+mW1W z3;@a=4M%R@j@bZ{|3hVNssLZN`6Yh;yTuy^j8S)WyDwZ&FpCAjz+{^rrPp*L9ahrd z8CF|l#u4WFR}4pjhJryI3lhiq52 zR_HQq=*z6b=n(|GMg@4o7S1DRnB`Go# z5}X(oTd>Pu9wv$bo}ayx1i!kah==Ru)OMv6eW~<(%nH(OySx?ct&wVz1h+3+)fje2i1 zbIZGE6*xLkFUQCC^MBoi(pas50*dJSF0tW*!vi;-Kc<{$5*}jq1fg&Tlty1IMxx-l zwadvdszWyalfo}?gTsvh)vgsRRHCzutZlc;)-;x80w4LalYBPXlLZA^`F^6{S8-1P z$+wCHX$Ypz!UF#uqFX}@`7KS{1F!@624VY&>iG`r4jE+mjejR#`3|1|Li-_;kdgxs zi~prTLv6kK*2Hc32l0@S58IL?ayUb|u#z^m`5mFxPs29c1vJ>6A4mFjZ(+pSJJ@o! zVg&AUf?efRLlX^u2IcVQ(a}K2BZEc0VUomt>ViGjqUkhb7E$dNDP_3OKTA{wbwW@I zN|y(GT{Xk6>3>zz2S5!wQFN1MWB>OD>CFCgX;suF0qASs@O8?BC3=-Se?lC8t z{!4`h2C}+@zubba0Z5?_4}uL|lKc@rkSq_ukRTmk;aUm+5zvd0feP&?{1=fU z!S#{KIgYAoE5H43Z8^{xgwx==we4lw>j0Xu%)cPA)8hz)(_CMax8&yN8kbpH!Y zN7C!p$kYfM2EYY(EPNVub*uQlu@ZyA0Tpd>JF$0vxbyQnm#uQ{S0X5dYiFQWMI8yO zg!&g1H4O*LZTYtx|Cn7zmFt0lZ#4nf%e{(|!+)YsCfdfy2z_GZSrR!IP>gmLJC72D z#`=l7R!{AG-W9}}2>Zo-A}b4$4;%mkqC)4zV?RT9d6~F}bK7$<4t?sLkVCgL`(P`y ztvO(t`w+$%&`s1%^D`<-E+%aEi5WH85XzxgTMfp3%~kgn?5Z`TT!kXxi_oYLs7Vyx zYJW9QPs95mxAR;aMG|d`kJ20z0|qo5c;8fu)=35o-i;~VM(DqfcRc}8P{BA-V*Mp2 zfDRgP5(t(K@q(WF>Y{P6*7kQE?au%VR;#-N^a4e5GF`AS(jZ6dI|4abGK((Z2Rm&6 z1^{%7`Y2BwS`fGYjSPaUyg^dqJhP3E34dBJ@FMCpz=$xsd<|WbYacQMaIO(seKmdX z74RTkcE~hH6J4-dX%He?EO~ZCjW&0}HG{+McC+t;2Lt>EPs0BgODk}tj+I}?pE-$+ z0CUM)WN(h)n`~Hd?q7~M217dhm_v}l5CAC?_9yl@sC+e_i~(X(5+?0FDTItBhb7Da zVl5LUZXaumDR9CjAtOFnjF2R*JMil4%`cFhAvw}9^b;qO*-R%st_Nly6&}_bP#hZ< zVFNQT)G$Y5@jZc!YN&%Xqq_t610**%Hw^Rx6#vhd{pni%N!@zihE5j-iSsRI*J+bJ zO&T#fi%39#YWnw4%Uz241e5F7Kt1LIyh6nuv{kmliu2)!2fYsh0A-EIQ6j6R1&58> zlZj0m7O{$Ci5RJm7z16p#RiIrEVqUj?1jsdx=ky8FZNJ(lZp9Ig#n2VZpOC+M;Pa+ zJ4|qL&1Ebr>$EI_o)*aeT;=%`yesJH_Fp9!9ehWz6Di&(ii0pwTcDHq zP9A@Y(*JHnM?2kCa&X05e%tH;<9;QXcVt6X%j^t%kJPLImd?zT!*6Fr*;32mg#N( zQNf>YX6lmYWbOf5oObtXu>*^0BGAd8DkXnKb`4hY*gE2Bg78p7xKh*RvA^o{0oJ>j zgXj)>+!=H}Abi7?jPcQK@HoL>f-1CN4z%O@pbxh_2;@;c!OC=t2sec8a-bl{C)U6R zUrB^^gz}ASmyto3UUQXq!(+|mp0Y4%3j>2FP>*%*VdW&}U5_nOoqDFSXieZ1L zI|Buns;uJ>7CSuKfl>g2JavHi5=KNPZUAHef{Zm^F{y%q&ejMOrbZk8^i{Yp5sJR> z_W#Wg054J9U5OF6fr*}tyJ+Zw`n#DL36?Mmy$gm^W^(Gh2dYJyY^{X||2^=D2M7;f zgu7_Cqgxt*D>}uMDh>;4K?{EgYHSDsq6NNg0_a}Z3j<$p=-E^MX*i*Ug!Nev z44t07ljq7Kj%}EpWAO1-&?Pb#S%_atGXQMCci00GAvvG5>!uGc+|e zH#j-qVPazlR=HRGX=-b1ZM`9IadM(a-+gy@d3p)2eQX2(gaEJ)e4q~w3@Z2ALk9=u z4`C!b3;+NE9YhG70|A5|EElc<$`gA600I#q>;nJ;Bc}uaU;q$K0T3Eb6yO8>MFRi= zlrB7m0u(l_00}pj3kKT+1pp}mN1cBMBnAip|4+A27Ee%MRN)}tq__b%5PsPp2RHl$ bcTo94IldH)letlz0UncBQak}xla*5N3d@-$ diff --git a/test.map b/test.map index 962774c7..a0b6d6a6 100755 --- a/test.map +++ b/test.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: test.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0814:0000 0000e820 +DGROUP 0815:0000 0000e820 @@ -25,25 +25,25 @@ Segment Class Group Address Size ======= ===== ===== ======= ==== test_TEXT CODE AUTO 0000:0000 000001d8 -_TEXT CODE AUTO 0000:01e0 00005a3d -modex16_TEXT CODE AUTO 0000:5c20 00002406 -16text_TEXT CODE AUTO 0000:8030 0000010d -FAR_DATA FAR_DATA AUTO 0813:000d 00000000 -_NULL BEGDATA DGROUP 0814:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0816:0000 00000002 -CONST DATA DGROUP 0816:0002 00000076 -CONST2 DATA DGROUP 081d:0008 00000000 -_DATA DATA DGROUP 081e:0000 00000234 -XIB DATA DGROUP 0841:0004 00000000 -XI DATA DGROUP 0841:0004 00000018 -XIE DATA DGROUP 0842:000c 00000000 -YIB DATA DGROUP 0842:000c 00000000 -YI DATA DGROUP 0842:000c 0000000c -YIE DATA DGROUP 0843:0008 00000000 -STRINGS DATA DGROUP 0843:0008 00000000 -DATA DATA DGROUP 0843:0008 00000000 -_BSS BSS DGROUP 0844:0000 00000a5b -STACK STACK DGROUP 08ea:0000 0000dac0 +_TEXT CODE AUTO 0000:01e0 00005a4b +modex16_TEXT CODE AUTO 0000:5c30 00002406 +16text_TEXT CODE AUTO 0000:8040 0000010d +FAR_DATA FAR_DATA AUTO 0814:000d 00000000 +_NULL BEGDATA DGROUP 0815:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0817:0000 00000002 +CONST DATA DGROUP 0817:0002 00000076 +CONST2 DATA DGROUP 081e:0008 00000000 +_DATA DATA DGROUP 081f:0000 00000234 +XIB DATA DGROUP 0842:0004 00000000 +XI DATA DGROUP 0842:0004 00000018 +XIE DATA DGROUP 0843:000c 00000000 +YIB DATA DGROUP 0843:000c 00000000 +YI DATA DGROUP 0843:000c 0000000c +YIE DATA DGROUP 0844:0008 00000000 +STRINGS DATA DGROUP 0844:0008 00000000 +DATA DATA DGROUP 0844:0008 00000000 +_BSS BSS DGROUP 0845:0000 00000a5b +STACK STACK DGROUP 08eb:0000 0000dac0 +----------------+ @@ -58,59 +58,59 @@ Address Symbol Module: test.o(/dos/z/16/src/test.c) 0000:004e main_ -0814:0300+ _gvar +0815:0300+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:01e5 __STK 0000:0205* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:5e14 VGAmodeX_ -0000:5eea+ modex__320x240_256__Enter_ -0000:5f4a+ modex__256x192_256__Enter_ -0000:5faa* modexLeave_ -0000:5fc2+ modexsetBaseXMode_ -0000:6000 modexDefaultPage_ -0000:6066 modexNextPage_ -0000:6136* modexNextPageFlexibleSize_ -0000:620a modexShowPage_ -0000:6306* modexPanPage_ -0000:6356* modexSelectPlane_ -0000:637a modexClearRegion_ -0000:6492* oldDrawBmp_ -0000:65f8* CDrawBmp_ -0000:675c* modexDrawBmp_ -0000:67c2+ modexDrawBmpRegion_ -0000:692c* modex_sparky4_DrawBmpRegion_ -0000:6a96* modexDrawPlanarBuf_ -0000:6ab4* modexDrawSprite_ -0000:6b1a+ modexDrawSpriteRegion_ -0000:6c92* modexCopyPageRegion_ -0000:6dfc modexFadeOn_ -0000:6e2c modexFadeOff_ -0000:6e5a* modexFlashOn_ -0000:6e88* modexFlashOff_ -0000:6f58 modexPalSave_ -0000:6fae modexNewPal_ -0000:6ffe modexLoadPalFile_ -0000:70e0* modexSavePalFile_ -0000:7158 modexPalBlack_ -0000:7182* modexPalWhite_ -0000:71ac+ modexPalUpdate_ -0000:771c+ modexPalUpdate1_ -0000:7796* modexPalUpdate0_ -0000:77e2+ chkcolor_ -0000:7afa+ modexputPixel_ -0000:7b88* modexgetPixel_ -0000:7c0e* modexhlin_ -0000:7c7a* modexprint_ -0000:7e14* modexprintbig_ -0000:7f90* cls_ -0000:7ffe+ modexWaitBorder_ -0814:00b4+ _VGA +0000:5e24 VGAmodeX_ +0000:5efa+ modex__320x240_256__Enter_ +0000:5f5a+ modex__256x192_256__Enter_ +0000:5fba* modexLeave_ +0000:5fd2+ modexsetBaseXMode_ +0000:6010 modexDefaultPage_ +0000:6076 modexNextPage_ +0000:6146* modexNextPageFlexibleSize_ +0000:621a modexShowPage_ +0000:6316* modexPanPage_ +0000:6366* modexSelectPlane_ +0000:638a modexClearRegion_ +0000:64a2* oldDrawBmp_ +0000:6608* CDrawBmp_ +0000:676c* modexDrawBmp_ +0000:67d2+ modexDrawBmpRegion_ +0000:693c* modex_sparky4_DrawBmpRegion_ +0000:6aa6* modexDrawPlanarBuf_ +0000:6ac4* modexDrawSprite_ +0000:6b2a+ modexDrawSpriteRegion_ +0000:6ca2* modexCopyPageRegion_ +0000:6e0c modexFadeOn_ +0000:6e3c modexFadeOff_ +0000:6e6a* modexFlashOn_ +0000:6e98* modexFlashOff_ +0000:6f68 modexPalSave_ +0000:6fbe modexNewPal_ +0000:700e modexLoadPalFile_ +0000:70f0* modexSavePalFile_ +0000:7168 modexPalBlack_ +0000:7192* modexPalWhite_ +0000:71bc+ modexPalUpdate_ +0000:772c+ modexPalUpdate1_ +0000:77a6* modexPalUpdate0_ +0000:77f2+ chkcolor_ +0000:7b0a+ modexputPixel_ +0000:7b98* modexgetPixel_ +0000:7c1e* modexhlin_ +0000:7c8a* modexprint_ +0000:7e24* modexprintbig_ +0000:7fa0* cls_ +0000:800e+ modexWaitBorder_ +0815:00b4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0814:0000* __nullarea -0814:00c8* __ovlflag -0814:00c9* __intno -0814:00ca* __ovlvec +0815:0000* __nullarea +0815:00c8* __ovlflag +0815:00c9* __intno +0815:00ca* __ovlvec 0000:0222 _cstart_ 0000:02f5* _Not_Enough_Memory_ 0000:0427 __exit_ @@ -123,23 +123,23 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:04ae* __exit_with_msg_ 0000:04b3 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0814:00ce __curbrk -0814:00d6 __STACKLOW -0814:00d8 __STACKTOP -0814:00da __cbyte -0814:00dc __child -0814:00de __no87 -0814:00eb ___FPE_handler -0814:00d0 __psp -0814:00df __get_ovl_stack -0814:00e3 __restore_ovl_stack -0814:00e7 __close_ovl_file -0814:00ef __LpCmdLine -0814:00f3 __LpPgmName -0814:00d2 __osmajor -0814:00d3 __osminor -0814:00d4 __osmode -0814:00d5 __HShift +0815:00ce __curbrk +0815:00d6 __STACKLOW +0815:00d8 __STACKTOP +0815:00da __cbyte +0815:00dc __child +0815:00de __no87 +0815:00eb ___FPE_handler +0815:00d0 __psp +0815:00df __get_ovl_stack +0815:00e3 __restore_ovl_stack +0815:00e7 __close_ovl_file +0815:00ef __LpCmdLine +0815:00f3 __LpPgmName +0815:00d2 __osmajor +0815:00d3 __osminor +0815:00d4 __osmode +0815:00d5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:04d2+ int86x_ 0000:0655 int86_ @@ -149,9 +149,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:06a0 _fmalloc_ 0000:06a0 malloc_ -0814:00f8+ ___fheap -0814:00fa ___fheapRover -0814:00fc ___LargestSizeB4Rover +0815:00f8+ ___fheap +0815:00fa ___fheapRover +0815:00fc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:0815 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) @@ -159,8 +159,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:0844+ __null_int23_exit_ 0000:0845 exit_ 0000:0866+ _exit_ -0814:00fe+ ___int23_exit -0814:0102+ ___FPE_handler_exit +0815:00fe+ ___int23_exit +0815:0102+ ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:0882+ __open_flags_ 0000:0b43+ _fsopen_ @@ -173,7 +173,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:10ed __doclose_ 0000:1237 __shutdown_stream_ 0000:1251 fclose_ -0814:0c28+ ___RmTmpFileFn +0815:0c28+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:12bc _ffree_ 0000:12bc free_ @@ -186,8 +186,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:1708 __I4M 0000:1708 __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:80a6* textInit_ -0814:0c2c _romFonts +0000:80b6* textInit_ +0815:0c2c _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) 0000:1720 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) @@ -196,12 +196,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:17e1 __FiniRtns 0000:17e1* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0814:010a ___uselfn +0815:010a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:1846 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:1847 __EnterWVIDEO_ -0814:010c+ ___WD_Present +0815:010c+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:186b intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -217,27 +217,27 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:1d7c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:1d7f _nmalloc_ -0814:010e ___nheapbeg -0814:0110 ___MiniHeapRover -0814:0112 ___LargestSizeB4MiniHeapRover +0815:010e ___nheapbeg +0815:0110 ___MiniHeapRover +0815:0112 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:1e59* _heapgrow_ 0000:1e59* _fheapgrow_ 0000:1e5a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0814:0114 ___iob -0814:0c44 ___ClosedStreams -0814:0c48 ___OpenStreams +0815:0114 ___iob +0815:0c44 ___OpenStreams +0815:0c48 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:1eec __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:203f* __set_commode_ -0814:027c __commode +0815:027c __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:204b* __get_errno_ptr_ -0814:0c4c _errno +0815:0c4c _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0814:027e __fmode +0815:027e __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:2052 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -271,26 +271,26 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:2bf1 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:2e3a _nfree_ -0814:0c4e+ ___MiniHeapFreeRover +0815:0c4e+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:2f35 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:2f95 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0814:0c50 ____Argv -0814:0c54 ____Argc +0815:0c50 ____Argv +0815:0c54 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0814:0280 __amblksiz +0815:0280 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0814:02d4 __Start_XI -0814:02ec __End_XI -0814:02ec __Start_YI -0814:02f8 __End_YI +0815:02d4 __Start_XI +0815:02ec __End_XI +0815:02ec __Start_YI +0815:02f8 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:316a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:34e3* _heapenable_ -0814:0282 ___heap_enabled +0815:0282 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:34f4 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -304,94 +304,94 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:394c __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:4aae _dos_open_ +0000:4abc _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:4ad4 __GetIOMode_ -0000:4b09 __SetIOMode_nogrow_ -0814:0284 ___NFiles -0814:0286 ___init_mode -0814:02ae ___io_mode +0000:4ae2 __GetIOMode_ +0000:4b17 __SetIOMode_nogrow_ +0815:0284 ___NFiles +0815:0286 ___init_mode +0815:02ae ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:4b3e isatty_ +0000:4b4c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:4b5a* __get_doserrno_ptr_ -0814:0c56 __doserrno +0000:4b68* __get_doserrno_ptr_ +0815:0c56 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0814:02b2 ___umaskval +0815:02b2 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:4b61 _dos_creat_ -0000:4b85* _dos_creatnew_ +0000:4b6f _dos_creat_ +0000:4b93* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:4ba6+ __grow_iomode_ -0000:4c8d+ __shrink_iomode_ -0000:4cce __SetIOMode_ +0000:4bb4+ __grow_iomode_ +0000:4c9b+ __shrink_iomode_ +0000:4cdc __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:4d75* __dosretax -0000:4d7a* __dosret0 -0000:4d81 __set_errno_dos_ -0000:4de9* __set_errno_dos_reterr_ +0000:4d83* __dosretax +0000:4d88* __dosret0 +0000:4d8f __set_errno_dos_ +0000:4df7* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:4df4 __PTS +0000:4e02 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:4e25 lseek_ +0000:4e33 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:4e56 tell_ +0000:4e64 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:4e67 fsync_ +0000:4e75 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:4e6c* sbrk_ -0000:4e7c __brk_ +0000:4e7a* sbrk_ +0000:4e8a __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:4ee4 wctomb_ +0000:4ef2 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:4f2f+ utoa_ -0000:4fd0 itoa_ +0000:4f3d+ utoa_ +0000:4fde itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:501a strupr_ +0000:5028 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0814:02c8 ___EFG_printf -0814:02cc* ___EFG_scanf +0815:02c8 ___EFG_printf +0815:02cc* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0814:02d0 ___IsDBCS +0815:02d0 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:5062* _ismbblead_ -0814:0c5a ___MBCSIsTable +0000:5070* _ismbblead_ +0815:0c5a ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:507e ulltoa_ -0000:51bb* lltoa_ +0000:508c ulltoa_ +0000:51c9* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:522c ultoa_ -0000:52ea* ltoa_ +0000:523a ultoa_ +0000:52f8* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:5338 __doserror_ -0000:5346* __doserror1_ +0000:5346 __doserror_ +0000:5354* __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:5352* _frealloc_ -0000:5352 realloc_ +0000:5360* _frealloc_ +0000:5360 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:542e __ChkTTYIOMode_ -0000:549c* __IOMode_ +0000:543c __ChkTTYIOMode_ +0000:54aa* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:54ac* _dos_close_ -0000:54ba _dos_commit_ +0000:54ba* _dos_close_ +0000:54c8 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0814:0072 ___Alphabet +0815:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:5507 __mbinit_ -0814:02d2+ ___MBCodePage +0000:5515 __mbinit_ +0815:02d2+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:55e2* _msize_ -0000:55fd _fmsize_ +0000:55f0* _msize_ +0000:560b _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:560e __HeapManager_expand_ -0000:5b17 _nexpand_ +0000:561c __HeapManager_expand_ +0000:5b25 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:5b6a _fexpand_ -0000:5b6a* _expand_ +0000:5b78 _fexpand_ +0000:5b78* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:5b9f _bfree_ +0000:5bad _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:5bc4 _bexpand_ +0000:5bd2 _bexpand_ +--------------------+ @@ -407,6 +407,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00016960 (92512.) +Memory size: 00016970 (92528.) Entry point address: 0000:0222 -Link time: 00:00.51 +Link time: 00:00.00 diff --git a/test2.exe b/test2.exe index c5507a1b6f3d2e4760b953d0fc0b5fdcd20bdd5a..7f938fd1136defa7a3794e9b5c674b5fcba40ac2 100755 GIT binary patch delta 16938 zcmW)m_dgYm_s8$P*L87mx%S?!Rc6Sh$j;7+Bs=bKd6ta~)(oMNm z*PiKKS3*M8{eB+b^TT%5+?p*kR~4k&K#?F>){00AIC6EB1hcy2;~J%#)HvP1=bmTJMn8Jpqsh}Q19)8~7uVib{{Fa0ATw8XRcN^xeHPn11{ z+T_^g;3d|)xT25~*+qv3_yfItcH>OwsKVLWZV!5LKfsItA(_IS(F;qV1IhBHjk6fOtw5GGp zqG#I6?iN-ESO6att_xfx&ZR0VWel*1FajoHDjxl}oJ2rHw~@$QAm>vs6)lNf#_&Ob zruO$(XH@4-#{mQJLUqk)!=+FqER*sq!}=KHsPZim$BsDi6y$+JQx}ZkCKL}|%+%dzwRFkm- zb;Sz4e}TsuW(*?MjASz^SU)$g%bZrmYkX-o*d}YV2YYNz)&w1XXE&TL;a*j?l>5E0 z6~kMV;qErXZDHj>dGTiMiY2f6=ku>L&ULzkRh;T3_ttM=pPgHM411haxTc6ujFubN z7(jmVXb{*^Fhv$gH$IV&O9dA0SRYEC&_Nx}XIyOA?<2?fam>Ge^89mCsEfNCva{;C zt;Yu`-yceXcBH*B7w+5XC{K873l0M4RC`_Ok8{ zjdT-TWV_d+0fLl2_upxDt&>)c>+F=eA_W&Cm_rhMl0Nlvrr8s+U(LFM#AE!nKXHaU zHJBXs1Nf{R4@xA=kQaD1f`-5w+HS~qqqs898|Qc2$p5f*uVJ% zvHQF6UzwyZMi@o&cks3egNbLa_r|Xe%@8Ttbk$pWMo00-27U2h-G{v?fZlHn0QpySo03jyvN3G8QPf=s=FzV z`={WvDKt=T=u~SI|WR!W4^Ah9h0j6 zVQv7KP2iZ{mZGkvVBdk*42PJM>AEta)Rf@ujR}jI$Q>Gwvq*cWZ;MqPiXTpvnnwZUsgB8U zZGaPm4c^O7Ix2`LZ%snI+Ft$Hz!@19uvp8j=ly2DBl|M%DF+SmwiGu6<;WLiK#O-4 zT}hwpL$|D9?8$A)pV(IQ@-4ElUb?S-sE@)X2I z4QStn2;5GH-qr>WOvMqa++Zl)q}d;0u<(aa61?k%R6J&lv+cPlMB%0?Z_jAkBnT_5 zwpPZpQIA&XIn-PAC4Wc%WrAAOy6_PMi2qB$*yocP7j6CkNh5wOgeWlfE5f+Igjwr*71k5JF&;}6) zgk0d8Kq7}r>BLVN?}xjXB{kg`jqkI}$g6;p>!5^ZD+KNbgD0+AH>}f5791mcF7rNk&j)2{^1Qx~O!uknt*3yXx z*!1JEDHfAce_-v44Ihqx_I;PV!4zk=*tJv!8Di#$tiHciDk8@hMBG5)|9yPP6k*HJ zM=?@&H_}hf#zFsc(dgUT6OmiHU2V1Izcle;#J;b9(wP{)Ms6%ZsJGa$(@Fh;k3o92 z?d&r4mPzRYkiwKfKUzUlxTX;z&NK4scLrPeS33aBB`!F!$N=`sC(NPadGqnk2j?gC zg{O!!j!CsuQa2hm5=MTGQ7+Ua8PT2mHehJiXQzo>VG8(vP0J}8E8}7HhD~(};QySv z(ph|e>G-oJznzTUYrd=}EroZWHSCE_j$k^nAGqM2Kmgs(KA9Q$yD7;?zlc14ZQ(oH z(jXC{yeIHlZQD%!nxUmJqzd8-gHMWG_!&-o)Uj<+**;u=5J7F1JCRD@TtYqlmXnsu z3hil8-j}4~fmdXt6Z%1%zYz^!Jnuw{5-YdB{8iElG$`FZ`LgfU`tOW3X-qZd3{#@7 zOL}TBZHMELjGO-&n`m(CW6+Cr3Y#18-q3j$7y*I&g9*MvaI~W!+&~@lE(f2`91#N% z3gvMhhEYYJD%*+`2raGOVBfI5)8#6> zJH$$xEH%;p?1XF5klS!4I4q8=7Ikxz{#rydHmTIl{7R5MEX{{~5v(2}+DVGgTU1fX z89Ph#)Ptk885Ui6NDE~zAQ)+D$~it#HUF)i2UBH#z0rLWI8>q*MXNv76-rRQ$g1XC z(`Yicc#z|0Y&;|c9BwC<1k@nWqr#~7vi7`E#`D5MFVaN>3xEM-J)Z^Z?fE9d&mO;! zjM)HOCeCFq1sI<5C!ZraHAVj_h~(@BfNsmkmHWuH=YHeuAqD@JPcF6d9#y=Qb6mI> zCsL5kY~YokiD{NS2tOS}{Fd&v)aPa>hAXjrz-jp`Iq+KnCbLI*&I(~jM~lZ=LJzBV)A@2!|Ci+myr8Kex8y~3za4hm$8B$$^^m0;+niU8 zx%|WLXf2k}dW{I=j_o_^Fr66p>CDz5tFyb4YrLD%Bzc3O#ty!#!ZVrm6PwxL8amxK zOy4+~iPLRBX-A(HHBUf3tFk@VGU!V17DDSR;*H5DlJJD-iM0d>HdCq7*0F_9Erp7{ zW1Rlr(Hj(c#D}oXGEgeaXBxcuCCpbvc7OYz&!eAZGbP|AWAu&mpo04;HF*VE7K|ZA!twwr2hp z9g*YhF5-E+yqX&6NG?0}7xetZ-)D$FDdJyRxn)eJ!`R{fI9z!(grEwB^>A`l#&pZd z{iu$_{RL{lt!=vXK0ePYFNZ#(&~r`UPc}T?`gx}uy7A|GLAmolAf_-}z8F|u2v@NB zpT^nb-v85YCEQ*n-;t<-KgWO5$4T=jHqOW(AzG}PZrrV16mj@h{BdrK6_;%uFws(f za`c+{_&hCST~hc{f%0T(RNt1`)JvetKG_!`c&exPK|0*!ZC{VhVz+_;u*yd$%-QEb za^pn`&XDJOf8q;)YFRYD@@o^GH5rlIL!&8Fp;sfG&BoH3x`Fpnn&J|vOFQi&dsA02 zu1^aQ!=HN_>lzhLVXnFBX#~yeABL~9Jd`S3^nOXZyRyRw>Xw90??wtvh zzg}G?8vyZfc_zLFz6Py%oI&LC-N<(LxFM65*U37LfiLyqoEfB-w(3Og3VklBxd-9^wC0xVk7Qk3*?}_m@O+aB{#VhkHLQ3K|HG8EiR*tbC*3nfPdi{c6En|QNP|m-$~{Up z4%7SMf3@-gqVM6CfSnC2+$Zoy(QajKv6p|UiE0n?4Cbn)M5j`|Qdp!f=ub|g+bwwa z6pJyuGhdI|)#i5PlO0=cclT mwCf+9-0_G09$hP6%KnuQt0!=r*Gdo?EcvNS4Hw zX>JnU@Cc&J*_}N^rT>0SU-8PX?*Bu&?H@Ea$0ioPyPTF-v$>>F=5@qpRA_@XakB!+8 zCH-Ja;Prq5REtsk|Li8&TaRo}7`&yBQPei_77anz6&wL$?D^0qX3;s8BEr1D#>ngH z6~wx?zE1;^`rtl8*bedtqyO?5n2sybYytMYa~;NQ^?pq=Pvm0WYB<{{I1Xpz6sO8I zvWinNvcM}_(P}MEinctK`Bj|_&*oEG9%r`S*!Ey@tDxrWn0ioVsQ^7C8lP@NI2E0g zK{&pK|7YZh|2yMAaJ_%aRtn1`RiCdQDm{K{+AyaMsTA$}IEYfEqTPH*E!NIlh<%xZCBOd7v9LDOjWiR)WmsH)J9PUl#AFn zse=&Oind}J9hbMFuU%s&Fds0(Q`t_$q&uu!7U%cfxy-=yxr!LkR9ylMAUDJ?`lW%09zs?7IC3I6et=}9nyPDo$PZ7Kb-A)Hk5bK*GT zS6hLzg3ZC4ifWo{x1!bpL0UstbcY}S9b2<~4HPfniD2d_U1~fJ8V8GBiaYi-3W*I$ z2u91AuXcI#+ucd~{Kp>zvv7aV-Teg-ZTOFY-M?V;EsZfp-g`G}R8Bl4yMY*Gp5}Gm zN$cbsN#N$tgE4hQ<+uL=nJYjQ3|Gd>5#jt8mxBiY_Ks>y1V_x7t+kC3hD`3>cjAsUpRkI$7>+n`qF=w*BAqPuf{kk z=RbZdF!mJ4;9{L>B|;rHUw(vx(+uH^A|j2HB(my6;6xkmoaEfpnBhXL7PN zVaRX-J|R|rHPG*w7_=OMu+2InwD;Q=bi0JOkgc@oG=v*VQE#x``F6#Xa8n~O0vFgP zxr1b62gmR+XpFfMEY=d4o#OWj$-GlkCogE*O9!3xv?pGRw9W!_E9cR49>=QuO46wY z27&oxQL-=-yelQ|T;k%Vhi3VrqRHokZp1n?E|q=|l)9?9bonb#cu?~ChXR*Xksp1f z-3u?#3B@Q*?s)FjuO{uF`{W6Ca~o1s2#YN-&kCZ>JKHMH z>3PN>jfgF-h974pruN79)IWFy5ftiYcK&4xemYBl0LB39*yg{9$&{5BY%N}uiqHOC#|<6?Y(26hWyX@i0dbKLh> z5#fDfwL`^{#%?i(W^|I)vCj&5G*ltWDmvDMr!_=@8in2(+H&<`u?J_Id--U<$WW!c z`WXZj0=CRv1|M%^ch{F?R~t(RIu+Jxs1V7`R>h?zRS!&e${wSd!b^T+MHPvL%lzExXzH;F2kp8`spk_+-R_*-z}xf@`g`V1^f|V zH%nPbBAMlh-rsdKp#If<+G?WgChIHlM%p>GPo2*cXScm3$``@-)-lzpHrqK(Z;5VC zU#aw}MC6nIpr736o8!Y8?BO|`rH3jWpxx4Y``E_?tUpYD4sv!!b%&sK4X(b`SCw`L z`u0iOPV6{d+q0V2mq+(Ghvn^v6UPx)SGOqvf_;brw3Mt+I?F6;LxKN1$2PxupbfUw zgyg@ca8Jp!Ma9q-l=fBWimX?b#Q92rYy4F}iAY`9OjT_jB^qfSc1lEH=&TEy(OB>{ zrm_E&W(QEJT3xKUtU|QBtaTE)R~Bu+j9@#Zo#>dF8F-LCc0hM!qf;3Cg9W_`%UfEHBwA9*`Tg<(=-1gF$VoZu{;_jDHocfA;3?jk!Ek7iWr`ziI!#&rG}o5Xo$|t zB5spGVZ6eec$E98737v?I$@Y<%BLl1l1m40XJj9-8wyf|hB{6x!@mE| zkdz!ayGAy7amrab>-EGJqW2+}^_0Y&v7DvOI%D!h$uVJ{y+^~D9<4jRUY|`fvogs0 z{Q%3ds{=b-B?C@j6?%nrjJ>9gEQ{bXiFHQSs+VL>`0%qxlQ*};N@q>0^1)5s0ZMSZ z=(lyD*Hg$yihc#C2*(AWf8q$eIR~bf|GquakfeP<@f*opyI$ObXci=p=hH^{E0*3T z_&i&FBLcGZXNNSFP&!$C#gZqUB?x^P1XOZ!k_O*|VpDyD+z5iLPuvq)IqF@D^lr$5 zQ!xt9{qxwpKsxm&Htcf<2P%s*)8{?dmBiz^(Usl>77RHs=!%01M|wGPCbsOe4TfTI zPHavKY_yn!A(l81yW;ly0Z2YvOZ};6C2!!M|C5u-+g+?-*T)PeX>1FLgKH@oe@sBS zrO`lv*XksPU7}jV)*feoe0S(z3Aq_Va2!+&4=Q%oMnaeRO^JQRd+da+1B34PHsvZG zmApoqm-K}4S{qmEV?=;zs!=*oy`FGpmD}HO51uzkOgi4Zf12P}m#!T1fKtT-YsBYhI=kNy2=^=E7UV=*)o@}=Xvf(Q;2Fk}pVw374r(LY z=nS#!!0tV{PnN6fT9iuww~~|LTwXl#hAirKyNTwf+>z`)YkEY6b@otGp^tA~H0b28 z?&_|dZdUXEbc|1B&ib=hfajQ4ZcNuZp;zPnHElA^|U0u4QMAA zX{rais?VZM8}H8X@AUws?oWFqV3&qiq!FAvucGly&J!YRT%eI~0t8}4%Z3Mk{l!q$ zfOS3>-i7AzxOi+hI8DF&QHQ_cidJYCWvhFWmNIw}#>g6|)(DLer|IA-E@>WnX_j|h3DN*e1lT*5em{`Hm zj9*z@u`}9*;M>~wJ5!=DGF23_vTyf;0C(F2C?k~48Lg883n#OqKb>4;eWgr|_VXp2 zWMfj{5qp@>kFSu6TjZ}CYgKPJh#3|3-Q}&HwMqIb84s*gGQQc^T+E&1qs55Vcvj|M zU~ZH3R_xpT=HZ(=gg`iQ388ASuh!!p5tH+Oz;?=R-HNUt|S0;&9>0TJU`v?&BK`-)v+`Z{S+_rSebIDW_ zct1|)NbFQ~bqYUjn@AM?+5{;M?}yCW3HqpUcTQ*xWdCAVR1LA3g`dSZzpohe9=oCQ zKhA}GG74C@RGoR)y%SJXwGF?MMH_|O&P8CAF2$HQWt*uiNZ6P{A7NteTZxYEh=rf} z{?3fIVQ;JaHn!loSo6CA;`QQp9GnyGq%WvT==@=2B`mEzb<+G@=j9Xm`MXGNh?O_jw9hq8UK%`HwuVaSO2vF6QphQX*_K#x03|KH z&8vfflQ<7CI?FpInf$EBtf;;56N?k-sFwxfnOsL^dp_#{1s2zjEy#S-cr_HrNtzn% z;n^flus%HehWZRq%R)9VUuzM_{B}3gI9ZQ0ZML0^qX0=9xuDxg@4kC6zkbgv6=XTU z-{$oHwE;3r3Ezl`VvYzOftl{z0s+(ZBk@1^^dj|Ac660`g1i)~#O2mWiXk>~n{S5Y zCZQU5;xUm*TLMp{ZgyWipZmeQlY#Szlt6nc-^&%fcdODS*~MtgF(&zLhB}RinnEK$ zm$x(9)D5Qqcs z1BFC@ptUe69D(DgMN&CYIIdc5Di0dR`@ffqAA=L96{KQ?aKg1BR8cXUc&!9gQVJ(s zD?^o)V{|j~=MwIL1{EVYm1;rCR25Ymu2zkzu7T66)uNs_iPNstq3Y`4^lJ^MrwnmK zqgrF?X%n1jtr^wa0%uukMYXoUovA%bwY9^YtF@;(IO3dYovALaxbwAcRCf=YXRR02 z+Xr`{)|cw%j|->`q+Sfd1=n7phFr#l)?T58h2tV>BdJl*xR~0j)Yv#2zLr3ZPrzNP zy-vN6h)b$Xrlt^aq}o(!S~~6~u{ML6nT5-)B~x=KxZK)2YW^);LG5kookHB*+I!TZ z`?v?S52=reagS@CP@g`-mDH9}pO@iY)V`$t_X<~D`bX`eW@i5Qonw~4b{@9 z!y~xS+A-?*cO1QTf;u^co35Rq&d%ZHYZs`COSt9QAJmnfI7Tg#y2`?>)&8Qc|Hf_9 zZc?}Y;I?c3Qg?Q7d$s?l`vQTTPzR<4L5@lW_?J4iP;Jhts)q`K-U5ERd?& z#W<^vufsM9@;F_Dh;SNsaGW0$l19*%x;t+uI4u`z?0qCNce$HqgoU1IZUvyTMM|t8 zXyllCXecJoY~bBhOOKgs!w%67UlBetDW_9V!0XH0rDK;>nPB(tz0TE7X{ypm?Wz4; zDCN$LYM_~oh%ZmFbzkhiHS_C?@iAo4 z!uZI)1jguR?=zbL#)oAyNsJHd7T#!lDuB^&A93h4ACu1(KB%*^YWNOw=y)O4y!sku zQkf2zlsFk)Rgx0~d(;~TdVcQGW)p2!QUtj_kM!^(`ZF%G^XJlci~xAfvmmZ~TK=r> z5A=MF2N76mL)_6^X2`K=78aHBBcrOtZc_o5_(7q~a5oQ4S?qH`IIqt)>!zbiqKF?| zzLG@s*-#e94CmVJ-K_kYT~hwW=bGHHn#UuN?8lE)8+ai?75G#;*Yu8GNeQ|)@`$V8 z^q;meJ8Jt!msG~|>=EY-;NL4^Mtau{t`jMv&!0xD}ypMahm zL?sqNDC!vwvi~h~_+eZ>PidaRtO8R)PO)Dh3gHcURLv<%jG`&L#wSGbQ>ZF;3joJ{ z1xr+u`kZniufOq|l}T#)amn*5Z}iOd+kGgf)9+lWDpd{gw~Xkrh7wfdEDs_nuQ0+y zm&*ax4O7F)2?V!JSXvLY)q}3kHg@YhUcqoDa`rSQ4Yx=3)N+(m7QB;a!JI}>Ac|%T+9^bc| zjHR*ku3OU#G01KK^BS0$kpDDCJ&35>|IfnnD2nnl8_-}OwODg7LHqC9lzz)8<1hK& zTL0_A*m|A&js8~sN;%RL^VCVHPx-ck`2V~3at4F30_a)EMWEavI8y*_1r$N2oVF8+BN_Dfma2&D^XY3dr0_0ss4 z5$5UX(LUudhl>*!@88qP_d4O<%>DGbqKIAI>QkeLi`>L1IGj(|FK~MJ3GcM6ebG=T z*(oAS=+cFTd4%qcFnrAe!cRC&#)|tJ@iW3*72`cX(7p&SErSwQ{jZTR@fXr`#dY-H zd+ACu;)aImuz1NS1H7QGc?>bp0B#ytI6n89`3A_Wj{sE@gszq9BNF@$^~x_0fpf{O zpKAq!sSXGe1jX$LX6PP8kSs-JR`u{jh@YwT{3?{uGwB9`jw5B4dfT`X4WV%cRT0v8 zr<@z45c)gP@cMVaDpQ0w_)8M{%f|?^TX&6Pyq}Ze|BizxQ4kFzLL+&!8{CzuzL_g+ zvK_g5y8>VTB60z(qmm#TpMwb1naAx3rZL8(*p1?dN#N-91{ zIP)vG-^L~{=;YL4|=3kxekUy)=eZts3G$4&Z19eYM+;+go+HiXJ}>Tc z0`b}0=NwQT9ClZ?DXv!Qq;;jk7198Z)#fClhj?HOi6owwq=`P_j3T_oW?I=u15gCK z4&cHaP+bIaT}A(-lc9@t$q;9oS*u*?KZ8_VoA;x87e6a)+Neo*c?NI>jC~v=g&co> zdswMs$*8I-OnNKgyypCN*ZTM!5^_QBUB};;?2<^T+gFDI zdP6>S#lu}&0uVK>HNa3-hKc|tO|(kEdAb5QtyN@rj3LNo1Y1gPg*gp$88X0^yqu_$ z3IWbFP6eCK03Z5mnJtp%h0u1brb)h8uQ<1mXxMdH=+ z(20AyCyn8r3ex-{3f8S9y!?ei)Fu#{awuKpq~E$Ie*8KP?hr5!78cig3;b@s#&MNT zgluucX&Lcv#w5$SZTg1%4-|YMuj6$lvI*ypJDG2}HnGu_NMzqXU4FE~l1D^J8fo)U0*)$zKiPpUQNi^a_=&65| zvsg;}%5hu{ge~$SyJC)eUo+_g0wCqXxjq5GJe) z-vI!e{6$&QZmxa>bGLVeJx@&%sPWl?c4#`)on0f9@~#nVRp(8;45O&lmHY{>DGF-dI?(Hd@dWet*U;dpTD&@1nZwj*0fr%ZYeXGP^ITQZsZ0jzg23Nw0@ZAg| zFK4(tT4TdtKXwOBup}BfEXKt_j&)-iU5*{Zb*@Z2E%;g`6a9@vWw9hY+!YBi{_1Ot z5Snm}H-h2PX#5}Z$cxQVW1XlKZ5wGiw`&e`$}IQsd9Uywq33sH*~N@(;~e^{zU)~H zGoIZ`66dn<`3a3rkKO6`wQ}Ja(x-g(Z2h~J(Wt!m`Lg`YH^i3!L5Z$e&-s1-vPTPt zJ_hEs(N8kHiZuI$Y|Wa=$+Kqup_bCFnnRl6bN<5Y_$`kB+Vb5e4dFSD>j7VtvVeqt zdzRfMlh>?=cT?NFIaA%vJJ@llFYPXpMGBPOE*FHF1CG}gY4c^zJR|$#_X1 zuJt}f1oHX7Hv+VMq%V~@{}Pt><=iN-!C1JxJsD4rE&3t0j(#xb8Tln&E9*sM!EEyv z{INe~Z3j^Bn4sj?S}Z|pKO^W=;_{x>q5L?MsI?aAendCL=98(b; zQ*hVIwB*-yauB1+v{4znx@gB6D}WLaHPg~3Hg_s26CSC`A6jzp#{zMNb zq<_2bipi%4d-+{wR6|oWIO=azVWXUPK$;+oKuQgvm~OjBE<0tjc7hEFe-UbYC%u-B+}RRoL^-^}EL{BPu!ZNcuB68}kXJYd~wg>`sBT{M9>rScSD00UZ!EsZ0(X1^AA$rUhh9^ z&d>&LEA9xLUG{qPbM-MpS0br#FsSG+xg-7(`(GSi@Z7_x9j!GsdCv#7q{xX)A zB(6zaje$i;@zkhs)*=n`V;T!D;jysjriIhqx05tNRkO~I)E2-RQQ8olzRGF6KmwBG|ER%#5GP>=`9boGoYzthaNdu z${PlGl;dB;S^GwzopGwEK-%yD;2Kx%3lY!O{-R?Ufxu$I=_i)1iTR?9`;8jh#L+k1 z%A%;Tx_3p{8pUT?Mk~NrxhcxAE(A#7h5_Hwj{%43l&q#J0KMhk>Dvbqge{FKo|YaO z__vzE1decceoWF7`=n6{V!R0;#jc-_>>~U7J4#=0&K zHE9epT*Q#KZZkq_io;7`1n7Fn1J%D#rW|m+)g~TjT+hzNhK*)~?XH*w&!;C0V40YC z#7jUi8S6B3&-=cpitfpKH}6Y|x#v%6qlEe=0|jKlXkEqv4$r6fX+-zPNif9gW#(?P ziL}}0z~X{!g`Qn`zq`Lgb0`0ZOCw%L+%|nXt~d`I%HRL7Sc!9{e0crXi_X6-eABGy zLJg$GZhduxu~1JzGT@#l2*_#3vdhBuvE}yDX*pEwx9y<2vYb*k29+l!Mhx@r)?m8~ zA_vC@L&gS&%$+;$ejygpVkmxz#iL=0y-S&+fGPIfQGh4y{a0s3SGd3-Lv)RHA#}xV zpeKKKYW^#u6tS8b59%;-tqef3hf@ZrtN_% zjXvISE@YF_w%*hfzx2@T7&|Ey>{xM%%i_1AOLkB7e;{Jy)84ul^QqSxWaYvo<6t66 zxA70Q6ywfbj-zD3eK?~ZvTHyii=tg4dpu*-)i7>})mD_!iGc|I+nZS0xabZM(y^=V zwE-S7Esqaa{dEc0psIO>6x@}^MCeT{mLl_kf#ap9SKaaB$t$JYz;qb^tlG&<1FIF6b}NNJRUumrn&$4)B=`{R0zGvqYBlSm>0KeEHu(S(LQ@I9aWWFZWIK;VpcONif<+nYK z{a?!efV2P}UarxkJp2*4co(1@vmz4t!2E;;cr)A`=lto`5O>%$qS1WRllZkU$OpzO z03e$_>FHQjLIW4APAepxa3k42!AG7*sgwPKX5h(e^uXt+OlF0^d0zu?{Aq{vu^=22 z4!KeJI`r*MY6IIReNqbDdQkzqqu1lNh59{hnp#(h2)`?%GgyV1UWEw!$NwvoeOwy} z+G~y5hj3TRvx|slJCZlpx4c9fAKYhlTxOGQFO=T8bV^G4S7dJ!WJ7X=6tvuXt207; zS~_UC=GHPyVbMHzRl0EJDQx=M@_f<#pf#iAKkJpHT|qjt`uo>|=DE3T{|MjuHa{!0 z-FVtz1rg*s{W(=S@{yEC(7Hvz{L1nTnI;bX7~3FGWHLt=u{b{nI4ENLI3GG)K>o&7 z2?=R^(v5J_<%IPa1QICTLYr(ofxHR3d;#*mDITq{Ywmll&1b4JT~WT{38~@`DUEDe zD2|<{FhDA5N5605eD9FG{pN?*Q65LgUr8E^NMP+Q!J-hJzqce`&E$cygp>mVf!38f z{_^Eq+QCGDCXU=m@%jI0n38qa%HjPe##k0Zt%VQ zSW0H27dg{Awc+maG`L}_F2CLDMLH9lzS9R@+$D;=^(nZYqWw!kCe~XM9w`J#?wB-+}14m>m zapn$LcIb@*XnX-~vk?zuCg0pQSXC|b-C}J6h&D+`Zu{xp z>;m50*X~XWz`La|lc5LaJWg}wFq$Alv-1}2PFprYUT_}#vSJ9@A|PS9ln;uZEyZYt zv=5gGnup*Q)!e4xCVCifd?|M@nlWbPmbnpX;T8H7yyo<~Nj;A;ly$L0LRXEBOH_|rdXhyncv+7$ zby+&xjX!jD1?nmsw#;$w&@CSLd<9t7@cq+>R1QaIJ;8-YSNn>Zs7bq|(Afbk>Uy7j z)_5u4aLyc2gs;5yRmX))PxngYql+mExi-2k*(2FSzk`*c+3}aPc6gN@IE4dBb$^NP zW?tsaIl?yG1*^CZ3aXXw9LW=BGWjTK+{f8i(mpXkZw_J-5AI{YO{`l>V_V`h6nU$P zWPWY49ekpUSdV+WF%jW#xQObx0ACKOvwi)SfTJ0{Z)T)SGkdd?|0S9P?)nU@M-{aU zysNbEcs?kI(thVibIT0oYrmc}?q2SxSY_$o&nn5ta|snwVI4d&rV%ffqA;4CxXu63 zfZe9zD^Aj3BAGfbv7)_tZ?dGg(qBsH7H1TOe@8jZRkcLTx82=rq$qI zBX8$$83%_UV_OtD(M@)OE^u*?y3;GDirI2kJAe2ylqPX4J+>%QL6A|64;v=&W)WVG4d%+5r zxrZAJ+=^UGnJK4O@A zn~$tvmDN<`m4z`J4npNqt?5*KiApZg1Bhw!+0x4;=~|0n)_1={)y`21wJahu>@t?p zyMdxQ0vB+AOM|-OWGYL$CV&k{}x)Q$LO0L1EEfNIm9O4{tlM+f#y8Pa1LFGAMi(tPLLEW4YCW*>h1 zq|tz*0S81IUVLg#iq(#13p0Bt*vA>$pnPd)!9?vmY}DLI#r6XH!j+k~sRjFPaMR0N z&Jp;+pkp?i`Q`W!PY6sCgPIYptDcW<3{35LhT@x|uWKnjI=0Jz!D?G>w$J3}cbSn= z=373cSTb#oGWENMTkk?skT+H^d}Df|{;M(nAq*27-EE#uqL#e7I-KBv-e zq@goBojhC`cMcIIpKx&L0upz?hBpbxNsZYfrXqhS9b#{TAI(FM!j6@yI1%I>iD5|; z5t3g@i$}<~gi~F42lib;k_e&F zXRn5DC%p?O5IbdlmUxJG;O}cil7e-F7|Ka>ic9WPl#|C%;_;swKluxHvg>A4oTjgo;`54K5i+h+#;B zup`H_Esv66Q>aMgDQiYsg)(~HSj9z1U(MwRQ&YhW2U_xhXhWM=(au%)8Ip3k_%}cW z>U$+5U>hVm@WfXub=ZaoHw0ZY_;LcXP;VFj{O<)&`{f3=cZCl-8AaopQjzAA;7=}q zct6c1m~>XV{4|dk6)QQx=JQ3gTY?*=qI*m>O`RLkzD1hwNr^VuAGAxsBDq zV2zv7>kqXGwjX9m=ZCQ|k0;mo?i|xX)@bhQ98XDh3J%sfYYYz!nxO*?*bGKlYD>XG zqy!|!MV-V%v4LR3NzGAB#h^{4LYk{M@!1UrER6g|?eh15_cuP~J6wm9hI`c10lmIb zgflMECKcClfg8m)&X|${_N}9G7&-fLtOs;Zq6OGIrB?z11il?zfMvr!@_+!B@&uz0WDv5a+(BYeg|qcE{jTS0NzgDzWIAXl$R`X-jlBj+_KgSBRdBZ8})=T zf+^Q~>^`~n(iR#lVUVid8D#iQ#z6eZ;3?=0<1!P)WN3Onq)K2Q6Y?H6r%MX!He`V5lII8 zdO;wjcUdG&=9Gv`>PPtw2j5`UyI~mmpu7nT;4pbg$z0q!pcKs?+*}gtyoRQXn5C(u zoqs5bK+*|1&!#9^z|PERyD5~ZZ7WFgUDR!jO4N`cckFV1wasGxR;KpVPD&f6bpv~u zou9eFR#}EjwovjtU+vOEHi}P@p&QmVX9+F>WqAkj?v#snlfm1(CeI5?-S~-m8w+?{ zN^YIQ&boaYpWxJ<0Bv^N=_{RcEpM*k2+KXpj@o)mkH>8_ywIf-d4o~JZ zwE{zV>>dteBn)8U7-!c`a3JsU$r`QeKs#Y&8kvu!uO%c{2)1<+S2d=PujFG zVi}$6`58|^=-ph8Es^@9INkyG=ZG&z^X%5`7|(9`&;0AN^85VaCTo6Y3gnvM5IrFG^0Ko34C`N1J z3c+i96_ITyy6whp(&Wu)+hGrq(4`jFW_-zcsXgSU;jIiy$u|$9if=s9!HHItu%0r;I*1%JAA6)k|GM(LkFA&5c&_O3hYVm@Gf@&{5PI04Arl1#3dEbZv zN=bmSHf2-I_sTUWfsVtMwEb8@5T4K&9S5kl{vVcv68|p)0{s0*?JaO`1#dWWb#QG6 za<>O=L^>H{0;LZBFflSSG&MFi`#3paVq*|(W>@=ZYHMt5A$xFfa!5tKeRp_ydJ?bu zYylLzw$4>B`50YxdY0u!DB00PJndjkLi zBPrMf000CR0bzdzHV^{F34O#1w%`Q-(E{It2P6gv0o?x-9v@``0I~v2gj(P=`Tb0+ zPXLPpS{)#O-dzDWH5dI0axwV@)KBxRGjbFyO59f`w31svME)$Fj_gt@Y@Y*QRNY}9 zj6AzG3u*!F7dx&7|1W-6B<~h3bpIxU3^x6d0VB625*B{}<0Jt}_kJWIG^~ z0spfUE)XemYAXLJmto*4ofspxk`_!+{{bZd5?Ub(FaJn88XsF5lnwtJ42?V)8W;`R z5gP;w`Wykb0VhI$|16P10j@Cr5HvS+EjlqA{#7R?8z=xL-=hc?104SrK?_zZDL4@S z4*>^`x#S8P3{eCUIPCWMmhma4;nP>9W<(8 zu+Ut4S75jWtnh4~c>$v+ZUsFm-vg3`j{)9QD-$J8?a=|I2K*3e5f>K$TjBxx;s^u^ l1_1a0E&%`l0000a|D*u_bpz;K0CM(0jKJtf0OOObS)-K`8%_WK delta 16895 zcmW)n_dgVl8^CYhIfuh>wlg!%Dl=qLDlJdHyT@A5#Bi zp6k+B6D%aZZU4`+Jxy|?5IX+XjaOCt{QNLkxad1RK+6Exjp63xDaQu}^Q_e!m5(Dd zo^ZGhz#I)nL z-hWLHxC#N*7w!lvkY*^U>IL__r%b*`M|MuXId(=PHtdj_r@DV+fX+%51dp=Nd3fBj zy;Yuz1+!cJId|TzOe4`8_nDW zO3jGUy!)#7Md3SE0Au$x{%a>Yb%06%`8`E85M@D*bKU|cL#M-XYWI7WUrf{pRx~(I z8Q33vI(A#**{I*f+3`)p>wD#|eo2>q=*5P`{F5;GGwEzo_zA-hvYJsVsDH=j$xd%P z;VgOled*o8auF-w?ZOq2izLlju1ZE92}ZzV983_=Wn==Xs&$r3?XYv^sM^XLV}98! zSk(TW?`-j?y=}LhiFv5*l(TMAcsi5jnlWp8VB_c&wSwpFr+c;utV8E;rb}kDpMvsf zXZg?Qv;{}ssXW>(JkDW`J^wP^FYGjm${xvBX zkvtBI00Ue#uK*cufJ0SLOh#6%gv-IZCvXnJr~V@{U@^RERIHAVJBzu0na?piqq~GD z19k1djy#RV78o}nUMv?V)cO8ePsZ|QzgVsjva@VlvPJK3XP%lxp>ITO3&kbYWmn+F zZ!NyK7FFq%m`k%y>A*G9YfZR;ZqGBt|8V}-VR-Ew*s5BAUv=XSassJuLruZ5p9d<=7OhulT# zU9PbI`F7k{Mf47t<6_6JfP#4n#3kS%K#B_9o?TTz9w4EgJ?xx5ZwQ)55Kh}(5B*H- zfhnMmW=tRc@%G|0Fhju@x1GYHrf_4L)KE z$9)nSxVg$kMFcXr_b=<5sh<~H0QB)?ZE$H*8^3Ki%0E7c)#@w}xl;wyBj_N1j$M}O z*W%7+B!$e?7=Q-tkJc;g4)WyU!JFGE9pj?&qpU%b?qfbL6w}7gwx20MnbLE9o4f`6 zkBr`Y@dMN^AM~3hPEk7rf@TL{>tSvpugdYBwpYsCmZ|@=PENT*gYKI5f(}) zkK^ua6F;-UfrH_+fVJLD5|fp{{V+vb9i+98bVt<@`LI9ti!2 z_1*?4*Co|WSjRVtUt|NW>MXcUDx*@&CT|EycG-?t@95bM+Ac41Oo>Spait(z%~+EA ztkApF7U0P*IgrNR*|x*)SlzbYc5VJmEQz67<%Smpj?|S6YAx3VX{&LW8^(9c37vs7 zqn-)%j@J{HV@ICAeJ_p!zKq)`mUX!1YW-_PUI0qjXUP|9oCwSVb!3FIOCN=z%89saDs)P z<1r)GDV;<0+M>JqQanpiIJhbSVU8pWdY6We5pxIQ-&kQj8aiW74t{A!!J&XaHAYHG zJYf4UL6Gv$v<>A#(*8>Ll7a+6KS=Z1AH?x!=9c!{wOFC6&Nh;PFH(7fCwXyS>g9YU zlpi*MCs%qJp%pKSJB6f%Z}q;!I!poo6n z6QC_p@ofFcR}8^4?5u;(+&gisEHiyy@P-KR7_4VODa&8y$>`Q&)oOD|X~dZuf`f9{ zU0gSJv}6(OGSw+Zn;d_9KMuEg7*6P)<*{Gt^GiqcKa!LwX}bMZbh&HKWMDo9H$nJk zw{uAbm^=O4a4-|pww;wW-|;tIa;;HO;oyu+qJmiB6%&YOBS2=&;ceMf(c zpWrm{Tb#U^PwD>P+vm}iGy65PGO5C!x={!B_%4c-=I~#JkGd{aaVx%`rtq02N=j~s z4rpp!Kji8*o_Yn^(%ksK44f0TD_$mrD=MdA1F$_CjrIJ;ro~F{qYzdJNWEE6VE_p> zclykPuug|4>|^QNl}G~hknz} z$x_}XCSb9>LUHj~s9jd|L8DOTy8qG*1K`CHgyTMd_2mHOauzy%n(O@Pwm@2jkM*G7 z0oN&aRJQc)?}V`WHK_5vQE!~TVi`%wQ>Fdc#vJ7ztSqnzPcmvxw5Pdo5j08Hau2abxTpCuX^o@^Z%-fl=oKtnX&;LcKdpbzpu z_RRNO?!ZRL-7S!^Q4hLXS$w4yBE2i{buELdn)Duk=Cc#M^py$hp(ZAxiGox4&buP| zhT;QHaZi5&mxnhgqYsd)$iKj!O&toyqa%V#`oICJSc zH^bU!6{5-!d8V-mX}n}?y#;~1@s)&2MVyUze4n%l*sFa1r2r>!c(a6T2`3wf^;ipA zhp{RKC!+e+#OLUAfH#3ji?p{5%){2pH!E_#% zdC|=)*B_a_rFfs}@4>Ah{XB>?`rzv{Q~q^5T<=}rYY1c!CfbVNLG3~Kf#1)L_HykZ?5T-x+ zXApeiUWDwO7UBuhCFZd8d5=ARXG_~FBL{D(#d;)b*lo}b(Tt{l6I-^G(4w(tPL}AX z3Q0GN45CZQzK9@cvj=qOZ4n+n434u&4dYb(qoam54C?!ITHD~IGyLpU_ObI`r|g^8 zT#f$42|_itIyk3?cRY1EmRb&9HI3re7)wN|mrc23Qbz^Y>vbx7swPqeK|iiEaj!_; zaOD*roMxwwUp1Tih_}?5Q(PzbIDE}n^6umZTYal(XsoPTNlcV0SVz)-q@^|++Q|wXI!4D`s5z#mH=9(j4XX^(9Mlh%AFCU-&4II=+8)~dO&<{v# zJjbrVFTZQF7I z@Dm5uW!u*Qk4Q5=x>LH) ziXhhADBFTk72sFzDul1;-{OE0KTS=FXaH7rSqt@Vfpc^60Luc6??WV?Bzz7xv6C6g zlH=Y9MLnzCWOaW15q4h^dKZ&gIP_z=H?318yvaTc03P7!`nVhx7%G~P-e`^78S1gU zFK^s)@3R1{JLskfRvjtG=%Rc^JXAA#RAvr(wo`^k5C!s2$Z|zb9>tE#xN+F`*rlpX zH^XX5f13F%$1#~LmqyA5``XuDYCNN1yuEc2@d_okeHd)DBumdrNS zN!u?5qx+fhEd6>^O!2W%%S5-wG4>lq9ixffVrlc`3DjkjILv(Da3UlatFK~@OlcX9!{je4QNz zaFq2p(+qgENZ`dMMg3bLVLzQ6%lNInok0c|%~}6^+g8Du-a{sUaUFCb)7KydmkpD! z_vdv)yT5-x`=$CWCU0#!DKzocfE zPA-nziB5vg4KoT-H*I&i5+c2FqDPgx)-EY$!}A~7iYoG&Cf2X{sv`98~C-tO5YNZA@jWJ`Y;9F{Gtnck3Xv9;NzJvAmW-%b~;`6 zLkqa4;Ni6F_*LYy$0H`1@^o20;cFSMo0KA?NRNYEjgCK!UqGt&k$TP|Ta>q-k(9}& zAqZAp+{YS?DovzZ(K$c-4E#tBWcwOOQ160vVg|W>yhz%&1!$f*U;bE<=hc%=jd-p} zSiGXisd(4FCMO8ar(?`k^a*N_En5iPge^-}DBw>j`+lP6+N4<+ArMn3A0EAR7o5gJ zx=pe*Nr;y*S&bx|GEO^sJW^WvE_p_n?Z^%`kEtXgOy;j7cx7-KCEh3*G%J`d*QRyL z@5~8{Q8Znb!wIYE%4utkK=u$(vch9OLBzy?FxVD4(qTa%lGvSWJrmn8!h3HN^khV7 zfI=Ij!TPcEDEJcZgL4^5j=a(ya15zzg4F&q+AA{OOLLgUyA^Xk@pAG&AiOeE1q4pl6eU~XU*Ba=}iGMS@j+XfxFyH8*M$!zZqg>B>x+G?Ue4( znHE7{R%^l!U^{yh--~(`*{OO|>h@1khemMT;!MMYWa{T=8v7H#qS{mALk)T7e%@oF8CT?80qU#RX0#$|bfd=}QJ%|pT>)Pxr+b)pqn=>jk&K z4=702JYqLQNHmSoE|E_FtRK%EcHsz>okG761iR1XM z^&Rv4F43K{bG84r#1KR~%-8qxx}7W6tJ80?RcZKyM0%Zt`SwqHa5m;XJsva(?x;6Ezd;`b>=oF(u|Qk=@bQpPJU9QN>2wqM!rdn`2xRhXB!| z#=mnV8V!C=va6j*Zzu7}HqBCS#X{5l4w`9@sLB_<D( zd$ldEnmTxFa;eK`&Jz)}G^uNY_Cb8sC1L@yo9@>TG*-1J_&!GH0{S+3J;z$2SCG&D-DOR; zC&lc7fNs({9C4_}?#vMY?7nNpkyseJeHE5v-F&?-CLnVYA*}x#Yp(uRDl2$ufWrvP9m0j7gxF5Ts+0Ezff= zCHyn-O!yn^KyYPTE3oHnW7ViVz~p-z_LM~%d}Yiz9$U*f&9 zy#L{&cNxyME(efZqq^`w5_;iE)Rky*M&9rS;G_jI625L-Y|HCn?pqiufS~1^ovOZP zMS|;FG8^hpu&JX|hSPnR>g?S6>m;8$8cFI7TL~r}L+bq^pp3?=rIO^ zqm&u7c6EioLptjOxm+#DU4jTSeEC@spjQCy*UeH1nK%O)$peRQ<9$s+b?350WA#Dhe7UFAnIOz z7QZQ6+w;$k+;k3D%j#J^YatSqPAJ#;k_d0cNBe`y0mzSfAqMA}eVou2WsVOS?;z<~ zT_Re1M_Zr(6Ye|n*}s|pNHfgaL*$)g2`2*YRV~vaytLoryUdmC-?IEHUT&=R5u^_x zu_SJ((+?%ZftEq6?G2CjaC|{2*GcdzJijdm)4m0!))Q^FE+o4Wv=ox?p@9anNapM8 z;4GmbyHWGvw^(ars^z^+BM>t51@_{r#mm%MrQ$3W%8(`{PMUJSG^xKK(M%w z_8o=DhZ2!R!5hv)&fSO;&e%cS_8m zeb4L%RiFO5&%*9jiXBQcIbY<2s^KRtx0uboS4~P7b62rLPJ41?X6xAuy;)3^q`|Id zJkrF~xa!^5)WnVuNz=zGsFR?)dr=4o*uQB`hz_s}JQ0#(UfikgPaQPTczW*(8N~g% zN4#NTmr$DTR%EFaomsGRy=e5xfw3oF>Qu%A_G-?-Md_2gtd7eEiD%VMA~KS6G#}{l zmDeun!mIW48~<&?sV65)1e^CsIU`c(PX@A?Af!)2r{7}L8ErjIRwqgkC?cQmbTr_~ z2VhW)V@^x*VcTDbtsbs<}ke!klxt54lc_3G;q?!gYY$>II*; z>Zdn0UR-274gS^z6m1eRKdzRMgOk93-$NY1r5F7HlDv=5~f>OpoGcJ zqZQ4QoNh-8VQLoCFKt#9XOO(^&8vNQPHw0E;fb8;7Q+5D;w112bx4$hGCUj1x(^E2 ze>g0E9%>tn4vf&nOSbsB4AtE5ez+D-9!b!R@DzlO3+VGGQfv=*A7DSY`oanH)1l!| zp`@r1)?jIC#PyhSN=^6f>Vi$QA^Fc}{1fu6(Xm5wDm&^Q53yfZlV%@^ToQf^l!?+; z$W+%AP#Gf6!tfHx!zVyoWsxrUyj+HFAG6HTe6(hAA4(w1>3r!>Zh70Go?Wd*<72 zsZT%q#|o8m9+beS_j)zQ?)&sm?qd`6%$w89Cx4rI&tzQO$?a_cRUU@Yjjxdv6^@zZ zjsm0-&1w_t3j)Lv+E62Def7D6vT+_sRI{i2&e`FY$J|Mu_9(VQnH%3YIqh#uN2#)P z;_geE_b++8Yw`8^SYn=4LfyFtu%0aK+v*7G!-T6DJbk{yX>60z8#^xZ#^j^zn8GQa ztF!EJxkY%%G+Lbuj`j{f!lfmTtcop7_#kP9;h<+SWIp|79*L_nfTfRXiXWnqb*X0u zW-a+%z&%Kw79t~gt@|`7O1EfOHg(kYya)OE8qZ3n6iKA?~B0ExL@PgkN0>=P`qS@#w51kPAa<)u5XyzIX#^G;rK~@u3DVZ%}5;ktpE$J>uXlKq0I4v>1WoB?>}I|`O*P&5Wx#M^}eo*!@5 zMPBNeG4D3za1RnYj5>*}sv}iuD|vR$mPQllf;Jtt2LZ@|Gzy8gj?jFZ-@lNv1+U5E>*AA7k@^fN<<;+d`LqsZR z556g)SQzcB$3JGX!s4Y=*CW+!ZnmE&B>rLkVpDr&;WTLCL-sxAr|u&6{W@=_iw>b9 zc`=Sd2W{C9mvKWsuboF*$*61-AyVlvuh-P>PKB^b!_kxgm3*g}jy&R(>;+VenRajP z@2}amqa~5H=LR)1M13dnKu31I=x-b7msGA(zluKG&3POL@T`-{J^Myoj5Xr_cblHW zBj-2U_BP9 zzb#vjOjPfs?35zwHj{-?pzR)e<_54JLpEd5bbCga(*?xcnLM9}{V~WUf5l@351C%& zJuJb+2bzc{LLe4H+3?`DI{RX+;dUz)t`=C~!kTv)f5ulTeZdvNQ&z|2^(L46@Uim} z*qLReAkwn=U8EeGTM^wP+iC#T?Nu(MZH3Zz4rSyE-}T|gtVb@ql!TT=);~vA6$#E& z(000NG->anJC9808-KvWm6WUmcEoM#7J^S-zoYGwijim2EW!px?*P_riqO8&8rpS^ z^j$jQlihoS!~RUGAMTkFI`ZHB&IiuHNW4?n<7`krr$HkRPUzEG+ zT%tDMJZhIaes$=9daY=syaq>v_`-(!w8!af#1`R?%V_7?_8gacwR48Zttr5VLmDgt zbFP;!XWJe)3yjs6%VPwY#qWi6oOf(s00k5(A>*^#+IQ*5IDY&Jzhh-`rL?}su+n{i zM0H-&`Y!di%MfYPNx>!aq{wRmp{*uK{mi)KLF@FG_~*t&NJM*&;Yp|uMWel5r!PB+ z>0Fh-Zh~Du>x{1$9{F}9@1ISF>5Fc2Lyy3kn!PP{KvfF-M%IuX;4Js0a4OiAEC~G zvI5eUTqOp#$}E&q&9kxKk#dZz42RvR(6+Zdz3r#*b=pJ@I%1Rdn?2}i`2yFK5%lBD zSQv*N2PP{@KAQH7)rtL{5?*p48I~TEHqOgKNIxHvFU7*}BW&vU8htMD%Kdv1VOKlW zA)2O2BPCH&_pH%c)BqY0nsTyj5HC{(xqL#fLU!kI7o}Dsx|hw1e587dPvFfe5>WHF z3(}eQEqu=Uo&Sr#65a`5S(oe&D*N@@dyh~b5k8lZtjKbmC91ONrUozjexM!G$#e>k z%p(qx=e+v zrQ!0b*GaOBen4k`JrD!}xrah0ARs6nMu#&HJa{CXmx1EL^V0^ z{{ zi@!*ZW5g%m33MVO@e=+r{R$%~8J|K=Wsu1DGkBjjo^e2qcr}$^|=ZqI+_;Pv$qw*!b zieAllRfDgk(;2Vd;Opr1jD|*h6TO+y@)rM&-pY92hHs~LFgm;NAL!kTo?d(({Ud|% z2~X;$e`X8};)m#87+;6+-{>QZ@1yuJ`Z!}^5eS`656aSaK#n|TH|Iv3CyLKhWa%;SQ0yT=Mmduw5A&7B ziNceW;>iZ7pF9`u98(m&UJ%6VVoQSSg5yVo&5J`H8XmuCXe?@=7-uTFpWWcqDbV$m zZP3&LK%bM4uiZ*V*B$o`g9f7GN}Ml>%n$I>ze~1BI0+rM}*^>m>2RNHHS7IrXS@~D{q$eZ*j53#0pAaLu)dzp#Sd!=nlAX1VAZsJs@ zXHV(W?s3xt$R#V&{oBPbzO(yWRujN<&$)Gg`Ig&^Xb4RMOfc^t_VBZf`CQcQ_(}PR zKA6L~r@r$vz;HQ?R7nBI)E$ZNDGtK$<;K%Mr>q&jhhn#7^^iMl$ZDq&|Fa}+{tU$g zFq*)70%4G!KW&rv9i2n+NC##ZaksP=n2KC0E8E#uU!7hRxkUzqoCYM!5>ohNbPC7bHxG%fkSPdVpwo#*$k*UpkfHkvOW{TKQTwLD@KU2;r&Lb?3k2gNb`5L1;)1^woO`O5Fn~LSC z5*~WK#vGqPs0QLD95BJA5F1*Rs&Opld_wDNKjfFG|xG%v}US93TLan6_okAw?_ z_+?IhAqY;Yo%S9KQ*x>e7rT9Sb^@Um`s?R|~2c5xZoV zftdE&GbkZl1kP-^eiUT{JKz!jU1;54##`>u1X*NeW?h#MUHUk~Q|u_V%MBC(Mfxaw z$ZO?WHHO9;)kn%5)py2CAPnWk;lrSE9eemc1R|f!?C}9@qgCNfF>sv;R@J%R8Oz}-9qJ;de}qwXn3lmE zyG|J~adH8kC2Gl0c+02wwawE{@4O0&a>6UWV#zNAExeXde_Fthza6;{We3VtkfKW+ zhQq`0QX7z+$UNIk@C5uv>G5qG2|P+n-89oEZT3|RU%~qUfHbZG_&Q(}MfHtr4OKwd z7Fk{4lz?w%j>G>v6I;p)O}?lo;s1PQj=iP>zG%63+(h;}dG5nOp2?o5hJcH|;0SOi zM%?P=8Km^vdCpclFoFN7LtC52os*k1kz)qr)isqxav$&myA`C0qZ*RPwu)2ZlK0Wg z(FE+x%rmjVfS&Vu0GqZO>VD==R!fyKz}C&WgMc?Rl8R}K|BQs~V%mq#rGLC;wB*_E z)fV6vP}RRm4n3%D+N*qJT?LHDsGgU&W_7;Ww(;PVlbl2AtH0pv>R$E!pwA0vS;1zZ zLatQ|Au<>jE#fmDV~!%ZYaqF}(3m(}Tu2}{_(Jq~O(ft%3^qFQ@&qnIE^!JM22N;* zfdxi$gCj$5hUa2qi*ZT?QQ8+;_~PZ%h;ipmg98sDBV&RB6GF(shlAYCZCyx+3OrJ* z{AExRAXMjc&U6j9ZyZ$O3DkWJ0$oWzE80XhoPSr+D1!!jc9Y28Q{cFxod}id+=`Og z>(_R!hl+PuLE9exRRE1tp9zxzOm*w@4XUal#ek-7EJ|Q0Q6eJ5hgA5iG2~k3p^}zb znA438B2zfzwbSi!<#y*FCm8M@^LKFpAPC&~^1JwNvRN$>UemBw@zy_szlH2y=ISvU z6+T!zJ1k*QOqv)f8?!F{d&~4CTp67wtjsBNEVdOEb8G}@a`!Z4>zxeeSN=2A`r!`b zSrku#T{Ya)cbP}%g9Mc?e8F6SdaspfD(gN;0dz1HX2G2qN%0Bjle_CuQ zVv=l$)(I``aaU~;&S@XCJSyf^1%H+XQ*XCU{EGOgKB! z)Uk4oS7yR4jfkXE4Cvl?6s5j!bi@WLX=PWNvz7_Ui$=lV9k55?w-#KNrNS3`;zMI&^tt<&h z^ETBG6!f{%Ehq_LjS{)!Qr=3tpV3gtXx!F{)Y$ z=y4y2j>=O$q^s$FQ?4>VSu5)nI~cauB)2f-^>L5F7lC5tKF1zf*(gSX3t)p@a&HGND_`RH`?cMglb5Bl z?n|M|(tcXly+u^*R=@g%KFiU$jpbStiFHPJn7f~;xSNrxvvwZ^SXmG{Nn5N2i?`ha zgn4+rT#TX*t)U~XK%9g=w)Ma$#_jhFx|IW-(rtfL&4+Q4E|Z>}Fn2v%H^}aPR$ytk zzOj8sWN^3I@G|oR(_A-r^T*Z+sSEcXEd60-%8Vs91kwKvX|{%NKmQYoT)S7am7>F? za>zb?TfLkAX`k7dc8~4Lcf1Edu^o{5$JYet{@o;SqNw#U@*+SCNp}?tD7lmv_vX~u zk_ow3bE2t|>=p@kF&1Wk6Y$jF9YY#P#rhP6&=Rg^Y`;2cr;khQ1^5UarsDuwDr!?# zRE>iJRN)tJqdKmbULDMqoE#1SJR0bu;;R|#Tcj(c5Gi~}>r_xoB+@}pb2%t10u_i; zCkChTgX1l$cj6LKk?2Vj&Sgl`lH#V|6{c3*l6S|8)aBytamabPj0+4+pD6uWtdsvv z_+pTsw|Z?O*vx_%3xHi*UjvA05M9HU+`kKUtu}*K8|~7v8z zHnvfBOEPL4qagrs&35yz=Xt5@!CbI!EI4!*5O}HfDNUk9?DoQerSoe-{4wiSuK7es zWaAu3>)UWAMHS`I^5wRceDv|=VQ`s0W`d><*#Xk{VL)In39zS0%PJ#Z;2YjwqwLBM zHh8N9Hk-S^YbO=QP7?M+^rX#gR`PIr5K{m-ZuOLG4fT$HufK=0Lbsw_<9paw?k&Cq z=97cP2&{yT@yM@R+pEF=2O85iwGjeaBQ+X8*J^fuGwB(8jh8?q3EZ?6Xhc}d)x@IsxTcADQx(QxIVAELvOav?q;!;wQ?pUXDe z^hEaZn9J2eVeu#X&gCaftghT0hRz-MmuiYFe|=nd{KQ41;n9+Plm0?cBLp%!5(S`g z64qg+!>kzEk+}D((zgl`MY?d6bhohNM4%d`<*NI-`xucRi$1AGtObLc z+kwB{w4BL4`OhNIbR=k+R6Wid8 zfQRv3kK$=?DIcwvd$pjwuk(@}UpdFV*}~fRFD~ZQD%+U?e6`uUH1Zz^ z1IcsC;4cfc9rrYTzL~!X7>r&Fj|#Bt)#6*La>v_UQ{cBKyF~gu+wzdO3~^_!PXhpX zl<{+p*NUwLGAGNG5C3)}>pe`UKb)#C_{S>v>aD=MkA=~EtTSrX%|_srV-BkoL7q@J z;%||wr|(u8H_C@nheIcxQwAH9b={I_IBcFaUWw4Vd0&393bncf5pfkJhH($*^&rkv z{0?N4Ux|D21SudTLEwIHTJqaFtn*yNb^h9G!XZy^qU;ZYjgGR5h#>xN*G`E_cT5J! zv|n?8Sq9H5FIkFj8Nq%{<}cjl35s7HSXe#!wnQOl+I1%@s9<)1|CQ=BliA!IzCg72lt)8; z<(=*WYP>>+wqjp9YzlgQ)8~7bN|PO8zaq@Cw|}%p^R8`xU(BxLS!F@-{y;t3tziE; zKG?bR1~@5q96b9X=k;jGU{jvx7DDfBi!2N*?xzM2#Ugp$w6!-byMOAgf$Gh!*olzK zF8I9a?yJkF0;V|SkUhsZ6}vVeSxTYhDAp=}toXH28`&o68;N;Faq3fuZLob$94*tw zi&~YL=5uo)8eG5Oo$v4Ul)?h*GLH3VLT@>G`nvz2`g>%X{EnELH8PVBk!`A*Mws^`fzRNHn$^%lwQI zyxc@nm%g$tz@`2N0LX;RB@LU^3dluspFf-E6IjE)*;&{usn$Y7iY!Fzee$>L0)5JH;*8DoZ0-`T5X(^+duKs$@Iz(Z%bZn+K|TrFo*m+Wsa~WyL>3lETfO&2hGg zd(N()D8;?z_xxL2k+iGJO2gGW3&W|llxIYkqMWYGj5N>8=8sY6y80m%fS>-7JgHOM50dco z+{|%i3-`R?2B`fn=x%{s(i4l#3ioHB(Mcv@Db*Q5o0pi3TMe5gJ41d3=wUzP@tjQ4 zH}OfrNX5bMt)s#uwVdDI+-Gq5K7O-DfHbf6>I|D#o9MEgaL$N27Y@t=P?D)-gHNxe zyt~gjjiAW%UHv?V0EUQfzF9x-TW48&=Y5#7h4mA)JpYU)A8S8XEQ`oFlgnNa!Z#y# zU_+z11(D$ruRyCzX7^Rv)SNHb;a{mpa*5uA0MmbC^@d_AR{;Uwsc{ zs6JB)QpL!riVebA#wd&rGG}?gk4-eL3I?9nJdY}t=AK0x&!4V`X$CJo`Q$VWxE z3Aq#+IZ8_yH`UZ^jN=I73 zK{LWDQYUOZlOL;nB^ zj{#cO(`yQDkaQ5-!F2aztsO#FRNB9Vz;tlm$#f~hf@RfoeT}Stf&|CTZGEV&{v%nz zol#;;7twfhCGH$#b~WC&(M?dhY>*W5^ViT2AR%Da!hoCBm1^yOyg7`O7YuE2#;S%y z51I*`nSxn5S=v8?_n*4ZI+Ir527hkOM|4go90=l);A^Z;2MN7|Ksjg^_JW(b-HXVJ zX^+kOg+}SCItlj=YBFFE^s80x^M~_0#FF`&d*Rkhmi>OD;g=Ten@*|KS1?gR2F?w> zOV1HQ(dl14Riet3UYELv6+LZyAy?avNkaxlj%qxbBgP4X8$uxK@mB+ctk|4bx1)qbFl%w;{qrFA-?q+d%0$K@?{+|U@gEKfdn)# z5M%^WMrCgj`{z7oon&Z)Ibx>nj@Lsf$vl-6he*|uunI%n@K3d3A~jsnnTHJ!iO$i8 z5#F!~_~>BnkWk#W1#rvCybF)tZCq1P6$#nn`xizy>NIGflc;S2H2#}9op?y78*w3J zQBS(xESrQM3*?@@V#ogO-HRxB9@eH=wVEtJsBGZW3Q<-%fFg1A#)%|@KYxscs+Qtb z7v!NYhl`gyfDtt>cha%X`I_KS#D7v;_FcPMHSDxQF=+j~)bc<*%;<|g#HWFPJceBoorIB=}9@ShYtS;eN(pmk`U{*UAugx;@ND* zxEmm~aUSv}1>Wc4Xtm2PcdN6WBqgLWzF;~gg#q4&tvo*QF+~s7fbvysuw^!?Zv;fc+-~zYymFu#sdJ9TXiL*Z4+eIW9+N*1!Tu>4EkVn z_poYRhjBab)KgH{vvnzN^M=k8)DuO<8PQ!6g_HswZ|!yYCB3$6?YGG!l&`3ILHl8; zYKV`&-b!<_$U4NAzqd~pyF$TVw38{ z$x8llG5lAP&BgC+geS*FynKE!(L32lUZ?cw^#T(#Ku-1WR{U16Kt!v+6bG%Wn_!is zsBHWRpn)z@mc2NU2k!2(ybn%HU3qoI0Slx4(K!Eo>~-ORt2n9h%5igYz>aNn z4l`#*k$ra*lw<+6O#L7O0RpRs=V00JcLE?lNM4_u8c!TFEAOQ8R6z6Rt(-=YskK1u zh6PF7I-q*;`qi~DNja+g8BcTvxOw>ljOrZRpk@p%x*VDz@7L=(G^b%*2ZKbeQMKS1 zeZ=}B!O*EIre>0dNYE8Z4@4xg4{`V}F6!=<({v&ApA!&6Jr>;!nYI)AW&G?^dtCn= zgS}N!_GjSGw`}EKYz3_d*|cRbh~4LW)Oz0Sg;q4z45ku}Wv6l-lB>si}&F5q;a@7`;n>n<6CU<)@biL>Z z6}oO~cS4EaB2t?7pQTGXcQXaNDQI?MqZPmbf-|Rg1#M2B$jP8+15fG|Hn_=6w8hGNOtd@LQYF1@O2K)z00=}jWC+b3(Lwp79EpRlOwBVp)jG+ zjoS~fSyvPD4VfoO`{EN1FI`G7vb+#%Bfc6un`MwFcQPwY$Yhzoy?uoh#m#@r8?&U# zEL_E*R$C)#!L)^N?>>wgq}qnWC#b1ZKQEEKe$z_Rx3ASOE(5Tl!h^b=`<4EVs3l-yy`$JT$FGBW_*2bTj-3ZOy`xR&M;?W9Qw9mp{;= z#wL{n7r=i)Ecu_1t@WX3Kro>8(R+-}Wdiik2qL>w^8Kb;qqblUr*(_lk49pTO!;P0 zC)22pY+K$iE!JP&!$khNAIN(piJ)?7!nyZzIoRYp0l_t0r@|2e0asfrP~K@R0R)9} zPe60>CHnks-pdFdo^TQ(1Y{m@8boCJ@qPp!#4=sEeHX3^j_LHFe{{o-g&~c(2?c?o z7ZI={#y=876`Dmg`cK#Jqub2YEb=8JKLW%6$ivk)!LLCDwe4s3kp4q)grI~E!#GY8r_{|ndwC;l=s|1^I!Ha9pqVPdvp z5N6+3X=-b1w{0PCakO$sTYY!oczJrSFKh&`005Q`QRDy&g@6D%1_}c;eD+5r+c+vI8TX1OR{lL3RNK6A)|y32c1}OW*}<00JF@ z2mK@l2m${T!5(G%0|0*lO(;PIEZ+!Bp4d+S1F>4&Ab?!~?>IFV3*T}v1;(FGtur?i zA4)A(%O{dWzd=MSpN{P5QYvf%{a{pKAlr;QHVeLL0T(;2-v%#!SS0`N7A|xqgA6wN z{gDA9CK7%Y0VDxRH}@nW?KF3e4gUuLlmW98E)f4Ib80FnmtlY4`zoCnBa#+>Oi=+P z0TTaOAqy`^I~pJVTN{)O91M*-{TUh<4G|l^1PUAhw*jOlfG-}A|3d+;Fc361b^k3o zF&tGVCL8T204JmW2o?hz7C{SE|0^jt5Dy|IApRAK^$y4ZLf;rCnmjXiHp&zm{|p=w z6d6-WO}6e0Uf)jw6-GJ%{!0%UG#xam+hNdL2UlRaxCQXZZ1#BpqbLRKJt_nJl7)`} tRV(NdUSH7xrr!n-Y7rO37XiQG0pbV*3cm&b0WN?6000000zi|TS+%E=^<)45 diff --git a/test2.map b/test2.map index 66e21ffd..8f82174f 100755 --- a/test2.map +++ b/test2.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:53 Executable Image: test2.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 08d1:0000 0000e890 +DGROUP 08d2:0000 0000e890 @@ -25,27 +25,27 @@ Segment Class Group Address Size ======= ===== ===== ======= ==== test2_TEXT CODE AUTO 0000:0000 0000017e -_TEXT CODE AUTO 0000:0180 00005dde -bitmap_TEXT CODE AUTO 0000:5f60 00000605 -planar_TEXT CODE AUTO 0000:6570 00000275 -modex16_TEXT CODE AUTO 0000:67f0 00002406 -16text_TEXT CODE AUTO 0000:8c00 0000010d -FAR_DATA FAR_DATA AUTO 08d0:000d 00000000 -_NULL BEGDATA DGROUP 08d1:0000 00000020 -_AFTERNULL BEGDATA DGROUP 08d3:0000 00000002 -CONST DATA DGROUP 08d3:0002 00000076 -CONST2 DATA DGROUP 08da:0008 00000000 -_DATA DATA DGROUP 08db:0000 0000024c -XIB DATA DGROUP 08ff:000c 00000000 -XI DATA DGROUP 08ff:000c 00000018 -XIE DATA DGROUP 0901:0004 00000000 -YIB DATA DGROUP 0901:0004 00000000 -YI DATA DGROUP 0901:0004 0000000c -YIE DATA DGROUP 0902:0000 00000000 -STRINGS DATA DGROUP 0902:0000 00000000 -DATA DATA DGROUP 0902:0000 00000000 -_BSS BSS DGROUP 0902:0000 00000ab3 -STACK STACK DGROUP 09ae:0000 0000dac0 +_TEXT CODE AUTO 0000:0180 00005dec +bitmap_TEXT CODE AUTO 0000:5f70 00000605 +planar_TEXT CODE AUTO 0000:6580 00000275 +modex16_TEXT CODE AUTO 0000:6800 00002406 +16text_TEXT CODE AUTO 0000:8c10 0000010d +FAR_DATA FAR_DATA AUTO 08d1:000d 00000000 +_NULL BEGDATA DGROUP 08d2:0000 00000020 +_AFTERNULL BEGDATA DGROUP 08d4:0000 00000002 +CONST DATA DGROUP 08d4:0002 00000076 +CONST2 DATA DGROUP 08db:0008 00000000 +_DATA DATA DGROUP 08dc:0000 0000024c +XIB DATA DGROUP 0900:000c 00000000 +XI DATA DGROUP 0900:000c 00000018 +XIE DATA DGROUP 0902:0004 00000000 +YIB DATA DGROUP 0902:0004 00000000 +YI DATA DGROUP 0902:0004 0000000c +YIE DATA DGROUP 0903:0000 00000000 +STRINGS DATA DGROUP 0903:0000 00000000 +DATA DATA DGROUP 0903:0000 00000000 +_BSS BSS DGROUP 0903:0000 00000ab3 +STACK STACK DGROUP 09af:0000 0000dac0 +----------------+ @@ -64,22 +64,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0185 __STK 0000:01a5* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:629e bitmapLoadPcx_ -0000:63fa* bitmapLoadPcxTiles_ +0000:62ae bitmapLoadPcx_ +0000:640a* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0000:6570 planar_buf_from_bitmap_ -0000:6690+ planar_buf_alloc_ -0000:678a* planar_buf_free_ +0000:6580 planar_buf_from_bitmap_ +0000:66a0+ planar_buf_alloc_ +0000:679a* planar_buf_free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:01c9 __PIA 0000:01c2* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:01e0 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -08d1:0000* __nullarea -08d1:00c4* __ovlflag -08d1:00c5* __intno -08d1:00c6* __ovlvec +08d2:0000* __nullarea +08d2:00c4* __ovlflag +08d2:00c5* __intno +08d2:00c6* __ovlvec 0000:0210 _cstart_ 0000:02e3* _Not_Enough_Memory_ 0000:0415 __exit_ @@ -92,86 +92,86 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:049c* __exit_with_msg_ 0000:04a1 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -08d1:00ca __curbrk -08d1:00d2 __STACKLOW -08d1:00d4 __STACKTOP -08d1:00d6 __cbyte -08d1:00d8 __child -08d1:00da __no87 -08d1:00e7 ___FPE_handler -08d1:00cc __psp -08d1:00db __get_ovl_stack -08d1:00df __restore_ovl_stack -08d1:00e3 __close_ovl_file -08d1:00eb __LpCmdLine -08d1:00ef __LpPgmName -08d1:00ce __osmajor -08d1:00cf __osminor -08d1:00d0 __osmode -08d1:00d1 __HShift +08d2:00ca __curbrk +08d2:00d2 __STACKLOW +08d2:00d4 __STACKTOP +08d2:00d6 __cbyte +08d2:00d8 __child +08d2:00da __no87 +08d2:00e7 ___FPE_handler +08d2:00cc __psp +08d2:00db __get_ovl_stack +08d2:00df __restore_ovl_stack +08d2:00e3 __close_ovl_file +08d2:00eb __LpCmdLine +08d2:00ef __LpPgmName +08d2:00ce __osmajor +08d2:00cf __osminor +08d2:00d0 __osmode +08d2:00d1 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:04c0 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:0837 __doclose_ 0000:0981 __shutdown_stream_ 0000:099b fclose_ -08d1:0390+ ___RmTmpFileFn +08d2:0390+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:0a06+ _null_exit_rtn_ 0000:0a06+ __null_int23_exit_ 0000:0a07 exit_ 0000:0a28+ _exit_ -08d1:00f4+ ___int23_exit -08d1:00f8+ ___FPE_handler_exit +08d2:00f4+ ___int23_exit +08d2:00f8+ ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:0b62 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:0d5a __fill_buffer_ 0000:0fa9 fgetc_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:69e4* VGAmodeX_ -0000:6aba+ modex__320x240_256__Enter_ -0000:6b1a+ modex__256x192_256__Enter_ -0000:6b7a* modexLeave_ -0000:6b92+ modexsetBaseXMode_ -0000:6bd0* modexDefaultPage_ -0000:6c36* modexNextPage_ -0000:6d06* modexNextPageFlexibleSize_ -0000:6dda* modexShowPage_ -0000:6ed6* modexPanPage_ -0000:6f26* modexSelectPlane_ -0000:6f4a+ modexClearRegion_ -0000:7062* oldDrawBmp_ -0000:71c8* CDrawBmp_ -0000:732c* modexDrawBmp_ -0000:7392+ modexDrawBmpRegion_ -0000:74fc* modex_sparky4_DrawBmpRegion_ -0000:7666* modexDrawPlanarBuf_ -0000:7684* modexDrawSprite_ -0000:76ea+ modexDrawSpriteRegion_ -0000:7862* modexCopyPageRegion_ -0000:79cc* modexFadeOn_ -0000:79fc* modexFadeOff_ -0000:7a2a* modexFlashOn_ -0000:7a58* modexFlashOff_ -0000:7b28+ modexPalSave_ -0000:7b7e modexNewPal_ -0000:7bce* modexLoadPalFile_ -0000:7cb0* modexSavePalFile_ -0000:7d28* modexPalBlack_ -0000:7d52* modexPalWhite_ -0000:7d7c+ modexPalUpdate_ -0000:82ec+ modexPalUpdate1_ -0000:8366* modexPalUpdate0_ -0000:83b2+ chkcolor_ -0000:86ca+ modexputPixel_ -0000:8758* modexgetPixel_ -0000:87de* modexhlin_ -0000:884a* modexprint_ -0000:89e4* modexprintbig_ -0000:8b60* cls_ -0000:8bce+ modexWaitBorder_ -08d1:0104+ _VGA +0000:69f4* VGAmodeX_ +0000:6aca+ modex__320x240_256__Enter_ +0000:6b2a+ modex__256x192_256__Enter_ +0000:6b8a* modexLeave_ +0000:6ba2+ modexsetBaseXMode_ +0000:6be0* modexDefaultPage_ +0000:6c46* modexNextPage_ +0000:6d16* modexNextPageFlexibleSize_ +0000:6dea* modexShowPage_ +0000:6ee6* modexPanPage_ +0000:6f36* modexSelectPlane_ +0000:6f5a+ modexClearRegion_ +0000:7072* oldDrawBmp_ +0000:71d8* CDrawBmp_ +0000:733c* modexDrawBmp_ +0000:73a2+ modexDrawBmpRegion_ +0000:750c* modex_sparky4_DrawBmpRegion_ +0000:7676* modexDrawPlanarBuf_ +0000:7694* modexDrawSprite_ +0000:76fa+ modexDrawSpriteRegion_ +0000:7872* modexCopyPageRegion_ +0000:79dc* modexFadeOn_ +0000:7a0c* modexFadeOff_ +0000:7a3a* modexFlashOn_ +0000:7a68* modexFlashOff_ +0000:7b38+ modexPalSave_ +0000:7b8e modexNewPal_ +0000:7bde* modexLoadPalFile_ +0000:7cc0* modexSavePalFile_ +0000:7d38* modexPalBlack_ +0000:7d62* modexPalWhite_ +0000:7d8c+ modexPalUpdate_ +0000:82fc+ modexPalUpdate1_ +0000:8376* modexPalUpdate0_ +0000:83c2+ chkcolor_ +0000:86da+ modexputPixel_ +0000:8768* modexgetPixel_ +0000:87ee* modexhlin_ +0000:885a* modexprint_ +0000:89f4* modexprintbig_ +0000:8b70* cls_ +0000:8bde+ modexWaitBorder_ +08d2:0104+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:10f0+ __open_flags_ 0000:13b1+ _fsopen_ @@ -180,13 +180,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:15c5 _fmalloc_ 0000:15c5 malloc_ -08d1:0118+ ___fheap -08d1:011a ___fheapRover -08d1:011c ___LargestSizeB4Rover +08d2:0118+ ___fheap +08d2:011a ___fheapRover +08d2:011c ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -08d1:011e ___iob -08d1:0c94 ___ClosedStreams -08d1:0c98 ___OpenStreams +08d2:011e ___iob +08d2:0c94 ___OpenStreams +08d2:0c98 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:173a fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) @@ -202,15 +202,15 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:1a04 __FiniRtns 0000:1a04* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -08d1:0286 ___uselfn +08d2:0286 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:1a68 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:1a69 __EnterWVIDEO_ -08d1:0288+ ___WD_Present +08d2:0288+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:1a8d* __get_errno_ptr_ -08d1:0c9c _errno +08d2:0c9c _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) 0000:1a94 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) @@ -249,13 +249,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:26c8 __I4M 0000:26c8 __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:8c76* textInit_ -08d1:0c9e _romFonts +0000:8c86* textInit_ +08d2:0c9e _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:26e0* __set_commode_ -08d1:028e __commode +08d2:028e __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -08d1:0290 __fmode +08d2:0290 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:26ec tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -276,9 +276,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:301c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:301f _nmalloc_ -08d1:0292 ___nheapbeg -08d1:0294 ___MiniHeapRover -08d1:0296 ___LargestSizeB4MiniHeapRover +08d2:0292 ___nheapbeg +08d2:0294 ___MiniHeapRover +08d2:0296 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:30f9* _heapgrow_ 0000:30f9* _fheapgrow_ @@ -290,120 +290,120 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) 0000:33ad __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:33b7 _nfree_ -08d1:0cb6+ ___MiniHeapFreeRover +08d2:0cb6+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:34b2 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:3687 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -08d1:0cb8 ____Argv -08d1:0cbc ____Argc +08d2:0cb8 ____Argv +08d2:0cbc ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -08d1:0298 __amblksiz +08d2:0298 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -08d1:02ec __Start_XI -08d1:0304 __End_XI -08d1:0304 __Start_YI -08d1:0310 __End_YI +08d2:02ec __Start_XI +08d2:0304 __End_XI +08d2:0304 __Start_YI +08d2:0310 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:47ea* __dosretax -0000:47ef* __dosret0 -0000:47f6 __set_errno_dos_ -0000:485e* __set_errno_dos_reterr_ +0000:47f8* __dosretax +0000:47fd* __dosret0 +0000:4804 __set_errno_dos_ +0000:486c* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:4869 __GetIOMode_ -0000:489e __SetIOMode_nogrow_ -08d1:02ae ___NFiles -08d1:02b0 ___init_mode -08d1:02d8 ___io_mode +0000:4877 __GetIOMode_ +0000:48ac __SetIOMode_nogrow_ +08d2:02ae ___NFiles +08d2:02b0 ___init_mode +08d2:02d8 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:48d3 __qwrite_ +0000:48e1 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:4933 fsync_ +0000:4941 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:4938+ __grow_iomode_ -0000:4a1f+ __shrink_iomode_ -0000:4a60 __SetIOMode_ +0000:4946+ __grow_iomode_ +0000:4a2d+ __shrink_iomode_ +0000:4a6e __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:4b07 intr_ +0000:4b15 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:4b1b segread_ +0000:4b29 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:4b81 _dos_open_ +0000:4b8f _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:4ba7 isatty_ +0000:4bb5 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:4bc3* __get_doserrno_ptr_ -08d1:0cc0 __doserrno +0000:4bd1* __get_doserrno_ptr_ +08d2:0cc0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -08d1:02dc ___umaskval +08d2:02dc ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:4bca _dos_creat_ -0000:4bee* _dos_creatnew_ +0000:4bd8 _dos_creat_ +0000:4bfc* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:4c0f* _heapenable_ -08d1:02de ___heap_enabled +0000:4c1d* _heapenable_ +08d2:02de ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:4c20 __nmemneed_ +0000:4c2e __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:4ca8 __LastFree_ -0000:4d22 __ExpandDGROUP_ +0000:4cb6 __LastFree_ +0000:4d30 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:4e33 wctomb_ +0000:4e41 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:4e7e+ utoa_ -0000:4f1f itoa_ +0000:4e8c+ utoa_ +0000:4f2d itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:4f69 strupr_ +0000:4f77 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -08d1:02e0 ___EFG_printf -08d1:02e4* ___EFG_scanf +08d2:02e0 ___EFG_printf +08d2:02e4* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -08d1:02e8 ___IsDBCS +08d2:02e8 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:4fb1* _ismbblead_ -08d1:0cc2 ___MBCSIsTable +0000:4fbf* _ismbblead_ +08d2:0cc2 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:4fcd ulltoa_ -0000:510a* lltoa_ +0000:4fdb ulltoa_ +0000:5118* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:517b ultoa_ -0000:5239* ltoa_ +0000:5189 ultoa_ +0000:5247* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:5287* _dos_close_ -0000:5295 _dos_commit_ +0000:5295* _dos_close_ +0000:52a3 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:52a4* _frealloc_ -0000:52a4 realloc_ +0000:52b2* _frealloc_ +0000:52b2 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:5380 __ChkTTYIOMode_ -0000:53ee* __IOMode_ +0000:538e __ChkTTYIOMode_ +0000:53fc* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:53fe _DoINTR_ +0000:540c _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:5778 __doserror_ -0000:5786* __doserror1_ +0000:5786 __doserror_ +0000:5794* __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:5792* sbrk_ -0000:57a2 __brk_ +0000:57a0* sbrk_ +0000:57b0 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -08d1:0072 ___Alphabet +08d2:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:5848 __mbinit_ -08d1:02ea+ ___MBCodePage +0000:5856 __mbinit_ +08d2:02ea+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:5923* _msize_ -0000:593e _fmsize_ +0000:5931* _msize_ +0000:594c _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:594f __HeapManager_expand_ -0000:5e58 _nexpand_ +0000:595d __HeapManager_expand_ +0000:5e66 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:5eab _fexpand_ -0000:5eab* _expand_ +0000:5eb9 _fexpand_ +0000:5eb9* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:5ee0 _bfree_ +0000:5eee _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:5f05 _bexpand_ +0000:5f13 _bexpand_ +--------------------+ @@ -419,6 +419,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 000175a0 (95648.) +Memory size: 000175b0 (95664.) Entry point address: 0000:0210 -Link time: 00:00.65 +Link time: 00:00.00 diff --git a/tesuto.exe b/tesuto.exe index 6dbf027edf2117d3a5a54f2fd40b88760076686f..e475c0f0f3cd7c457657e254c392b3136a048adf 100755 GIT binary patch delta 9143 zcmZvCXIN8N_x()|353u>@6x+;35Zk?ktV&1AT5B90E0>p1PN`xI2P0qMIF>ZL1}{0 zn<7e42nkgM69R~efCRXIocDd_`F;5B5BoV;YwdOS+4tNhU(TObAos37s4o5(Kn#EY zP@o(Ff;VBii+@69H^YDo=(B#2mWyh%b-DX>nT34_1W?RCXhVwIw!h!q(#?z$#wUNi z@VE+x!y)BSgcm5FIU&=l-^0iXkHBmg)owhjP# zx_fy0)KJlINEba1?g+W}bP$e(P+N~xbU`b6o&z1Y*q2g2COKn z3B4^E`s-SuG)4OECU#~kAz-H&o^hI8FyL1qVr+B0*`Kyw;Bzo*>(*Am2B8C59L&O^ z4m|deN-mK;FKa7jtpcyBkb899tJvutDYR$FR;o!x+wM1$drs?yI(KEQlD zvM#(C)r^-_6|f(b=#gJ+<|oLp*P$go%#&ls_A#0#S<{KV2`@J}pQ4^iO{vS+$b-C( z9Bpv$dh-;6qX-H|uJhLflSV#DUtWWBCMz90@CfMZINKRh_cB3#wc8}-r5p6tYn4f- zxLGN(FQF{wolx6sX!$DDVsn!c0d;Wd>AgrSct-vi{=3U_Xl0U~8e$`>{RU3CZq0eHjp4Gbmv^tp&} zWz!Y~WNntVdIR6V`avA^lWiQZ71BGvMg$g$2vvNp*)w1#Y^?F6bl>36-+mWX36AjLv! zoHFAP+_|3xIHYvXQznaqc$!LX%7b}Pxn02eICcF4D|>wKleWc>E___>JbY_BA6Es) z$G?D$RJXU6jXA!ok_z=q>jfR#vn>N;l|t9k9)k|@s{3wM%1?7LF!a!6-+|vM~8f46#& zl_bymoi@Y^wPz#6qVB>cEy+>OIhlg!FpBy5j&j%BPS(R&e5a;F1zfR5)}=e&U`0c* zw5zh-1GUs`-Rvp|A~OIe2MvBY7VD=Ms+xGbZIW`VlLnRr+^DAbt(|LSob+s*#t0*& zINiY;W*ZzY@IXOVdO=-DPp8LFDs>ouN51Q8W>OvA#2*}_z0+y9zG-NkIa-6Y?P6YY z_wjf7Y^%-1*qFKaB%d=i2UEP_h7n?+z9IbOM~{Rq))S*p9E!Nj>h3fj1{G(gRQkEq(1pWo~-Oo zbuCd{y_%36Za1^Cfrx%nucR1kO~!GtSNRVx(~esJi7ZEvva~QS|Jdfhg;i#s1~_R|wt&%wY-| zF>~p|C*S#mI~oq7Yf%ryBlU}z8M%iW;wFHej03j0CY|}RX1T_R8&Y1c44gL}Zh=Q8 z5+;VY?=yKB90kfN{fN<(u4VlKt{ z0(2|F*K}@@IWHHKgy02vhBb5MngtVDdwh1 zr>#048_JFxS~K8T7T=9;{iegZ3-JJHL1=D67tB638wG@S8Ao+;@jC%!67SvX1&d}UpGtcOv$qfFg&*xXLKpi7v$GOZc^)2`dzAI?v?n@+m`Le9IA;2H%ngK zo5QSe%~jVQRjF*dz|ov&@N8`oX7O}z4RZgRf@WAQi5Yn$2flw@ZFA3~gO9w^d~5VY zYSKGfgLjBg$$LQJ;bc#u6U7~NA3<6&p=ic6uc3{|Yc`xnU^9bTmP+{YaeovgOiD1t?=c+3-lw#WGL*|JQk5C39bE?i9AQ*t$POdT0 zf%2r)UmgMHR)i|I!yCYxvJ6-n6?>hOBh|yrE6T28@*(~p&Dpi40r?1`&MH}!s9Y7Z zhjSPX>6R&E7SC;LYjP()O4w%15w$4%JPaJ3ejL8-KTZrD!>O(@ZQ(vsgvLuTh}taY zNxLu7+-J5VMrT``nF#5~G}hUCYmmG|`|cocjr?`an)_8TsOkZ2ni@hlxsuHT%X8;W z+^&q8knpP9D&NZP36a0hA!7OaHu)o@om;KlcOtGcOoJz5{*$VMEj?Z~&6$ygs#rmYRSH`iO6u zKKFbz$>2fl7wD0VoR{sVt)IU^XHyf3$UaSnek*>NSmtF%G!}7HPC2{T#L{i1LAnJE z)&pNa_NI_^9f{lz>#^0cWydE`JK2?(<)=Mxoh3EC^&9f#)BqWbY{u=#NS2A0Rn8+@ z$yn6`H^eM-&+NM=1B{vsTe5nofXH9VCL-$TDLz4)itg8>;5qu=bMoG_uQ;;6wUS_q z7L{vG093K+AWShHzx~j5(4==u?%HI1%<+J07oZ>HE`H=1#_H-%>9Bs3v|mYm&2ic~Rr7eQ^M{>g$TYYE=gjQg^89nb@egfj zp-dK4+Dj&&7M-Joi-Y2y2=|K;v?UUrX22lv!U5Dy{MR(Jo5%Z0;U2<};;W<|`(3=Z zqH^6>FYENfsQXgh^91@=*Q7pO_8Tr>%4g5*=cMBg-Lm4oAJCMZp!Qf5jnLq!uGylt zZR^Z&Z@6fix;x<_kNZ=w-!{vvft>qIlX!7N>!YO^$Sm)bd5!&z7kahgi+68kWI=xM z?@<9h2A#WN zd<;Em0Nv)$^@P+VbUy1 z!E~dhmbS8*l>tRjNbjaJMJx`nSS`q(hXk>3PLX; z;nVAUA?wxm5Gc~t`$}i$YjncWPqz4GAn|P$dz!ZKc$ip=^^RC&xAClmyw|ivdbpfa z9N#r0r^rNQa}~m&&OG=i+vl3>bBk6gAnqvAY?Dz!=CiEI2exXzU3r~4~gC#}c5v5sKE94O}z!0nfs zVJU5zX80y!h@M=F7vrg{O<`|czm;%`>I6?10#KF9mC?es` zRZb?9IvUBjkO?yixX}G81LRa=y>^iSa_))Vh3`$}D-$Y^!?zOmahK@O+iN@y7Z0&^-__ zB{KC6BQ(7mViggvEEE!F{29I03tGp$+L{bM%LzKWG0C^#SM&FdtU#CykuABba0{!d z%;f~_sKIs87eY0ae8HtM!xi!NWsi8=qMNE|%G+v;VP>K4!o>g*Msgty`r~y@%IVV) zvkzB~iN)Q@ke)cxv_69-AXe{U7xl^9x709ve=ILkTIjX@yN%WGL~eCcsjkyeHf0r; z8a<3HVR91FSkYPu@wCJ5s(3F;$Gwf}P-Fz3?#wz*_;T<8q1TDuj$hGzi7wHzkp+3e z?gqjs5E4&$8z-T2!!Fz4ecmEz$6+NBCO+x?NvsiEEcv}L>bkdtSl~k@KX8LbJulK5 zLoqPzEL}W^N_*$MtbW!?yM>n7_+<^XnP^Z)P3S7_HOPP2F7<&Ow)h<3@IqwFUze3I zDjr%W`qaT9rx7vJHc1cumLJHJ2|o9b^cayqq1^9I5|26iv*<|uclRfvTKuI}1sZ(p zuBn~POhJV^-;E_rXEk#)_N%;zAbOCeRjWI%5TG#PbrS=1v7@z}^A=y0x^9ZutTk zw1v%8>LBOC2U;6Mu{D#e-kWgH$#MbAPE`yr+au7h)nK`nWFz)UkX}Sk5Hz0j!q~)S z=yTtyymC9=Lw`=thG6I%f-D3{M~Q1uv6ErL)ERf5YgonD3)ak4L6#(&;6(;G@}xC1 zZ0}0HTWnm%5ldLIV+iG&0Sj}=_|1T@?RyWtxo-rV6Bx#` z)OrT}9ExFSg10cM{@|#vkdTGaPA)<8T}%AD!%q80lE|lrqqa3C*agvr{!*q-z31Dx z^eo+XC+-jTkqAiPSM6YjQ{AFb0nNCR9dt#UB*ZqWpJH-Q;EjOgrptqzgN=4P+>C2e zvU>qj;OCx2)WV4K<#8~xor)ishxKGH4+Fs{a4LHYuo$C2Sr(mB{pi0=qWuT!$o{{T zoTv1D_LU7a8HZG`$A+JMRF{!c-;ksXtKE#nT;phstTS|vUJal1uH1N=bLKPBZ)!vN z88rRPHZ=|v-Y&yv=06zz;{N9_TqPlZkMF{`^j>p~OHt?`^JXNSdP>?5KFD*S$kq^v%9C3|m+&TV~ zZW!fOTyN~|2h9Cs^0NRj9y06LV9Qj%y7}ua??q7tX844^)dne4clETzx6kJh+}JuB zqJsB#sBL-hLs>IHZIe1f*=rZR<`D%?6|H?Lq4g+BO!{$|*?*}wyKNSwbdS^aergcc z@8cDYP&iRu!(?;`hWkkvy99BlpZm(;6 zIz|H}+I|n|Dr8#L2<3~%XKv)nh+p2yi)y+Ip{nN9$X=fP@v%e$pP^5A#c+M+C31bX zU*Vtv3KVy->#O?{lTmb9STErB_MrEspj8sLzrk;P8vk_=axfw7>c^RHdOt?!ZXFk} zYCP!rhf?IZmc@^-K3_I`$o_AbR>k}p>YOwvr5#3;Oojh|AIL*VwrE}kKXMBuZue*; zQawa2xO%hK_fM4g3-iUnHN`I<%KYfzT>q1S`jg8^#%}p`>wC;bdU|O)K9crN>3Uqa zcQR^=|B;I=o`n-#iQD>{v{YID=AKah%7e~=&51DGf?R5+Al0!VV3JxFX= zclq|+x~A{Th9C$gUgxb#e$dueMH9k`H#r+RTA}Xye#{ajea;91E+ZI{CBHYsmaZxz z56PuF$mom4&T^lHCU$El%KANcSM@&qkWhsMJzvIH2b`g6)Ng#NEY&NyO`M&{`K@~f ziyikq_geQ86geuPk1yC86ph)tv36SgtT%m8Mv59T!yUXp^@$DHav6&=KJDMF@roi^ zU7Pt`_Db!J&4A+2@X)IU+G)-E-Ahb@QY=n#GrmWdEpEQ?Za80p-ZD&EVZ?`gd%(y2 z9$2LPDo@9Y`G)9Jy`b&hS^T9Pp=L|$B_(N;NbrTJh=l$EYjl-O=sd7sB7Cw#Y0)*Wh<~Gd~JMNk1|_ym0&u842R2aN=R&+a!z5 z*gc1&q0win7NLpgF_~k}>ZDEJ_hC4jkR9_;iX{vINoz(}_~_43%TvHiSAMERDpnM| zX5olV5vzlvh7f9L7?w_74q-TrQ|{X;nx;2(7tcHi7#98U?B@I+?uzVO2)tp!ItAM3 zqG@S_3 zdv{kjQlRI)xv(oA^4+KF*5#riq|^i!j_{SmOm75#>AtsQw68wr51ToQQJ({1W<_=k zX_+YnLa!a4O91>Eacx2LVyr-%+Y9AvE};T35y&$BfN2`MP^%X}eGtVPY4$eBS>x7u zVoYzPL*Ci+FgwWt9oTk;*aLXb%srrr#E&v4I6qQRv8TggCA$O0Tr}^fE3KFGb1&MC zTAFZA($);r39T2Gk!#ABf9pkro>DOg)LoHwt{M?bsAO8nnjLx(-^5w?!!mY;i00t|1hz$Q}G9JnIVqqsG^+HU$+_Ny%g8%Y7OY& zFB3QvO!rwYV$hH8(CQ99uqK3FLJc(FWEjyWPWFVF8jOZjVNO22KOpog}$hc`k3#GVYxuPFlulkw+sTR z_73q0+B;{lN>y$xpigzDEc)5rR#}1ku#;;=^+K~jC@G`0tjjE3=Yne0CwznI=^8jm zR?vT$swx*R2jJfeFjYs`4NYf35`W6NP_erkPvDYd4oj7J`iTN7eI+$!Wcp^HFpY?U z^U(tH{sOa@H91)e&(1p7FK4U_M8#OFZK!6!8tE~)!AcCWQ$>~i+kj^$Oz8?SZZ4WG zwO6pZBZ#C|HRZA|px^1f7!4KX_%8I^ityBDHW@SwY^ z0Vh(mzo4E)_$ znL$2cyN!9)qg=yFJ4*7HZa!AovT zlGMFT5!Z3s@i>KRn5o`6_+nm+Hq+QB{kzPckB>T$@7gvXy!NcbTnoBAvS54z2j}!E zma#4mr7BVli;S7;I%3CRc4C=^Q9GqM>xe+}J<5tIXO$c|^TDcY&)UKV>=2CR*`(n*i62Cy|v{oW( zcx5fk=UiL3?`J%-0$F!PZ#PSv-IPxxO9~=F^M2Zq2z|Fwy$XUX{hds{sFbMWL)1a1 zf}2|^48)ZK0n%HwXVb9fr80XPEeMjQxJ|b&vW)0T%0`&+)_A!J|3N5rkshk7NX=kB zmo~cT(aJjuurH${wl2*SKi!K#4LOezkVo3@9KMO<^Ck1L0Xf6ibVhBsqG??+RMRG` zPdjw|kT_!T*(QeHzm{p=YFa#?`4VLWux)hTl2?07W6h^0VYe(BuTfH!J~2vh#Gu0|1sZYe{s2raz(LxFJnSNg7YKL>ddB zc_Xn{QkfPF49tP}Pwu}GXI()$(fD}&$r4c^$p}PnhcY)sQeJ=M_T|kuNPV33c=Mt3 z@;(3lLeJ)nvTw#Lj{pJLsErFattPnaD_R`^REe!_*Yjeov|QP0}pR6 z`W$iYR;e@JOQ0dHa1zr_V~a8E*?0sWqx8AnpKrFAfZ( z#>2SFs=m-23kHxWUtlr{ljXM}lntgnw-<$cbH0#D^B2~S*CazZlKhaT)_ccO;o<`7 z*@q6M=u;Hh`CA+;ujqbz%8wUokdHXx4~QwZeJD7s4_QA- z2JVfuTBJoK^VN;OhK{9*u1Q+P9oin?oB?6w;h!7f2PjKGjh5TA>BP1#7h0sH!AeHC z3^KM$u#*4)QNb!^Bt}GFfbv61R0VQ^LRcIamk=ICj!=kv7afPaLI#s4;bcluL^2a_ z5T{NPqT^5KFcT9HQ)bDOL>4*;6(4VxB6&PQoS<(2?lm+rHZe6bUkW=Gj&F$ciH=!{ zjUy>8C7w(=xI;-vP5Uh!=mWm-19%gNkS8=k#1aZXON7>708H5vUJU?fZD&0iLmq%t z1D12D*I>Y`=*jHxT^{P}_AwMneRvY!Ye~Q&;fP593X3UgNI{}m5O6=FQ$c@VU4ajY zNHr5y!hR7@L-gCB6)4iU=@5^b#fu)GCPcUtqHG`q$_Gea6p-OBT7tZ@cP}g*)YC3) zu!TQ?G+4Rr^9L2N83bLjs>@f%;iIJ1hkImZA%feuK8OuOq*bxd113W_0AjthG|cdV zN}#HOn4~*Ig$o|^RNyzUl=R#>2s{ zJbbTAGZKK5XZ~}E0BZfzMXd-0cTJ3lr_bn$(V4n}Poa8uVN^+>U-t_z01%N978e;8 zb|N_hfaU%Vj0{T(NhT-7Ma1u-xBf4hK#q#|Kb)ZOe{CX3WTU8vv|ag=|3MDXX%WZW z5~AbDAr1*iq%iU>NfG^zo~~+$le_cZA3$nSG&v$9HTrnOucz)FfnB8)-NVoXVoj$R z)D>}w<=lrO(~uKsB}E&7+^Lwb%83(`jqGyWZNoBq{UMC7)@e-)&#xZT6H zs}ZJ)n_PiN(5p=h44sw!%Z7v;PY91oNRHSQ{Gt3Wm>fkRA5Tb)|J6xE7-^S$Ocymh z43VOTnTkM~=@e6A$QJ#fsS6aQLf;Y$Rr{Z5T-<+b z-Ra)@^o2Xr|Hc0UXVS0i(}%pFzuad6(Y;_{2#KN_Sr|b`Popgk^GPTEdV&AOuZty6 z;3Xdbz@gUkLA#LM!Rp&9P<1%j(y50f7Ji!}IO#3=Vyx@rw`rmHv(Y)LZ?->uUaj k_x;QLTKtD6|Kio5QU3@K{~y7Px# delta 9086 zcmY+KcTiK?_x4XJkc7}fN$6dQp|_xPR75G#JBU(35fb1^35b*sKr~(p>J_Y5P(YeQ zrAbjlDT5nohdP3;JnMrZL6rK?+kdUzZUcWk(kQw={jjuD*U~b8j(8AoS&wyl z-`@Bws`B@YVJsPYaYJWf>!sfg1G<^Urui6)6&WF}&-+sMn|ur0D$jY%S;usOivw9m zKI^AmGKnSFJXv2kTq*SSklghqkAr6S8iG4*>t%3r+IFc3T65&FYs*@Y0?KbcFCexQ zJ`~0`&k&ZqDkv%C*D24aLSas`*TA-3(WgR#%o$;$<1z7FFW+o%X3AbfjA_K%PR2QRF$D7)4bF4%Sa&R|7!py-Ek*0HZsr9TD~E%}e=}4&w)Jz&7vlRFe+| z%8+usG0{09?vtRhY>Pq42ALBMK8WqSC`X`?zWy#g=M4T{@n-LHT!q!%%?f@}9!7{P z&#R0#(Ylf0fq3R|7W3BiG73T>{qe7avbrV-Qm_T&_MrWI6@LuvA;1a(i%9JA%!-%c~3 ze(GXM!oUl%`$0cs;4%Bp$9PYm*?zs@`fnV%(a{L~Y!zc2PkA^1^}D{_gosRQ3nwa@ zj4Qx>&tNoy%%_CS13OIhbhZSU0u0bWUOP~d8$yLE|#FSwaK%) zPX)-Q)mBs0(vsTtkDff)g~t@B^(7g6Zcid<$Kc$UB-2X=d15v9du}wgpkwCXh+yWy z%mx_uYZ?umjSYJ?91{a$JR>#bXEl3pUju7jzEjp%*;mJMyQqXBdS+$N1y%bI1 z^HUXmZhQ-&R-;FSc+GGC%;D!Hgc|u&?Nu{99z1FuI!W#2`5mch@>y+bCZ2NlABTxT zWJn!>>szKA51s;T>NL%_q;t}Z(LX=|pwB*b2Mkjj8r~o1qekiYUEeS$%Z#o@+J+80 zyFT!G8e7P8Z(L%FB*&>atQ1V}5md*!Xc;T{LODfoR)qt2gx^IwKQ5ZZeX)T0RTTIh zGeqy-!(Dd2cn!TABk<#e`wDxnF46%tbO+J3>;e;s;rmeulaD?UoRse{#H298Cm(H3 zE*1zy`AR*Ag`VOSN7Ww_9+Xj(SqjL8LOWIo9RXV++XEHCB>7tS@C$K{9WNG7ntCmZ z{(Vskp(|M(v@^vWu3!=P-?Nky_gazAWaQN{)Vw@L#7RhIxFXZ=gGjYdlGxw|3kn6N z!#TfpCOav9P4o4bF1I1dJ7kV(oda^H*Nty@acO)dmksBSSDB5l8lUf3OneJVAAvZG z)qp2@re?HfP3~wq4ArhaLY}}c6lLTd_9Ko=0~yw~w#Fd^vZn1urt5+pZ>5~J9&PcC zjF^n{b3bioW^fe9Z)@;F>#rFKfPF--j{L$9cTVE+m@uORFHISQPd>#B^xz+$^NFo}t)d71;jZ z)99VDE_;6SUP`A)%N`QLUaSDhBj6K=1heFbyG@^*Tlw49=1#yuy9&e$N%@{DoP2cH zuTxcKoA?+%$VwySNA?^ZG#Ft!{$~I`_f^*GeYM$quYSLlqz;Z+dF}KblN$2i2eZ)v1K_Aku0AAHJ^SIc1z>fM-P_?ofR!y`l_st^t*x-SzBl3nrF0)vdndsxI({$@jx4d(=K@+Wt(G#NAUeSZWwtLLAtaM!;%l8u z$jVmFtFQf*6njh$Zu4?*Pl_ThYKdhf9K8(bPr^R*+9pX5j*$D>P7K9a)08RPcCHb@ z;S0IhR|p2>R^3((hO)ljl%0p9!0NB($WYq2vaMbV_XWUt^1?4xiLdJit@8P}}m5L*LC1{P4Q3z-p^f+|esfXb72F+4iwS^w)Tf^)<;^P{>*YHdPVwb#r>{w)TsLUxRUGGsq9S(Pdpdr zQ(Nl%UJ6msd|@k_)+w@nLCiAf9BCTVTF=$)Mg`8dYwpLH{icNIBpf#w_hVr*8s5{m z?}CZ_;Ez|Dk~T87By;wN_m{k`mw2wpGidqoWUc?;>JjRPoXjnioYiz6aQ5q~T-5`@ zvL>ASYv)=!`ECxkAEh3b*MD=Hg3qc4w_*6$tbwU|B>XK_G<;y(LU5E^d&#e>n#vYUV zqK%|W)xKFvI9a4WFh^$^X$;g`c2Vy9PBs?PuuRel*eL0>m1%?Gf41enUs!hhJ^y^Q zByjPSD)AtI5UaHo6cc{F{Rq{@?AmIkC7_o|NE$C~p9>s~{o*l@wJK%e*_xzFa(rWH zMvg1Dk|TD;N`BR~bC9X0SbDUE1@Bm}t;Ir$F_~P8!d4CN*!K~xE8~T>T7o?r$5%op zN?KyVbTsY=9=OS!<{E@VC*gIdmc)`PlJAl^2e+!5pZ5O>wY%HD!`p#!^tapvMmUbz zt}h{(6l|RbPWKkSmIS&6EZie1u8paddig8^0%{cXYq$PB%};T0yW~-5Ckm&TrvBP? z_S`DZW!cuL;&UkGtWTSQE8443GeJHBH2Lg^uiKo{!W!k9+)sN9lDv(kLvjwM)ZvK{y=s9_&0iB!kgW%SYGkwyMhb`-}eYMJo+`Nh~nvCdz zr$-dbo;#E+C~IsdB^0~@K3B^hz`>9p;)9}xg&Twm>~dv9)(D~GKXeORy~riO>z#c3 zVqJBP!zh&@xO=$)9V~1}5o-23?bX3SKiYB1;F!QT5;DQ4YvimvzLz#h`D##I+C&k*nw?FFY3RxB_T0F7WXjq4*@3eA`yi_vfS`|29)G`{1 z&OC$;B{L|q7)zp-eyujuSDLoATUwlF+sQ#m^4V!{ zvsP$l^?3!;+kM&!=`Zx%dFIbn%pMnw?`hRi4pG-zCMy>1#cq-%VBzzbLcyo;Jbx%h zBPRS<BJN-^TEGO(F~+K{`~q=iLE7*H9o<1~>G&kKrL7Mn z7+las^d%Hk*}VI16BYv-!=a@Q`Rg9Pm%b=g-SykCELiN3lA)u2m938Iz}{O(-MybItZC|^5WwPR6* z&B$S}B+u@MPkp%jlJm#eo#aNXOZ+)&db*~D6zqf9P($>SXye~i?*$Sc5E?|bDZT}` zk7tDJvswFmGf95!fkU%+qpiG8U=;- zMdX@Xw|w&H}uGfCVJZNfc6 zo0-NU??ToqR=VQ3O~L2=y&jPJ+32On0b~iMEDh;Ff*f0VA ziM36Y%P*{z-c9`IzT*Feza-GcoVTe$aSaun2gPhUEa9YlZ%~!_rssu+mmQYhL3Bqw zXEb@I=1b%r_FaE0CGq@u9SX466hn7?B!`*Y%$+|Vl;T;j=#rSO{Yot1)Y2;7uXw#E z%J}QzF1p;ZwEGb-ML&Ly|3p8qbP!5RJ&1Rt7!rhrdJ}E|C9*^YByH z7@7Q_V@C2*)^DuUPois$hL+U%G@7Q^Fm>nUMy8O$fuB(Zn!{_{m-7CfHDi6bHFL@1 zXES_twT_jtzL^gIES1;Q${jDcO5f>sB=D58G4{mzyV-%+)S&w~0h_bAtL%UvPz z$w5)-kG+R|1c zzUHy)&w$0}RWjJ3eXOnLK91kBt&-&V$DKUcPv1O+qy)cIfPM`x4on}y@oD6)lS%58 zrLzdmiq;zQlkQci!8y-$gXC7)w+5eeJ>z4n-xp8IwcAVR$oWUe4a@;u!R0K`|PB@$RmAB4CG7Z zY$pda8Y{?f+izaX3aEb%b~8cy^psP`+?4~7(>1^2);V)KNOw#2W6_~HDTLG9!Fbi; zkAXfafe+UMckJgpr6uihcJ_5JpvTL{J+j*nW>5BK@ex<4luhp)g`EXa? zD>|_G0kMnDN~h0-M`eGBX%6vV*FFEh4DT>{tiH|MCY{QPp5c6**}%~Pk1o_isE+2Z z6*gLMo6q(;NTV5FQJ|LFH-@Y#Or+m4Zc|}{Ingtyv=+7U7FlUIRvQ>?OcbDEjSG{J zRDW>Dlc$s)KntluK4E|AwV4to zwNp9FCy6_JAFbiCv{97wg)07bW?T~AT{yUq@4y^G#`GbAuKlr56bCjKFkV?RF{Y+i zT(mNAD!7@FG?b5%5p&2|mKnOgcg$oaRD~DXvOlPBSa`KsUQ#o&alHT+aCs~LR#PB| zqLwe)c^MKsp}CDGzpXm@@sZf1X^+(bEA)3=XKMFfQHZUgG|?cxR`~#vhrIOT+@4sU zwQlW*WcCE^`#tGtA!@XA3#H{;vMP)gXDx3%#x7LEyuVi?vb_9|w!s|H zr3K}-8?cPo7UBtg=ZPoU_7xb?Igs=BE+a3Arzz3=IzD*jeFB;_NfNX{T0<*E&)`jp zfnQm-!3Os|&G>cKsHg#tD;`H&7ms*pUq=*uZvZ;&C-4v%UJ>ZsmpQfbXTGnD_&33Y z!h&Jj)*+86Rus`~1rQ|JXYx`S)=Gc;%<2wX5?bc*CaGUCy+dA}1I3rKNNxEkJ`j3k9drNK+mL_uk7@R;Nzj&T~ zr#l0N4@u#LX(|Dc2>tcdG|8+3=au+`pH@w*jjaU)WRDTdU^_7r+`bDG zujrsH=g&l=0=lBC1m(6Yc~6JM0>;i6kdE2t92J!`TbCI)FXi^?G!N`!_rhQuO=;g=f~9e2C`3PumHAoAoET zQk9rAVWWgE;?C3z&2FAO>fDb?pPV94wsqMkD}b_N&lpMrTl+=X#em5v<$c+4DWL53 zC@I*d=vZ?5N$Q`*UTxyq+uRDXzN9j_@ee^zv!AcYWpmHGq||xbA)Ygumi!#-Tj_nM zJnd^}O%G+u`U(C~bzjH5*5gj6l0ElqEP_{|4FbPt+2WA;%qhoTKS6`ZGUQlDr6jV> zqW!VTp;&Mve4`HB&c7!xbfzxW4hp%8L&lh`50Nb)FnI0~%)$$QiIS9Eymb_1k-S%& z-@&-cIWsEOmfP4L&Wz^QN}LIodTi^jBnrkHjB2pLi!mbIyYjK zgqU!yu$-xRF1nVK(PqX>RADG-GFkmoh$N>9TpQ?TME(|EFi%X#hOcxR)P9J}rP=x~ z>O=CT^@;G2>z`O$4$`nnVY4b*w3#ey)|r(5og>R@BJVsZv=H~Dt^cwIeYeSJ_xGUK zg6}ol<;b+~+&Mq*#5Q}rW4fBamnaJ^M2NVL&g&E{fYMRW12kIP!!7i{(p_$57>oM(8la=6So zcf?5fY1kC9vps@j*r(mf^b~!tm_)e;#MGkX_V4}kNcA~=q(ydn8)QDptZnF~^stZX zpUB$_uJH-l75??HlEXMTZsDwlB>}vks+XvXZPjM<`b#VsXtr?>j}9UEc30+sG97 zbNmHqhl~JUM-xds&rh^k%=!yCkOybIskPC=gST((^2 zCBI^|C%w`fs@$_s4?Xe1`3+Q_;}ewSC>{QR)8NYcnFL(fim1J2ZT;PB zIq_z_Lbfdn_kdHQW$$ZCVPyPdM}3Qa+$nAWyHU%%s#4t|UT6F{c=27CVZD>Yx=n=? zO7L2jfZaZKCl!y|QC25wVT3QVyTH$oea`L0W$~1pb zDgh^|dO0zlQq?o;nS-D6%F-Bh&sgs5jS_>#ud6}4=z_UUO3aU>gAt79k@L?@X6S;4 z{9t~b_p)?@2cNuk*SlMp2{pQ{vj9D?Z^8qbx_T@n^z)xvvF4iVYzdug)k{X7?ZoS# zwE-)Yg8qrorAsT6P*2|EaET1)&lLi+c~6yxQc@r1Vflj;&fOjD&?|`78fuA>gnppa za>D2w&QO4Ww+S{Ye`0XA^XVc_w6j&XPwl{jT>MbIV(*!@)zZVOqwMN0XDAw;ZDy}K zhSSFf>+6j`M|b~4?}KBa`306x&|Wl1I9)(=O-dZvB88gHeSA99nWSS2V|b`6Uvs;~8JxRO zfmZK2toV_|=ucKOSTBZGT&A&{toQxJ)S5w!_%O3Jla)ug%r?zFePxSE%%LeO{OU*1 zdXA8Ioin9*^WJ6&s4r7z@u2yl@zRY*2)7Cyr6xMnUb`>-s@`h(DQ*baKDyh?qc0Lv zr?q^dd9(Bt<2QliY+$QL)i!2+uma-w@TXU@3ZQnjHa)TbJ ztVk(jzrY&abnD&B0@#=N!?!L?6hG5P@bxn*ge0rK`My<=P}h4H%K ze6awRK(I{y5Jp1pYKB4o>}m|hE6K>b&Kd)#b(8#P-_GQ$!8B|4e3Jp|Sv36_N2=9C&V_i~d` z0#CC>tg#TBKPZEojnTR#5#5p_8!@#1idE-9`_H7(jP@eZq$y~thZDQ#81a9Yy=|aXt+Oy<~v`d zByWMK0-|nxnjbjQ{*i0sL1AdQ%80ui)Dy;*6;k^Q+~a9^u1Az^W?@?dw?)I$8xJaQ zV1T}=L2t4jgiBrY&Me+x0$rIjE8@X{!-@X!HP{T%~}DbFidc`SBPf+z}_a$hDs590yF{`fZe`t5Tq*Cz213Cf$-~ z&(>-@^Q+|9J#w?fa7vfK7m?<&tbNvh6+1 z1#4+0CGt71_HCCS_oUtg0UCaa@xQ{u5dc`pU4ci6QwSjv>cqzl!Aw^Z$?^QG1isirJL#(9;msJlp1y%0&&b%s)GQ=4 zEJpZ5vsYwPG%+SlG5%CS+yQb@@@mQ-rvbnbRRUzyfJCl=#Wd%^09Ygs0@Og1Z$N=e zz&bQ=Z>l`d0m%a9KdV8;0ddqR`LJCMcFGH;fU%E&B7wM7BpeC@xL`=B$EzebKZrNB zAEbbn?^O_Bz+tA)W+fy~Edyr9@27x$f)3)j-7KDW+XE8iod+rF$;kU*c^3t7s3Oou zd!p<4kiK-e^R_RcHK2XXN6mc|kr_OUE=kQ9bof|N%p;x(ZW1Jf?glN`fW#Etd8H5> z#v19V#nUtu7E)4Ekl;zXf>gN&cD+LxTS~hhau%O5*@s`c#xEp}<)Lt*QqnR~f-Dg% z6v4ttnV;B(3PbgA@DTu1_V1ws(Ptj&MSS#4T_NGuyXO!<5JU(hC@AP?e0c0L(B3By z5RzV{FD{uPvMYxm0N_MOLQoF!VLo$pAPuT@`=m-Ox=ND500+X;eGQC3`tpcfgkKuz>WqjTyP75-OyBIch*XSeFV+vMaQr|6z(3DNy^b#X&=708&72GSvg!qR*Qefj-lf%qpbj z{!>II#)O6v!~gMyr$(PLixh*a|F0>L_-|WVdZ0O8v{vK4{J-f0dYL&M)I#q!HwG!t z`7I1UzI1|xAt>nCDT~7bpiufNJLz4MnRY>-RJy6X=B{a>yYV8u(B5Fzjuv})kR^R$ zH-n-h9OQTRx5q(~@@sehyZ7Sn@Zb3RYzi2NMFRj7d~A2i0O0f=`+M>)zx5CQ+Y$ia z{vG}s|MIDS_}}ILfYg8N@5#ShS!lPv68P3X0;B&=fZx3W173d%z@OxlbP*@}3T%xCg%ndxF3q2q;My0B-RAZ}|U`{9oq+ z&2YCq^XTxqMct8p(wJ912!nwt8L(kQW!Jyo4-T~Qk_51sKQ6p{fx%$lG6<1&6o`H& z&wt3@D@c}?i;Ek&=61X!K6-La7<~OEs7DG6;s;^~M1nRUCJ8tmrA3ZEPf-Eao_~A{ zYljl|vF`rslhlFyPHMpJ<2>TJjsoR|ME<-uwSVk;#hAqUF7>zk<#WBIr;@10naG+{ zS2pDYu+L5cw_I}*hg!#mOgLr7vav56|zBiB20m@34AaL!$VqWe6;tUr%8Yp0( zk(R5>>4nll!IrVD94Zm#To9|a#_#3Q*?eDOAP3|<_8cm z1}#oyy~QW%R^k2;pXH@Yd5aYkT}L;t-T>|z-2{!=&+0wDvb5Kzf2oWgS-&Zkhk$XW z(>~n`(=s0dWi>8~r`n^~i_iaklaO4y3Xq83yi;#rD#DA_#c|`lp;2>ge{)jg5016} ztWXi6_f!v zRdK*+J&~p6bZN#%K+HF7|;OGN-fPZ@_Ah_yI6ewfJ?ay-kE*nC0&T;KShDe7$!^$c-z{H$Z z*rs-@15J=yC)ZYK#fgvd>3!kwmuq{%TrlVNjg+)5Hu=dDp{AmP4r8&k z)gQ!;HPo-fj``p!-R(7zKIv;bva}Sd@U8j5ZC~m}5^@7Y{<01O=u<|#=eQsItY4=~ zfQ=SE>%Iew1UG!iRkWLdL|5{#vLw1q=CwH)4!3sNFh^wY2p|HCxox#tOFY!=l<(@&yd6bjnE;b0S+Gw*lDV4cxIS_-XM1N!!gm@8mH6GAD3wr? zpke!}xu;@>vBp*hpq!N_bmaB$Uqk`7tSr=~rZz5>UBrF05N&&Vm{|2ruAV&eYQu)Z zdMQP?{$fv}g1%Lv8cGbjS}br(`pIF-9D-eAURj`=NaQxRA`|GCcOiAYCzrR(DO*O` zEJMOceG!+fMJb{ODC}gt{IlXizv$(Mw8IA8H~QKcK@uchKM#H0P?6vbB7bYU)&xsY zE5Ly3+PDP#N=>V=&&pa5*Z@28zt1DfxdHfH?OxN( zZQ>u(`FjylZ=HHM#Tt^n+UqSSiv8u*F1v2gCOW)^x3Zw-afs;ZTX+k7zZE3=Y&C~c z$)ra@YUVB>1gLW&SEQB_1mh=;FnV39NxxEE?R6vRqN%H82!)OEQplVEty$WfhRHPf zMtYKUx(iYAaYe4xpO z7<6Bk?^C&-)m70Vcs@fgj7`m`>e6QA6lS#Y|CyAjMLyaA<*7?*9~a8HBetEk$o#jb^1$!&5%qg4x$e<%XR`yJZtG-vhBQv8kL*vt(^-230cafWNg!~|Lmiy^N zejni#ttg&bqvL)vJQUTev2?%b9^YvtzhrUF2{K*a3F6U(ba9OJxpX>;;Ah1;lU`-h z&Jr1aA|mK5x9fv=XH(u=gf&iMWu;0KNfq&^`Jm1TP&03nDhdjxsBpv=*L~_HrnNV% z%3=1iuyT)x;oQ~9)+Joc(Y@;ajp9)t1Ctu-Qbx%o}3{I(bBLaOwhLD;@5E)z_ z!LVK*qgBDh*R^(;QR_6>w!Jv`Uz!YjFH5D4CaUl#Yjr=hN&$O#Oq8Yc@46^@gLf+7 z(uLMdTuLcr$tzT&pda^gyFjEX*%#i#vGkhqKlx0plixB|Fbkb&%kvO6LFO^-*{w;= zUgL}{WTn3L8e5W)cDsM*MA+>H3vM*WHYU5c7@#7lw0Abo)}Ch#fwZ~gyXHLx%;5<< zqnVx!)7K}%#>|)1Zo?v<_E4|pAG!VY z3x&0KXnFHmNvp(SLG#$|k4Gte9(B^rsig$~WHRIZS>w5nU{K_~cIrAi3z)WFM-MEb z&r|AOCVd@Xh>d)DJ$zPnp9fs92SIeOKm~_fNg!||wEDIj{C46j_7sdHuA`a&_uP?B zk!5yB!O2G7K;8Hn%x4_eSZ%NU37+riVl@~_KSGku2PT`clk2L2w3ILZxSukUm#(D|%>s_{wQp=$<#M;=XwxV8^= z_WnE6<*=U^3u2%nY|~@{e*Y_b`49(3dPuo$_OpS*(&GaoZi!;Y^`PM-9_$UNmfJ3i zgv0Y=tw|e{fU;jfh5ZY#o4>Xzo0HP3H`fs}tWTMLOBSxxA^fn%#7%}y{HIHyv*ej3 zKXC6aoJ9}g*Q zEL%ub|NTsG``z!S5o6gAiaAY{r~`HL6G*de$jYnv4o)waq%#I>CK(M_h3=Kua+Rim z9gy<0zKe;AP%xswq6Y#GHKGjg({KrWL+Az8jYOR@8!NByFXr%#?`X&M=ZQ$j%e+2^ zL_pmLMY}jVp!q)Zb^8s?lg`aq41X;Pf@htqB^4o$Bo(@9OF}^SX0}w~{i#3qA6?t_ zXy!NrCqM=6h9%A|CDXXVaGbe* zuR>`7AF5?g$>XsU`lPk;iGI2jz_W;LQnXZC%i=~sDF3v8M^*wkMib)TSmor7a zBtQQ7+Wj)9m$`WwMCZCFlM^RC=cThub91@z;b)u+^XC`JgtG5(f=!PV7`Ev25qeDv z9{~6-(XqZb+(~rG2iSn9Jpe@Jm;wo~st?IPqT&Y(3j-$81`>Kc_~-pyeUb2?cHO>? zb!)#Qq3Q!t#D6s~;X}73kdXhOA`5h3b{40bfWMC5sZ01UV{CBF9f(I>4}~d6EiT4i z2PLh3pqOvmQf~d9XuC{{x5$sr|G*i_sfz8n?yzhfUuAgn`#4S0?E^MXYE>})L+y9_ zx~*FqYjK6w!`tKR8L#6$h}}Q2QwDvF%!GfZQ%eB| z(l?%U{<6zr$tZ-F>wK%7J(iT}e?v}w8A;dE{Qx+^B<`)fwzoUL1>wz7g8pPGda%H1%-wlf}l);7uS#iKJ+<;a-r-smlq; zOTH39vgIz3e=ATA#;@u(P{8z6C!a##u8W z3)E5X(NjsjYXT=03^)1}W-848oTfPBI?J1K&=1xzR=Kv$+iWK1p8=zxLSBZ+>JDEW zUM&YSS6BL13@|Na)TAE=(U0e2TG(Cx9<*HRUU@?=T4_Np^l&Pz*P8wB3kSPm|YUxth68^^L1^X6wSRH*(J>s50R^CZvBc`z`AZ5Mqg>BRQ)lRvIO1Q ziBdSzlhLFS37daX51;rk0pIzzlmER7xCcv%^6Y$Dxa<89k+{$bu&PP1lm6yfFF{{F8Twf2g5=79GD zO?qY^V8x5{)xpg4kRsbDrV>UYT>*QtIaEfcIk&UpHEAP&Q00GWmx`#$d8_b=r5tHr zeHRiD(~$@6tiF6KtBdO|b6{9$CeMnWNj2c{);w?4CPU)G(E=fMwjn8giEl z6A~(`lMF4isy~!XY8}Jrv>`jUTe`sV7ZcB@&Pb@;=T1aRZQh|oNQ&J@B3RCG&7w+$ zUC)S)_dxm(zq9`RhR1DU&@ue9+hY2}s7vLpFi#*d$wyRad?joZDQ$RcorXnR z#Q4^QfzK1|yb0lALy@n~m+tfaAl{UfTDhz&k0J|kg2l$6>St=5ji6H}WMkKB)eq?itw|X# z0XX=(duiz!70Ke7NolchiMcoaQ{io1KORL&V-im)f`A}*-{jb2OT}MFB$Qi|d!geL zziTV>MNcxR7&NSduS=WC%F1{UOb}i7#Ou0Go&PXPKEE&gCRIO%JX2&q3fPSjZg zk5-tI!KYQ@USqp|jGY}7v)w`s&aOwr8YHG_z%w*($BoreKossZ-{Yq;B99n>x|`5( zBIxK*`U~|xi32{tnZgP$u399D&mcg42{%H-{rduce0(qBkJc$rB zs&lT|HzAdg{S{Xts$v24@saihN(P%`KRW7E7=#QBJN;Tig>YkweTRI|o%e z3Wn6;=d`r6DMV6s64N6C^ln|#uO#g;il14W#bvJ&K}^g{c#|Cy8{iz?i$}qD-VlFe zh65tL{iGXk-E`9O(e7`jBV&qQi|d2C*fc(n!sY+lHH6( zhFbhfo(d_+`=1M|;bVlx%7NtP+1+Nx>tbVW(2h-0ESeJyjCaiSjgyf>hK5?HN#YNO zk7&QnkQ3um7laYydUUIM?G9j_?4;u{+ZabCOj+DXPBkRB78f6#5Q%GUCnVEj1+$ay zXVN5sT*~J}oEfpi4UQ*?*dxy+vOaU{&y`!T(%A{PCcIhN6{gt9Pp2|bpm)fGACc6l zaV^S(k>30FdcC*%(-KN5s*mDxLK4h(O{Kb-S|Jq`VEW+Q5fPq>R{7+52kWG{<{% z-|Gq;QN!7K#(3Y)%y;!P0*N58_ci#W@i7gQ=N@85zs2OaYn5FwFbIirDES)_6?r_- zy5he&DbX_~X^l1U&k}o*vm}a>YS3@2=4C(gffN|Y_Ei$@eaD(3Jw~)zPTlvI%QPLS zqsA=CXkM)EjgB(9nsnj0@o6K3y59Q0o>S&6lRa8TjutcnV zK!UDc&edMReR4xVoh3;2gv(Jk%&7tI415rO0G1O)IwBmYW*3@vJf-4TQe=1i2>hz- zX$^e4i%Wb6B@T1iKrT_|)>*&t_^RMuAIfUx@l%h2B&9$xGuv69hzp}VRz4%3`{$bS zdZMmEL?NjeE?vhpxM~$$=loMRF>o^Bk{QANrwex*I_BF%#h`|~7s+*UD)y^@hLPs5 z(VV24xUB5s=U#>-<~jm?S`g?zf++1r zd<|Av+}s1jW7|NxEgKHnLxMn6yN&p;vGF5?ke3hk6MQ}5!m8ineM2$G zTodeP@J~9i!3G$PM=g^g8l0jjh(Lf^yaib3Wq2|gZ2A5;Q*UeOH`opEm{ZrTYyf<~ zPk@I>v?%CERkq3CrJG}N`PyhO_5=Iff_-Q%m)02b_NuN$TcrerJe)PZ5B-Rw=!S>Kwhl1-;9 zr!+0P12%#J3nOhM0aowU{X0IkyA~ia#Wd0vjv%k+rf)=K?wmdP_6YBA!xw(q=;A_0 zAg7PK^-I?rnlXSRArNJ5`gdl~UYD54aQ8Dvx`EB^`A0`p=lPH{rZsJs-eqBfd}9nx zJyO!Q-obB8J*0k;?%q}p!ASyKp%ByFOaW@|PPqyt6ixHPP`~hAUg`eIHAe}YQSFiQ z6u-Epp_h7<6hmuvPz=Q+^0{U?qLWgnVLZHjeY2s+1kHULXf+q1q76`UymToxhE74$(WcPw#(fJ!nZ+WSWBfPcm z`DZY1m8b6GhNy41t(68Gn;9S&_j-X>P+;FMjiz1Mqm+4|{2Vi1ZY2NKSlo=x1AY6m zc1sj@)_8xw0iFl?0+xI%`d78Lh?=v=-aDK#6{=Tty_P6)bPD^4LLNJ^W7J3K2}@+2 z;Q+QO)>j*4EC0=xIl~|4b)X+}k<WigZ{O3BV;6yP?G9I-W{^2T|?PIq{^}phP6S2hkY=!J&&mI_q%4hPOjP239ub30%+X_V_{<|H-BQ&+6?u|&!? zMUN^hh9W6KE*it?U0wTWLJpO>tsL16>9^I7<-Wj$iSa|2FE;5OhgsXuh;`n?`j)Av-Dysvz!MH4xI z>by9GlRp4D>?)Xg6Sz;^(mpz|GQoPUJ2BS=@U_5Td}0Co4FwaamgH?%Zyi>6F>U{5 z!aC+M-8wr9LJp~S$E5I6V(&Xu3GgKSmgIL4-~UeC3k^^z+v(N$(RSKxX=bJq`*1pc z{6xoGhWON_Gpc^J+iC&xgTMA@P8(P}b(QkcnUG>lMTyQ_AsgV_u9ZLR8RfY^qZ|C= zGUnoGO5hhWa6Pwl##w~7iQialmMX2(-L@+p?bK<|=OVFGhi%{&cxiIRiFzoP%~E#m z_iRON$h23|W1#JVSbUYh&9}a;f6Py}31#*E7IdKV1W`QHV7!$X!bLw6vzZ^=A0WJw?j6=_KE<|DA;sjT|XIF zw4u@jh4`7e^cK0^Dxxz$SoQ4ZpejKIKgDC8%{cJC3eJ4)(Aa%+sD=&~A2?rN?X)Do zABS49w*Tu0m%^n+@F4^}gU}SICZ4%o9N9V4eU|USmZ1*vHtxUe5ro7JN$|mK9-rM; z1Lk^{8|UnA4v4LoI3LNTzAqSG^sG4=d4Kfy=#PAMcir3DiUdb=oSEo1?24FBnhk^y zamvzhSE&DJn%LPI;FdA<{@4t=dn#EJl4AGP;`(hOza!6eAv#rbx(`9wf5jd9RZvHj zVVBazje^xphRTlq*nQz9W&hC_4-gwskoMq~bUBHOQ?lakzkp6nA_GqAHzx4@v&~mr z-F=KlGSusT(Lt0cbJ(N&5Grjn3*9??+=4k4MAw#uqZ!t!Pim zOLb<~eC1*IoFUkdMvG993TGADD%>Uf%ozVr^hn}AF$)#LpbaJIig1xh=edYL%P89af20)+Kd4Mhq!3}|Dg4Ah4MU3ZMSC$<>Zd4{=Yl^5 z@^IZ9d30g`rbyzWQHJ%b)^oqp@B%~4H6Gsu$4d<@7@1Bi`UCTT)WB}j4F;(_K-cCr z*xbI#hra->wZ4ixgd%Gy3iEM(ncflA(Gupkb>;rYe)og;GgOy3h_DV2w&4ANiFlb3fo zEV)2u5+8Lpss3I?%ZPBF6}h?m9Sv*v!Yg7r)kAvKfYsFd{yf}JsJ7vFUm6hfbTJ(G zleAH$bL~%%%xdKCvF5kU2x>u5p1oBX`|#gck;em4iWECAL4C%{uK4!&7xgb20kgP9 zZXd||B?pBUn__muW$6B8#XG#nF+OM|qIMq{xWZ3}J7TO6I>$J77^VgX&L*gh#M5ns z@Lyi3kN-`Vo@rmQ_V|nRq`tRv!xY)xm%X{#Cs}eXFY42MRogD#m0*H=5$28MMNCHp z!ne+NjS_~;*bKeTf`?2Kiw%m4X)oG5L>-R%y;^tuqh8)6IzP%8zC%LQO^Jo}I6R)4 z3Rx-oV2VCosfb%D=kZx-YRBvfymMNkb}eFl{Bc@0cf9R)(Qz!_hR00w`vr?eFG-Jw zc3tJp1n234o$M7WF40Spbdi_Ha-ipJ@2aWQJY`QBT)=kkx98)12+IWb29#5;mq3g2 zTVkcS&cCHGzj$ominEp~yYy}?`5T}neTrQ)wj<<({FEn@2dJaFiaJZ%q^{uXEfPI+ zIaStbQ^}@0-+O%TnC9R69JYv^^~wdMyx2bY!QtvEw==v;C1@JWf7$ejHGYd`?f6ZH z;!@@QP;P2ulG!)Cr9K(i5lKu*x321%#HwsZ-k1Nm^6P3nVycxg`FMKjKZeNt#5bmv z@DL2ft8AdS8bagtAcD9BA1us)Sh;^IoBIR4_YmCEx~+mnjkl8uTJCnGb>9=P@`U4a zWc~D@++54&tlmDG^i`&TA|7&~W#I)B(G0jmK6yT+%Q27%=ek1lxXMN5MEmxe{|zdZ zU;tKiz78~qSlj4Xw$Ak&7Jsbr|yYklL*ZSGswPLeh=KVpU>5I90CCND0m0jT-AvwBb zrKsH1 zE1Dfl#jbHawi?dE?g^Oh(mUyGO17$3hrJw6Q822z-vZdqurBMW2!A!3&es92Q_z|G zV5V9C)0!?HQxxJsQYy;GxT|CK$XXr7jk;+3mY}j&fO?cy@$8vc#D}K%<>Tl~b8Gw; zWz&!eS^e~#G1t8`>PILLZPwdmcW!Pg^mc->qPb7sCOhz7e$F}mm;uSw*rZoVe6cFB zg<{o$UN!i}K(xZUG0A)75m%@am7&<4rn%XI-fF(f{=-6&l$1uEop8MC%S)E5tg~}DWd0V_CO;wEQq{hp z?Nw|L+6PS0c6UbV)QyiV%c3Jq5x{sQBhfq0k9Z|fHp8|}w|vY#Z1In6)g#S9CH#TZ zuq|IgE@(6VjEW^J1n&5?2>2Ds{#(SU-$cj_nMDDk8Q?Yy4ai;txbD_3847v*gRCUwQ}V#T2^)*0C^`!7RX!O zz-&60<*7e^DzkV+FQ)N4GM&RD+RFBR50`~KmGyErSj8dSazu*Wokm4s_tkj@Pj8in zZ_7w=velj+ir5(UmIrqlm7r6?i53iC%X);EFkR<`DtMGbXgG@MEbRfZAUYeI(gh!W z%HtHo(b?}x2%67Ds+aSpMXdh&@ho@1g+gQ%A@gRweU-KQ%7K+i0?75Sg9dh4x_Yh0q=3_gW+ zJ`IcM0*9REe>M=|kdaQ;qdA;Wn;u*JLOrWcI$_@9-c7cKU0d+Z6NS1(k$Re+wnE|P!!u3BH-M6s4XjN&?h zv)W3s)jV<$#FX%omF&*Gg{0DZRA(xYj9s+=ED;!);F)yqCN%%s7Dz>n5;OjYIGfX=-_mf5Ljn!!t` z=WAidM1|v06Y^zB2*r8<<$0PDCGpo?J^qor-YKHGWLg2*=)0cVf4y5C+xG7+<2#nx zy3}K@#+_M_*S{A@4HWHQT$3$z6YESbFo+j$Ko z|3|)?=?LAwm0?VFdw7S!*jBz}v+oUK=Rjf~%#Xm_?r}0K$d+)xrbHR8}+NqFZ&<;kH63LNMo@oc|p_)-> zr1Xv}bqb{XqG3k!4n!7GNfzI!%rGOj+E?i%oyObaqp^aNWHZRsm`ccM$2pZZ_~f{x z{lbiBay0xz@s~3lRAn72^?=NB89Zgha3zvmhD&N#1a<6&Af-Fr%JpaBzO~#;o@o!`M{S72FpLz%PBehcs_~jWpA#d|tP*;Ghl5R0s*#FJz2j<3OqW zyr8r*Vo#pKg}dmRFc4xFa;vObg^Liu;pV2`L9-ypmuuXhgP|G-sb;fJt*u#Xl)GWj z%_b7})R=5lwE^ztpAep}w=$i`g8w5en|6~IUWr;%&pl_U7`dT^#?Q$qk2ybieBzgt zh6?F_PU>b4gp0N1b=qpjnm;4NT8-ubCVc{zD0ao+?|FTK>=|+tY9em{s>(jRrztjG zKK$A_l};_A)GsgcQ=#V@va!D5?G@o~>UFs+L&F9BXuuf_G7^RI&i+U+_o-j!*_SHE?j-?JUx#*WyK?_6L|_TINgE!6u?##xt0rK zp#CnO)PaNqiR-xtG>T;}!a=;2<&?q8{+8_i!=S$yOGR7n_Yb_oppD+XQRjW-&RU88COtkn(XI1hBne3Lq%4g1wjqZ$jQg9ICrtLi2 z!R%J^Q10*Y^NorPvU|g1i4!O zx0>><)8p_*wYI^Q_)f9}=4*>u;6vcC!fLv1mu<2AJWiT+dyBFJ&?@s1Xt4i)%G(^E z!FpJBR3;M_x@(i_%N7PP&5LnF0_GPSgcLGd+|9GEqe#AxtICK3W!N|n&a7)vz;)as zj!o9yT-}gbWm3<)Rk8`vGGdE_*6ni1jJy-3VZ8v5kq?p+U?M8>Fs%leMre!E%R^1m zvx3^13a{kGb}Oi^g3^COf(9i^X2>IN9LZl#;I7HnftD^l zK?DdDJou9+hkHY>gIY%ceA@GYav}ND+{^W+Z;9B3xF8+Y0NsZ$I&uPY41d9U**0U& zEW_4g-@+B?&z_g{Ms0E9^^hkUW%+QH3J-xb#TYHfUr?xGrWO$tJ+n(l%C{lKoOGrP zvrwS&$mCEY;BnN~%ht~Yv~$$f!&&P?sK&EY$&$CXWZ(kDpU_KJx$q$?ULqC4DL$es z0m}--cFtRL`FPgXJ($rieu*Jo$iN-yDzg6d-36Ag?DM6Y)K&aYwjNjlkSVogc45KX z2O9-empThbN9sdqKbyWE3b}()(kW)$S8SaymivFyIqKs{_pF;3=9=myA#TOZrr4vm zpDfq@#I-q<+CilpW2$B}Th?CR!@m>hY+707e{X%YZuyWbT#iR3<`ka@Inp=>Zp)i# zuA!`SGg@52J{+@RveYk`J$(799x~l+j=$-@@xPxsP8p(_jMiDs4zD-YY^B6@sK69Q z$y*Cri|!7Osk64kG=2kEfETUK#i?wkqJvc){Ql%hV7Yd5_uI%oXohqI&@|P+)%YB8 z`)FRlH=5jP1vW|@< z*R;`=h7Km;Wj=5HM`j-fqA5w~IzrX4hu34@31#FbMfZt_0f&9L>v@)%43ec~c&Xxu zHFZDUcD%`Kc4NoZC9NN!DFUr?mL#?C4+bsh-s6w6dO~F~gRkWsmVaIVbGKGYUq!I< zg(FQX-3Nb39{(?}^Ci8n+BAFfnPAT8<86YcDsJzB2s%dNW03XwC`w+(JfA%AdwsRp zj~7iSduKc5D^RJC!3>^0piDgbMjL;+q~C99-?*e13I(( z9a4zoqKudZ=@{*$8S%0dx!2b~FWKRyF3*eL@X z)JmlVF>?iFkx>`M%e%9ZmxV?+a69n;E1qmJ$Rb;!rD<`49&jGeBU_mD7W_j_jmDYhQ1ab|*mJ*zgBcagm*#bou z=>jMuy9WUvwLHGE4-UC{(ptI9wTbt99x)mF{}P6_7#3W9@weWgLPin>50M5vKecN< zoR9p0`6jM!Dj<8eVZiMJwc@ib>KiD0k&>&_rgdh*V{fD2#l~fmmP@$;*KgO-WK$$8 zc<1jH0hF2MK6F1+i12&Y0!3VRJ>KLQW;;@{w?dt~teq*2$KUTu!*la+s_AktPSM$} zi&yeY9d`T+ns*8$B(YljZ>`&~4@EC~dK|lIt^W7-L*hS}_`8B>09MDsitC{JC~Dv; ziO^V-@cCMt=RuM@>GsN}V-u(5#Ofj@(c(=E4|rzZ5#U;F_XTQ*D_^1n{P7WWsk^dA zul$b+KQy7{C2S=c(@bpx0G31*G)+fv&CCtUT0(!grDSO22a@abzaqx1Sx36+>bMSX z(LDFbq>8At!JlpN5fH!Uz6hSAXdym*6cs<;b2mtuCL$C7{mc)=B8471*xT22*&66VfYE4JZ@q;H~U?qF?HP^kIO zBbJbHNnr zCw(@3$4+mpff}n37S0Cl?GrYbEA!H0;Av{d#?P@2yB*OX?ic+8B|- zI*&zy^6kk|RY68y(T6(>*-_n|D_;-fTb0iV9LxlBn@ zeo}<-y_0&?O#Dp&#jh(Yhk4t{5w6-o`Q(vaq2Yl~jFndLCx+=Ozsu*S$wQ)3i3vTM z6DzO9b4&zNFrFrGLQl|U(`*YsmoaG+oNk1ybl z^2hgH30V>-P(||s#YeyANHZ?NtCitf)*DnQzr4t@2P4x$Rc6LB*}+pnV!Cbvz1=H= zPM^ZVglv0tyL3w}hM{$@wqBd94@&Q^Lo-#J9!fnHx8^1JrRC%!dYm9-U!){g76k1_ z2ONT@OT&?1j}5u~>)hIRVF-S>p$^YzFrrdv<;nu3`*Fw%uilkkA=N97jbWRjQrzCH zzp1$imGYj-F9ikYTpg&$(UpgTC!QFfQ>OG8TYDj5x5{8Fh2zxJ^NDOYuiMc4 zlPjGfC;qje%P_KCgFj3+eAHm2?%lfRt^GiNO?(C(jL?@3k_vxC-4MUkA=STf|KR!D zn@$1ztzk4rD95h4=eGXO^`*nc6P-AR+@Cx(#s(UOH&xb~2H=J!dy+!nqvguD4Vncl z^z*U)6+`2Cft4x6HXhc>m~woI-hiX{YuF2lcCgTq;l)G_<&EXE+GxGUBI~)N@Z-0< zCr6#uxfSW$<>9Scmtl$L1LDf5Bfqi!MIrMdBfrY{pR1)HKRhGHuT>bG={K(}YATcs zJ0sH47#%##`)NL=yxn47lEma9`;wN_1jLXOD7jNqg*hqe* z;PPL&F1yLTc~E2Y{uJ>3s6(ACuDP3Wba3K{EIg`gF67_;C{nshCYdi?2@}$2T^hsR z`L=G~{p0h}{a0UMyNdMx#SXYCYK=-q9s!>Os$OVH3DVy(j(&Rl>4AZNeuDe6KR8Hc`0lw7UQySdY2&nHh zHAIkNOTjn3_r$KTGq@!pFUe`V{LWARB`)HnmRYA6260r`w>w%VLTCIHtoltVx7P=7 zHALJVl6hQ$IS6?V!lbshx}MF%Wyuv@sCd8zE0=)D1{%!e{5a&+P17C zE<@vIn-=bjD}7*?a9qcU=)_j4kvs&g)u>w(?4jls2YUO6f z`7X6WkQTm!*riUXbO?PFlg}px?CocPvsJTUMB!|&i|3{CxV+}eO}Goj?`0{}jlTMK z{w%EXDO9&8QgDRWBAZ-56uh7R*e$w?4&lC~8~*gf)!)`qAh}zkypUU%Fd2K{h(+5x zDE8K)M2MNZvAL)!mg`o`85zX?aLL7K(+iM<{(SbIi(+8PPqphMHUzG(!(8ALUC_@W z@Z5$o#BbrFV(UFFh4wn3lKQSxIi>2lv(R%<&09)JmEG`HQ-MY5kKy($-JPJ+9t3qL z*OQL#cRp^P7ALoIYft<>HpbIX|5QwhMI$SpyUMDQx?Hv^tI35Qiu(nr!&-o~m!_-e zj}>ZFqva{w@_EqFc}391tdRf3inX(la&98c4}9faX$O58`$CEr>=?a?Ofx%uy%_@f z`VaS8v~pou9l;%!LuYY`Z{9&1y;FNp=t-MSr_0?>*$)2g%lh;)D3$KZy0I}AzCpQR_n>AcSOX+w_zLTEPvD1f`?4oU z`!5)onuDOV@VhU;W14tDS3%`~NCR}p{B5S>w)$IXFFunXV25E2KZIr>gGZ(ilAA<` zOviq3i(U>WQitb`++E+*SiGl0Dl{%B^;ecG=uhT-D%;g2+*km znlq~QpnWf(v!#!`MwG#cGsey~bcv!Z;@B3<9PoH`=H0u~D-7DBT*QB)X0gPC1Wdv19`-gxz zEA1d~!5LmW-g16XApbPP(nQxgp3|^76RJoEV0lE@^{*D*y#x@N=xtAD^1|2{DO$m|yC^-aopmi>@b8yjgNWw&d4y1x&Nm8tzFt04nKH5|nToigbinxXl0d+^BQK12r!>ovAaI$-BEsWM`aPWMIjxt0^QDDcRP#| z&mGtVz5W@Ft#!>8h`Q{A)ctM`G(8Id=cMhp>JF{QU!=H-Qa}BlyLC2a48VpgNYg7|^B2N2IXQWm7ybnai0ZQ-?&v>>N&&s=# z&fQS{A^LFS%sjDR1~e3jXpx7A z2GFlR9v(I{UbW{O)H# zKjSNBoa>6YqICFLs56SInwa#8qn&p{g-nNt^+K32ny6E3v&$iECIV^)I%+4gKhhaq zBWSuta=o2$)^MWQbKs1T% z_fT!Kqa<>4!k2Wre2im)2aM)iR+p()F7~LB zcd=VPFM`=FKogCX>N4TvKCV4BOBYGmX+BC4b-Dzqf_sEIY1;OYc?OzGDS9qPD@yf` z?J0B!*V%#|F5ccg$*?Qj17Ta0v+=hN_th93$QG?}T3w!7r^HNv9tP-#W4NVEhYWk$ zkJAOg>xT243EtQeo4b!SNTOKkB=OL40!%nlrQ-zeIdZiO*5ZeLk>X=ISHI~h$DFO` z9C$?kP`F^f2=|K-;fjm#l+xma>XpEFQ3W%@6cYs?lkCqIkvLDKT>SAXeX*+hh;|N_!3A^S(n3Q#dH&zUznX zLX)otCJA2%0yBWXazy-!AaEpFW}DL$$7RX-_%t z=wyYy1^VFBrwmUxm$n1gJT8`wX$Bqvxh7q$Z_?Adv_Wn>XC zCG>%{)G2ha8Gm`D#QVImZ4Kw^@PDl;yg#S$R17D5Hba8P@emPQmI}|s9qZV+1S*Hf z-e(Zspu{%2WhU&asXonXw=6VP>MclX!y}a8L7|4Pp%UdU&TSrS+UzPuxrblebiD1Qa%i3&z|FCYKY)0?BAMjkxg_ z71X(lo&ZO5m3A@iU>(DNFy~Fp%oEL+ z^!O~~;{;%Vv8VZ10O+rbZP>SyjCTUazU$Nl_RRH{OQ_qy&G0h^9qLc9KZT4<_cR^a z!D{!ijh#105#&zg5B;vus$8aYB6>_04w(J30U~&*xh!IPTPB zgb?8BzT|5h#3hl+@v^+K(9H!(JIch5mS4>QwAub3V-gt^KqECMs`?QWz?Au8oS;!- z^~#@j#f`12pk32DV1Hx}$B-vWWnjVr82yFt3BF}m_xJcMu!A!)C&&CD8MRGf1+>9n z3HNk=9yM|*7s_lEM}FPf%_kvxBwhw`(ssjlD*HxJK)d?X<}eZ}N!UTD$SjP%UF9`L zD0YJzM88f4CSnRd+gVcLq0zK2se;ol;}Peqev*O!{u|mOO79>VA&*?84^K&;>FVp; zB_0L1!*}-NuA|_KX}fva*9xIp8Lx1xCkGB=xNCt1pi>E3D-h8IhaG`Uce?$SM=vrw zk$M(PJYVBjNA(HGej?2`r476r3NwE%alD&T9-_z)j305}m!~M|t94;nRy?N_UlrnW zoGcMz-)k=MTw19(L|MauyP{@VZNa#xJ|wv9ZG)Q;pseHmHC6F?=~fivw$4C$n#i`y zWdog+id5@x@Q^R^!DX;?eT3Mk!KF5A&@sAr`AR>^-ms&hl++k%|S9BLe@@PX` zQXXHf%RhJQEk(@s#_MIGOx4kMzagQw6RYKJtKE71ArrK8^7%f<`1amiytZHv=FqFrq2Rwf>b<1nFMQ-<%9gC>B{-gDX+c_lpdGX&Iw)LBAtm}s zW#Zm#a98*SUkgbf3ggj0cfWFzc}D(&s^~mrh%-eLCn9gA%Hy%HDrCaF&Z8fq^KKiC zCuQL>KP~OVah2>nbnfbGLjX`VUsjj-fk@r_5B9sVz`m)I5Qyg0={E>F3g$;kf?Yy= z+jI2Ry%u(+Vou)AeJ~`NyQI+z#*?8CiS23CQAOU_O(|Q$Iw2mhlqbNEJ z)#nP3ZtUuO!5bEG+OBf+>?weK{Irw~PBKxD)*DlHSp0(wdx}?Cb!BnA;9i)xQQI!d ziA6(Ni9-;6>64z63+3*fOK9-W4y#|iDMGJU4Y10&7miuZ-AV|h=yeogP#nCfevnX*hmQ~%1<)71$V4vc?cCP3ytDjo zfHEawq%2K*A2+~HmMoN!F3$$?nR15MX}u(D*XtoL%Vo0<-RWauK@yUzB((~foq0~+ zo;Y%+$I!EqB75;R?KeFfC+V}Z%KC4gkgCna-B+b=^H*F(+@Wr*0z!HDQzpNn1Z8F7 z4A4v41NXmnYkuXJKcPX=;>DEP0%#r5Rm&`ZC(#pek{Wg^BD8 z3SZ{jKILm&ej}`urzw{`;e2D$9F!D&;0>Zc;WD?@rLKi{s|8$;mA>;LqaljMG|)tu z2v-CM>pEWeEsQd|I<ocv=a*i=0;fyroJw9tItzL2F)zLaojZks0*5pBkNWOwGt+N-i zspADesqbZ|q1zM}2I%twbrAY!zz+pJ4Bmn~S2`Mwl9zFMVYiOq3Xg@~%!a%>25RES zAY(X|6wd41u6oliYhehCr)DTx0L4ggR$t}_iR33Nv*Ls;?)F0WL=?+{j_q<9I4T${ zf8fm6s-g74uj&UIia#km&7z62Gm9X^a zyB_*hqZ{MDb@}JG7djCT=~f8HT$VGhfxqCEcy+4#FXp_lC_e7#mwL{7nH$3K)zSrC zIpGRg09`5@Q<9T{(P!{TK(CjdVre0eKb~20eM^p|-NMi>S3;tXB-MYhQd2oYxl`fT zVUXwP=N?g(ryX>AvEYlnUeqininj(HzG5S4(V;t?AkFuFPC9>bP;l(;Squ$!&CFD_ zlU&!KSpT6#{CDqJvo4axu;w}^yF>h!p0tTc<5e0r&tqxO@mm-LPWC!O`7wV}&(0ae zZd`rHd*`OMT$@$rb742_g;GUtP>>araxm$1Igy+aWZj_i{;+kA!8!lc`W&+=rxVut zSz*gcM|22p<5eWQEsT6W#d;|Tr}9xjCpM=4F50IRTW(8ws~+6a{w2c`%~crnf(bk5 z=kRkBWT!D%-z`S>$2h_qOx-Xe@AGr5l-6FBeVe@fIYHfs#&|}BD-;l+EGoPwdc0dQ z7W?$bg2Ym?#aytxW+cZ@hi)V~tc!M(`W5kYfK9J92#A9>*#9=ZgY{BUr_THol#GIr?-}OaA_~|=BH_W&}i@i zXWrQ||IN+L6B$F8@I(g1yQ^jJIV|LG^@Go*b@kt%(Qk&hCP>Iz*0=RJ7s+;R_36hB&)gGhW)zQl9b?9A%)gsg z$QedTs)f`cK)JC?s5pdhZP!P!`HXDp*0X3?p_%unSpHL_lom=9nok$7zSzs@d@OOs zuYbEgy23tK8qA(10uJ>J$FCoH+Fcv3E!7Q~YV#Qy;BpQdMd~GgCRQd521cFXG5Om! zIv@F9NPi0Q{Of!Y_t!dqfhD-YLBJWq?fA1{DoUbJA~~JUfu*@uFOJ-ik$hBvhqeUH zp}Kzh6p?)_t-zE|M|JIHl2~{StIGu)1n|@u9>hBq2GMM|R={g#<`Z%_=;a{JINbhe z&ny3#6PL2TdVsrb_qDat<9YI{%Zk0obR9%Jw%p{UB|*&V!_|rW=C-ZDWXN@C3D;)V zxZ@OZ*G{_qw1=QyUHPKn&_4<=0A~1`K`xFLazk$@*K+{3w$%iP_Z`on6U`HxS_S{2 zUQvO@%Ap>)iIbZw!36!PRmIzw9MYFRbir>o)CPqe!5=d=!#BuLP~pfDqpB{2(cMea{p}YU_Kt^YX@mH^-O^TW$ zE^pb$?R6SX#3>}uR40a;7SZvJ?%50A-(kcT^}(tgPXLdmf284hut(s$BFk{5BOLddjL3WS5K9PE9xd(p>80np!e`SP5K zcX_}gqSG5INwqSLl-D1B(5rkyD~;o-{nzk645TR~38hOdr}()`c`n;%jxtmf@lT;o zpLC{i_)|2`AE!~zC$vl&eEl&E1FJmI*v6q-Ca{#NEtvZ-^RA+PtE66vo0{C664%d( zHz4I}Dc7vd?tBjM$-#$CDUjdj(j>X^dTw zR8kQ0_yFN$j}{q9kLxtv9tN4rr^%K{SOy^uTj*pOifxGL_Ij>v|9s;v>zFbqHXYMj zE&I;AMCp$5CWu6VUpb(t8=5-}&&+A=()46YreQEs1pYjV>n9fWjt7jp%*DSYA_(gd z@u@{dAjF#C-*3&?;w65~o~BE`2;qdq9(CLiI5l}|DnbrXvHQ6X1_0qW#GDvs^f%P{ z$^}!nR7L5vYeDFgU~FUI6z1vS#y%l|-8WmI4B69f>`TS-=P5R}!PV;SKASR}Z$@FX zN+jsRq=dN+%c0M!;_9)gf}96!(VcKG>L5%d&Z3kJrXz< z^#*(|QI9+9PjYvTe_LMsS5(Mo<2-y&wf4nutyXt5!O zyoTb<#MgPloDk1O11yf4m|XXBVkrTgYTrG6g4AH|NMUU;pwk^u4V&U&c zf{(phDFw-ZokzQ8K=tSt(3;~?%IW#r6OaB(WoExFq%_CTgbjB;LOk%Ky_F-~Gx8zjg1-^!Z>)Nrj(B93D=vAao^_+^6OU|dI?>IWL%r!?irW%?6t}2!qQls4{bYhvZwNIB-|QT zkb8Q?W^}l-DfGxu5FJ}(k95}g>A!BB&)bdoISVt@uKjBA)eE^H)=O5ojzD|vj~_7D z5`c-kpWc;9F_- z%Ii$}diLiv-4GiW9h}-MG@`epUz*`66_ct+U$Ku;u%d zn~a_BJjr|1Q($nj->2rT-p5!jqIVZ`xO4|b+eXUfL(i`4)m6)%GWqgJg#CHVW}M$r zCDU>Oo6qFw_*8v0P(~%_sKyb1Dn9VItf!s}De_itaPJ0Z!&=|T#R+=;=(V{>p6i&B z`J0%&$N`Yd)W7gj&9`GV-)$|-MPiULY`bhxWFAf_w~5_J=I^NjyIqU~H+ zFvKE`s^D=Qc|qg)$9M{2qd51)p2J$MI}5M&_|{hkRF$KQwU+Wa3u=WaT8ibJ=W~o~ zW;L8^^y&C(aceuR0|Gbb9A20;#Z{FTm>?(g$?+J;?xEyO+$^ zNE$g}dwBE^@<#W@JWgoORSm`S(+$go($)?foHvzJ{aiY1O9|n$g2VrQ)<$(F!`hLw zZTBV~y^q*Cu1JEaGk1@r2zU3eH6*@)?;|7%aL(!I8zX>HiCn=Vn^|V6 zHXD41r@lWB5Nggsjn8Xm_%#eInrQZtJqH34&_3o96~=NhcxL?QIWb$feHgsTeqN4j zr|M|!?Ltv^(JB~0AZ4BoH%sY9K*xm?defW=0bSW{PMtK+vdVr6BJi&;_`M zqrR#asX!Ba49S{y0Ob22l##LTmIW^~rDHf>`@5}jgikG$>CT;@ zYzcyd%`tkGfCN9#Y-kHq+e_#U%Nwz~Qx8zV=L)RAV=cqW8S6qAx5>z0v)9!7Y)X(7 zVF<2QgC5Gex*I^IO+zO*LpD<+tI>BW51YGW0X`CY^_=)7>@g;UvLe57BOEn3~S7VybCpypGnxB#&4e9+5Nc=ySK?VG+|YpW)-Ev!4JVzzQWVP zDfjD&$)2&al7i=L?q3o<#Wc9wRk)bxI4Y=dGyv2J2Tyz>XujBljYVS1C_fpCwmeTA zc_Iy9oltP|4Z}_$@kUWEr|f_Yz;Gxwi=fJ5(K9V9A;qVW`n||aPiaL_Cr(qm2UGM8 z{vu6xN41kP;^Oes@tvBthP>X5Dm~KT0U4ch5{G>%b6plY%aT|wy(D#@9uJjLm^FgN znQPC=B@MGRk-nO;#U@o0pZ!k5uJ|{qRaI)Exwg)WiwU*q(lIs%X@MA+J5Mk^@ED6H zKzH^FGB?SOGGW+9K9zQICF?e&nFk4-xzGoP{5F`t1!2MO+{)3OAi#(Ru(fa5l&P;S0lDA?LF8V*9;b%EloM}r)>o!cOd;KW84`ou7m(GpuMK2% z(xmViSye2+j%vCCgT|V48as~d)bel;0q(J?wF2AC*@&!}y`n&J||HF_S*6!Or1DbL8;YS*KZxR1w z*f%LEq|Bhm<#%rh7OHETR$ziS3Y1y0B#V_Zm!{M|lXpzyQt`eIf1o;bw}E={yyl@c zB>5dz2Gf;W%h9=`og{Lm;+xjg-yXf^>U&C`NEcw#XY)>P9U6B~T>*|`eIUihW~p08 z{oDDvs5=f-@?Xb~%H7<7f;{tm<>N%*-~Cof5;0aCQWquShPm3zlyWewG+)TTF)UgA zf&oPpP6(5bU$@q>8zsrk^hjx=`8p9tn&r(;NOC((yEg=<%-K6i`KqX~Bi7q6R5&`cvl%8JupnfNdncpfgS z0#DbK>9-kp#EmkG=W3Ne@t^{4S6LJZLTy=pJ0=`*=@L?LpM-@T0_E27%Au(gP@QFs zwKP1cgCzV{n4ec;5QU|y1s{ObC5rnM3{r9zP^ZRx=SL2nd$ho-?SY*&TE`fHjc+=+y9Y zQK1$}p+2faX;L7y!>J==;Ak{OZT_i14rIw4)Jpp~{R@IA(b==E@yk18Tz+y<2m=h@ z?PNf`;X*QXPwC?~eWL%l6LK6cepmbU9ebvvD`MUE;s(vXZmaC&Ey-s+gTh755?9fg zk!7l{JM_X;ttvbVF2KrSj7x9R*bMo!*D3vDE8C1FRc}r$DPb;jWk{2RR;!E4VF)42%=%RNmw;)on%v0RKwR*7tt$?v{9d8K5-M#5KG#bYW} zSl0N)iPUV@OxIl7cSRIM%?94XB&h*(m-GY==p;1}=*y`*GK_j-eX+g{>I;o0Yu2CAmIZJ+&+t?zNOMi zI{74Lz>ZhnA^+wh2ZR6ZvE$m!|9WyD>XEK-V`M$y8VuFxJf(B;TO^7@MYpMCca+x~ z6iUYu-%yZuiW_F;{Jap=PlT+Cchy#jq63>6aggxxg~Veo*S4EegCF)E+pIUXaUYaF zTCEZN>A5oXL)IL|vKf!3WY|+V2iNoZfF`i*glS`KvAiV!9~HwQTr+ zPg)6(SeA~VBne#WCdLAf68V0`blrc!(Yd+_J$H_;%TALv{opl*(dEGyDYJ+mE_b1Gs%w z#@l?l($T7tmh-B7o5{W{uqAN+v&x7UVw*;$;tO$h zGJi-XT+Xk40T+l9h>nS)H9R02Ge{Su=SR0(&5{C4VJ`nQic{8ZFt|e7#$Sdl*K{B5 zuBHumeCwp??@8o(fb#^a_XN@M@{XlUg$LZxBOv!TOTx|W3V3q?0dHP|8b3bevjJ({ zw@oQ)y-0!gA_YV4$HE#%lv36N$@7SItU$J~_NMnr)$~sKLyQ7g=mc~iT8GgjXnV_g z%cjAPtH`aK_2F|Rk;IvH|AB=NkE`n+cq0WHvhxajI?#8&1x$Jeqo;u`OG)W$i$40b z*Hg}$-F8-Ziz{X+rrU@|h?gvg_kI9Il`?@~2?(Bsid@kMj7c)gmQY`cJafg2q|ye4 z2R6*v1$DM70sL**kZZtV7olu}vwY>G<6{%}ND>#){&u2~!|Hg93Y(eI^QV)G_KQgV zuqk^ARpQh0>shK0US>Uaj0%T{lvRv`*)}5}72~$=7vJFUfG5qyXdGS4wyBrYsLk-Clg-&n6SXOlil8C( zCenizYjp}P4buf7Vr(Hc5_%M_Y;tMHTT71T=*7T{OEn!6R&<7_jV#@|t)L@cP-Ge6 zP&aewlBy0)w_Wh(yz2F{V5#3(qEM@17G$1Pk*sJ2F6}^vJ-0S`_??c%AvPUc|jS{KM*qTO${~to_osk`cyfE`bU%a-b|ma~XD$(l<~< z7Q*SRURPhI%!FSspF>U1#HLRg1qWw1nr||f&Mu7NO@edIhm853A3dP*F~CZE<=zF{ z*=}51f5;At-jAO!EP-QUCd`b1;rKb^LDb@Y#M2GZ+PCibJw7eLwC@LvP?XUWB;I>x ztJw7uv@V?Vr14HE1rn&!K(|I@%b7QQI>k8lI$Fs5;yF+}ARJa>XI=elMDSM%%ss}0 z{U2~guoAdJ4x7s1r%+kzmwMj*i!!`sc8m)n7mp0&puFzuX|?a^3RA* zIwysX&DTW5?D&>*fbeiXZe@K+L>w0`Pv20$ z_jS_LyAdH4@6pA+b-(h7LjgRp;)_~uP^Zq4~UScj^Wq7k5erm-8I1LG{sF&th9VHYYz+XyjH>9 zU+jDoi?adhBPG5*daf0gS2p=181=~i4J+t%3AgHy{p(}V!H@i{J&{scudgAkuGE*? zty7SZD?|-AuXmmBJqUXS1q8QpuW_5ZJ5a}j5v8+}ZMYquU$365gWUro+8<26%10?Y z&o?J`Zd;C!*=~S@?&E#oBUxciW_0oqNQNm!b+t7AP{#tIN=U@u2>lf}O_uTBE4Lf_ z3t(^uSB;wmkrV_E~Woh}{bA<=%j zM%Z_{9I@nnklbUO1<#w5(6pw*A0ugPB9D!d)r7ffE*$TsBNbe8H1?4D>~#v}R1#4} zC*C?Y+?0J1GHQSo8LET_M;~U04ON;v-!q93Z-eaBl!8nwcczUF@)O{YWECzTu(bxn zX1fDIT-|f6#selNzA`SY4YXz(C59q1{I_uIBLKo$#y*W*rcojk{7CH+=kic9R9>#x z$I9zQ{FP`qMU!pbyty_o1*R9t1B83R|+`AZJlNvX?!LmU99YS z+GezyUY%+~1$-d$$@8^zu$dD4N0$P4cv|WqIM9$n<(6p&asUtI@fJ|_TVV)g4W!k_~|UErhM92h7nn^ z-mzn1whKggCI+5lC3r2;Z4R9sr<<2(`Qzg;iG!GHINtmnV(u@gG6`sUcvQ{!xL$Kx zWwHrPVw7Mt|091oM!5M{!+Au3XXYeM^61~D4(X4*$hDkY$uCX#Ldl)d;GXc@S!RWX zCUlzp^%Xd3;TT9QXL@gfO#nQ4+E{E^R46aDh5{8lBx-o6RUF)v@_B3cOMg&GS2(fX z$aUWRA-;)1^2FjtGd4Q62M1x_teu8{rqjoJPhU3{tk8sQtr<4Sh*OPMTwl{+wDMy~QyHDc=&h^9|(H$wXHXI+oMrO1u> zSFLs)nt|m*pdDU~1v+cCjrry>VuyL)46>!h+~igV%yudUO@b=u>GK*y%REKA%L`b1 zgtKsqNI~+{*5eIdaq3R>r}|SaHMH?y19$PGPOYdp!$ooX~MYy@Paqe#FlV;IK4Og$#P(D_OyuLP3MuVy7 zwgi038na<726BBmS1(1m+scaZ2K%pF06>kSuDG6$x*mV`d5;J;`yghD=Z z>l?4eksYHbc2JoJx%qlc-Y+o`i#W&OcBeC-MySa(WZPBWMxYQLbUM*r=C-s)yKXj ziyy#`LRBgvmp^Jl!8=ds!gUR>}3nD?NgI=>C9V)@uT zCB90a@p$%}v~gIR0$_YH&c*mR*@h*OVrOkRv*vrrOn42?jGD2M2 z>ZdrT#nLr=urMN*=0KZ!KrtL5tYiIhk(%joeZ|oNncjL9dHhzvOlG8I8K1_JEP{=+xu9*zpa)&Zpj9-vqIhY_|ii= zmmO#yGTNyM8Jm~AoKkH-R<-uP3mBJjMPbRrDVnseTNk;Pw;Hc)0WeuJ*z^m~tr*3nEgiM;ntjQ~aV zODZOZfqhvlss^E+1H!9TSkshbGDP z>VP3RI*jNe)f)w${+2U^3)B$c9+p7L zmQ0qI)SQk~;a2!}zVn5ndmhuOtq~Vmr1|zI`}kf>{7b**0@h&6_Q5ucO*ypZg8k!6 z*eA`5`u&~>gqg@5Zq0EK`(r`2$y|46wFVBacMg$T&5Q2HY454W1{rs{j)+C zq+yEY(NDX@ci;JM;!f4kr=-)q8L_qaPnMW+KD|@JXi<7ib3f8x38&Ho%^9|?4eqX? z)QY2tyL*41R%)lF@|^`y)SL~J{84930P*5JbK$7PbGx#SdE-$|p@T>JCb5DfE0H5n zaze~f6lV($eIi)I4{vC*dWFlj64U0BnZEd+X1C2A{lUw?-aRC$TbTBdr!VtZECPO| zc@07CSnWbsKVR1VA{Kml={&{wOdP@3(Ft?kdOJ?d*pdYP7Kx@@6c9ITeJ@u%k%i*@&^VRmKp z%XG1Ccj$AYnwpX(c`BSCYn7^P#Mat?jfmpKYdo-LQL$$k#1CQ7j8 z#nsP6vZ&84V!@GtXrZG?ZRAxDRNFEJl_h`6CU)tzCBid<)x_}S@%+6+W2X7L5f+}= zP}EY${_6V9Lyu@D0qi0+<2=yr zm~@Sk!{K1I<}$0(=D-mR2eKJydcnHwt6T?X=>C7pEjMM@v-|2N?syL~)o1Dcsvi_O z)Sa4*69Sf{(~`IiVQIYu!7>-hiV5bIVWyv159hVubkDg}HFb==7Ik6Y zVu-K*vV?cyj8pY$I<}9#bTo#2KD@?a>=x@`#boCAhG^- zp;;n<(nHTZ-RC=Dt~QZRPHS~*GHxYA1wfuzspO7^%${WpY!^LEM{Yzv^_+_q!f+og zZc`sv^LQ%!m-@tSj&*aGx|Ss7NOrb%Xy@tqwLisL*}D3RhmC0lv_;WXpV<~|hr5mc`Cr5X$s8ZF5fn{>dL%)`s77y%p(;~;7Og#RqeAP?@|5lcGREzi;u^9HM+PLaGI|BH7|Aw z&;7?Mt7O?$XO`WbF+McuUTj;rXKwXM56NbR&8xZV8+`Ialo#IqPZ1nh*#4h9CC4o} zD*ZrSyE|Hn{oess!Uc5=RK}`~X3SyzXWzRIOS~A;cXsN)NS&HB=Gz~mWJ{ESx3m#1 z>Rn0x-?ahoEtd(Ai#p)r!0;o!ob?~5%|3t_F}OE-I)&|*vOUYS?N#&qK$1I1LPilt ze^gRcp!A3BcaW-_Ez}UGCKm=!9;NEIg6ztciE+gr5_Pco@2Q@Lmf~5TzT_;ug8T{1 zFiJ`aiK*NP7Sbr&tje?0Xa*$U?pp5NN#x}{}~dfQA{*DEru`NNfH z!2)&*oap@E(#Sa#Hz{YwrbEumVc-jNGNr3zGN*Dt$IP{k8L$RLm4n)mcG*<@)yCc>pMz6MC(JG$dOsKTVvHR;hBF_Cig==0Ld zcblNDcVNgar0Z=yoDvCx+=L^%kRhm>Txc&$2=*p7j~DO1mzj^>OCUt>rV!psI7H;8 zsF;^{h{R1vDKF^|nVYh5Uh**tT|&_V>>H5jcgh%*2#9K}8dIG>(5Tg9YH1U6Y7a4W z|Cs`6^_d1o2!^#snMTG0lUh@z8S!5Qp#{^@ieO!9!?dLwBOI?i!L&O`u&;Gso^m8O z)jBg>TnTQq?o1C)f>*6K)5n+KSL@FV2qXm61~X5eA%xV1GS7w)&eeu9&qojjeYnQ7^SjM_}*l`I0SHk(P$A>`KP zG5^6Wgo4^@%);x08?`r?w~7e2Yws}c-Xq+veZYKJOem=>WtKf6Jg$Ahd|FO;R$IZW zd`_sUeZhQLO{l4@W!BXb8fqJvP0fUs+E!-UE5hsAH_Ubhp`*5w+0{+xsqJO<^%Dka z2bs*bgm<;?nIDD-!?h#KkE4W7wPVcj2?AxZc8WPYLzu1o%$%DiEYvPCzkDTptNqUW z!6LA0mzbPo!b(H+JmUyi#$ArK?x zCtYI`UERS;doQkxi!-8${5Dsi@NAH_=1R%gX&N5Np|0i#RtqlLC=ZNEk4$I^RyX2* ztKei_srA5<=6>@j<9ieMg$=jOmBLl5{NOSYS;DHr`3Ice>^2mQAxAt;bU1^)km&q! zm>z%jU;e2(eD-|z(o>SBwhu+(=L1g)*=*XFw*92%{q@&7Kv+vvMym9$dCcVG!!29$5`{@PQAB zmPi&qR`miuV=6laOlPm$g-%j%9lPNL25HHiN3kY_x%qHP#~~ZAuDGxK)R5IF_pU@3AZa$jh5Vmo8BL z<;95T;638-d?Xn98p)Cc%(GI>>t;#q`Eypy<7L^uawt{P@67qDv;AjiJa&?#1b#MzLX3v}9R6yD&Q-yoeP|`cjD1B`0NEx5U@U|4jST42Cv zk@@*0k+v2vSe=MYpOPvQt}WZnMwqyKk&!svMX}_g*c>xCh=y63>|3xm5Ujp89xWh~ zJ*sP&TZDZpxVkT;@xv_?b8lWV52#l2wgcU03#+DIb_}D1GZ3nQuhKwLxPoL4m1*O;P~sEFi=J`^jShkDJoQ@;=Ml{fNtEcz{pbQB$N? zAFvbvOG^%0s|ok=yjx^o2=|i~^eRN(FZY%)9%(MVV$-r8qE-6MPa5QYTH|UM=fkE+ z%6@7r%H&R}ov+5UEQmXZ2}-)i1W{yE)Nh-XeE-LGeuatImQNR@Jd9ka5Hv7*i_Q-$ zY7naufQKolKiX!MPu;Md%TZ@IPE)=-oAk<*U>th=1bQU2eat*1^nmiO$9gjA;O_K7 z>s|4?_{=T2v7heU3cMw(Xvpf_&y9cKjA_U`>eA%hS-H`wk)yj0NRVo%{EMOvt}nkz zAaF?G0vzPL2WHlTt&RkhoxozhsCAry?2X!dx+MrobQ~__$E)Y0`-E>oBPfem#sylN z7jDyf<)!}B9aeu`Q*r#flN&!tODVc0v8~;o^Koir0u=PL_%`&RHL)rOGNd~KEqeB| z8(#0s0Iga>XuKLT8w(lu)xE`^oP7+1R%&* zGyw)P&&oz#Ygsv^h44%@v=+=Mb%u?k#3%E%c>8n}TyZ`G@`>(Uuz3M8yznyTq#x)e z3Y+roI{81IM!~iIvF8rc<#(P`(&AtFSI~F#%Qfl3&Rx1Y)A~jf!Ppx%5$H}+_rry3 zXu*?Pm|#JQ9DS0$_JOSlN@x?Jf1IXw=;*#0(A9|O-`C~5r~6p-h4Xtj3)1W&wgtmp zUy1Q)e=p=`^E`s9f}m5*gBYSN4n`zrUs0)pHx1=XDVQ$?+^P{bcaKQ429yolr#Cjq zzlTbHZchV1Oc-UhOZQfO5h1M@*JPc?W^6BuI3c(L4-an2zikJLdQqji5Y%h#t8k z0JCw+T5I!RY6y4*>I~$RtYzG69cXb;jT99Arzwf&ri5)XdCHv{Xn3Qno8N8{E=egI zXxtR`>Q;^K=0H05;37z)l;!Zmw3Q_3or}3XCTYKZ92m}_KV&@3Y*%RT=XcdD)=Pn= z`-DP@N7(GT)=8puva?xM#X;qW< zNkQ;*G9}dPwGzw4zz3ym75uM*S6eOPe1v*G{Ph0q)EkdTx(5^e2m=rv@jQbD~r@BHEeBh|^ zH$*i6VKFIqc)45k%<&ah!3XXle^vDmo|A&dmQku_q*ojSADn^xRmC7;CIv~$d#dq& z3Iz|vzE8}0*sxG|RyBSouM>4U{+$4~euIiHs4$`Ftch`ipy}4AYJZu2u;7q4*UFLH z!s-k|p>E^L0<0<*!S~Ax7Gb49I$>s%0cK5-bP>KS#K)y@ZBE zIuev#vgN*>{#s|kF1RHZLHIgt3y~Lh4@g(UDyIL&Bj3a7 z$1gQ?wE}6xJDmI$oEEZLEW-Cs2may}3}FWU&AL z6`kip6A9PFXC{+I5=elA5=ug^Axc$HLJKHTMWieWii%Q~sv=CNhJc9f+E7~Py{O|Dm@V!ND*BHM9I9o_aC_5?uT>k`JJlJd=>1b{RCm%ua_vGSbfd!OYxC} zFK`j~JzpC=H;|eDygWT(AKY76m}W2Z$4`Qko z(kwL3p{hq^hDo~!%2z$S;whNzAvwf@htX*u>X+|ZL+zpIXa9@|Hx~j(UIn?eGMN!c zZPh;AY<%LIS7}ifYplard5Loxm>?1_tM}IYQA-U(6i*$mo!tIWVEz8?nhuuMhUu?> z6yW4-+&mj!&xK1lrrRv<$pdw8w`?o)sy8%oc6!aua!PQlv0H{z#Xz^&+q3lhc-^-D zLLwfWb!cq!AGF#Qy?g*!avTKCGk#rl4Y>IcKa+P4vd-j`>KMjz9<+KnL_~x6rxE}aOfGhsGQ*XMQ_r+$?h#7)uFVzX`I3>=igxr> z@h`{mVA7=H^7WMLRVk>1Ayp|ds#0t$Rht;UDTgl)Kvri^9hExl)sf>k#nM!E@sm5( zCol(rWHb)Z#OvzkgtVWZde*X%1LXW%9(tZA97$AInjK1ou}$Bp&~#dP^D#jef z#HaN(Zq@Pcrh<`H6|AwrVC#0J4!|4}M6GficV6rwj1VC8odX8hOfJ}XhHI9v1dAS@ zIqlHbGzGqRIGZjp%$V=mx}8$0pm6jiaggh zfOQ*>mUP8ESy^ztjnSH!Tmwjqv#2T-bBx!}tH$z&;U%t^b(66K?csU1RpV8)y0w zg5GSd=(X+B5W(e2w%9+5@brr4NB#x1w2EMzx|Kd=z? z_)CS|c=P{6QYK1lQ{6rcU4DEmta1~njeV%WnFKmN?rT+)0a$VAMX}uMCEw6@QF!gb zZV&4$4s7vPpH&OC0uXYsEmTs-@-boC$i!KQm`MMR%o@(=@>1ks!|TsolV9O5zF@AV z`UKL5PE~)u`*#&pW1Wa>$O!(Xa8Nk(LM9qH!6yx?u%xzNk1+c{UWKY+TxvSw)O=ny z>)@r@*Y_Rd*4J4F#`DosQCYyA=w`hTjUiS2B2-o+~hyds{EXPM~65D#>2vh8h zMk*bi?_?69;T81@vqH9J^CtGj$sfN&4<2yeWf^sspu$VK+>Zx*26Pp!Rm8AoNVNVRTWR2KRLy`PD-kc_uLV&mUu%J zye~}kdZ{o?L_4EU!<^_1$(Q?;nQAy$b<8XQV zkz^`RCxD^N%Lr7o1Sr3rSPmu%=8vV{h|rp$s?p5~k^v2oiQ(-6wAtM+dq>4r;@L7^ z;y5>Nj(0EE?M=v+=3GNvYIxaFAC{-Lug3VsgW}-wFXhL}4xfR`LrW~E2$z>#Dj_`m zyTx`d+}u(sC3Rn5J~|qtsL|`VcO5k1npYCe)e-}mc1OOD@TrnxcvWWKK1G8__7*Kc zuaj4hj)gB-Z)*qJPNmV=#v}KxzHtR;OvEODpbplTJ(PdI<0ed()_sfpSZBMtY=^n3$s+EjOL_y|i?$CaLY8N=za zcV5O16AAaEU_D-)@Rdi;Bg~jZfZqrC^~S7~IsO_PDstvw_$A8~>q8iRdYAZSzwd|L|ew|Ixtwhbvf+ zR398})JdpqP#-fiDs~n_84ETgU&Z=y&sl2P0&F-nAzWtkZND~kDaB4X>XQL(LUiNK zryY7(?)<(+!Ut8mal+^@&BQTw$K=7%ns`%W&av4onXIXnRNgEj7AGy-ugKDf-)ub7 z8$7U`xtgBS{Jo|iGl)^}v(u?8**fbK;N<@t_hXFbQb^atTRv)i<@u^cbxWc*$uWEG zT{6yhwi^#(Yvu~qWW-ja;djR*|9fWI3mf7I7C9?pI9FTJ`NErm+jSM+do6 z7olh2tDT|Ie%YeT37a7c;l3k0d;1iQKaZs+bD)E|+#Js|UD+jK() zPHY7SWn|5#5f87+u}G7G0f{I6bLv}2eLvkZu*>qSJcA_owW_Y0odKrcL<>P0gQBgp zZ7bU{;yV~DqrDn<^)zYona-87Hr1RKUua z0ZxkP+UeKibYC${xPe{8lCd22M};16#?ZQ_1P6i|FI-ONeb|RLl6gfp+=hW99@~kk>ImKlaZ< z_k?G`6IOLG64NeZVsZ;<>W@gT9VNr>HoH01^IczT;J*bVYQ?_pmW^n<`?p}&WgmI6I|b*7sGD%pg4I|Rchj0$p0>{miHb_Hj?&JKu<4dp;pz%^C19Xfr7z(K zw{PT3l}3Csr2c_K5tnq<91?!8qC@nDaJW4_ohea&#K4gn5*s_deJUt*lh_ge!@5*Z z>?4GHj9OSRY#2Cl+TU9j-w${8xsS$o!yqe}^9MQY$-|LVTefmgmjJc=pjC=b@pv7f zn|%DaPBTyE`b%7! zVFer_@D5(FP~aKZCMoB)bwOcfn5}s}hO`#Ag%=T6l;2I!Pq`r0ncNAlR3<-t^85Md zm;+rOl}mT{2RabJ&0t*!ZqbW%_tOMdLiGyk0MDlTD_q@}a&2k!6~yI*eAdjB&WvKF zA7J_S32SS@V(9GKr%7TIO4Z?_(|zOZ$l1QIn)&|RaoR`_1_U<{1N;&u=hHC~Do{Q` zEw{h$-$K#ykNL?sa4?Ytl>H1j*%`PaYm$puV#~t_)a%qjZIz>yP7zUV1)aIrL!*!r zw}W-q&WZY!vbv>bOXH^OlhAZ)6&&8pWHxc5@Uf{k`>Rz+Vc;)h8=asY! zz`&``LN*j7*jvNyHQ4ibSK>T6EtOQMTK5w!E8H{`h@qHEU6#U5?#Bn6#CTs3wq`h* zriK+vj7dezg^*hIC!8vE%e+K2N5%X`t4QgE+8rV``ZQ8yD&*$8|HAMOEU>959H=Mu z+#9(RJRS??s#{#noLJMVX=B{LR~ZbDVW)!*cWd08y?G_b`_NaB7@RsWd9crmXLXwY z;eGz6d9&Yzwx6wHzqTZcr*}uIpKZ(K#qh1d&mGoH)i1JdGM4FawaO%BYR$^7 z?0nr2KNG|&Vdw?hJN|2V&D3zi%ypeney%1Frh-gXRbvFI-MC+xt704-$8jZ=ZkV!0 zZ=n;|iMt=^#@MG?DdQn?#c+qDvv<%?@>MD!2M+np84B8VdGrM7s)GDdS7vOWoOnf@ z=DVcJ5gld4=6w_0ng1o)@ohAEp6hplvj`zaF~E=Rh2)a>(}m;(+3#dM29`LZklg*- z72MVwzuQifl=c28LwnBql!~OW?(lCl1i^=rxS@p*mFbf9&SOhPr4M9vbuQ#P^^1LM zJon#b)%<(St zVPRLElGs^x&%NiY(WBX9wC)uYCqoPb3WJZAn0LX>bXeH01sh?LRzB&XTICDqM~(QSAG|! zt96kAD?6z5&m;^@^y1lPE7CDd@y+BU zx|o#R^m+O`&;cOKHON|P8(Y-Q-eDcrasy$2_&dE_o_Z*4e_}&Yop(nRni9QtU(A8n zIMmTN68P=#!QE*3u7r3CjukLt2C&=}f$5Ew9<}CMX1E9duuvwOr*B{=-(h5CV!G2@ ziUe%;2ta zUAv?A*tGAr>xfTK>;F9&O-W5l&p3GKa4rBoHKnQan_S+BW0};ln^+dfX*Ij^TqG#~ zUo9x$3*u@?TQAQoiwjbnJE-B$PZ6JGVt}EuI~2&Bz&nR?w5OsveI{>aMd(3+pL{1aFSwx^A>Sl5O9Xe51N_y(3fo;RIozfPOEpMh1iYO>d@ zms$J)yAFRL0>q=+icq>Po4QU#gNE8swH+8*`b<;}Jc~G3zT<#sqBEY#LkvN59|Qc; zTt`zwzBNddy=qZO0b7=qCA!_fNJGun+^!ioc7__7 z>J%C?5mwOBl(UE$ap2;W@y|CLYo$h3*&zm`H9{QwQp@lAaNZE{&vG z6YTF>9chm;&^NoNKCFMTuR)MesIqU)DL{Of7K>Yk(sclg9YK>nLe*Fm^4~6G!vq+>ZdXr)QeklHgN=fY7zfbA PA`I~V1A6^|x!C!CN`Y3) delta 38367 zcmW(+Wl)q~7vBzI>0DyzZt1Q?L^_pjkQ8<|o4qhhr}+f79MWJt`Oyf?m7{c_|5n%!3i3q)@HUh`a#t{Wts!L)Rj@S_LM84L5$Ok<)XoF0 z?6snSwc5S4#j}36M4Fik}~dmJSXI^!Go$a?I}|fzprCZaTtx z6&mp#VyN@#)GW0>hYI>^Kh7p;%LBsC^?pMv}z0seedv=bjhgPgA2}r!iHI z024R?GUK5W%~zcU5xdc+=*YQ@zOpXvW1_7Bo*zvI6=#bPyhU_$CY`$pI%|4L_Qz=f zwqg+?l|svZNjVM<$#kZ`zRv$zCmA$fWb+mW?cffy!Qw&)bnf|HcGeyz8ot5|2PZ_i z9L%TFLxSJ!F{d3s`JFWrvhbw`bl(Y}WU6MZCH)C|D*F)B5EleFRb`YLxIr>2IdO11 z8tf84!)4I_B1=uyjBHk=6R|FV*g#FrP7rU_8wb0RdZ2!i`v{TJ+0jKS4rOl?BHao3M zC~QTV@^E7pe7*_lvGqVu7xaEpB(UNPlK6`!WM|-iW{cmUXF>Qb>>YVIu$W!O2FEm4 z%eIl=FKXioNNrnt0K%GXom!#A6+8;e>75Hgz1WEn5=Xu@Z2&r$`IKsB!Th?twsz6g zpQPwEj<{cK$LB`M&ut3#O2u6Dm!Vluf;I+rH$AEAn2>cJ${HI9(hcglGqKBBb#!rP zn!y*HK`huL;7Q3Vzv=Jm_>iI$BN5WN{mPW0gW4vpo1#+!`L&_62;2c86-h%(hpwwn zH|%8j@=yT2FL4~wH~7@>-MlRjLZjqX4&CuLnXtX3R5Wi~*Nia-gnVl~$KFKcfW1SV zTD7Pn(;D4`iH)>fGbkN~*L>te&O>*OQtTUx_YW<28 zP39Ow z!H0AR-ZAcLv=gg)f0{<41(TDEfJF<*RNufex%8W;6LE8nBb=KW06U7*|e zH|ekOgSlYgo<<^DtRPI{j#e`V39xN>Zi5oxrq`mX;>)VUAAc2DK{YGv&bB~gR{S%{ z4yNfLu&RsbHGZn_WtQZkfbE#Yk?vkc9&*xYN4ySQ1io^n7%jhE6a`y7M3bVM(r`vM zzE?j>yEADxgfdhELiM13&!&w8bLkT>_loWp59_Yf!-Cy;x=} zth4f3ucUICd`E&nM@7n=Kx#_4W5*drMhcd}a5f zmnMo7)8fUsHtbbC9%t5_m;kAe2|&K5TietjfY?RGBJ-9~M4x3z~aqoPILeU1K^>*GUXVc!uTc+(Mm50a|9@`XQDDDr4gsjJn zU$nc@f-gy21Z)CwazpxNUTg~EJ3JFm>_&4p?}k%>s(icVSpsQX*0(s31{BWQ#@hl_ zN$Kp7`M9)K>24#7`%+E^a>Q*+C+60AYh*)$_2DWGD&qJca@GIYyJm=%lpMY+SiEl0h%v9n$<0o<>{=1>gfx@bt{IuvE)g0Pgg_YH+Vu%M7#D3HWV15+kN*P{Em zxGR7c=i>W?Mf*O!dVV%Zh7+1Qy8}Zr{y=gM;E@n$4dOONR`0g|3@>toES9T+ve`N% zmxybdmP8peeuogaaiU*l;UnFtww%5v^+oZxzFo8god;^%z)Uz>-mBRlZ1kicF?uYa zyi1sQ_)o9~UEa)zE-?DQ!TBSduauCF2PA+wAvd}O_(5 zbnovU=&+fFhXp7IW`=PBf#(}@V(9>{i%F4m?PG!^2eHJDMow{^vF8!%WX|jx%gwiK z7q||}TF%7-c|N~9^7{Lbh+j*asZH^vzcFhTQ;gJq&*sgqqO-lu4vO`>JMl#apvzfL zcl?}rdmb$;9A6oY8>&9x8#1)S4JEtAr(AS{W&6$|os7k`&^{5u8X7$^@h=QCO1sur zzQyxuz@MiAgMXep3{Iy8XJ|B)aj4Cko*WLCP&BrFz6+)kP!II;`Qv+uT)~VRanQm{R?f>B6k(-dFg8eUn}iX3fwEHr zkEzvj1YKC@Kk@eaE9Q+;2@Yv36W*z2uG~2?Oez{9L3Si)Ex;g6XvsL2`wf5PA9Zau zrLc{_69{JseFwAVNi%$qB8ZHtDlPvj^5@NR$!KYeM3dAXaEG!1&|KE99n6(8x(-<9 z1Cjj+j(zDv1}1I~`{5#i9)dg1|2Wg=Ij65}?JmT8P{(8#_ut@jYo$uAX@n~YuC+HU1px;8iLX){_8a9SQ1keOok1Y6)PQ#|2Bh>`tS&Y-1 zC+RpD`53oj_wLxsx7kj{(qYe%UcZY)i%+3(r7kY_N2vFLh@U^f9j=zq6&}P!l}rnN zeVnlDeDxA)TKWuxUILfCks)T_wxYe{6mWR@{qkXh<;kzWn5k#170!Yeszv<3h3M3T zflc0YUQy2p{HgHw$;f`XEeK{n2f!Hh_qlPPg5UdOMk34 z;jO}nnDX~lP2RpSQSY%}e9U{Um^8%9W&DCp%r%HPXH3ES5yN}uV!&vdYYRw8rG;qB zHTX*Cdth??mQl-pNgi6X%u-G?jvbW|5Lv)~P02D^KIi81AGGrte(&=n(E`!`b^0N> zQFv=LFY21?#+xXqS5Y1BdzDTUHzQuzMz*JxOkrs_AgVjh{G*^t z`cWd@@hVYAwBt&Tgu%r9iT4eXi4)m(aI8o`lX-S2J#FYJZ2f&W%KHh0g|b(pD!v`#fwMHhZy^Hvld~kae_-oO84C*%^8M zaS##^af37Gmi0;XPPw$1j*tUYZ? zm^$o^I-TnovU=r&{yIwj&{UG?89N}W+QC?q9sK~8Vv%KSvB_lb&mNj6y(@Px%?Mh- ztt6Fbtg!a_Sch=URL`H0d*Q^0{bpvzezWFP)@$zkIaV{K3tiS^*|?vuxXDv4_8Vjd zf`nD0n(&Sqp2Bd)Chhqq>2JF+W7+DQr;J9-W$Ycw>q>pcT_?z;Nw&nQ=e7kuw22CcZz`E@1g{ZDZ`;j`;B5= z2Px1V!gn2Z-&1{y2eWzInv}rS=c|yn)OP$&J0df0;pQD8ZC! zecfU$jIeO$fa?3b6#Du}QW-|XS?j95+qt`eja|?gY##!Z1mE)k;X(3GL$Q;q!B(mF zjfXpIwWH&)MNtTkXfzu!+!BnHo?hSR_l#cO82O2j?%hoVC2e;Ta?P#JawLGgtbGPC z_n@x-BMEf?lM!itDh%QSD^Vz$C8zSvvLtA=Kd{63n;{om9{z0uo3Fc`y0L@|zK*+I z3tg@t3Akf|y5GG*6rJP#IYGK9!(?5OGvyGhhX+>6$y&8FQNOB8&vILVGem)W_L1}% z&L{gKOQU;SXOoztX$$1t4dZty(|p`8^Pu(sXAfr;u_qw!Whut7AnHG~YY=kX7f~?= zG&=Chfma=3Yw?@TmsxU zr*=wNMk#hBC*UiL{J?zEj0928B>fLUEBS1ozIbs#TUC|HxKm*78QZ{eB`))`P@5Kt zP6rn}9*j(kCdCkvq7DeDN#vC9NMH_|LWqdmO(n%9hQc$G5(z2dG5e^<^fvLxh*ZKm z%@EQ3(D?YI@ETS8DuI-w&=iZ0ObK=5i3v??jIe}Z(EYpHGL z{L-3dH#L%UG9lb4i8qlH|MJuc5aR3{Y)76?NVe1PUo|1vChW#XBr1^ri-csQCW5$~ z_nxzxrjCJ(2A)h$j*Q9*xG}7p)D#;=rX-V2%_%_qoISyW$OLnRcrr((lXGL9Jzs<4 z5TYwCq2M`0JAsg#JD%2>@*wn!h%1Gl<6P1Bp7OI|EHLzKL}SG5)QN|wSlZ;tM7u6> zqJ;l%7#-4gJxN?YdK%z9C0LnY*OVA*vlbp6(+H7`o)!wFXQg8yVWHz(RnsY)+O3DU zJ*P!YhNjV^d^f%6=*W*YX_;|^#QY@j_9(gx1anp6Z9>SYp+3|b^0!7|@^S5`W(Rdb zl)UsRAsLT(Rq&H*gm<`W1LHHWwh$H>SDAzgO~Hr8s9~|5&<03nEmu?{ zS2#UiE$(msagX8D8u=@6W@3I*rVy_iHe%D>y%AViel}+yC4N#9*}fl235|{K3k}oG ztIYC@NlJ6>wR!d#J(bftQ;Ut5HV7xbMHp>53B+)9#c-J_)g>kGa0wX6noc<+_}Oy^ ze0@&P)MRM^r1adV zD%j{r*1n-bJGu1y=y%RD5eVg1?@Pjqq7v*Q*FyQzrt$vTg$cM;qLAA#?b1S_jo4JU z>V+3(oH3F=?&mdE>WwsTrS?BdOgG_R)#y*O9tJ;f-s@KK-q|6}g@sGu=oqxm+MRed=@SI0ppd^wXA# z8m-d=#u|0s>iXQ4N{%^Hc%m|-Z}lqG-!n{td*VZQ$kC~#$OuQB@x+LC{Op_iX{mj- zl#Pb-1;d-_i{i?m$v>dt=wTm%``StJkyQTb<7&di@jtUg^L^7El2anZinvu}z9)8F z*3ogRJc9Rd<#bn&PvvlQt%V3%<=hX+kRsG803j~@MImH3KhEobV`YM0lHcPjf3$x*Q~r}ziFJS(1G=QWMEX*C>}Fz*%p za~fDlOS)%-%{fQcPFL{HP*w99u~5-iA+QX1rb@bF~|{_>AVg>#MB zVZ2v(TVY8lwjGDnB^4-+-iZu$gdPQZaWr&U+#dUdD`HH2AXeQ?ob2)`vi4g=s6nDZ z%?H5%6(%E#&Z6)G_F@Tq<0lGY<%C zf!;mgUL&GrzyW!t7tKFHb}uY!txA4RG(Q-UNl~FqOk(U_6LP*~;~$I9HKVx%syQBI z@YGvi+pzrwn_#K+FD43t`2>OFZ&wGR-j;pu6mys3&e>k6g}8YXpq{MloL_mcYyDVM zX!a#?`SbD|sorWRnqzl~99&OC1Jb_Kf{g>vOgF`~-nyU1fm(fAk5X^LX=zHmybdPu zEQ6f8(8Q0wu$xZHK|fWx;HOViD4J5#TGfgXZ?ZunAoD&8U7DclE1! zQ63nO=EB)Q-sj2QttIn6{ zUN@d-=CjVx<0~72`3ZL0Z^+)cXst=70LG%8&|B!Wn_26=sqyE&O((3tW!_D(a zzU==dg$*53L{~~b8Tt+FRC0&S<#w@A2{q@8p&zo!s(5si!*-61p;^+l*Xd?fu-DwE z(WJtOlilDtXgK4G&7d17OD8sq6gIt&%zA04|yruABPxQZnlsJPq)jQt3ME zT5uslUDy8#c{PG&r!W1ru7D3VtKsg=|)!EJyGX!?q;~c%JL|R zRQ*Qy(dhugvo$4IskzrWo#+5ln2b6awlR^4tD4bb&eTF?BaA46PR@x<#XQFcaGaU( z9E53nTd1lAbNiMP-O9=qxE?J|Lsb{~fVPcA>jy+d8gd%uoa-afbVIRcm7DsJJMJxC zBd$lO;r>fJb-%|_vEN=}0@8&HSsQor?bV|>(aL7J6!%z4xH&m)xt;<;lSEEL4{Lct zq~Pp#$~dH z!6TLm4WLZfT(JY{adr~dV>tPeTFp&~e23!;W7K~9y+sX&nmPPO3B|{`M+~r2)Za?N zT)u)PRuc~IF$1A%gxsD7heby?;0k!Bd>sM*hZYEJ-`w;@hMowdz6tsa6hUP0;=5W( zM5iz8*E`Dd0XIwx5Ah8B%y&%pYPO+zyI)2L_nCW)Z|u(V?v+C3OQwi!hTUARPS{+c z$Bhf;bGA5!hvw`YfbcWZUfZqNWAm9`i0no8fqIv%@fOE?xI?W~LAcEwRN8w`4zd&&Vv`l&v(?5DHU=J}4l7THvwkBA(4kyYkJte50eFHqDglq~nx zr4|TrNGiZ03|Ekjjwfk?Rdzbh*)nIOdXyih$)fUQk0W}}De7^q5=~-UC|s;rW&54r ztZs#FG+w{=wV1k#f&G^Zm-cS15Cgt18h9-KBe3DHp6+SJwXW92ANa%B-xhdO#xekv zIWd5p)4vWm$Q{@6-KB1D9v$0ZjlIHsy;N2EV-aUSE z4SwmlW%_Iy%)c(zIWaFd@_rAo{08SAG~Z#=?kVcd6(4Nzn{Mr&t)pia*;DPj4;ynv zkGD0Yh>b^Pa=3YAs`?lX{Mw=Q5Z5tOQ{aU|DBhBKR;2MVr4-M9m08j?eD?er?a+P) z+;H_j6wP;t|6F>eL$`1OpJ9_KRSMhrd|Rv{ytKWSU)<;gZ%@5l*^5k~?BRpk3?&C0 z*Rw6JrM1fF5r`xKUP8HD-Azyazbm*t#gk;qcQ>iB^gAY}z@eTa%bvQZyr(-^a4&hakdIpgfFyNDR*=2s7*o%a+QtcYFJ>MyJ((A-3Msh9l z7W1NP7SGvs{#sJ^v*KF<5286e zo^AJ+>8@UywTwkrv+5qBDY4 zFwx*3bNe>IK7nM^S>>OgVJvlI%B0hOTmXbLi)`nva8WI|P_@SteL z3PJ<4BlaivQXWZD75~}#0s5xZ$eeNNCM}Iidzf`KOO%9!--~%b=yKUn|6%-flmgA~ z-*Ka;Y@4#GgPQcA`eC%-e&rxWs5SMj=rSp#^8F*?^#U^vec^RQbZLIjrzVGBUtH=> z&d_h)&QJBcJE)XaEDKb6S^JK|p6kN`E%ia!@wiz4X^Q6~(R}p*Y~KZ2M_Iqmj0^J} z-Z=V0XUx(1e&nnI4-H7wwaCc&K_leVysPSQ`SER(+l-P*WN1E^HudrCWE-!O-}rD@ zMMF*R+j<~Epz}($&KC$rmZ`qB%irE&Al=p5g4@hj`#Le(4-S|e2emicoiL2fWq9ZM z3G_O1`}~1ou^n)0j;_255%pQyHltFu4Hz)K<03hg_ba{z?-;)&&B>G<7*BMN|Ea!6 zx?>K9WLC#@uB!aWU6DrK#pd5^S>mceeuWE@jl0M-FL^n2f5ZjpBh~6->ykmACq_Y_ z|KIguF2%pX(kr5W4mI^8ps5SF*={oy%!7S`@Dm-$4nV=iPmSVcQ+d1otJ>?YJ~Ma? ztcO+eTRZu^8d00nVy=@*3b}VMBpyUK`uW*iA=U(6)Db5`ky(Rt2O_E{FeIk_CdWe;)j#S)HaCL2G}({ z9`_Gry*IXvl~X8K>?-kCWxc`WZ4Xx5TTSo4W&R|tx!8ZcEpI~{1$=W_S;tS^MQ3jgeGi$#v`rD&&w|*o-%8Zs!ENpIO>)QA;~QkU z$WoFF&u_&ls~kbn&N14*ST+-R&W3ef@ppD4Y7bah!8+8Ul!YhZdLyxZkpH58bU(cVYj?*$W zhvxd@?zz#=H;WE%%Aipz3{4T|RuEYLP=QR8Q>46J;g2MLv&^P|C z0M!UusC)9s!hVaUg3+Q~c1BYB3m^2*BM(tG5aeLe2Y<&W$zaV) zdOB2f-(5z;gJvF&MTuiOC;;=DcG>8c>Dg|2fypn^lYS!2C$o0^3-QRv?KeV#YqI9U zWg_SAbP7T+l17)zF3j+?L^;cenOSvx)Qv@w3fM8vrPIeCt8HC!W@Pw zyleGix|Q5VHl7GD(d5vJO=$ti5e_PJP$Jp4rQNI+vhmzCA>+yDDb7R=kh~Vm>SAfM zO-w6{LKm5;E@T_1F3&Y7t<7{5w}m!a+5FJsoqlFt!IZ*cc1LN@%t>o@8%kbV{F+I* zO2~uBo|2JDK@4!Rlwx}&bj0>KYC@nkB3w#9$(BRyZ5Z#znaCD&GU%VW@4LcB!b@^9)`SpZj~#>`Z1H;>Sz06}0*;tYzsU_;zZnQe2AaM4~7*+rMr%u%+qUgL{Xek3l#MO@1Xp)Vu-8hc(^?uf15>&D$_nv)); zDw?*szZG9HH7L*sblRgBP*xr%A) zOQN4!2jIc)yz79`9v#8SSoIl0GQqM5g*Z_p$uTZWFa@tua(^Vy;;(f%|Dy>-8y?Ay zA?x*+ES{W9e)DWM|3v$IP%~hI`7eKZo!RiuQOD@%q1w_temCkDVSk*f!L3Q5Ep`bH z7-&upS8jHU?|m!$_U!N@4y)1^f(2GJ&(W_Tz;_={D~<>S0mn5?10}oUeq;<^SFW)| zJ?^({_Py3;($6moM5tA`ojW;AvW}Pep&KNQlzHF`U$MfcISJs?=H;3>tIvlJAU_uvHM+?>SsoJeebywl3-#^>G zZGRB)xdYl-!Pj3O>~K;|w~1z_Iy<=nd={!)iL*}n^lhwrUuP<3aUE<%QYT(!8s;ty z>6Ve5YtCfjh1f9FBNiK0xj|?3MsS}rIR;(Qv!MNaKJ1RmqF)~PL|iuPvE{xPr*V-_ zYiuXyXUfIt&h3jDhbM7{y3nVhTxDj`w_Tcc1S{u7ot8@>S6_L&G8xnpkSkXB4)BdQ zjx}0rSodh$y}&JA=O)wBrq@+|yZ2U)8gX*puo)$X`=Ue#yKd(e3od!j{>juUzd(qr zP=RDAozWX2AfAEN$E8Guy;OL9hDC|V#Wz$g$=#582=$e)T(wbAqHp``|K}=%VohAVk$M>M{ZgvQ_lqPW@B-PO?XGmmJCgf!w#zvL#E_S{n1pDn`)ngxRqkqN^t`2By1|>-QsaaG8;2s4+1|TL8p5uW)$-z)P0g^0-|b^S;|Xk zv7cUqaUY+i;j1e93pV)$>%fKkV#L7}kD5REh1Kl%(E?X2YU?5;J35&=ogSDMg?s|=i znv2g%38yrMqY7#=7u%>x7O;kx)Glc>OaC`3gjw7cUk_>9=Jn56Y3q?yC!}o2@fw5j z7>NhUdAJ=J8+4+A4E&f3Iy&?fdIA$|-DS1SYt&X`a}lT3HP3TjM)aB03Wv&nb+XF5 z#xrsl37}m*kDD(P3UABH>LjeJ9V0NUYB44PHa@t|+V^s6n_lJK*UcrkAImiYl;sNR zsC{9qnv*s;MhdDNw(d~Mk9Uwxh@iaMlB2Tp&6|D-TOg0yEffW^ZVvlR#`M{8P?&fK z-xfC{S#+uz^#Tn(z&`LW|BVOA&uL)peSS$Y(#O0;Pj+Lg6z+ZinqrMZ|&)DoRQKw^gT` z2Y<$X8L^rAl_O_3$+2F9H{bKkx6+{3x`Fq7wzo%1DX*b*Av*fzok4$PJCZ`OUYBKe z3eo%#(n*d@>Gsn*tUg+0LC@4gryM3l2uW6=8Oga$A)~Q zSthu{b74w>U2CarDAE0QC>{zCXxt5|lOYWKQ5M(8ZldRS($zgT0+AmvPZ}*UxSsm*)=Y8W z2S<`C6llp6e9YODS)Q_6L8Xtj@8uar(IgYRF@+Bq4WYp~-gPY#&K3_bj&iNSkFX&j z`8E22a##B$J&{f3b*WKMF21WJeCeQ@6AEbm+-D$8L##-o$nhB*$ypo05_3YZ3w$2v z8bS1f^z_M=$wqZ&=Qsqf!Sz~k;0ohI)e(+5t=Z_Mu0-9CKD3A-4GfNvs#V-PMsSX z;ed#SOb1|Gz@wgS)?SApM}JwnIA~rC@OwHZQFyCJnwy{Nv)G~*oZ#;^E?hb^>mkBF zY+ma0re~F_WHha32O0m1@6bDLWZ50+3SV9HT}G#n%&|oy>WbC7bzP`FD9xKY&6^Ds z*jvc8xR?u&kJJUw8bAFwPiPrGpir5(4jdM!|`uBMne`YxY!C z62R(gt~(rf_1KwdhR;Rv!{C>&@JZ8Lg16B+-=l1{=B!nM-qMxyI+`iaa;)tLRDnd< zFw!(~c2}huT9c9y&PX3Y+1ioAZ;DIX7~>^xPqq4%OlWmZ^!P$k(7|9sV|@+$nbqUl zBKL8vyWajDrDd8i!hQqpQN=@y)21S|r3G15KOy}?C1a@{TOR9_xV_F|J3V9wkN8(5 z(iYp_jYUiAZ_Hk2#)80&@RM9f<;bXm$P~eVoEzaf_U)nvy;*D7Y;z5=xw%NuD+S9* z>aO+bsL9Nmb?ceKeP|8jGlT=0+>A)^GtyD!yJm5(nlgQS{_26;7b7SvrbWv7N-s0V z&sfg+%r1+C<&h?27*O`&!XkS6&m$Dewzs(r=)0U853xog^{l!eVqht=0xiJMT4!cR_~ zxwO$V;I)^Lu-AOvJA9J9^%;&N#Bl(bWMatbPQZr#!JXdoW!39+h}laLCSJwid2h{g zD9rO}+*!jI-M1917R@D?1&}Ns7e`_MGIkAfa=r-Zu)83Ei`+ErP>d?| zW_*-z1z7EgWSvoEr=ytCumUh!qU27Xf!RS~Dz8~+s@iNQS!FJUb&dG};xnJG1hP3; zWoD#Qj&ZY;S~@p3Yb`sC3tfaQ}fJ`b-_nE9{UaSSRu9fT;tTQOG*K+&Gzv;A|QigPvwe3PiP&Ik=dY_&G1| zr*UAI=sXY;=)&yTJ3w{Kx4_f1NcJAC)4O&1F_$+c(&3Lk+!_?}za2Ahz;`HrScbgUw-GA22I7n+3VqFsgt?v}>us-o$3Q85TvOe59Pr!<>D`nIEn>{;_ zN|%ixMO#ms&|Bgt2|^%Wk0pusq2?u5mzP%nwSRvel8`%MgSnF+CnJPcR~r!R^@b9} zn@!N9b+pNzo$NtWWh6Xl!_DQdVJAW(n{v) zk9x}vl{*3iHKfB-d#U4ny|7DmhU-&msk05(Y_qjpi!hs zn9U@D4^DIm?z=h>G~65NA{^H2W9l%;6j*2qO!!G;4U85g5o_M+$0_9!Ie~}3{KbhV zD}iR!7DrIn-owMyBZXLR3rSc=@|u4r*LTgOR^lu7i^S!K(1cP0pguwrq3Eu8b`Rq1 zX>SKXC`EfgB>1`^kRZAD90|9i%q!(-YhAuuD963_ga2o>u_@pT6GA^mS8g?(O#%NTUN>CC1MyN%dN zReN}T8`<7ig;t(Gr#l?F{$?y&@^ZV>2y~J8`u8Ugv_u`m-@FoVvEZ;A!~$qvj)&*6aF9_{d?5at>}g{EVWg_ zn2!}~c*-uavXO98L7|7mD4NUtSPjn$kemA0tX^=DR;I{i*0t|q4I z+ZgMWZbq_zP$3p&u|W@Ub~P#z>h&KU<)HD+fBUYEY2|!xF@6E#wz^fZ_9Es07dFX!Ht{1G7$}v)Kej*!^(34QgAKk1x!r)u} zLbAxle%u{}t{>{07#`BQ&`z<|nI?7#N0Vx_1&@A_IUg8YzJ6#VkzLx@^@I{Pr_&U( z44_Wh>3!>n(eHTU$4A!*I#kIT3|Z5<#r6f6q^I!Uay>IY$=5Hb>w32&Gy7Qg_m0h` zxAR-yy3WN`-m>xJ1wB_S@^e0ywpfA6LFK)Ex(`zBYfQIvno8=zb<4N^)b3}W|8#4(uc^XdfTpe%bJ#ueOB@ahk7xI%PJQaY}UOHB|pljBj4H$pJ z!y_FBoNOPYS0%hX4PHD-wGPF1CQTfz81sa7d7zmq@@$i4wVewAKbqq=>bqBIvQn~5uK8PM2fVTX5HlP(~NGhGA7D8%#0%_GK2bmCX9!UeBP6kcbYzR_qmb0VC`yQ#>12!od7>&@^G*UZ z=An|2Qt1bHrrGpCiyyN+3(2~kYG#zN#=6I~^e|(E_1tPv*k{|5+P$gHf3=(A2eFC- zamqdUo#zrMC$kDq>f>e+NAHL(KZW;`RAx>Rr)o*VuAbp%wcE8vk&%_@N!Uv0)k=h} zE#haac;f)_YyhGVwG%}sI^Rkc+oT#R&yj<9*ilp!}%2<2o7w0$j)kgxvrthSgC29wcCMhoQ# z`G%E;SKrad5G2@7c-8UIi*!aa3Ugx)O0iXc5*&K-ggMOKN94O2s~Ny8Cj@9-IYd66 z4r^KN09!xy`BR`K*v{%OGw)OZwA-?Cng+QRqMfn(}%ngsrq`hhj2WH`^+5R56f1 zLK_LlQIoK1c^Ltd76o_G*#CKf(vb|I^vVh)NjRi(&PWW49!;}ztgYOStUz$KBfP@$ zI|Xi&nr)_XnF9CWZdbzT*)aZF;Xx<7HUC&jLhNtd$W1C4!{kT$;LPO? z!0jC0gs9ZvI_6{*D{B!*>9CC?Ma42y?n~dAd^I<2+s@>6$k#43_1(TJolxQsTQDDG z|K-+>*kRrQ*Ar2vBWBGSa)zAN*w+`lX0YJJ$#S~9dw|M+=Z__3 zW9RNFKxgI!pOz}E!jPg^2F(jTsKIxJK1rxC;f5CX{l?6jY+q}FLHBzM|A>@LcBrBG zqqgV_xY)Nl=xcWZE~vD6YX8S2-k3|=yn#6z@+{{j9U_xC!Rx6PjwoE^^rX+Zs3Gd{ z7x^-vo!OPo`@v47R@1|;*-+8%0T}9xL(#NVXKoH0@Ogve}HNG+ekP7kw36ER6#*fr;z>V zX;kToyXX1f(O34GbeQf{`{l@~KtlNC42 ze{q;k_KU+os)MHjE^tjuzMQLPqL=b>rIn#!2wO0Mb*5953DR%zvlj7=LE#c4e(ls*JT8iX^Sfu4qEkv4- zRFqggk^x%(xv$#sF#ol{ zpK}HV8X1z6vdeJ!zH*wBd5Tg9qFrcaf!{ zjA!H>x$-xy&xpiv^nLE|KqNU!RjowrHsN3=9 zNunoNS`eg?s9eyp($Hu%XT;irUD^k=w~mCL^->|{GP#+VoPI^_$8LTCNZf9BKO^?*6?%oo5hJ2*iFvI& zU`C3vUwy+--jLIa-S22|9xez?=`60RzFuz|7Czx|KaK*Pcg<|9YtQZT4oUHJmP~bI z^qDib#3;Y^M9`>1%Ozj(hA=B~?K1itZbe56(CH!^yEFU_NSn6o*|>9i(C}A5Pk37$ z`B7osqL|(vIB?>r4>F^tORYxiX}ly(kTF-K8;7+2?Y$>2FwOAB)lnNrBIZ|?l~8gD z3WF;H7+{b)Wj%$%=4y+uV-E%kd)(Z zWAe{paz0^vRErOtCrV7g#s~o~w>_-xwJY9$$0hn5Xr!w5reAP7Uo>|Fw)L$d1@$@^Ko9uvzM*j{X$P)D16I&=j~Gs(AMD70)uNQeDtQhfZ*zIZgEK>x~8lzI*2$ zvOQkuHHtL)Ex%sZT-!AU^(2#U#n+m`n<4YO#>2y@Ch@-;o6SaWoNo@yNNu2ee>Bj1AOAJ8So2(0;k6yG%+C;-Hh{ zXuhn_R&E!yx0qtnH*Ke!T7Eff&(HGf<;#|n$umMu5mq*|CTWt9bfk;83Jb7_)1D5} zDe3T-jp&avI80_W)pVL^)K$&lSZyqe=wnC4kF9tb|5Gig@o4XICWs4UU{5{M zB~VOp8Y^iWYX4*CJp7^l<2Zi%&K>7)&gSeb%FM`CiEPeJT_h{+@GH4P$~i;|X&DV8 zMK)!R&_MRch;j}|Le}@!|L}Qy9*_6?^?W_w)9-FJGPv36Gi-jvPKM&%(6Q~SO<?BMheF59-pHKT{DNkt6g*K8?C5V@t#>)!HDDWf}*jL`{}J-l^}^H z_Zd4a8Y-FlAoYfa$!_-tyY)K`Z{@Jt8XPSu;@ZYQJ~7&X7#(pVy)M&J022@1&})mL zyKCeNKe(qlD8vah_CFf+1|}e)a2jTGiArqcME#h^k-f{5dB3y9Heua!pzDqu4RjPF z>bw#-RkBQrEQl+gUX7#+Wt^Zk>kmao)H$1@V}3>972dnZ7zUl*|H!%y7_|HdHg({a zw`)My?E>Pnuo5fREGbaf+^ChdvxAFUkSGb&ws3E!pOyT#z7HSpOUIJ=m(YP+2EP2< z8mG77zRZ4yc1b&icq4(Y38NtWQ7WWMzums8k5rMmr|%cl zFM54V?sy_)4M|E8ZI*blW$s4K%Y9XZI&rL^5p#}EPDIv_SB7pucB_MvOJ+{z@PL%c7L;2T$!CcP9Ym=Z_q8z zKYb}-7Z83%j}5B>fb`U@_J)K#=1toEfg<9OOXy6$A{ z&%S6TF~_ z7aZ!1Wb5H|lM2UVF~Bc7A&=y2?aG}~*>*ODe1taOueDGA#x`<5VYFKEU!@}c6I*tJzB zGHnhA4!Jc&u>#|!yOH3=oa&NDK;Exx0cL%7+k6^wU!w<=puS_gr=zhN@01(}^~^_J zHv~%r1ZfV1Tx;ZPKPez$ze?olLg%+|IIVDsJX~Gz7eZ}OTpJPB&W^66U%Zt5fu@;u z@7)UBxQz1gH|*p6XkRI#r3WkN>2+DbNSfTpYRCZBn!NzwVc|qr5XO^JBa`>@AaFhT zhX4GX=GUy{qQl!^&X^l7&+2dR8~MDF$TspOozn7q@#5*B4z#U*)99%V_a56VBGrh; zjYDV8&2n>mkVhxLY>Ttyk(jtO(}L!axlDItoGS{t$hCYzA4%QzI3L@1LuVvv2JpBh zwca?-NO%E4Jlo1#SM1JE_=?Y8hL!2JhRfE}=@Zsr;bMaObXR{aSxArw_=q`S4K~QL zaaTVMA$Ses8Ha0{R&HTychwmP%P1@G0XK`Am{1iQa zz(PgxNx?8IT4s{7Q-i9A)Y^-)nnz=WE77Ipv}@j{)(vp9^VOGpGq{~u>F4lDH>7-> zESUUN0PD_q0}Z0sI-b!rTNohn*@RWaE$_J6xgqtiUnH_|Z>f;ck2TkZAR2Crm(%8p z%G`$0;QZb9{Vug_ioe%{Mzv6e70pAS1;rQoS2F1V?P=$7YpM!3;jB>&uJ z{3Zkv^*wf%rvE!oQHSwyrIiI){?#Fh*sfnJfzw{k5YtOgrsmfifC55u>%sdDuLZWr z83rt2RaidKhtX-mPFby%Whv0KE&fmL^w;H z4)!X8Qu1c`NmM>P(97%czUqV@uxj@qQKDY~_{*w@d-0)2UP^=U7&HT~d1?@`xWoyT zwQmFzBS6=WQfGP2%Ee>&y`7R)7i#xRE zE#P-^^j_%6o(2^75_k>r^33relqIpy+H-@z5x59fO@`#21hYek$T_wdjh%X}y~=34 zD}WPIuJ!@V$3u^MraaE(7bLE&EJX+;K1hM?tDi1xKe@%OW-DL>Wqs>L)*>W+wU--g zp8g4RQae}@bbzCEI436b`~`sJ(*ii=IMi3ahqzf|pFiqC+P!MALy{z6yXb^=l*erp zhAwQ+ui$xPn(onug40g(LpV`4;7>&!9GF76SvkV3jFvk$Aoc+qq1vw6jLqWFV?TJC zxaQh8Aw~@lP`nwtMU^n`{OfjA$6vzbXd%Ln7vBQdpN#JviInioc#|@8>IlFTPsZe@ zV4&J`QBmYw`?LK=5Zpg3965Sp7a2z|%-pxmr`x>=5w^^w4UmkZHoPs9Fi0PZCY(a~A& zeLB&;q&ncW!A?#P(fTG~Xm~q_!6o`k0(2)^K!&}+A18lWwl;aUN0xyj2Yh-~8<}dk z_Vm(#strHv=sg;?1SkSi&KDU|&p|B$H9iGcbcSB?UaLAGSXOY?A~-2vOiowB?L(xU zXqBmc-EcU`MgS&72i@DO{X1`i$h)~CR;_rbrv8g48p@kF^{S8K{XUzQEn_+<@Ew;p zv^&BUU<*_Zq{V$bLW-ky_1iRB+6>~4jn_oRIXNK!b=v&NPxRLxhYf^Fo+eWI<*W!- z((Xh`wJ0Mtf7xybwVF5N@U%i=Zddc^fVWs7w*Z#kX;9r0r?KtYxknERtOX3^l1h<3 zjAh>5EVjUKq{X+r(Fri=evO(H!lbzs@TQsh=H7TMkv7Tb1__38vu7PR%@VWL+fFC; zdjz(0y3^V}_QKAQpFNKITDe}eC>Gkk$uUMjHdx@I#_};759IpBc)(I)9$b?jA}viC zZ@vc3=6&PBac$P4PlKjllky)^V_cSyEcwo^r%x+T_^^)7M47G6Z3pfO` zjDcy}s#C>xUu3Pp1k z7wc}GVn$*UrGlXbFu#+FhW%vtQF^MWf8*9O_{DsLu4bfFsv{3420fwu_mC;!q~+F8 zBJmY}2y=&w-Od?t+-%2e-RPTdLJ3R)~M6FOlK6+E1Q2Hvc;ZZ9fdyPBqJM@9+{ifzY`D>lg^3T`>K-t<<6vTbR?R4T;EBlR%cT3ktzS4^RPQsb) zExbuoMcJCdeV-J{A0}ROvAEantsVHw){#JJ2((ZjKUly0vdn1_$fX*F7o-k#x!P~4 z`uXP(D1ZIIzTODc5befIyXs;yoG{wlONx)o;gRy{=*W6j29xK?>ZT_*IoD9){$z{`!*IK z!+57SHZb3SjX~^EK_S00h*%8l%qtI_+w4-kjQxU^KY!+sy zJY%msfw!89vj!)DtOXiiHNK_#hIn?@&L0b`{w%|j%Nc@w{`DD47S4gk3>@=T}M?|~x0LX+8>F>eBi z3GWWR{9t+pUo`NF@TRX<{CFopKcX}|zogseJ zieb3{S@TsG3~B+dy6k!RaW2x{%H!N*x-j_Oroy-vvKefjX3r|Aj=^L+qaPk61)w|n zB4!A*CSF5buYYs!SXcMj&$cGXDmzr~m&vX~$@q_4avZt(TOis~ zxZa^VO%I(m#hBTYJY|)#aYxe0}R+-0tLm zV%#IPm{5t^BI&+H@m7Wdmf1 zjx1eiTp1T9Po;feTL||N#VePTMOc^p%Jk2-MGIp2%zlVH(9Wwym_AF3%hrci&Tx~Gi&+20VD1eC08k!=) z(RpD=yBo{rx$lp`<^BxabY9JjptUjt-tWREf_T4*46&?=-Y&fxxcb!uSuPH)8P<=-JbsE& zL!_W5gBZJ(SyumnWDdSgN-^@m0yxr9`P-k-U;|c_mgYtU>XX-r@4@I18c&(PiM)}^ zkGQ?CvX-yuf-88nJ6xT!qx)`MmhHy=j5hOqtG1L0r-Xai^`kQU$8Tv5wWVIH(>)IJ z;WE+x*Mw`M^1@*h?4hP+%Ex%Zzz_RqJ)-7Ql$7d@n zEbzTwH;tGJj6yhv2kM^Ec9VqdceQ1j1RE5j2uwiwUar1yfv7awOIbXb_^fQxoYR|h zD;@3R_9cEnx$%&50YjlEil-{YE28>TXfzRL8;PTwMTFZ2Xy(*GV0RM z9r(0;=t#Ouo>VDtX(SKYz%|M7az^Z@fCCTon4i2#vlVCJfq5;hWf!XxOFugg2G^|( z*L6J;g?`TG4J_rz2Kgd$g;S3P?>Mz@j%n!~+5yDzDPXY@pchaZX` zKLd_{@40^TN5YqC1ijYS7Zee`Kh!Jc+GW+x+=-utVC+(m7n4CjeL`@x<05b`F?bV3 z0G^*ypz~WD1Si;P##lpQ(e^XSOoA_FvM>OWMZsOD^+v!iO%(b;@DX4c*F3!|A$KDY zk7%2)&{wwWsdFw%glA6g7Hm;=fkZ|5s~b!ID9@Z_?ra`NLS69ErIMP7*1rPT39YRV zcthL39Di&b6x^zZZi+QArOz=l^WG=#kQQ}4U}K*sI$Zl%v76Ard0ivTqj^U6Hsx`k zlKp&?UHH!;Uk;N5^ z?&+ilzj3e&>=YCX>9Bw>tIK)5@BxtyDXk+0Dj;LrNxzOQlO09N&7`9*W`AfxVC1>v zv3CwCn7gM#!LN5%GawGMp9i+2)j$eV(-(Ouuir}925u2*BSp0apSDvPa3s+jqca9I zkZ{8R@pf&f?`UzCjHvy6;ISmTk_Z6xtQVj#m8?}>$Nrun3 z0fD0$s0jnlZ5+3F+(0_V_jq}uAUV1r!QA3QljV;{PG=CY^;H8a3L8Zp&f|W;C+N$MSm$Vx{pa8nuh40?Zu^W; z8I$7d{w_<(i227CPeSS>0TCY7+Ka;@c=;ExXT0tPn1~WV0jJ!FTQxlYfHW2Hjc#NI{>Y-=`cEYUn6!CWDdO@PNO7%ShPIjvSwA>o}2u8c;1 zmnf0p>Kl$SQ&+X^@cG9BeBkg=@R*9?tH>=_a5bm+Pa4t+7yg2NCAbdO2IacfqSsa? zB2-1Pci7wq^!me9Oip77odRQ`;*9v9@6N5SJ466!t%zUuOLRM5{s+#J&85(c`}VmjS=x0+tur) zo=v#0YW|Ui?LtDY%yz?hem9QcIKk+TWoT1SD=>ittODw{?&-CBF7xQn`%OH3v+BkJ z6A8Wbh$pLTR)SfQD4{^P$HGG4*FW&(v_6CuFx7QqZu$|s^uD8t31^+`LTb_xyMi;= zn{nEr9uVgw$g$L9PHVccwEX>U_QqrYs;~IvLklbu;d3IXT^&eTYGa63C0c|oS<{UU zcVWFersqVRo}HnET@g zKNSJRE%P|Ah%XA3uOn&C$t%HCOe>I1;Ak~{Gz8yNoS3YppHcHVikzZ; zG)JR4FSs62`#apJ<*zI^J50GEQ;f9#0I3Pvwe%6b*A!(!L8Ri;^Cd zlo~4Eg+kI>Jq05^!@V@~FISm|iAP#RXmW&{kV{#^Fgzh|PMT5CAFs43(p1dx75$So z)qqbY`?nW&%7P9y9Tx2bb>EunHO@)Z zyI~<^J}lKgx93;}x%bjVYlR^oAiMz`+hQ0A_7e|U-ayfh| z_M+q-zR-eKv=?CY6g8Jp5ToqpuLcN41HbR#mBweFbYs5Nt^(-svj%Yn`tf64=#Exr z)r5`+45uK!7rgpz*L#7`zR~Tu$td;SKK@!Gd#boopx7a<@3ugdnPT)ixxgaDdu|R_ zho)~zWm1l+hqgh{?n55-N$6fofo1g zzLk@JiciqQb+_6IkqpFLB+OQUCm$wX_Peq>&B<1;MrC1`;Z#l+fE_g`j<-L3GdaVm z@}n%A)>^IkjndQVCUFf5I)mc|x_NGuPJXb~v;EpCH z3jh4iPY*yXiZF>sI)C5$S^R@A_&AOR^l*`j@NS=R&}kYc{R5rRX3BGX3Y`B@9QijL z+uQkXjU5qd^4E)pq6O~oX6OMM%rlOi<2le8XWbnuUcgnk|_}$N4t*9&8x1P z@gi}RpW$cj89PrI3-xTdN5BK^+oCgG&+gR4cRtBDxl(0dS$0?Yy@xG(LirzxVaMCb z-I#}HO&O0b;;M}5Bz&fc~^GEg#SOj&wC{kOb(JB2x_9VD%M=Cr&~>%goS$FAo_$yj|S zQ@_I`<6?to;bKW+YGE(DW~WeZlNAKGEMX?0U@G4y?IA~?9k;cV_#s_R?Ra&5ec300 z%SViVsRNQ(m%DUkB+p+7=f+TDX_KDyFmTEHXQh>|geUclL?Uik6Nf3M&tCpk2hIpq zF*cbW>cD~pPhe==KRWQt3Yj9{}- zg3}!KTks0fX?96{>5sLV2SF}P0(C_$83dROA9tWmhnM+df1eJ{`#bBjF65a{=QCVP zqyi=e3WGQo7ad{F!jr>QFT&plxUhA-WYMza;PhMj6op1Ge?ql&?723%Lx8st zqGSyuwO1AnvRBTC+ZkrVV>nJBweHX9uj!4p6td!u{At6>GmN{bpCu>GqHcKz6n8Wq z%>GUr%T`-z>uxg%mR%yU^SUaSzAnH2{7HA`<@UrXEvmvTZqlMT$5)t_%!gPf+?Ddy zl|Kf{^=~i@Zw0T5wOL)|(puNsp~&z(dL_qW>kYY;+d>hwpT1`f({IqC!X>r_>z+c` zx$P=T>5(FB={;w1VWUbJuVc>aq-+(Gjn{7lZk(x89xHhR6T1s#8E=WZ%7*h8z$I`W zL5Nl>h@j{Q2aT%4_;8PlJ#_ABJmFev=NNB`s*tdxn#D>+YdYR{g`-k$YUP?@hwhJnjXST+Rye9HUfQ_vGy7 zX28Gx#&%y~Po(*b*x`J`LD1$C%3?=LWzbP}ZxxfHn@xE(ia$5lxwc|Mj~ zz(Lc9XAW5iCNpZ0XIq>l-@W69FI@ry;{pYp)`a4gLC3gGm|NHu>puV=_zDoCP86o3 zzd~r`fUUlN1zUhp@A5!$QkCoiw(sv3_$WI2HEYOsTP_?kSb+`PfSut_Q;(BLzAV1d zJoaE(=YhnSM;~W|eB4!Wy3slZS0uO(NgLi2X+<4AnEdu&Ty0l}YP1oCePRg^E^{bh ze_iI%2DkL~HEr%A_TJ9G4-x<9M-UQ~^$wD>{CC{i=b89_>nZ*9-2AW3A5To(u)6O% zh!}cT!cbnL?Z|K&a70c(U`Gq=+!{{+Aa1n-spp@;kj_8TGwGQk4&<29S+_UyG%BBo z^%@^M;#x01yrTY(uMGS9^yj5?nVMe(wUY%J1p%rJE&xcs7y%E~5l;I=fv+lS%FtbY zwX!RfCDkUx>SUlE4T1<=x)~?U!R`Ya;p(z}%G}~?i%5dB6KRuJ)6F0#Bcx5$&{Rm} z{I7I`PaIZ+`$!Yc)IXXkRY>zz!KnaEsAGA}Q zi^Xp%)K#`e?H_v$yhATML2e#-cLym`b-c)914&!GWDF1F?yqcm z2w|asMZbQo^+1ykQ&PBlD zF@=M-x@Pv~CrH!|h=P%q;#xXSb}Ir^R@AD~!hFk-fc@_+tc+eD`EO)7~0s*1ed($bg48!wC^8)5B)L?eLNSRgn+p_nQbjoGw4*S*R0c)!yi z37$5ttHy*Ao?#h{>dzu$l?3>%oIk}(LY{IGQr$rwur>&^voUmIjTMX3p%~T~c#)2f zU|uP@BQ&5ztKZeoZQpQKq!GUFR{*+ZwyR%rm>z|O#oF-#tqtcvtU(umpKm6qA-c!# z-a_29xt<1n{I82hj5ltLr3)aEi4PK3s+Rdm9Z#K0*t`Az3IQn zPn&53qi9z=JScI>u?Xf!LaH)>8DZhC658 zd}*@|MxC&Me`=S34%CQ0fwbrWbS`2u00_F;j@E-e;E#xUCjfI?RQ(z-2B`Nt)lV4X zjyWCuM>Lt|fHOYJdMxEiaJDp6kg+;^Vnvun6=Gno&7O!z46mYdI)K+%pu=em*6sl~ z|FQK(bu zthDjq@L(O-hJYigCWU>xV3A}MvD$>9w5>Z&^D@N;YSa1r=}}a%f2D%yigu6#O&GdV z8Aa+~T9W-=F+204)uOLr)_Vzeh~nwHalb^wMXjKy;gIvATCeLGU1F~>L{*B-f3y-O zX9eogt49%16*u4D#HfF36C}D`AlC&`kAJIG$<*KVvtR~Ji}a~Fc|ln<3vagu{{ps41=u|2C-Ya#-QUM*%`g%zRjzi_Kf63Kv%?b65I zbdYJ!4*Nb^F;8F6cFRI~ri(Ds72b4k6<+@umvE|TwmIUxyh$g!l}PI-c4F0yUs@V7 zQTPq=3S%vbd})DYmwpAEqCLGWT2>=id6FLU;(iT0o$$#i9d1aiA>L*@**pQZBqK=) zH5$^hRyFDI#=-$u43&OMb-Zc26_z$Ji>5$NY6WrY%n%W0Kl+Hx<4&5EjKv|v-jGvg zzg53jh1xT`;h?wIXw{8aK_77do(ojV0LyT1LnIa3o;dxTogLzPEizNShzD1(OF?_* z)%wYGghk5=M{q?a5{2^=0e64m50h&oxfr^~IYpKxC$h3anmEqAWzo&aqMQ&E$6CKV}4PMSD8D2 zHb>Bg(|!d3>%B)9%>G0AeNavo$o zTy;i#lGd=~PUrT%LI>bOHBPuMYQGwVy%Jyy80}i8*FI0|U=fZYF@czX)Ha&~mY@~N zyqN2m#Mrj9F<7gQ!ixqM)Yd1dK1t(g3Knx8ej=R318lK{i|Cq_Jku52d|%+6!7~s9 z>(AlUE=kpbmAuuzOjtff_L}7i;bTV8TWOm6gUy?>O9<4fU&f>Vt@KT;^(rJ5u0k!p zLb7J(NecnrLn(@uBU^!`?)Bw77!M}{p#h(DJ+ zAz1O>=v$`(WWTm3Q7mTpc)$J2pTmO;^r8a3G@#>jM7Nji-KgF86iSlIChITu{GTho z1}dD6CM!D9HWHTA!CwN+QcumI*iC9+Rss^z=O>UV<&l3F=2XZZfO_3Yk5@54W>oO9 zj!3{w-!pzz8>qdMl1O)wnksUk$_9%DJ#oBsQZwQqIx$=W)Q6Uf-^QrZXmlGGiWM%> ztLj_kg|?~p2K&N~FP7iRu7~gxUy7oiE>C^{l&5$8`>V49KSeb5^X0i>=rn_X2pNMj z`w@-?r>OQ;Pu8`mO2!e%D4Hh4lywp0|C>FZ8%20a?Uo$_rJ z+{#udeIG&7Z&&0N)f@XuQ#~W}aAssuWF&Smv7-3HZB8$CgPDzUtj_0Y{tiMw!O@lL zwv5k-&2*W>t?MNFcwLaiQ&XVz6+|fTY39~hhJ?U*^|}NRNSiOguLgJpnJwq9dCg5& zw@u=1%w(+Va8xS0*4nzMv*T~I9I>pTKexcw@x;)KiC-5IXy}Pgt`{7SV$)jeYko<7 zi^s&aaOUR;i9*8tChW^{Yyy%CJT%m-#M$%Pn&muH+lfZxVFKDjz{VO@aamqMHxJWq z6-z3(SflN{YNP>t6p{-wk-B(}fBE|Hiq8ca>W6zvn-%^ukuG&RyJxbdgkkD<)ibd2 zTUInAKq~W|Zj$W^@ZskRFo7%{4O0|8vkP8KJjAS5Qxw+Uxxyef$!|sO!9KldT~VW0 z^<2}Vh8mlSk9CEpEqa=(20J#6y*yujh}X1#$$xS|Iir(7qWu)nd7ox2>Ru6ib}GiRFifpuzst>Sgt<(C$|lFPJ180+Z1BRKho zj|x?aPOA}d7KJ3HBr0QrAh=eH@#RB(?9qZdm<57|%9K^g(Fwz@kcm=4+>*k5|y|gEV%l;FIBD_7{oj2aiWiDvu z8!!3J9i_% zjcPGxiqt&04b$vL+bk43b`aNR4_UTfrF7ZGo!0o?gi_}y3&`1LC-_=ubuyfyQi~X6 z7)O8A*p}Zr2wM`L6LRTEX;47``dXW?A8Lzz#LM-*uV-B^#=WSKXqgOhE2ryCw1~J~ z3Lc<41PMYW9MDSCkVyYE5JLS{7z$^}NDUvlZ^m_c2~+Dy$5t#3Gx`vA#Xv!^#uX>D z|F*L2GQ~jkBHpWYg_c-%qd-Kht6~l1h;&;=#S=I;lCm&GkYFGh_{z#*T?XKGK+GL> z3LCRM<8?EEK7)C2?l6&4MC%y;-YmxT6Q%8r0kPW#L#g(=hArR9}&9!pvt5s}(k<%b8X z$l8HgxZ^JWVky*+ogm*vpk!Kvm%+vG^KDTVq)~3muBW=g?s)h5Ko9{g(K+qDAH0tK zWiJWr8r+ZnwKTJH{I#6{?5o`RQp;Z6F&!8Q&5FWuJV3BgwPklV!L0A#dkEty=9dbg zut|rX`;^SH(bBY9ChdH;=a`AoSUff1rE{%XgGz`Gq}W`6HbkEGU+US(d7<)m^ZE<7 zflz)7*YUi6ilKG#3jsF$`PrGJtO5FZjIbTmLC3Zk+xcsD!lQEgmjsrD0m9Uep*^Tk z`QgjkUC6RD(K&b#>@@D%$sI8-$ZiI0pF0-a48Us`vh9!b5Xl5_5>1bQjy z(*<6!0kN?>h`_ozI@aSc8ty`zW67Ql?t~l}EDr0%nz56m*8& z5V@Io|H*=VGQ0khro}qP`rbH{mg)$_vHm;ch`OSzib`D5Pz%%4F8@ zI?u%q!aJM)&N{LiGH|S)h17v1?5|59+pMsW=u4Bzp^V5gWqZ#v%HYqczauGd4z=0s zJ>G0@6P^!Yw2jL-Mt}S`ewhvcd(!s20$_{aW(8+)2fL%84t)dP0O)vJd**mbkBdfL zc9Nqwzp!)CGl$iOx2-Pm5Rmb!9*n5QA2d!}FbZ-PtFT@@>A-g&;njz5bPezWqNFG* zWxWbnOr|F@)IDrMiaB#xqVFSUwf!R)vIS}XkPfF!!XR)J#2aKEibDnc2GhsMrGkCK z-G}2*;eEr`hv!!zybBhn`-rXv1EqK_!4BK1akR;FEPrjd0I^07u9qc9Fp zBr9bptB_R5YFS6rNgCv%S;sU?Sw6m`b7a4)^A|{D z^2IFw0MaFLVAkazQZP9rD>RIBg&dw0K_OAeky%mEr2oiQv#!OEu9IW4XmnB>IX)}l z1}TwzGwW6oi9t@zVy2K%$!S@)(`lp(@|~>AyQF*MtgP%D(tUDn)`N$nN94y@Px46l zYjli68z)a>O-_-f z$zQW(W=V78`K)gXq($=gtRG7x7I`^~y+T?guVwvQC;cLCWc}VG{UL8>z?TORe%nd%Mk{rqcLsh-*`m7Ecm?!!;?H>6C7nf^V8M`jU?83S zo7ITwV6>dnM{&5c+6F4Q=QGtv{g#JUWmUWm247JA)!b>&1Lk8I_C%urV<-A~aa#Ii zKuA;c68v~P_oAS2zbnIaWT?#D3~eO6B%j#0Gb!4;|m_0pqWjKCrU_u1hPvuc7 zEVDfKD^rbdjHf7Nsy7(}d&uUHkuOoD<0K`PXlp7le=k3Roe!D_m`Xh_7Mdc$fpj{J znv)B2Rg?d9>QQJj5&mGMrBb z1#aRMM6>so%;-RAQa`?>S|(IC=z-t9@#MfP7#fOPk_7DhD7eZfN^`pXj9O1&vOx;% z^5jQ5^MZoxDF!w#H``eJAszGdl?j4{een=di>Dfcqm|=Lw#;4qnkx%$Mja(2VNijc zx2946+kG%c-_^?x6QB~aZ2bnn?d{{8fCMFGzY`L)Ga#scXr_Dt8arQO$> zPf>x*pivyfj0}W)Sc~G59&AEN8d{>J3(*Iu8$*p&K^hYlV?ZL040pIF>hH}IZsF^ ziwS*Pgm~_-o&XX*=Apy630aG%6y+A0-SXtO@pGhd(W6J6 zLv=5Pj+n$<1P*DygYl5V2Wyja4@43l+)Uc8o~v+?fo4uFLDp6ueEkcrX@SgsMU6k0 z{v)M4cs%wo1yT-WOXsx4e~Zb7z>Vt?;UJm=xUUM#gLPDBH-LUam%A8O?^|kRQFAE-&lcL&PEeA;(<9eu_ZeNcq#;Qll@S94JDuIs$niYJg`6f)_4g@Xv{%RI zg7^QO;~U7ZQ;vmDjtzpAldt>)2b;Hm^rPI$MN{}Jq#~ax&~=W9-8bfl%gdT=q4Qqb zfZsP>_>sc}7dbYFi1d3IQx4sUJ9zs(VHeGv8I!<^8F8g0XVvIHy2IIh#+a}f{N@cX zN?JEHM{2*2{e>r9E?y<$gs{pvgF~Sx7|bLIsbpPR<#j~Z4Yi=-@Q=^qi#QdzCsyz7 zk%4s(Jqivz*Jb%C5v14oIz`fB(|MwkRa`IpbC2x_1e4cdST_ z>EqCTY?7wEtd9?Owk$`t?YU@XLZ4^kWUKn&0V(D<^E0be4K&lp&-}t{4beE(vwo~L z=>4%j>`%;K^X_6^2XDNrb;S<8;GLLXQ1d5es_x5jj*@bXB7Fqitd|j6o_s5>QlK_v z!Z_0;I61ph1WxjvwE!OJcua16q5du~`#CuW(13vU_DGZLbQM3&JEj&hX{;TnV7a}J z2)(~|E9FBo?4mX3YBd64eX?=pnakltv9WNh+W=PLag3Pz6_A=ta$>4-9v16y(_t*n z&S>kVD!jgu8rG=k;vAj}?z<-RsScJXY$e^;8q}w1L(+)vIhNU$nbosY@A%Zm&URCX z_GywUUMs7JISO+3#K<8=*r$sgIfCA3f<+&-e0Beh+s0 zIs4*Xv8-Y2(PAPo__Iapi8v8qYWyV`DdX2R9<59x(|5^Pz`ZUX^RYI|V&H|$*u8Xo zpTj>_mQjHV;9PRA8?4qEJ0^!4?)2skr5woV$6k$;DdRGpie2Fc$(9ygkB*+8vF1Fv z0E7-^`>;85i1q8RgC(GvlJ$x<)$TKf4IVD4Hd>1>sL_p%jm* zM%v;(Ux39Z3MGUE;VE1DibtW5;rP$dJF$vCGtWk*<+b6ME0cU8+6sZ5;7jtIndk8a zDn{Ewitj75{qX(n95cEPGHVm{z%`k_68XT%nedyE;Io%7CADyVq0(?W zBU>s+Wnt0^A}u6(L3H5@B9J7y2x|#S-CEd`4u7pG=@ihx-^@Hw7~&<<7^vb6@|R{3 zkAjFEX5rS!b%{tW_l6rvrQ zn!LkLaN@3EZ5*_Y{8|u#{%3)PYQ@fPwSp;F&@r@mSi`-io|?+1u64GiD>Q$n_kh_= zI6>V4xz!;mZpY#QfMCuGXbeJ4?}}c?dInRD>POe5LUCeF-UXx^aQLZ9sHBRuVE}~9 zDLMP?|BBB1AF9S-!{^ML*#|QWhOrD|U#F}^j3tz;Ng`XtBYXC;oUu06XrWY3la{Xv z4Mmtl6j4u+63LPlvL)F{oVWLnxPQ6t`*U6SDUm;5C>LD5JZCX|Auba5`#Qbo*?qM+ z$Aot?HDBZEu{e%Z;b(hcQz2O2Z^M11`Mf8TvaLt?~E?@CyvX}33(=8D#t^)mi&wjsIOBe($ z>i%)JzBcKD%N%@yGFZ_oQcxY%>)IY?>MQhupKG^@w#4AlH^R_c_f^vjt#{AQprKnA zDZ!`*Vy2?L`Fb4(4F@2@kI!A-NR`|&<*!q<);xjL*A1oK&SrP<+|5ZG_thY)kLhs- zx}8t_aCN1fs)ujN8FqId1cA?|u2|@z1|%{F&kgaNarkX3U{ePS>z5_?zM-9;M2@~6t0jDo1Grq+#oa>2*pWoty9u!1W^2IRKznInVV27kob zfNHd>wPC(x@@fYjAAzE$zESzjV1t8Y>|4{#P~Ho_Qq$gVV_<(z=0pQV5_3-U!$Qa2 z{f0$Et&!`+Ds~(^ih-R{K`7r6-0E|Jy!oJ{iXVsPYQ%m0cj;aqqv4LCc3?a#LFbfbeoS za8hrkPMY2xvhs+GdzW)^cnZ8T#Qp3eMO5-TWMVRcMWk>u&=6Jur$+>&r%^*g;~gn| z+6~JXn+IQTu>0%Xq@53xS7(M-M@Dt-d5fG|;i>yAsEtUDdZ`UbqCf4#^t`;$z8ptN z|J4&+guGiibD^zAB5FdTM)ND^S5|m?h8w*3<<6gp(&W3J!SJ8UZtRF_{sUhESRr z%@Za}oJS24B!8}undu!4nHK4u71I|sZz*87KW=1VtbfKsX@$;fFWfo*MeG>yTq5!& zhWf{~)1&N2ArhGeY2Y(n+G<73CL<;R#ymWe*~wJ6to^pubaT(G5$33HV?idbn0CM; zQdvscn8pf7`k2eC-KbwtYOvv`>_HEG->{f^oBP-%TnT-eRa5n!(zbiXb|L|1R4|5> z8C+u|x5sWCt8_XiJgl%ay^{+ZQT-1Wpfb4g?>-x+WO0TSU>`H?OL(nHci!N^6xk2E zC>Be<{_H&bfc@yRT4yK1b5Ug1PdbIlV=7$@DSsp#EVQnQi^Uv5049rL6L6TjkvaaU zjW5v7kbqGr2*P65Nn|2|uYmtTCkRB!2vr=<9Zt_sK&M00gsf~Fg2mx;PV$f=KtTyj z->4ju9B%EELU*+ZB*Kj_xu(Lc=r7GHns8f~UHG88wA_VX7*BGYY9>@=y}d8GS70ju za&G@+wug^%TtmKQjz(1J^DD^4ao-pojq5cLtb;XM2@6%8|HN~22@Qa((J1-8D~P6t zvP&lg_?+)g*D(VgIP!d*WT?HSHO^?h-1_EDyM#kssPb00DTM-fMQ4E9Q(;u@8bIhe z_FEgrO)5;N(v#)Qk}&724{^yS&WcyHBaId6k-dJ4&@-OcuPAorJm-+7(pc4xMk{eK zo-TK44a1=Zc}QIPl9hxe$`>=mW-;PM?~rk#nDEt=G0eB=D*V*N*7JAsl@X;{W^Vf>_|-MOb;BuOyC-UgXuKff~32dv?%?%a8f{DO@_A;oaI2A8S3=GG%#rj*^++)b+9AK@;8o9kAKn%*5T-Q&S$(b z_LLp|!l}!oN8;9-Z}9K&Rk&VUfs1(t)p>7fVD6YR$Z2+YDz{A9&+)K#$r$h=dfB^s zK8uomk#B#fKHWRot|znLB}@}hei^O6f6!w|bpdXjijRu8^^_SKdwAY4byOEXV!}#&I zu8^3r;F)5Kpa{&hRB%Y#+Tf`eJr1!-*OC~{R^W4mlv-a~FAK9onQO34u{kEutBw7c z`fvYB=>0{E7CaJb-UbS~1+>i)bAH^f#~H<-Npqc0Hl{bt zqvL5;WH=YV$Zo1by3jN_?SbPNskS?VoOB#r3d%rX-Swt2N5`IcqiEuyo@a#mS^FyG9%jpa19KjsL zcJN+)f<(~2P4igYzO;D^pEB_C9MVboMzAy$f_w<#g!06fA5;pW+Ydq}?8$ z+1H9^4{tu_?H|VcufE)=72vKj6Xy$?Z9S^2#H_5h&Nf0s8LqC^MlBI2F=`iYkwB5X zD@AR{PNh`nOB0x2{nzG55h;9eNsv6{4_#f2d3ns}VoAuIN%F3uBvbO zGxI%;EzG5OvjA*xAko_5X6)M96amHN3dW2uk3M`r&vX$B>M2Jm?}unBl_rq{2o$%2 zjlAm}ZDse?yM25-3Mmg))cip05U;r6HeA#&?(d%qQ{kW>iUTrd zYENgm`>jv0(Mk)O-W29VC3&*?Npox84x8+(4C3MkR1&+5b0Wf=@>xWHU`qCc@7g$x znrXHrI2JIB9|W}H-o<(M-PDlY4aQZ9Emq?-2phU25x|6!-=GNy^pTkmM%vgb?&B_ez83Xc(Ffd7W8-C$@CU zhB(*TsSQ?)lWgCeD3r;ZyYB5#c6?DlLl3c%`uGl9zZ|=-Pe(A>mixAk?>j~b9rF)c zY>Jr)INVL&(gJb43iKN;EGx#bB#a`}$CU%+l(Z708^yDg@QMN#*OJJ(erw0!#qw`% z_4j7?i#FMTalarYXu_7q*cWeQk<%(< zDjK7`vxN@2;lck>!s}MN)Mr{{+8Js;in<=xS{)|WrL6WU;R5d+qY<7QBQMGE#C4uJ z+xudH-1}{}!COGf8dFGD@i%SHs1tu)H++$vorw}_(Sev!B}-RE>0dQ$m}qpiT_j;W6S-H|CDFc z@iBN@Btv`jUQ66F-K@aW+TQ7QQ5`3TwbwLcg%aF zb^sIJroxpJJX|w=47SfgygpON0Ui84llwLh#*SQ88-dL*7OvUIBRLHzeIx37hHh3f z)B87GvLa{bRirtgJ@)Lu!6i>?>VbBZg_j$u_$R#J<{iH`p{4dNuZo8!)Vf$iFxn&_ zQh$#&?=iytIL`ew9#5d|EonnThfn9xD$KuD#@)`|-TrCAktBUlo9Ut3Gg=S?W%B06 zxRX|;FoNp6R2zxYdcv5B#YaK-cw&U!LZLO^Dt>?Y!t@ZeaiO~ zi^RnzBqkj{0YIon?#gP9-RxY*OgCRDGfF2W{;(TvOF}GQCtZ1M>x8ay{5*zvuu?PR zgABj$aKL#JG*EZGg-Ff@x=rD&00suf73WvmePY+y5=Zos2 zUZQP|THUlP-(hxi+f9>lBZH&6Zn_NZMVTZL(7wMMmEv)@el*(mhZq=-lj%o`IFaV! zC1(23qZTHb-_V$wta3&9ql}x<7bPW72TNwq`@+Cf^!Im`t7uGdna z7yVD2+FK0;{Z&qt{~CJs+w6(Do6>v%_jL-by=sZ`T}Ou*-tWKRlwx5x{?4Bzy6rxI zb*zNwcSS|iWhYf&E#m;qxxG$K=B4Z-V+=8r*v{-=bQ^GQ?QjJj(dr?CGiYkT% z&_OICi0eZU07N=L`V9#?3}FBif<{DfDp)+&iO`%_F0&}}t55mZtNGX2fj-^L%ByE? zM{6P48f>=aA>|bfU=tSKI{^TH(2<`n0vJ*S4A&xn@H-BMqqj6LlIJm|btz({V+Sg! zS;7(w=2>eCskS2xLmx|#{x||XT3FYdy+d7{eTs>t=|6~3yguG`##XcwFHR=|iU zr@J3fQInB&)^<^jlC#zPFAAvNcNE!q;V*_n_(6N48|$iRY}RTQZ^dAQZ_>qDo%MFx ztGp)+@0w@QBC&g9x

R3XmH60GS4;?{Iu3CxqN9(XeXz%V39JQMh-Y&jTu^Mpi)Z zz=dTYU<^-6FHX&4L+45nJnOF2N`30EMNAB#nR+Xc%*e)@sxq>45h`O0BFf1!HwZG} z;Oh03?^R|skJR+jyi}yOc${99(xHjl2Z$K^H-~CzmLY+l^kfqSTv#z6kWA)>B?;hg zv>Oj>RS#p8Y=D~h|M!*=rmv&rq!25Tr?#dkMQmAFjVTGY22c#}Ci?j)fv^fo>oT2H zf4Vo6`#ncu`xZH5pSl`DBiYJl)k))ZKa(A%$V5OIqo2|sJk$oA+bRL3!sei(0`K*0E9F6%kBRM D4VnC& diff --git a/vgmtest.map b/vgmtest.map index bdf5cdc1..fa71e754 100755 --- a/vgmtest.map +++ b/vgmtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 16:22:26 +Created on: 15/10/13 17:55:54 Executable Image: vgmtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 10af:0000 0000e970 +DGROUP 10b0:0000 0000e970 @@ -33,28 +33,28 @@ wcpu_TEXT CODE AUTO 0000:52b0 00000058 kitten_TEXT CODE AUTO 0000:5b20 0000080a 16_hc_TEXT CODE AUTO 0000:6330 0000158b timer_TEXT CODE AUTO 0000:78c0 00000235 -_TEXT CODE AUTO 0000:7b00 000075c9 -16_snd_TEXT CODE AUTO 0000:f0d0 00000338 -vgmSnd_TEXT CODE AUTO 0f41:0000 00001525 -16_in13_DATA FAR_DATA AUTO 1094:0000 000001a4 -FAR_DATA FAR_DATA AUTO 10ae:0004 00000000 -_NULL BEGDATA DGROUP 10af:0000 00000020 -_AFTERNULL BEGDATA DGROUP 10b1:0000 00000002 -CONST DATA DGROUP 10b1:0002 00000076 -CONST2 DATA DGROUP 10b8:0008 00000102 -_DATA DATA DGROUP 10c9:0000 00000583 -XIB DATA DGROUP 1121:0004 00000000 -XI DATA DGROUP 1121:0004 00000036 -XIE DATA DGROUP 1124:000a 00000000 -YIB DATA DGROUP 1124:000a 00000000 -YI DATA DGROUP 1124:000a 00000018 -YIE DATA DGROUP 1126:0002 00000000 -STRINGS DATA DGROUP 1126:0002 00000000 -DATA DATA DGROUP 1126:0002 00000000 -_emu_init_start EMU DGROUP 1126:0002 00000000 -_emu_init_end EMU DGROUP 1126:0002 00000000 -_BSS BSS DGROUP 1127:0000 00000724 -STACK STACK DGROUP 119a:0000 0000dac0 +_TEXT CODE AUTO 0000:7b00 000075d7 +16_snd_TEXT CODE AUTO 0000:f0e0 00000338 +vgmSnd_TEXT CODE AUTO 0f42:0000 00001525 +16_in13_DATA FAR_DATA AUTO 1095:0000 000001a4 +FAR_DATA FAR_DATA AUTO 10af:0004 00000000 +_NULL BEGDATA DGROUP 10b0:0000 00000020 +_AFTERNULL BEGDATA DGROUP 10b2:0000 00000002 +CONST DATA DGROUP 10b2:0002 00000076 +CONST2 DATA DGROUP 10b9:0008 00000102 +_DATA DATA DGROUP 10ca:0000 00000583 +XIB DATA DGROUP 1122:0004 00000000 +XI DATA DGROUP 1122:0004 00000036 +XIE DATA DGROUP 1125:000a 00000000 +YIB DATA DGROUP 1125:000a 00000000 +YI DATA DGROUP 1125:000a 00000018 +YIE DATA DGROUP 1127:0002 00000000 +STRINGS DATA DGROUP 1127:0002 00000000 +DATA DATA DGROUP 1127:0002 00000000 +_emu_init_start EMU DGROUP 1127:0002 00000000 +_emu_init_end EMU DGROUP 1127:0002 00000000 +_BSS BSS DGROUP 1128:0000 00000724 +STACK STACK DGROUP 119b:0000 0000dac0 +----------------+ @@ -72,7 +72,7 @@ Module: vgmtest.o(/dos/z/16/src/vgmtest.c) 0000:003a OPL2_ReadStatus_ 0000:0054 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -10af:079e+ _inpu +10b0:079e+ _inpu 0000:0260+ INL_KeyService_ 0000:04fa+ Mouse_ 0000:0552+ IN_GetJoyAbs_ @@ -120,9 +120,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:482c+ MM_TotalFree_ 0000:48c2* MM_Report_ 0000:527a* MM_BombOnError_ -10af:0900+ _beforesort -10af:0904+ _aftersort -10af:0908+ _XMSaddr +10b0:0900+ _beforesort +10b0:0904+ _aftersort +10b0:0908+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:52b0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -140,9 +140,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:59f0* CAL_OptimizeNodes_ 0000:5aa0* CA_Startup_ 0000:5af0* CA_Shutdown_ -10af:0910* _finishcachebox -10af:0914* _drawcachebox -10af:0918* _updatecachebox +10b0:0910* _finishcachebox +10b0:0914* _updatecachebox +10b0:0918* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b5c KITTENGETS 0000:5bbc* kittenopen_ @@ -153,7 +153,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6114+ get_line_ 0000:6186+ db_fetch_ 0000:621c+ db_insert_ -10af:0494+ __kitten_catalog +10b0:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:64dc+ LargestFreeBlock_ 0000:656a+ _coreleft_ @@ -178,41 +178,41 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7b05 __STK 0000:7b25 __STKOVERFLOW_ Module: vgmsnd.lib(/dos/z/16/src/lib/16_snd.c) -0000:f0d0 opl2out_ -0000:f112* opl3out_ -0000:f14e* opl3exp_ -0000:f18a* FMReset_ -0000:f1c8* FMKeyOff_ -0000:f1f2* FMKeyOn_ -0000:f240* FMSetVoice_ +0000:f0e0 opl2out_ +0000:f122* opl3out_ +0000:f15e* opl3exp_ +0000:f19a* FMReset_ +0000:f1d8* FMKeyOff_ +0000:f202* FMKeyOn_ +0000:f250* FMSetVoice_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7b42 _fmalloc_ 0000:7b42 malloc_ -10af:04c4 ___fheap -10af:04c6 ___fheapRover -10af:04c8 ___LargestSizeB4Rover +10b0:04c4 ___fheap +10b0:04c6 ___fheapRover +10b0:04c8 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7cbf __PIA 0000:7cb8* __PIS Module: vgmsnd.lib(/dos/z/16/src/lib/vgmsnd/vgmSnd.c) -0f41:004a OpenVGMFile_ -0f41:033a FreeVGMFile_ -0f41:08f2 InitEngine_ -0f41:0ab0 DeinitEngine_ -0f41:0b18 PlayMusic_ -0f41:0b82* PlaySFX_ -0f41:0c16 StopMusic_ -0f41:0c36* StopSFX_ -0f41:0ccc* PauseMusic_ -0f41:0d28* ResumeMusic_ -0f41:1474 UpdateSoundEngine_ +0f42:004a OpenVGMFile_ +0f42:033a FreeVGMFile_ +0f42:08f2 InitEngine_ +0f42:0ab0 DeinitEngine_ +0f42:0b18 PlayMusic_ +0f42:0b82* PlaySFX_ +0f42:0c16 StopMusic_ +0f42:0c36* StopSFX_ +0f42:0ccc* PauseMusic_ +0f42:0d28* ResumeMusic_ +0f42:1474 UpdateSoundEngine_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7cd6 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -10af:0000* __nullarea -10af:04d4* __ovlflag -10af:04d5* __intno -10af:04d6* __ovlvec +10b0:0000* __nullarea +10b0:04d4* __ovlflag +10b0:04d5* __intno +10b0:04d6* __ovlvec 0000:7d06 _cstart_ 0000:7dd9* _Not_Enough_Memory_ 0000:7f0b __exit_ @@ -222,10 +222,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7f92 _big_code_ 0000:7f92* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -10af:0b5e __argv -10af:0b62 ___argv -10af:0b66 __argc -10af:0b68 ___argc +10b0:0b5e __argv +10b0:0b62 ___argv +10b0:0b66 __argc +10b0:0b68 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:7f92+ int86x_ 0000:8115 int86_ @@ -247,22 +247,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:82be _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8303 _nmalloc_ -10af:04da ___nheapbeg -10af:04dc ___MiniHeapRover -10af:04de ___LargestSizeB4MiniHeapRover +10b0:04da ___nheapbeg +10b0:04dc ___MiniHeapRover +10b0:04de ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:83dd _ffree_ 0000:83dd free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:844a _nfree_ -10af:0b6a+ ___MiniHeapFreeRover +10b0:0b6a+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:8545+ _null_exit_rtn_ 0000:8545+ __null_int23_exit_ 0000:8546 exit_ 0000:8567+ _exit_ -10af:04e0+ ___int23_exit -10af:04e4 ___FPE_handler_exit +10b0:04e0+ ___int23_exit +10b0:04e4 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:8584 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -281,7 +281,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8f2b __doclose_ 0000:9075 __shutdown_stream_ 0000:908f fclose_ -10af:0b6c+ ___RmTmpFileFn +10b0:0b6c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:90fa+ __ibm_bios_get_ticks_ 0000:9171 clock_ @@ -292,7 +292,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:9395 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -10af:0098 __IsTable +10b0:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:958d tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -327,31 +327,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9d87 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -10af:04f0 __8087 -10af:04f1 __real87 -10af:04f2 __dos87emucall -10af:04f4 __dos87real +10b0:04f0 __8087 +10b0:04f1 __real87 +10b0:04f2 __dos87emucall +10b0:04f4 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9d96* __exit_with_msg_ 0000:9d9b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -10af:04f6 __curbrk -10af:04fe __STACKLOW -10af:0500 __STACKTOP -10af:0502 __cbyte -10af:0504 __child -10af:0506 __no87 -10af:0513 ___FPE_handler -10af:04f8 __psp -10af:0507 __get_ovl_stack -10af:050b __restore_ovl_stack -10af:050f __close_ovl_file -10af:0517 __LpCmdLine -10af:051b __LpPgmName -10af:04fa __osmajor -10af:04fb __osminor -10af:04fc __osmode -10af:04fd __HShift +10b0:04f6 __curbrk +10b0:04fe __STACKLOW +10b0:0500 __STACKTOP +10b0:0502 __cbyte +10b0:0504 __child +10b0:0506 __no87 +10b0:0513 ___FPE_handler +10b0:04f8 __psp +10b0:0507 __get_ovl_stack +10b0:050b __restore_ovl_stack +10b0:050f __close_ovl_file +10b0:0517 __LpCmdLine +10b0:051b __LpPgmName +10b0:04fa __osmajor +10b0:04fb __osminor +10b0:04fc __osmode +10b0:04fd __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:9dc0 __MemAllocator 0000:9e8a __MemFree @@ -364,9 +364,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:a24f fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -10af:0520 ___iob -10af:0b74 ___ClosedStreams -10af:0b78 ___OpenStreams +10b0:0520 ___iob +10b0:0b74 ___OpenStreams +10b0:0b78 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a5e0 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -377,7 +377,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a7f4 __FiniRtns 0000:a7f4* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -10af:0688 ___uselfn +10b0:0688 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a858 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -394,7 +394,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:aea0 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -10af:0022 ___Alphabet +10b0:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:aea3 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -404,18 +404,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:af51* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:af5c* __get_errno_ptr_ -10af:0b80 _errno +10b0:0b80 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:af63 __GetIOMode_ 0000:af98 __SetIOMode_nogrow_ -10af:069e ___NFiles -10af:06a0 ___init_mode -10af:06c8 ___io_mode +10b0:069e ___NFiles +10b0:06a0 ___init_mode +10b0:06c8 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:afcd* __set_commode_ -10af:06cc __commode +10b0:06cc __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -10af:06ce __fmode +10b0:06ce __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:afd9 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -442,9 +442,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b5e0 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b5fc* __get_doserrno_ptr_ -10af:0b82 __doserrno +10b0:0b82 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -10af:06d0 ___umaskval +10b0:06d0 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b603 _dos_creat_ 0000:b627* _dos_creatnew_ @@ -455,9 +455,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b830 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -10af:0b86 ___env_mask -10af:0b8a _environ -10af:0b8e* __wenviron +10b0:0b86 ___env_mask +10b0:0b8a _environ +10b0:0b8e* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b872 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -478,12 +478,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bdc8 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:be38 __EnterWVIDEO_ -10af:06f0+ ___WD_Present +10b0:06f0+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:be5c* _heapenable_ -10af:06f2 ___heap_enabled +10b0:06f2 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -10af:06f4 __amblksiz +10b0:06f4 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) 0000:be6d __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) @@ -501,94 +501,94 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c7cb __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -10af:0b92 ____Argv -10af:0b96 ____Argc +10b0:0b92 ____Argv +10b0:0b96 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -10af:0724 __Start_XI -10af:075a __End_XI -10af:075a __Start_YI -10af:0772 __End_YI +10b0:0724 __Start_XI +10b0:075a __End_XI +10b0:075a __Start_YI +10b0:0772 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -10af:0b98 ___historical_splitparms +10b0:0b98 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d92e _DoINTR_ +0000:d93c _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:dca7* sbrk_ -0000:dcb7 __brk_ +0000:dcb5* sbrk_ +0000:dcc5 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:dd1f __qwrite_ +0000:dd2d __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:dd7f fsync_ +0000:dd8d fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:dd84 __flushall_ -0000:de18 flushall_ +0000:dd92 __flushall_ +0000:de26 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:de1e* _frealloc_ -0000:de1e realloc_ +0000:de2c* _frealloc_ +0000:de2c realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:df18 __setenvp_ -0000:e0a4 __freeenvp_ +0000:df26 __setenvp_ +0000:e0b2 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -10af:06f6 ___IsDBCS +10b0:06f6 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e102* _ismbblead_ -10af:0b9e ___MBCSIsTable +0000:e110* _ismbblead_ +10b0:0b9e ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e15c __mbinit_ -10af:06f8 ___MBCodePage +0000:e16a __mbinit_ +10b0:06f8 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e237 _mbdtoupper_ +0000:e245 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e245 toupper_ +0000:e253 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -10af:06fa __8087cw +10b0:06fa __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -10af:06fc ___Save8087 -10af:0700 ___Rest8087 +10b0:06fc ___Save8087 +10b0:0700 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e254 __GrabFP87_ +0000:e262 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e286* __init_8087_emu -0000:e28c __x87id +0000:e294* __init_8087_emu +0000:e29a __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:e2e9 getche_ +0000:e2f7 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e303 wctomb_ +0000:e311 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e34e+ utoa_ -0000:e3ef itoa_ +0000:e35c+ utoa_ +0000:e3fd itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e439 strupr_ +0000:e447 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -10af:0704 ___EFG_printf -10af:0708* ___EFG_scanf +10b0:0704 ___EFG_printf +10b0:0708* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e481 ulltoa_ -0000:e5be* lltoa_ +0000:e48f ulltoa_ +0000:e5cc* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e62f* _dos_close_ -0000:e63d _dos_commit_ +0000:e63d* _dos_close_ +0000:e64b _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e64c* _msize_ -0000:e667 _fmsize_ +0000:e65a* _msize_ +0000:e675 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e678 __HeapManager_expand_ -0000:eb81 _nexpand_ +0000:e686 __HeapManager_expand_ +0000:eb8f _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ebd4 _fexpand_ -0000:ebd4* _expand_ +0000:ebe2 _fexpand_ +0000:ebe2* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ec09 clearenv_ +0000:ec17 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ed3e __Init_FPE_handler_ -0000:ed77 __Fini_FPE_handler_ -0000:edae* __FPEHandler +0000:ed4c __Init_FPE_handler_ +0000:ed85 __Fini_FPE_handler_ +0000:edbc* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f040 _bfree_ +0000:f04e _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f065 _bexpand_ +0000:f073 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -10af:0722 ___FPE_int +10b0:0722 ___FPE_int +--------------------+ @@ -604,6 +604,6 @@ vgmsnd.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001f460 (128096.) +Memory size: 0001f470 (128112.) Entry point address: 0000:7d06 -Link time: 00:00.88 +Link time: 00:00.00 -- 2.39.5