From 2184d00753936aa3d8b74e7afb047220beec834e Mon Sep 17 00:00:00 2001 From: sparky4 Date: Tue, 13 Oct 2015 23:28:24 -0500 Subject: [PATCH] ok it just needs the mode x values! --- 16.exe | Bin 44868 -> 44858 bytes 16.map | 402 +++++++++---------- bakapi.exe | Bin 46308 -> 46278 bytes bakapi.map | 566 +++++++++++++------------- exmmtest.exe | Bin 36374 -> 36384 bytes exmmtest.map | 294 +++++++------- fmemtest.exe | Bin 36159 -> 36162 bytes fmemtest.map | 284 ++++++------- fontgfx.exe | Bin 42066 -> 42054 bytes fontgfx.map | 384 +++++++++--------- fonttest.exe | Bin 37002 -> 37001 bytes fonttest.map | 308 +++++++------- inputest.exe | Bin 35874 -> 35867 bytes inputest.map | 294 +++++++------- maptest.exe | Bin 44640 -> 44621 bytes maptest.map | 538 ++++++++++++------------- palettec.exe | Bin 41558 -> 41539 bytes palettec.map | 88 ++-- palettel.exe | Bin 43166 -> 43140 bytes palettel.map | 548 ++++++++++++------------- pcxtest.exe | Bin 29861 -> 29906 bytes pcxtest.map | 452 ++++++++++----------- pcxtest2.exe | Bin 29881 -> 29930 bytes pcxtest2.map | 452 ++++++++++----------- scroll.exe | Bin 58706 -> 58752 bytes scroll.map | 816 +++++++++++++++++++------------------- src/lib/modex16.c | 8 +- src/lib/modex16.h | 4 +- src/lib/modex16/192x144.h | 8 +- test.exe | Bin 21373 -> 21358 bytes test.map | 348 ++++++++-------- test2.exe | Bin 23120 -> 23098 bytes test2.map | 370 ++++++++--------- tesuto.exe | Bin 19486 -> 19494 bytes vgmtest.exe | Bin 40389 -> 40394 bytes vgmtest.map | 334 ++++++++-------- 36 files changed, 3249 insertions(+), 3249 deletions(-) diff --git a/16.exe b/16.exe index 84acc72e72d59f7d8c0645d39b39041b1976db89..0bbb1d56ec9f77e7d1973d2c05f0c12f15206598 100755 GIT binary patch delta 37593 zcmW)n`#aN*`^R59&&OG3?|YOuaNvurr1Ej4I=Uwa0-9`JfUw4nP6s4*tukCW&sz1=C(Vn zY=BxDJE3Sc0pc@Bo2)EIrPau&JGi0d}fn0a-vE zAtfy>oxm57+V;yxONv_ywuFMlaoKUkgup+EM=}X{nUwVOw9H@b_ZZ6CqWtt$u;xfho z^E^Q^rQiqpR$Wcxmgv4(ER}YyI%_) z5MDt5v*-~2mQ9jWC7TJ)>qCA-LnoypPIXjH)DEGy>9Qjr`U?7#SPLAOV5mwkuHq&u zOj_K4Z5*>2?bph*xZ>j0(E-=k*vpecNm{iLLB#a49xB3p^4#s-Yav&bZp^dmuwvJSezOxCr<2r+=v& z6BgRT$YL!*p(t^SbvVL<^(K6q&ZfU%GLdEj263Ga zbp}<~T{*79kY?m9Ar0gtL~joWh31_rkJpCxtl11x9&1=B!3{Q1ehk!QJ&-=kAo3aP zpjC%0Mg)@KW5iVM#`r!e%5JL72!dJfru$5$I3Qz=+r5A^JUW0mVGD79IsVv!TIou{ zNby9Lm4<>aSy8e{ADRU6Cz-frHm}>Hj&$B8kw0p*<3>rasa~n@sWi z*469Aea*|GW>)g$Z-jITw1WDYj+kf}GE!yMZbXdodsHfdBqrpD<;l*t>lO??f^h(P z#7myshkDYYP=&mUc2a;SU@)=RrS>He;;%f6+F*G)NL+U%OQ_giqbuazn+V**;<`E2O=v&;=~YsoR{-7qrt1pf(3G6ku>$eF5bW1dIPft_FOo5^ZI7W|<#vCyC%=NX2!v-MT0KOx@2OVs%Y z3gwSIk~X|7G~!A5Jnh`o!2&teg89HAA#6?(iKgDXV~t6Yf`!e{!OF~oJ>-2G+Az@B zUW4KnBb3k-Wt(+gMQvE-ZXc1V7e86KF&}f4`)io%i<|XXWj+bJ>@4J<1l*VQhbSEe z(#ozAb`i%3XBkCwGu`;iO#^$AO!vIUMmx|Jgr)Kz;o0!*xPL;BI$@uYsc64QB7ac1 zEHL4Qaj8uE$&~$`cn$kaZ|haG%c{^c!@}Ms%Phy#Fw}f{gAdQeWQP)&{X#kHe7tbe zp3JStI-44fUWz9F`^4tb{807+=M1<#k@~z->2ee^o_g^GFthbe#1!AID0?2E_fbVs zFWYQ@RF2F5$Me2lzF!xtE~asMcyFDt&cdAYJ;`y)H}if$2kt+Zp4Kp?K~0NrL5P1jXI%f_2y_NzppGEn;cr+#)YWBs3Jx9!{QCG!SDy|&7ocmgMk&XM#_c5 zKMwvNwIM{Ud|om9+4rAp_p6+w_)_1CJX0NExRsCAgt(bUYK38E#`!f@9!^A2O+L?U?YRAurtmyU2C3`hk5rve_XFGA|?J|U$5&dVQT zf!$*EFMy2tqv^@XNuftZ6BC-_ol}ydFMuY1F<=A;4FLl{1CRl>r2q*)9B2sK-=Z3L zz^Ygk2@-!6UzOVnZnZ@Mi#z6q+oEP56Ltd84tf`swp=>Xzg+ff&kXsH{p?pt+$I^_ zme$0?K+ylt#(mQ3nKdhu^RhhGEraXe2wAky(Jy%jLlvq@mict}s{nAhFJGdg?mmrds?`c!-5X`6-#fheV|bVz z^{MueY9}3Kz(Ta_nNN~?l>%Y6tb3IX@D=l|{WFiq?~3F&=#;@H@TI8S7N!`)aH*kP z45d>Ff17EfxPFe>lHvM>&E^TnmMsBNWkx_6&Qw^obP|9(&fijr+CusB7h{AVTORA= zFmZUxWZpvva|yCN+IoJc?BHpYZ5n$Q#L6}Ta7hbGvey*o(k=nv*H829L$fOQV$dqH zw&J6sM|Ajv`J{3c>S>Lshx<)ie;#}s)DazMG&<97e|)qf5Wh)&NF(j9moLOzR%jf0 zDZFYJRcLtdv%#a&Vr)I36+#D0-$d*fij|HOD}Fxob-Pek`JjR3)f2dih-Ezm_DF!3 zoNH|tQn=obh+mG|K zi*p;7IjF?p#jaJ&n9}zo=(>FvuxCiFUk>Xc}Wtj%9`N<%(r+K>s&x9uJHI!~<&kPa-qzAc~MzJj6m zzL>79TE(Z;t9C*_QFR8%F=C~1XMVumFf^~g?63|($dq>~H(#)_XDUKqs~ctmEdnn9 zy0j1OSu#WaJZSGy%CSQ&S(!o@vAB;fjv!7X^E~Zm=^I#xM=evr0JY4-1B5h{wFqA1 zp1d{P(is^(TfqU832q4Z&=H?6?1|t5SO4u5alLH@X7TMIOsEo^S%jjK&`e}!kL&`sW9fSQYwbuvbr`q z8i4MHkN!zUa}#R~U7m@tIx5!K^9I5+IJb`Z4Bgzy%gVz1hJEGDi!@!tYQWjl@i89g z6q0y(L;JSUivCw3kA9G_Stn>gk>_i_R@wpE)F>t{Up^`jiD4a6kiEU4rS4;V?DW&_ zhk`(}xvsl{NQKBDN>X6DIq6XGfYF)aR^#~(9PJ?TE?DQtN95Dm)>r=Ar7eF=senXt zBi|xc%F!Onj&BSs{T+RNh;z?@)CVFjR(IQM{3idknLcpXK#~~6FS($sZ^CcExRP9u za$?K|WNk`it>XQQ{~NIAEt}D;OSw?VfcQLSl}zm_`j;eKmXDsiA||EqfxowV&rY;z zifb6yKCz)xCS0XRSRTRnde6WYPQr zshUfc3e|73ABc0~#m&O}4X%pQ2gl3?0tcj}kXrrFfpIa`^#Gl!zyV1qoK`=^xnCB# z?vEO9n(5whcS(24&d>p$h|tmO!ciCc<|h4jmFZ`<&oUyco@R@cq!8(gCgMr8Vveur za>nikKGRAg^!~MPe}?BR-qbyhNPTm)o3Ecif_!*`e>$XmfqJkYexz0g zI>KyVQ-^o>6%?Rv6v_54_v6z18juWyW#jdU=w*g_+eSRH6J-3{$kAg!PH16@P9FR# z$I;o268{DTTv|P59}G2B>rFmcl~w8tNJa9Er2J&vhWJUd%}seylUZ2jc~QhIs^hbD zsoJz`{_sq4*7F>{;o0M1yS#1-h*9fZ5J{e*vu7=aj$8-+?oHljl0T!F=F?|~tmH{$ z`qIUvhF#~t)*T$A+|r*K$&uQzsIsq)%-0ar53QFDD2W8#f*z{DdBVahd_;{*TRZoT zT6yeRvUBuV&v?qo-c-0A{EE!sZR*%7pA@}}RyHrz#b0=f+?(?a%;tO*@UNYW{;61a zvbpw;gw`%G52ez=zd~rn8-i8yI|vZ6iG~=hWeLYX^<|oRjyl}^sr>r~&u@l_LY50R zps0TwpilsN20%vPH;ObA1oe}64uYc4rC)9vydGzsy%x^!YzXvQt_LuKkrFdKL1qMNslpQV_R- z{&lCQvKJxptA7A6Vq5gTq)eFZw#ueCxwajsK(GfZdZB5zZYyz^NKr=M| z!kK&fR&|^Co%BBh?`#W??3S@?rHFk(O#I#Iu!nN>e-^mqBNfWWIaYt}0v~;N?*vsF z9F~B4hKuOilid5Z>xHeKX`iB;|C02^AF?+yEdTQ7warAFVJ6yUvTsV%k3OZc%qe)a zo3X6j3aK;L&n$x&LR=IrK*va%Y&}0ebuS}g6B|0t>l4)`!qUTr28m50A3v5{ z45OF6t%nx>rzVOSNs0TIfOm8%YM5}?k<}=I5e1F^3h$A$m-az_k0Y2!ZLI+u3M%`U zSR9muv+%LIO(#asKgoZ@c|=!gjmnkNRF@WD6QaLQ08H3vwFhAQDKCQYn`ARjJBCBZ z2T>=SBOYQxe20bOp|*GywD-SGgy1>;%Q4Zkv)QFQlArvnwg`nK413OVYJEXz%oaz zSj(=>^!wzGgBsGsxeYrtj8HQazN=HmF9@3q@dP9PJm+nkuqebpaFLzhPUg?sb6|uaQ`Oa;Wuk%Z0 zF}DRh!{S%&UTWH&ty!(&DF~q_=b6xEjh2~EwQrJh_&C+@Z|cqcGbrXWxPt+UoYAyH zjr8tm6*8f-`adrfkqbSbZ%#sips^M-v<^CpOc7swb_QJ+@*O#mWiOoUG+dq(%|fSk2dVenIkaP%I~QCwkOaT7dR)HUE_* z85=5HYJ+#=v#bkaU-v zJ07!l*+oN^kYm^@o3_HHMejK~OmTSt4j9r_-zcXR8jq7L2p6d>i;!ldfymp(l!yF6 znD2!PiyZO`G+4o*tZ~7w!(7~{y4yWeChsV@Qbf)xtl(5}ILYKYWKyITj!c7X1qtB| zPIqr73|YG(6U0QyOW|*v5@z^#H6`9kQeR%e%J5H!n&M`;($tDWiZez^nAXGPED;L@ zea-L1@&!P#`eKW0jSkYqw5u`=QWv%XeC1s#DBj1rwo>&G#C>jnoc1GM+E0ualz*;O znkV*?e63HNd!FX*WZfyM)5WKM(Vx&*b`lc5Nfq;hvPHi=*uMS8A6t+%Ka%y8vbkb2 zm@y$C26X@)xO-bD2wg5n7jS~%o*%jnk~A7hQ%mnhdPD6$pjr^7`JE5@M2n)DoO!lz zvZo}PwXX+M&oazH1iAU-S$}s^NDAVl3hlBrWuS`QWhL!ztgBkE4iK$YU9-+e?Cz8} zQUY0+NJxt(WTsI#88LB{DuUR!O!5&@)JGW6buA+<0l5^Ht;mmy%_JCy$s-U;J9oIn z)WqF7Vp*NR+M|{jMT$*z1m2nMa?3df&n2Wrf$0@{GT-A(7u~#_#oIIE$P-6nyu$FL zMRLlqqz=H_`|ySvB{khm^jMB9!8J86C6=U1o^VXpO(iG_+zxpA8Jk&_g`p_v)VTP( z)~nTeUz(3ZQ|8hG=pYc{9grHAy6K>uLcw?~c^AEKmw3Df?yjjrXa!802gnqrqNs0TW2bIpW>!DcWyBq2x=~0X$|=7w%Rp~pTCzog80naF zNvhj4>4-~ATTCJ_p^+ey8pYhq76GE8uwk_sBvI2{T(JQ8G~2f%6xmPCJRvUiy~~%} zWWxV8`-<^fOm%>8>BXDo$YZ^hNRYz+Cd;OTvf@3y8WOawsu3E~l{B6!{FM1G!wO%p zBGccp937XMoJOswQ;IsWqk{y91ROb@oUs@30_bYM#y4SOn8gOkfBQoHhcfH6F1n|F zCKZkYje%E<6%J$$6<%Wa(VD`kW1Yrf+fl33s3R%C@8LCh0f}i@-aRglz)z_0{GQ1M zk=O~#7|I*C^{SUtB9@hiwbjihea(>S(?E@=Fpncat{8;qOY+am2%&61FXbw-+Wk`V+`jLK69uu- z&*RI@N}J-{tlHJYULF zGD{xTw0!X6--hdPyzIn@CW7sS68VW^pE5@=0NU%PD;+uV)c8Zw&Nn-QZm6aw?mC%N z6WP0Ti5?aZtt`fV7ZbT}d@e3_kJ%_Gc2JUkZ96No*Oj`mm>M@^Wq40fNiQA!13iig z%HNMVO3B&lxqg3U4aKC;g!Qa;# zD3FEU7KN#f5gHAAko#<6BfUk-?(Dj-EB>q7=)dU5@guu`R5YBFJ8YevTAPrRJi*FR zj7q63d?`MCU;X(SCET^P$~)v>Q>4%L_wQS7@0se$d~ZpQRaOIc8f8C?iI2WGlJbc7 z;{>YLU^(Rb2C{~CB>sr{G0FbGfYXn!;O%0sISqxU&IBg>oLJ0CyJanse~M|EtsR!5 zryT=H($}G9&;}$MQ)%iOmEW(%VKUQ(NJfs)ja4#=ajrp$W`Q{uyQ6MX8w(p80QDr# zeO|cZefu*AAre6#o*zxwD-*fH<~!z?=fDdQfSeHi|SBvT1H7{m7zs|3+VufUl>a@ zy?!oAec`r9I5sxpLvO#~X}{Z)kKDL#BC?jU-z{B;Ok^Aw>v=!fPb|vrwP|CZZ@r;t zg>5*V^d~iSI4J4#kN3-kp2+x12eFUj>8je;aP7B{BxrqUtHCXd$%{`dl11jL&^B7) zf71?c)id-b7;Lng6(RpyA?<#SVhalUR|;ctPrT7FOGKovWO!Bh%6T3MY@h+4YW)Qv zyz7m&m;lUQYykZH)sYXD+s`c4ZTcn2Bc^1MJrPL@zsQYFZxgmLbCko4#8aqXdWmpF zXumVVx6wI9++&-5gClHoIVEaGzc9fQiZ2L+q7!8M!uDxhrwlQ>EL@&AgMye3`&`J| zgy+G+hi^13n!6HFL3P4;F`)D=Uzpmsyz~le78d3$LnvY8{R{2iZ4c*`4^_1P^%Pci zB40TbfQ98F^zMm@k{z7Qbv4qX(da9*xiqg(x4_(WNE>bi#J-YCqcyBkmW=FDbjksz$b>h9~Hz$}WV@{_mX$Ef7(Tp{Am{3h?|0#(v@ zrs66*t>P0R%DA9)ywZ~fL^pR;EjHY0&JURL`_rks$B(G*Evlf%QUqm@58qw5cV~Lt z#GXb*dJ8(cZO5^uqoyuanpq+=9m)0?eC5C7RAL8RH4#&etWIkB{^R~3b0(J9qpS$T z_^i4OwBDo!ab(EKDq3`Q(7KFgkz;NnzD@WT@VO=097Ee&YM@9;B;d%Y>Nk>dKb1eY zWb|R;f5{;=T)3RJ-v!4RzYkoUTdZ_@QB0Nmk#7h>l<9vYJW8P#{TV2He#Ma@UE?jA}6um*-Yh8FZ=W$UQqCkqWf;~=k;$&w>#Sd&v6>TFbL^+ytXY8 ztW);d|8#gKqUo$}&eVfrqB_4Yl;y+RZ5G$4UDSp)qB_6B%Etu~Ag-@^W>s+C-mg8= z;`CaKz3_O3T3KhsSj6N}R6EZVe4l{2*&ykcq=QGYhU1^UBHzD`EX{n1AD2caO16Ku97PJ2i`IeJC>w9_o9s z<=3$vzV_RuyF1I+KQ`IE#kK$U)n`Vx?;@%UqaLa&P(7|x?NUF3FFu!GEpNg4a>@f5 zn<$l9Wxv$+Od-V8AMo<_SVWtSB#Ow}Kvsp7>~qPHGGy)KQojt6S^02PIR3&tmX5+N zynSLGEdO)f*MgC>@KEmU?K$Ng5P4TnEc3%(!{ z+erMOd30ie?5zF+8&QI&Q}=cnT2xZ;l3gkuIDsj|8!UX!l2uoSQET}2Jv~$LvNUB!bCu^I5GWN&s zx$qJH_RYw&x6qSvYfX4(@rqDMI(($A+G=n3glw_UocpuEhTP?t~ zyZ7&6tD7C*8VFg@SoN2nt-<%}DVJb}z7K!O=%|aObV5j{CHm?QOT0O{w3FeswqtD3 z{ZrziUvk*TZ^94VX5;y@JRu1|XB*~LoGvsvkw|09@FjQbUtMuhj*vCSRT|&L_;_VQ z_;%>MgHbN#}z2ug`gX*qF-yd2VBXzMj4%@^Bv(^spDd(c`nxvRE_I z=T!j&etf>Ju4$%yNTO}e2TRA5FV5i>IN*z-pVP=<+&n;k^cEvv$&;`mALY7P;cH>N?P4K7bF)wbBfWxkZP{eWkDH7`60AZtI*M z`(r1IeOo>bxsh2pmhV@Q{{cZ#nL`wN#k1XZl*K~=y5*hW4UTH_Y}-ijUn2`wj* zFtEfXj5^2b_k53FE9i}^fel#7rfr_iYz~B<%)IDSkO^LJ%sdV9cL+)?L*5u%Ln&Y zO^9@!f+O)u^RtNM=WyzkrRq#~_}cXhE2@|GO|1sI>-qfsi1{4o;GOp!2(MciOZHR; zQ-=-`vRgvW}ESpk2>4 z(lWUH_NqD|ymD|(RyHG7r z2T@^BGpLW{yB-m!w)Td28-F{rGS;dH`>ROBISK-6FMmuS@KkpdEvwiR8RA?)D;E^P zULE$c$~?azdM4jJs?f|If9pXHH}oi`^XG6lDO5tFQlrQKCcJhnL|Z8CcRdRU@Ep>h z6m+k&6=q%uNRto)(sSkO9wB8q>wnvTDCqSvX@XwLVMh@W!UO<7uU-;i16#GBM!>@^ z`Ikc?{S>DtCH|GK$_kqhuuI|tTFvG|7E(x=v+1JFTtRS-B0su)!aE@zjqvXbV?i7*-3B`^Wgi}dieQ^!JNAM{3UZ%D~T=A zZ|l?GryH}K;v-&FM7-1t-{GFNK2kxYy?k5W74cW2Gc7XjWhJc>95^&n_zzy!3*sQ{ zUh#~{ytdu2fzT|Gi#uNDj-iW=tM#{g#eE9XzDtbElf$UX?YSKjg|Kw z2$=uWE1><0u9+Vuy^UDDyX$qCn(NaX{>jULeX6AlU}U=BnOA)RUp#?QWlPCfQlT%5 zyz*%f!&uGzTJ+B6XLlOIKvjMjYPkk$Iyacz^VIH9Yx^CbO`EzxI6)3t6?xZ{E`@Lp zLN_#erj=E+bJ8v;YtMRHaGJ_Zx-4G@1I`&shlNdz$*@O$J8mFu3vg}4B>#%aabsDz z{{7`eBNzQl8Y)US)VDtYkMa+h?_2MimHWNS<9prZ$PS0qk{Gk#v%wFfl`D($M}#r# zqmlY?u7aI$m-8)Sq8aj_peJvc=CEi)ad0px)lS$=Z`gF6nB?U+dop`bMjo*?C`t=F zBgEQFPvWxUtvbpCydhU0apUvwcbHN2SyDNzyCkxNuUD}6{_GUWL zUp9&d^4yHblbgT=PBY?ZW!g~&bps-@;5(nd!1pbmVfPZ@l zBQoPAdUM&uT7Ne}_5*7)lVJ68<0@~Ow0gCq0K>|6$)(~0p!kz(mD4^{1Gv(;X8~XW=fT3$ zw5$Nm)v3h@-c>_9uw;QhPsE@8+3@PN<}TDzMoV0i3UCo9uaJE!9JG6#sci6Cwb~D~ z4q0Q{@sL%>Eb|alm@>H+s_drD3;PK-ndPf7|}$@*siLCm++)^P%^1wf}u91$^s7I)bCfF=?q= z1XmMR1B3l02!9G`-6-%#YTSL_Blner}Yx_T=l;Z0*)1?w;K}n zkYc7a&1|f#1x7nfO?Mjam<9+w2lh?uBPeI47?Av|MG2v`Oobg zotqCH_%@naP<3ue)h|!zRQSB#wufbeRH_E1rSe|^6_8(RVg!Q2S5Pwl1k~D!vaU-z z0e84lxBeEwRd%QjnN|eCSXjbUDLmAC0xn`NAW=Y6{&%4O;V^=r6|X*`Y#_dDwLKyd zxv1M>0Zy>O9LsuSO6rp%!bwKYtxHIP&TrxK(tjPlF0f!&LtUK%V z3xJlO(5b+dpNZ&0H6bgSU`#u&5wo^|+RRera`bZ}g#r#qcvx7qBQX2H0LV}fN3Lwa zsmTmW9>PL3GoXzS$lrVZ{Lgoit`mypP6BdffS5gxDsE=6gk|@@1f<0_BbwD+Ux`FE zVd5lP^28Ry1B3W1wGnjZJ*|fU$tA>4;uf&0pd)G1ZyOcnVF<4s~k(j;}O*dnpEIH@E; zcvFr4+KCJzQ}VYU1_6l6?~1+H4%@#U)Nd$IcR`(aJLDz~nDVXgnSz#>ctF*zJ>3Q$Ltpx`{m)#OP`R22>7Xr(EFH#6m+mtq180) zKbaJ0fLl|UpKF=4yA0*ohH~8ESiF+-$DY?tn=?xr2N4Gn&1>qf+N}DCFpLd8z1<)_ ziq?NvwU&=_9&46SMsC(@1fRzy7~Zr@wPF~sSiKrzPoor>M9$He9F|kkELhPNsbr2m zc;MnI3;Anpqcl51C_uS5(X8jkTr-ocqA_FYcV?sepe%IOKgc|+O=t$$Vd^FY{zFEp;0)BTMn*b@dKr^flHGMM7g4ZNQJ-M(&c*-V^jlY^JWrajw| zl}>>RoD5~DWY*TMQ@f;-2a2pTQ%Vp8VodR;>jnBtoM^;7j794pbBo*Rdamqdh=d^W zt22K*7(3BvD4R4cry(t!jGG-t7MCW9Y8(>IM@h>cDGu`a2VPVx)No_oA|rXbF%K zr>Cuc&m|wsm#Ik<%kCPFOav67CiZae(~*v}-`CvE6ru0Nkia`@_!wg5gp5@C(pr3{ zdRzM`rS6J@KO5MidVB)+yIl?wdDbCj&2wBa54L{|tkS4TRucDlB${UZXtd5zbVP-t z-D1FmmvyUV%WF7vj0B6`kBA%PBRXW;A(_|yXTk=oyw|r2y{ObV^uot-%TIaY=P>tw z*o%GsCS2n)kw5gbWn%rC42PzUF!^oABKk$w7nkL)M|?S8385}7SzYCqt4=oj2T#P% zKE84V?@V%7vb1F5q9(f-D(-WO0FxSzX;8q_l)XNh$1hE)9+n`sd09na#o*>=vl3iO zF}Is0B{V{Rvc#&BWpuNK7>ODR7i*-?rs{__LpJnL8PO6Ie$u6A zAx3=ZFRf~gSb{bwPRwjPeu%98Uzp?<1PU}M)$wiqSS{esdO+Y2iTTqLP%1qC^-o~0 z-**d0!?|^cXbfT%{TV%S}=L{`@CT-G4*1Q7t`rI^`qo{GtQeq?1_ek`w=Z>K9blI6Gm8A zyb~_#1ZPD3Y!%e!kUs`jC5TSvrX{6T=N-D&mB07wR3IhcxZta6=DFdMo5o$!$E|CV zW2Q7ut|p06hC1namqwdVkvoESw2~@24PEQR>kA|*C#Xj1i07xE5xIk0(YN4=41f9$ zcNx2+$*wHEXJiz|MFjNEPVLIzX<8V^FI85^}01*jzHyoDf|rBeJ;MZYS(=@Lbr;( z&_^E{oQ1Z0S}&aaLd>)cegq=qi)T(f=&a1UC=sI8T&~&2WwHJDiYe;B6~G5m+K1e$ za}X~Ien8C6yuzeDWg%*Yq&x#%|Nc$C`eq;0nN_C7;?5F`xdF z{_X-RIgU^1FHXo!oL$gG>UL`lEDoRt9`592U^33?i7tPFBGqtO5ZJLr;+A^sQTeTV zHxbyZv0nO6X$7WbDbF+X%~0a4g04zlZv}B3<;+R9EIv5A?vIHti*y;*2wA2Y;!U_` z{mxW~F8Nn2e>;tYK*57+u>KIZ|FFwOqs3eGE8VQ~s)o|zCM#o&+U{w`LkC3#BiZtz z1IIi>G_ArxJ78^mja|4n4h*8?R6V8hI4VF@m#Erlad<&k_s%o}ilUcG`d?SOagz#0 zKVy_-Ft+sPG_;v9KWwluFlhHCV_FAq$IjZs8gw(*_)ry)Ho+N0bxfQ+`DX9{E6AXk zw+x#|12QDsvv&N=f}2o(tqZ02-r0oVvEk;4T?%j3{$}uC;zaEIW^q{ew$yOuZ|?LG z%BO-cCFnIDi3lGY1RhnZ)s!L;>v%wm_jLRzYrnR?#B1E{Ph`=SZ2)gGl%<|yAbzwA znKI+$3O;&qnuUEB9oSU2NtmThZKvxGMUV)VY}x6(PNw`1Wiyq)UJqQ()@O?<$dHkm zyZ39r5*4875!vwgVYI2po`w{pSCdf;uA*XJ&GsQ_n?bU4C5|C?-$Lx6=TYimxJ&8t z1^7hy`-rO5fc+;k;fQH}@*al;VON%0g720wnBjsL3N>%sC#xHMH~^WBq&DqNC%L&b zV4W~$*>l!9*SlU?u1O@DHby{o(_&qhtUS5RE(I@aH|w|qPwi@Px!iWHhrj50gv4C)7iky!!T(de&hFLi_=fP9E&W2ia^gO18H3k-K3sPloirAqqFAcaPePf}j9NQLve&%Frxa z>T5a?UJtQyp`%$vy`7B<+@RuKUPZfLyCuzZ$j=fUi8I!_Ba+>kzoJxN!0gbzA$YZ& zs7QlA8Y%#H$Np^LguA{*oe>K%vgqX@??+O#G%W(o<;V!oRa#gRr53(D`{YR(dYl&Wd69P;po z`FM!b?;i1SUxx<7t7e?`kE+8bpn)c1rqImP_G)jpt$e3bNe1I8uj!ej-p8e%e^2aZ zDdg`<&jbS@rG6D6@`#1vdB=g>mc?lX%0;@L)28REWP3R%F`@ow{krwHElN`C=cMuK zK(a`6`D*DR;%awn0$zu?*u_~Jdsw&~8s-j=#`YANbYmhkJ+7P;h# z)VdS@#r8ul73zK=<-^-oCkyDy(Jv9Pg8eR}L!*+DwD%wOb7)nf8oGE0;?`v= zlm~=~KZb%JpeFKnQ1QC3D4xL@EQ4~{Y@iTa-}im259OqHuOPMx!BUbi_;}Cc)KO)S ziH-8Cd-&+5XP3!g(<%|T>xpMdvE3jag(e3xpCNN{>VH-pF_T{tL+93r3^~6`CbyKO84rnvu>f|CcJ_1Qu6j%A{DQ(B zWY*+pyJq|u$Ep53ynHp_c*Ma$tnmvld!#)2PK*BCKl>fg)41Uu`ZqdnIoBRTZcc|3 z{HY?BO7uiWt@$h%D^3Ls8bkV)B?pR-;+d!mymszszs+ zX0w5ME3v`9Z2i8|`S_zXC(_b-Gqi;iq@Ck&WQK~%>)bpfoh23oD6t@JTe2VxDY4?w z!OKVHG3kf$@$EVY?xz4HUCxDKp^ghnK#*3F)sW^={H1;rG}TJdQ$xcNpoPPZ=RU&W zdB+E0q5|`3}fiD3q zjGztI>{!kB`U);lJ>zl20u)k0_LgXPJtXTI%liFQyURA;KA*rd+;43R?T2Kh_^)>} zkxs(MIf-(#K=ZlktGTMzxBOSA`=C?G`t736KNo{PnXO(~jXg|E6nJOu)SN0!_m}lH z!gJa(>)<#XC!_Tg+k<_8TPw(Cu#lK{4-a(ZAmrLx?U7NIyH5jrgSjecN#OLEFM&`S z#k#pOF&<_`DiRH%z29mr-QMHpkA9!^-A$ye^u$#w$@&iuNy+`wxYn2oMN*2!qQK$% zO8po*gzp9^P4>$LnST^MTNF4Lb{suFyXP&gaMoPgN%?H$T{x=U@u=H>_hrS{v-i_H z&tifOcFsoqYdLF#=1K)>3J=N@2P23fMZ)miH%}R-=3C^z}1!l~nfEo%ANHG55|Vfo%25 z)^OnXxN7i*<=1VFp{bs7Ze~3(>K}or!hFN=un5XO52F)+8i^?B`E-UeD|GJm2U0eBSSG{o-=CKRoEyviN8SXIlL&EdZG} z?H%7J2^}vhkFy)QXOa&EZQP20KIpFv^HzO6FD}V>Y|y54_efzua#oe*6bCCNx3bI%)>j zEgNWXL?hf)AZi%-budr|$;{~$;?5#a$mwptL%&$Ezju?c3q{Cn&bLX-@b*8=1`D=n z1HJ3iMGAJ(HzxI;%ERPGpY-nu@og{m=zaS6GLHkV|pKA6Z;RHX{A566sxbrG{;e*CRL2}eBLL!Nobz02coKOVTSrfa~`aZat)?qg%9jZkOx-Se> z+IK&-YqFgjsfL%bof9kUA^CEd)5a-@x9l!p9%d{&oi0(c3idA}`rFvHL;Uq~sxs0= zI4m)b(9mG?vn7-L;Y@DdZ3UfS)sIAlQ#moJS%THX~|#F znhM}3b6T6Ig?2<1lw6{PgcQ4MLa(2OT{LD+Iuv=>@@gWd{O^(eQudku17Mk7Qt%kik)&`Ih=QJqGL{62+1iZP(YEy>Bu-v9f-mSQ4-JWtJBY1od zybHF>3(%MTB=T3K*t1H~%|&zoLm=k`Pxh3R{c>^#MC1tT_hg~XBGY6}`8!Cszi0J2 z-)um?IRzI!Wt=GdAhN1EQ4i55HJPa)DqVBWi3Wds?P}yXL_ekk*lx>C*B#asInyq%Af@&ct{^QKy&RlI{JP zD~|5I{7kfFlV-swPZQ?me==&YyI_>0JKedH9CrNBE;p*ul2{y!6EcT39z!Lo^noqDGX?H(qy92;zR~;1yW! z#}3t#4wr>u!^$4UQ&9I$JqEfLz+t6=DZfSK|n=lix;SWte`e#9k+{bH>{l;nm_>kK^Ax7OCx7?H6(9p*X>on{ZKaU-rSP(R{! z`PC;vzR?@vg!8wzPJf`jkw1?xXODyGY(8a=3!Hy+NKoFWPE*d3qO1#+@tDjFSNiy_ zVH0AL;M{?P7{|^ICV$uZH~SpAvA@Jy7{ppWpD+$5^e||f=~U_Vkg4Cz$A-K`s+O!D4V$-)c*toy zC|MZOxDrZqp4#9=nUn~9(4|RT$qEb`V@h9>p>X}P82WCIch@BKuS?^Y zK*|9X07;9J<|!@Br3ne0>E@Y#zC+qd{=lw`Hd>&4gavKzm-4345T1px7AwK#2Fa6} zM*RPcCGTswf~C*&XJ_gu$5kh{m01+4SY!|DDl7A4NN11fDMJ&Z>=Y*70pW;W5<%a^ysa(j*{TKhE=1y z(hZY+_7j5UUG~GWy~}A9Fa4ECx@u*oJ&`U7jnUR(lqc|8W8**Vf=7S8`hn3hrqyC; zF(GQ#fWtb=&EdM`CAm`eikmycUYe+;@*(j!70QHqZtFB)+R2M z%$lP>Ch03#^`nxm$dvAOrrR7->D$vmrVp<)-;X!l4pS<8K4DtiFx9%s?n|Hf$dUX` zdj=;u+rA)N+q&=R4!?Cp@`6puca+)}JHEMPF!oxWDV~74DNpWm)@$VmiWs>5;B!grv9(axc>>d2z`q{8^Yw&R68RfcSXlQo~eZ&(})GzmnNA4Da z{=}*M^_LPw{DOzcq2~@cpn$V}ItPvx(H2>2k3Ny0{0dAcCOw|VKoSbyGLl!}zLHgN zH;D?4kzo;rYdZfWcDQ$*-KV_G+D$;76Cp!|Gu2PyCACSWD9t2q?(pY11zw2t1ye$3Es(6UgUK8m3Vhz!kDO43i-7bufuKa)~ zt9}A$neH51=$DYV`WIg=T>3KH9C)|%Z@4|_+O0cJ*3cH`9s{#9mmUqN(w8>$W(o!G z`%IS*dO~R$ZxG>N5`P>IRB(XaKMcdg98*keUg4GN_$QBb~pfeZvz8^tK zHV@dk$PfSZ-r;OdkLuxA9MVF|)~@Vz;fa4&rwFnydv*$>yf1Fs?@_mT$hZfoqoqCb;jHbJy|CT2r=~dE^Us*`XCa)zFwmWHJ3x@FtNu1NUuw zZ{JL?tr@yM(RpzI$SuEI$owmF==k}vqswpb0 zTeSytwL5*HQ zA?>0tMHq0JIWcI2hfm~WA12{kwaIWn@~^+a5R>0s#PZ#8FQn==XMzh7l}Q1e^YigP zn!W(xG(lua0Gf_ znDsU*6&(f5oS_DS0uWeI;!0SvYx^ZI*6fza#2V283=K4e&YPXoC1t}-pXT4=p5Qf0 z(ZL|h9O#IGRtJQTArhI2^gfb{8|gZV9*)`2`7Eh^wiTccN_lbr`zLhSA;a@%<>?pr zWKmD3g>Z`^@5q1udQ2wIDM^c||D0qlA?uTcC!~O%x8T*li6~MCce@6zRj}E6l*qBs z31~kA{vv&-2{wCfJeI>oO&pwmIXyx|rU28Jf@yGQ2gyy=nQdV>1AoTtgb=y8c>!Me zpoj5QY>5IV`*KW4>V=#m3jS%thpC^a+q$SRo;EeWTI6JS^B_{#7B&C`(PZ<6kX|0ux(mVd=9IpP{LZ_K{L|<2+c1>3T3n~} zMU*Z?$X0<_`ZG$L^xkcP=c;lpsbWil(V3O^W2f74taZT2Yl$B$0t!&flYq)Ed=LCd z7s1#vWyE+Fm^_mA3sX?k08l71{~z}DGj(!(vy^Q{u*$1A`F@!mT@*o3Q%Lc43}f?C zN%4$>AzGEid5h=n zPqD*oN|?!6Fh12|K>r;kuczLkt3$|}MeAi~?eUAw2T_t@*H2kO_$kxrUk^th6*Wce2{af9K z!_NHUg*1n3OKiw0AOXP0+^I0xf;nx1=(?zoR5zV>_!VToO=!Cp+V5n9AKpT+Pb?Bf z_TBpepu@+NPmyE#y>r%f_Z*+1Bl|Rc;Y5;xAi49)iM~h!z9S&MvLBdd=)4A#6)pK} z`#b3nX)ZkcQ5?CC@Ue0GlMf~P8h7}`o;%%PEvpx0y7^}xo;|rI>%#0+xcE4v2PbIJ z*(>URXW|Q0ux_g1QJ(Q>{0I>K-`b5{aW`~sFZiZ8?Ifh^AL=16Rxjex8#v%1fb`j- z8lsu@GZgO{!|!TspHmx#C`0o;E;RT3DTU4p%CS2{os znc3T?c(7_+D$y|58)iJ=pR2~4;r%2&! zY*owcdg8g=B^A97dHv<=FA4NYm%d37yM4Wb7oWfNG`m~iPTWqIrx{{yg>+NPE!l|u zb><~-F;M|9WR2aU{#U}raS??tSW+WGr-yB8C5;uNx|ottt2zH|F~7VG&FYP|sp9eR z^g$ip_qQOd_;f&WZ5g29}WfvacC|77_*orHH*EaV0i*ImtoR3iu? z<;ixk6I`rm?^JcLHRmRWq+Uyl`n*XsIdWwvN%U?I6l~t;)S8`k?ydV#Q%-j;4MX_d z7G2>(;~Fo!RujEJG_t`vh=xGbj0bn1YbVNQNXymB_|+Q)4k$#hy8AIJYq@Ri{m*og z{DmUYKXA@}`zG^JE=4m|6JtR_6z%53!>`Yro&*h-k{Y%A@kAcdFw4b1B3bXO8ZfHX zhyM`hbPDfQDTJmi50vHwHW*?cn*`lsyBJ{RY0KuHo%Vwv7*o*sKbXRiHTY?0Jz10X z_M;S|lc&2?F7uGi+nkT_c9vO^eZ({N*tFu${(opkq!aJQXa-B#_Jr8;j8!Y;*G*D8 zF%0(*W5ce>5|0 zk2Gz3|8ergYYgM)F$;msk5%e?_-7c`Nj3GsOEcCO1B>`1eZDFjg%9B2Qdq zzq0sgPQu(fGB%(->Jm1E@%uHaezg;R+&ajpC!W;fr#&-<2;(P>P14{(jG$TGkspGy zfLmixPZ*8SV%Gx}W)OzrGw_p*5MlBW>eMfD;bxXcg(_eBfOo8~o=L4oTUT6S^05v> z>BC0w?Hsi^X)`lzShDPl2|*;#Ix)=z?)8aFnyATOKL)a!8$k^dVyVWth|fWLMo_#6 zNcy^?kFVH|zQaZJP-MJf|7#;YGiduc zlh{dl(-}88M1rw=4E(9rkPJc^{61aaeMc7iW!A{bljs<H-75U%)CE(vg$_Yg4BTk$RLTUx@l9V0al z?^S!ZGOi+>z(QU@D|K;xX^U9z)oFkWKKTq5)zmDC@Q1jkr%&u0!0}eh86=pMxwP30 zB%lk9jqsklvB25LqA{dff%9tL$%8-+`L!O$&M1l~KovdHb<2N&NEf|_CrSV5f$1AB zDQbFUq0BjC_D%S(m^+v!`)afYZ9z&Y`U~UnfKK7tt_~0)KlM>NtxCtTkUVMezSzI0 zy-RGN3-(iVQ+cpqSoTyLk!pEL;?;Sn5gl`^-~JsKwjqMgd5%Yz$6@{_^OqxEwqI`m zy(G^kyMscs3da@|*%*rG4CXRhc^t{7nMi zyhY@DSwU^*b_{ttKj8E=dxV88T&S?~Pw6Fu2*m|YLSO$fgHd1;alGV+@e8@;yuj7% z9^6T=b=s~;&pD{LZ8uto)Xp^-PQqdBmByy(-%3@;Tvz)3(Myg5PgB+l(B63R@0=^-FX9?xgT{2H|4r?D%ukwqJ=CFv9#s&-KD%M`B>uo z&m{Y|z^cu2>)TfRCadR)?w@YSv5``_-K1%UN3J2E^Ia@}aJ|)lcz)Ym|6;xFE{3n} z;iC4BlwrN+SFKUUpwXbQBzv7iUT+mY+BLzr!S%p01c}U0?73r?7YF1fQF?W`6v-_a zjE1I0@V+zoNZ}p~oMd6{sx#4q=Ip`D6_V7OV$)yZ{u_;Yc3M%hI*QxM^Ip`_txV%{ z%Xr(^&kOI6&CQ(#P!5y4vm>1Rp7RzU+00pVE9b~FUr~qs7K%b?aQK?(Jdtp+BdzIu>sGz zny&-U#_xrJA!iqEw(wl)g1&tL(*^`415T3k@V}0h|3v8lj}wanj1iEH8K*O9un53K zugy<=K?ySlVi};rb|0-rK?NqL6|qhU5P#^dXYe$bS<}`pYB#t+%Q+EZfHJ|RkE;Wg zR`Y}b(aVUt_pyg|AG|ud*3252)A*#`;m2u8DWB&p`?@c=Ig%S^+jqH<)!M8CT_K%p zB*Q;&eZQ8$YC6{Ch|R|@*~VnWW{&do5+nib#N?Sy<{?{W{1zlyvBtqd`5#~lF8`=o z%C&4Cav(eij-Af%X>F9e47*|0fpA}vXvj}iZ7vScNOdk&^En+Pcm0Ye66R9n(Bh@G zs$F2E$yGj_`iNj4_?CrpwCF(llEykir=A1F7>RS95!VV#ou3z2$^QB)U(%BO3RqR$ z2C~YA2afW@5ZMp@r2;CuRn7JwK^SCWp!bTe?JE}tFP zf2#@5I2verG87BDOF_A}i4SgyCJ^9)i4qSkr*605L7QHV4$qs+?OPN*{N*)V?>XrZ zU2|S_Y>dDPr?Ts=wJ`gSR?GUse+xn<`GYz^&bya91|{^fZLSnR2QBL9pv6jSjPUA> zXHs5jRuZy_z2Z-1&HN42eqdRbs$gH5_N9H<4xGHpdonf4CR)7=j|~MZm0mAl-yUMqHWqte^LKI zG)4!%rpxu&bSzW}nCA=bPvV~(%?wZzZ3Di|#-m1kB@UEZBuP+tzFZgKsIbn;7vN<{ z93J3e2@$liBD*z_LYi-$c7v{3(m6qF}AA(l#tF-3cnd-CcJXz6Ow%>YgxS&KbwLle$0?R@dSaL1MH=B z+QEXv24#D8pIXH!FUfJr?dxf$AcnFfeu_KVXzVwk|0;U@U{e=5pEek}wY?jm9RPvX zhT>GZ*J@cwc8t*;Q2D-wD z>TP;wWzStMeUu^(Y$+W~Q2{QLuBMy>DwH~=){$Zaad{(d7Nrn3ict21GbW0%cCV2* zZCf4DfoB~~JS;Ew3up(0JuINt+G~)NuL}JSZ_oyEz(#w zy9t29UR6N#uk?hp(7wR zwQkNcO?mBU=@&{qj;&%ca49kv(d_Q3vkyDz{m04H?gTW<3{=u17$dBWEwIj=DyK!g zvyZObS8wLmZW|`}AWoot>vYw3ENQ{8?qe%^!0Qg+f-R1)ZkM&N(; zJ9dBWvWyY;b!0ZY#pz3Yj@*Ll_f7+oWaw96SP{=g5TLv9JMlTt15_b&lPSX>$0JRD zmjG@&!ZgI5`VEw)U(o2+JoV!@@9mWVp#@QsZG9(qd@6Mna>zE3xEzxLrD1jz_1B%I; z27E)0J%M<#kOVMqld?az#=Qt77X^bIEeO_g#71>$9=2y?e;tJ((X6|}n!yWt)q_qm z8`PQ(w9qV=3($H+Eb#EV5B=NA=q1X;IMXzJqsKR|Mv)3FV^11^22Wn zo#htHNO}rHk6w?L_!XD~f%I>h_Xq9?vPY_q#>v7+71N5&BwKDZh_DnUT^XF6xmY|2 zi3&a?Z$0`M@nK3qJ`GPmqbhDbO=GeJpGQkf7%vH?HS!BrjOHxe0#)&R{D8w_wp`d_V`MC~3(1qGO&pQ^^IqU3b)muDe7+N50+n3PRwrz7#>tso zY`N+>#jfX>eE|B0C{ZmV*$Ga|5A5e4feu}K#AiN3bLu&_fcWcRxhaK(5bb+`dw=6( zMV>a|m-%H;&l_grN^si}v4Xqz*Z50G^NE!+nWPa<_VCMuLI;QI{naR|aoll2@l z=6-xRJ*W|V`Kz;A>ecE~N(;HFB%5Dp4@#5-oh->pRdJERaZUxDvgT3el+5>!o%1kv zBDy_RO*JHHXuME*i7+5oOLhPvcQ^~TQbZ&`QMqids0EsMz;)QAAKM&zdTpkRc`Wf5 z%gj{7TY6Bsh%CR#Il(yv?EIdLFuy=3@YM=!lX$LtY&G%0du;wnr;f2#N!&X)e7dZ#LWOI9BQ>ULS6vC+tQS5@Wy5ED}T?RShar`2S zE)@RKWa_Gs@TW%l$yOI1T~2nsZo6Q`bNa1olQ+}xYF@~=P-NSOlkMsub|*EFZEZ&t znO^{@Vw62^lJ_QT2kFgqd-4h|3ir`=SmP{hl{Zup>Zjq;`#DIY**S<-f@&bWBvQ8A zw$d~6amDE|t6Bmw#)m6@OJ%{zbtoU(onh+OI<6~hP4%w8+k4+Mkc>m4D-1O9m?HD#OT?cqq`8F%UlYD=#k4nU97-qe-Hi5hDs zPZAskH%*8M_*|KWf%w30g)P$pdRg3?;RjCABiyiZHLJ{^QVlo5S8KBzx8z=&$~QZv z6kMJ@3QZ%vS1ppSAG(uqePoUgm^{&Ba8P3T=I zOT^??Qh@3BE`ZNBh`eb@pvgYZ`IgN7C{RYv;EdnW5Y-_anJG$^W6qt(!E`}`Vu!s2 zPxBVU?Ct*D4!ut^&UTggo%7zULnR17vM}aOm%mYy-8r)>=va`Ni~t?`PIxmVhGE%? zos9=-o08tqED2XHTq`wgCh*m z3S5PuyW3V^M7!lXx)QNrKN4chPn}!9nY)65)$Pt{m@{n%TEWPQ3z3rTCJLmP1`1qA z(AqOw(>a54l8r|4wmP$oSWq@LXflD2Q(hnG5iC!PPDKRm`Vjuixez^WTskTz0vP*t zI8IjhlmEOn#5EOTvM`~x4cpGxXS*0F7;_r&&e+7CNYP(*pbID5EX_VBk>5(G47m%v z;z51iv}Y)&@U&pc&iuL`OX9MTHp7z=VGf)ooxqNp0nn~@o_;an_RR%=f(GrdL`m$K zKXGK&JQ(77I)8Ob(2y~e0lNAF#AV(MO94~? z(==UH3FeUMk{tgm=L0RGBs!#V1>u49Sw&E;Z>(3eR8`aSTS=*0c4`?rhAZlte&rvh zkb~NqPtMDkNAOu)+|SjGJ*;oL8^g0tQ&@j?M^=tK*Yr=*bHb0Q8AJ$w`kjfec7qmJ_Zl!htdnw6-mluMS9w5InDusOhJuTD@&7Z?7Ynz4H`XP(~Ai zklmy`x4YQ>YBLd@ptBR;&WLk?=BJYu!&6QvLU2D$D!pmDqVp~o1T*k9FYDEPucBE0 zSO3Y5`RQyeICcB!h z0=&_0-UKvQc{P*}LArJ3@$I;|U{H-q@m|F7NY>Qg_9Mz=i6xf$ZC&5bSW!OH4hr-h z_jcvEZin*;tn17@a=L^o5#^RI8{EjZMw({*+zMYqudq>ET^KTkNJvRK@?!wLRzE8R zt%fKZm2wQ<4(tS7Chd7HJ{!h2jFU3G&IO)1;z86AOnZh4m6SzJu_&i{g_xD|<>i5* zwn#|KUx=-1TRU6X|7brb)aiIsYI(}GI)70f@DMu*PB;eb z>X>F$L!Tq z%FK?Cx3=$UI8kMGR|p6oUBwgQeXPZNoeDzxWASlu)N*tG2RF%8Anxd1@)dIjLa~FF zp|#FjUdfK)?F$Y2W(^XBq%79j#eGqmY@;6b`HTB0ZJO5+xm$YL#s-o#-@^>hPht%J z5*3jygLCGB|0YNUQWZ5h2987Zy&FN_bq``dXD72SWIww!R$m4!%={0r`G$*+eYZCk zp2ZH#+MeUt_HBH;FU=P!rDb(F20`~%QRJ`#_f?^88dhBzwoGmr$x2}XVc5A`zToLu z-~3UWJmB-gg+*iv-}nJ4{FD18Jw836PBsi{Pw&oboXxP(l_6i>*{DJ->k>F0Lj@Qy zyJShh_qt=-8{vtZhW5+(w{N%*D;_;d;d@rgZca>XIir~{M?F?wvwOJ?S{3&l9th<@ zEo@!fy>Y|p;`@Mgl31C&Q5ORA#5ig9vKvhZSP{om!)Lc4=A@}2)!?>gw#Om?^G*a* z)?cXFcSLIlqy`uO=J_2rgX-#Hc=N-M3w%0A^67nOtp6Ui#>dzy*e|VM;?`ve_1JD7 z|7=kX0WIM8CFDuKqd(eER0QMod(E#D+2VGmkw-iNX8=p32(keHB|c*xYT~ zKJ6i+HZBa&NxyT+A?k0nfu88!P-;p_GKp7U1_45=jgd8Cpqe|ffee@jn%vJ#vEqpM z`K!@zw02qo7ErAq3X)~)4gq?HfS_bh?}Zj9WC{e0fL(_pe0d^}*HOH_d=cpD`~tp$ z5kjQv!Wds{gvfPKF<xc7i3Sa~V;ewk&7@=Xf@TOCYh|{>p zrYOdlXk1KFEF&%+m(Y~RIGcn!*F<0tlW{3csf_a%aA{5HjEqbisfoOzSD#l%EDq&o{f-7yh%D8qNccZC{aq|}LcGDfk-FvwEO{8)Ly#iO+ z^ng+I5cjC5no(1Wt8049sDFZc+SI^kY{ETjdd_HW!L>HEF!7&<+xS1m?Q}Xx;<_bu>tj%tWrhk}TY9szr@^`MXCsOA-ZR zw|qZTqer_1UW_*nLo2v-%kJLQ{EuCe&q;RO`Xq$ZHIsq@u=ylodUWJO0(ghB!qyD< z(B%QBHLj|vsQfgeuRKeczHT>+jPtlceV(}H`_E9sjBr$_s^1ZqEe>!R2Y8Q>1wvO> zaG0N5%h$b!rkR(K(u+537Ho^2pj3nf0lyZ_u9WnRC$9kVZ_%Q$;NpJa^W)2EKVFid z8o6>3g7%XTBI(-W7HRv-^{>4SNN#0-|2(VPhHJ-~&Hl>cz9w;X)$Py+jTitJet{*= zty>kaj!YwTmQ>FhUalPOfuHab8KP8e3ckEfMf-rlS7rP#t_4?6HSn94p{Q+Q1zsxA zRc^W()iVFA5-@vR#2$lPdzo)!&vn^@z+}agxwV%`jij{&j#(dI{P23F8`bDr;YmeM zj@30ac1z8=`Z2F#g*@XHs+R?PCTm&$W{eZ9X$8Svmn%xxE2aDHvON@No{dD}^zmEu z0n=FOD`9v8n5M1wZ3+`*l_mjU= zC}^dTpoSrAyl6?68}%el<(sO`tTzD|^=|9V1uKW5N=RH#aC8qDt2-z50tl?uEOLvL zsq~Mn>{fxx$q`Ji!D3S~VeQiYANOZvzevwLY05bZ5prjwq!{x8EodfAZ!Bk>Q;+Cw4 zbN`MPS8kIK%!Dy(MW5~px;&mt>3$$92hWwuBfNlPBU zcRm!5e*OZ06d~tze~6w6L**rR!7Q+yZxLBLe^P4`q8tWGwGdwVK=cmMXx;%!O#?Yxk!Tt!J$C4j{^ z>osWQ_UFGd&@NEi0^--y?KuUKePOHVJ;Dm80j$_w*4>NfJ>^v{@iGP?k27Cz-tlpmxWe%oj@KDS}@ViL?DObb!o#cTCa zu(-tJcM;eQ7DwR~!1KTkzwvoU+Zb*RL}o6g3+03SERa5*jTGM8bP4Ez3SBkyJ~5HSG03! z?)$y^GlpiEq+D<3)gFFtgZ5JJ#KnkK>Q5O)83devZ<*^*-wl>Wjp_ z-Ph-QpcQ>>ej}u5jQKlA+ZKC8FPNz6|8R2O|1nQ3O0PPIv^-2+dUvhb&lLMC@MK}Z zw9{hW`NFV{0$kau9T)wnDviBK{7s?%IBy*(pAt> zCU2kf;u(>YNIz^2FbH{#dMiHrp$&pyCZPR!Vv@C}&}F?r$~NmhEnx74hbJYUCdCY1 zem)hojgC^ER^qvWD8C#t&IO>uYh9ku0IgmS>iiD15b>w1gb)uUKxgR6yzNus7YO_UFPnfD6#hIntgQ`%G7$xKQ2e2Etut8}|HgxvK;z zQhPq6Emga)6(;vwnIM2h#o=1dW8t#SMn2xY&y1acuCY2^iC~NZ9|%x&8xM-j67+-D zXEcKJe`*)YC_5{Q#5fp71kU*|G|{`S%Hdi6z{4V(+$}%cXXh-tncbuO zWadSlyJIX5u=21!&k-%qgm5+w!vN7kK!|PvUtHv6s0t88a+`RhhafpP;c~t+V_QA; z_AUIh7RV!HR1x!Di6K@(*dTua_jX0KG|EiBPy~wzSXfZT80(HzKlj=XRzN zC|U{a$n7cYS_|}#z#kAN^tF1{k^q>mA`VP!8T62ubQKr^0!7>w<|aP{@_*|BM1v{U zo7DKyXL=C`P>k5vFOrgEmoBg|Ko>ag3n_R{Ui@i1%m(g`zROvHV$VSN16H*Vc_)jV zpDn{GdS^N;uLTDRwQ{rmg#*rf~YF{1z6lZfWXk3?3;T6lP>J2jkkpIglyXF}OAoAo2sG9QLd?JxkLh z4r-I|4eoxl3T2<5u*KPGJ*aj3BKbBoRRvzHs?1?u`KU}`c}{&9fYn8VYDVEHVaovI zS%4JM1F6}9cC%uU$Qz@s7sxG#P(U2Ss`P>aVe(&a!A7?a&HfWl^PcS)TPq0D~cqU@Pt^5i=uY;WK;}q zc9zWJOf*y=I5iXDBB552V(l(-umIX^jW!J`w=KKGNA4C@O1rZB9jp>H1B`{}Idmd& z9HF)+L>D-$M8HbwFMvq%LpSH;f?A0Bw(WDX6}w`->Qb$WbMm}by^54pKB@7GMwOKM z)GuHQtp)3XNEcyOi)NZ0t8}uWOH1U<$^fZ+P;p4D1UIYtal8@H z%c3fXjC`{5xNrx+g|)Q3-v)vGYBewYUMf#=!X_(H*OjuS8|lj_^a-fnN|{<~1|A5p z&o*x32f<#{uI5Ehb!|P}|73%^-5La061DX@*3w1RO;vz6swmWX(T_*+oJQmqQgW1( zclN`4Tg7!&(NLtVj1blTnp$Dju>)QC^J%c{>3b4eb&K-wPK>5G7cT2%Pz88SBK z5+Fr_xv&CoM>(LAcWpA1>ePM zWy8qm@gG)sS2UubB;){yX)3un3)xzNw0#HLdwR76c`qkN0B1CH9RY`W?AepId6wuM z)6lQ<`q@WslGg(>>7OAv-@#_#1=hn$6wDttuv^^X=OJN%8PazOXEUx442g5@MHNF@ z2Ke|}gUgGZPrsgB=6;+1v0`122Z^sQ{!x!;o#ff!?yeN{);ekV^EPzwBY)SXW4DXI zUMNRyZSiE=NP$q3wdgtAsn)a&g?`)Gn{q6%6*sBItq%h7D6;(D=uDh`$dcyO&saGv zR+KR3Rza^jiGP$5y*0YfXrXXZ9?&W|Y8SLIAv*CKXb;~6k9=(my@?TsVgg|L01=Cv zI1g)>j)2FjaTU9T;qla7+})PsWk@}1@iPFBBQf27LigrE%cRk)N^Z|mvKKkzu4GbX ztm1D^V?u>JH-rAPa+Ym}^qdP@0M8t8SqTqOF^HAK>Ppr`J=x4^;ri#y$qCRpVJcvw zzM$51-r3TdH>CCR=OAe1i(IFc40y~Tbz#dJ>_{%?GT80ng@^^Y+@F}E5K5}ocYJt~ zUPhR*)5Y0vbLmp{4Fw_f^Wh6<^wHnB=^qz9i)~bhESE}`DUjgG;bAKkte>gU;h0t2 zN1#hr_QAkYyYdXc05`3{Z(fXBYb5B@*ovK@{^i$8828@xfZ99=+wp*LDM-4yV!KL2 zzU^_p;)x)E*HPtu=QikGursU2jZVulIc{*W`d-MF?s(L%b?~DFr!<>`GiIqZWd>i`_Qv{fw?SXpXyQ;tMehQ%zN;GWTu zPEnHKo_BmXe?B*;?mWJ3@Z@<>qbuhtR$!Gq&xmJQh42<}rRjoi!xR{4Cr)0wLewFq z%E<($TI=W#d49qLucYd%rO2OrbtMIL4sr=eVj?~)( z$(N&K%YP#VxP)hdK#^O!7m*s?B$3-%n*wN)B0ALPK<+^i-6ijkQj8!Z%Vh8X+;ZRk zU{Db_sr|c-s)F}-h0P7Fi+=?@KlYBFo4rFfT=3RB55wws^ZUs?yYe&Kvxz0H5qDcm z+p$;u2Up^+w+}w8vpHF=j~(~kC_d4B4%yE+8m9Fm^L+Y*!bK+~V zn=hWSy452QQJekrXPk1TKtN{wf0RNM5UXQ8?TId+q5EE%c!Jl4};&JRNBy&q>|-JmSn56hf+c%^=Xn&M3U~$|L>pgbDs0O=RN0)A}Nl= zRHZ1pH5@rTSG)JM{_7`xeN9K!1atJaT zlSS_2JlmM0vmfxNNR!p{k<8L*_jd<*=$ztyZAQybS8V|P*Wjw4Mg&7X{8RRs3fMki zgWJN3U-tE3=)uF8J$$}vkRM-9Ynh+_wfE$e@BNA1#CX0tbJM{dn_Du&-vQLH_K{!o z3~Lc~somG2ICx7nBkhTg>lH5I`ok|2Hy&uo;9F!bXU5|u=!&>m@37i3(!SFAz((P6 z(DhNaPOClTrW-KN$3QH~o+aI$&jWGu$w=Fu63 z4vctI_^JnDVsd5LQP*)4{i@%@#~a?$@es5D-q9bZZ_?eP^PZV+zBolk=y^^_YDJDD+g0zy&O0#i zGUd8G@qGLD@jMo;!Cr{tnO5~Y9Kp?edGc5JPIu@)nlMJQ`-=`r59@~a@R~KQ`vK&%`eoOax#d`o)N#$DzK1F1q86G{A@guUesto% zSkzVQ718!luUAscD|6tH7u9jC{(|x5dgm=UDfVLDBWazpscZ)^IqAfJbD)+zFhCMAsQiPsd!UOe<0S~Qm-l505*{a)#O4To z)BGd({6%Jp8JhXjL&s0|r663bz*BSzzburSu&Uyzp7vcT0EuU545OWgI^tddHm5N@ z@iV2Pf-k)sG1ecw#sy}oo0a1Phkbtr30X7K=tlB}j6Wdl=(`LJGBv+2t7^jIoI!aCiaMoik2crG;gpU6lWTqJ^naxWre189Uf0v>{q7x{9C(i zBjh~2_M0qe?_{#Ft?!v}kk_YNPK15U(H>ZGM`A>Wl9}MdwTJj8S%Lnwjw9J?v_4$C zKtcF#r>i|4X-D3%bj9%=_p(})b#70^75oQ46bB;*9lKlY(T9LbjiIU2Q${%^nWuP; zriq(u-+u$F*qdf#xK#SqnLV{DC}aBg`QiQ1M6++?N9lg+;^76XlJ$!W=Sx=$@>sFC z(KEA+J1OsD&6plTn@X21qA}MQnm40t+vF$^*6ityox7vsu*4)=twd+O&88OFHoI}# zBmwUF2k%+qmDYh41tdnlYhT;3SHabKEn`CAIaQcM*4$MM$DxWoKMZ0UjnQ2uXeg_8 zV@dr%9BmeKUUm=?h zz-(!!c+PSV)b_yC{#=koc1%uyNcbU<_E_`VgJz0DoQ(E79CYx{4i7|BDnLg$e}71` z2mJwdKLj7zu@JS#O}wG}z;x7%Rk)*gZYJ=s6|c2>?d;k-S7$jc22{=vRiCo;&5uz( z_5=vi6H^Da1-2NQ>0&Ee4=0tyQ;BH1ol~fZrL3v6PuTJ(H=-xf`FYB zHw8M0tI*}=zfWy_+4tA&?U+ZTWEytoO5AIjSub{vF=(rNz0U8tg?B z9m$P$vWI?qtv@c|m;6@!dTK&2xi(35?ViH?OEmcHCALVya682Yh%Eaw5Mk<>TQXEO zaCyH*9o&8ASxM)V_)_QzrwI~u88e+$u|$2dkhQ-!4^)|h2;KKuvBeDDt;VkqtpJNE z51kqP!1eiq9x#gic~bcyr^6%y^Y*Zfjo)DhF*42d*$l ziT7`zU8Fu=q3upic^YYP3wO4e1KvDa{MkYUPI?|5x0iO56BMs2?=-Q`+@C&eD zy4i&UuF*VLIF0FHiZVuprEbu+A`N1R&qjndQik>DHcme>3J17%$bHTopOEsxQnitkA9nz787h3YiQd1kFj$gj zSbx%DIc>q$(Z!7~xo+&%b!~dBk?c zWLmyej5>o}y*T5VQWZhzI_A`~BOR$$_>zEJypWspbe^yJU=dn0h--!5E^e&E!={UO z9AbO6sav!)%jw~yql4G{i#bgfMer4C;&dLLlPUcnZO0L8wPNXLV)638P_FXj5W>gJ zU8IsA6Z|LoPrpYaT>NzYVoGhEhDyIb?r0aA=a)--d8$bAb|-4@!{GnMLto6C2-i?r zSSueCmFMRfxN$ja4hP-gyIxk~1A=8h-I4&q=NlF^Omkyrdy#FD(>GAguK9@z+s$BJ zTj*MW!5p_OeL~vK1j$5}Y)@R0QU*1e1EnQ3mQoZg!;+J8soG4A_tH$N(ls|-k;1{o zQn~l6u<@8Q@&2Kbnq+0FFG&BNgnPNaLw%4oM`cc?8#^OUWBzov38w54l!~J;XGxGs^l87tqoprNKX0 zY~8qULpJBz{_j4Y&Sem$jyW&ZzibH!7E1%DpMfh&E~utHkoK9q_ktoOUBK=2LL;#| z3?L^(kPqBri_fM*l#4$`jnH{wR~y*`Rl^kcK~d}7rg=zbpf+Lq@yTr9E@`$rcap0v zB=&S)hGaEiuBk=Z)Ax=JwbSs(Z8~s?o5g-p#6>XzorE5NdIT1g=T}*sI7c|) zbc?npHPxqX&kT3k>+hZnGqVt$I9yfwb@uUB1=`Zg1(&KYzd++9_}76i{>ayFdS8uY z2lQ)2loWaknYCT!bo_9}$BYu*-@Hc$cunFi62bl8ULECZibaJBlHP;KOIc%oOAWLU z)N6c4H{Hk(8S9%tp_r;(l~OG|p$-8paDaE!prL;WH@$6Eh;ni$ydcD-++?3px9Z3%2z6iGZQzw z>t|1WpzQn6?41|9MaK1J-?})nUN=`(<=r3baQZF|?7yn~zU%00YQ}W&vQ){FP^PhI zy}TG2-#7BkzVzwL(a}pmt*{ow^@Ku25V!H(lXIqoy}OW6CGl_RYC(kMT$h56jJWHZ zj+E;EaEpL6T#!)zq+uhM)23%cNj|NkBMSh!PX78$4M_IBT>72HB7;k1tk3fzC3kB5 z%*nYO18-mE22>O;o?k{H$fWYqAnMS)xB}VP;XvibZWz?c;r74*c!OTc;VIfPqLiqL zeO3Mxc|#OfZ;T(EXVeR-OLxTLQPj>UAs)XYm;m`EukpQ+nB76;Mh~Bp@8gPuN$U$P zfjkKVd`}-a+#oPQB3*+11ksxkCIj?B3q`W55n^m&YG!U>Y4yiY&lWFm06;bWN;{Mu zb3(6=Dg=bWsS!xj{^;1ac$x;n1_BQs4CjO)i3z&;27op&K?MNW9nE@-9-ZBWaLe|& z1(8*q zrdx}8czCkMELZ?NaGKl>`Bir!obJ0HM+{g80dAyXz6$ zuXrjPD54VN*FXROB;(uAq#J{jc&PO`@FqkYdYXE`H0ecxdt8j^{uhz%;fGA&sXTED zeXB2!R)c4ag-MK3gHg>+TOF@+ki4nvD`5*E{}+&%ySIm_`-|rw zhkHWeg%EcB3_=|jTSEaT5l3jdRzt-d&9+19Zm-U_Mm0tzB!^-X`#)e#;svnSO#&8; z0isbBkvP?lSUfmZ2i7S-0P2y8+!%J>V`6a^)ew}=Ne1lyN^2S#YJF8BC*fex$;+wj zf1t*6_>40y8<~I+;O!C)?u4s@c79AM28~QIFqRL|7F2Ef9jkJQm`R^4LVWTDCN8B1 zeJ9gk{jRylVx$9RjzVW%RrC$OgrvGXjuB~3#KiRxAVJbcNd?h#DS~8{sBpe82Op#% z@&+Ia!SV!M0LT~a&t3yh9$HjX+EqY+?T(RJoxywZf2WCZfb>+jq=s70KO`V+0$5Pj zx9_;MgvAxNiV0<@3RHx5QX;8;2|9qFjPx(2hR?f@H4H!mg@9#Y(K1QOYY9Pfnxza7 zobmR51c@p)piU@PDFSCMB1ptW<-AUE12wLRYW89P)lX9*YI0$1Us1x=@EJ9)aJ($u zcTJcCj1Wo6A^q>F0TDx|+_twNI7)@=Dgy6(9hsY z5v`eb)ZnO}>STG$I_$uz(Zs@Pu0|vh#S+=4M4%DP)ucbjNC|xpKnu>x$xz=%fb0KA zCMnhLtz4JTrHJalLgqkFthN?%G8B~j+(ibrmQ4f*7CM3wZw0{FJ{Ryf*iKp1C;zDE z+bM#_%fShh`3_Ww<4?8EM-0fSD%!|7DV0iaL`D$_fMt&h$q~!Ibmq44Ox*c8B!@`Q z2|hYnmgHX~Wp%3fNmH`vss#&FtrI`nXKf_M(ojcaH8be}?yCE{O!E4Yi4sI4*)jm5hQ7SmXjp##G+4 yDS4b=@5k%E*FVq4>+!r=XP~cVpcIc_M@SF=0ieJwX%L*3{5SmnDg66| zfnVsBxWKmePiX5(4;rW?{ct!?#)R_)%Q|*`-`&=wCW>QI7tTMe6%i3ZC?j#NU;tx3 z_0ZrU?;sUn0Rh4I!}s@lMK|w*I6@?z0D689KmhcLBH{H7@dnF!`o>6*VrF4rY++;u zY?{F5W-xcq*QlN={CmCZ;-(ctm_;416+9AcDAu9FfSw z#~x2oo{p0}HW5LfNd7d6B9kI`k%=)XUSL{GO6qZ9QY3LqJ#Qr?X>?=kSbSDOQxX8B7H|mZZ;<^U)E4FjzlIQsQk>Wp zyCF`Iz)$tzVC_zaXy3iTW-M?G4tzs_LB7odi82b4L4@@oK2D;blAaZ3%O=6a)HXHI>6e zY?fJ2pghy|p79Y3}~i6a3iF+L-0c2SypER>i@CJNTL<>;{Vd7Zql$ zkY&xeElHx!Xs>>RjHmhtim|Hxxz^LVsXfOCXA& zN1-`y(gHS!qBL&Fvk8g6WzHM)G)i~bga%!5i=K_pk`}X{Z*nl~09T)^4<9feCbv9M zZI_2>A-(C7$g7$({xIE{M-N!*v!;iALC`%JNeXC1ul$&d7uDqfvSwQ}&nfFD#3@Bf zNNwGrD73{Fh&4sv$FFTDW4E|K>(m7b?D|2^v4eXcTGUc6MhzIFo+uFgm>_ zOr+ldDVRnJgo^1*FMp(s=`~<^Z`X8}`&L@U^xI`h-q6TUereik8oYUET1TXMb~9|O zN3paqKumhlxy0^>$WLQBoPOvz^mvF&-(6($X<0;p0R;v0L;BHvnB8r0%U;ge=xpVZ z{=>itXH5HGU@=Uis0(f-1&S3rLh^c{1dI~{(FetzBL6rV-dPwonZvLTnL;Q1#*|1a zzlWi|S&z{^S0$L`8o$Ua=!Jn31t1xbmpzu~V__r$!}m{isdYrDUh5iyd#k*QkaWxq z@1oE}3nrh7w-AaY_(e!gA%hC-O3Wx2Arm@D#*asQcVS9o5l^UP)=6Tl!(%E&`TF(Ys2O@f4T84Oy`>j?YD#Vr|tJ%Yda`Nnp^X&bBZ6D!uF>Jwdr~1 zRZ%kcX0vnnZPX5}vO`B?1#(38@XtLuAA|_~-q%$&(Tk8OH?5s=&~X0&@sM2{0s01~ z0oaBW^Cc~8MZ0xrADc_d=CVq{7VF=#W}aFGFjFVB>LSzNmYO?hJTQ%EK#Ap|?(!1$ zz)4vBw#BpyCh&I1g$q2m5zbb~ad}no*J)!ix%NXWlXR%WX7F0m1zUt9r0v2Xgdt@4 z1r6Z^p|m1R+exTTR@(K_R@#M!G2h|PMjtpL#9cSnb_v8B!%5%$3R-26W~@R<6Fe&m z(%3<4lVghNx)mi=Ny!;Z?S>U@6>%PLBq+k9YAeAM{(u!a?K-EMYc)fHHS@uNup=({ z>Z3}%T*FcRfSt_PNlER4;N=Yo&!7&YSxOhHlF#n)2L)2Z1yGj%`I;5P3kuZEwbRN{ z54ZLl+!PmRx3f|uKl299i7g4~cU%{^zL~XSBrzs>rf-w2e|?=Z%<&TW<+;YFeR>tN zV`tnd)xK1x&)GVAVaC|Bk^pcZ^!d(T3Ya!& zm69sQvq~b3DjwulaQ=j(F{~P=d|XjtR#_fq`D-d`6ulH zaV20zu}=BVtEM8&=Fh*pq7)z%p@_fQg3R4e^Qk(Mxvgc-8F&)vP#pXyFW@Wdx`RMS zmdaN;pcC-9>1^J9XuyQ4qJ;<==?JwqBbmw;c>Wd1Pm$|7Hl^7RnLtTsv_Nz`A$lzwh%iB)x>X- zhS=jfKVJ{9M|P;34hMVP$+i>h-YihcrIK_b%f$LV@PqPSmd+_$X(RYU?u|23`-klt z-Ci-R=+(mdLGsZb+CQ3F;c(L#PrC0?&C{J|MF%p&%ZJl^s(0!X$C$F0TQb4aA4m(O zQDj(TTy>9pS|5ym_9Z%&DPe0_%_e^VoB3FXOriw2@LE>tBi%aqPPulOHv89hj}uUT zMdBlcqWgN#S~`f-iwf2{=@4k!^7fb=enG4ev$KIaup#Z$#bzdM+rsWd3Dq_8bgP^< zh$7p~J1BMZ`z?%J$XbB3@|`XFqdmYyW!m4K^D1+i%X#i=n_-7TROR}BgMMIKED6vg zWbDDVCkX-$q!fN4pdN)+&zOdi(M^gm3X~vURnYsGV-gU3yeK8(lGD9UJAhwuL{!X( z<;hIP7>jK06p~-mtW!jC>cOT2EHRyEkVGW>B9c>Mj*@^C|AD;aRsHxRg|4;^3kBoidl?GyZPA?8ps9n;1WsR7H*CeX{qMu!cL>H_tY(>Gko z8g9(mhXNr$@K4|{(7Y1_1Of+=8e;-N8c4OV8k2yJ8qnkw40sSf0`5SAn<66zaB&C@ z8oT$jDG{(s{}>JIM_5e&DPt#+8xm^ck0-<(3yHOBNQ`X1ybsU?bU;8G&;nEeDLx

PCqb5 zI`Yfv3pu8B3)O1c$Ux^q{=%gD(5F+YR+HE`uFCeM_M0Olp*))g?;!>nR1$aE-Eoj8 zN=c~ugKxzH`$m_7g8GokO4%VH!H0uB?0I3L*W|`|5fR-_0n+<&P_qwes3g59HOlq7 z5sG_yH-~==57YEM4WE77K|@OAc1;~%#|ynmT!J)jxI0GkN^=x^&5vJ`(PJrT6vLLW zMaZcvhA;%T9CTC|sZj)rO}#9)@h0s^iprZMCYM4oY4(*U#sN(tdLXa(3;=o3v0bOQ z{Q${Ji=u!`3-*!zxcyeA659PyS)@nQ zq%j|vyn?Hkde%$OL%TCV`|)S3v2%zeP0$od(P-X+?qx+w>IvJwV13!K+x=KU>s;G) zk~6_A|6p{$|VWp%fvZ;+Mr>^t>LW*5CeTsf1nifn$l?fh@W5 zlitfzy$4-Z1g^S$*2D@+Ada;(xAtsTQPT(L28CDb$*Y5mG|maGT6{POxg8?7ktnf2 zxwZBWe4DKh=-nmd$$P6?PwWjrL!KdM03HHg6-g|4ki?7mOw}oY-^GJJk<$WO(49f@ zVrX%heAZ}^ZrR2x{3oq(X%nQit$?A>oAk;wBQt?&+m$9;#M8-5sbJf076ZxbvRtaeVB{{H4c}bFP$~W`5_8(eGzUX10)Jc$}khg_O z6JW&2H==n{YwO<<{7})bgx?7;a$I$l_H#tnZ0S0attyxf$j1I=hNedf25{%QT6EV&S?a9;Mgrj@@ra=6 zmKk5p^7eyWg7toeIIpg*M6(`~*{^gA?fn{Uh;_fon$m}Guk7A2|3&&^KD+nmCGk3E z-e94EAWMTga})~DHoI`qE72mR-b@4?vr@4^h+HYBPjAK|DB<+f z%~zUKdYc;B-r?}3G)rTrPV^TPP-)rq?NeN%w`S7QHJorqqaT8IS;Cv{7{@dI7Sfo# zB9V@`@P-@a(qFys9{yH)%4o!$@2s~$?WA#q@vg(@JlY0u%B|sG z$3E^ZQ_nt2#73D!suv9@Q8i4NpW3^I5L*6Cs~YjC8!!Iv3*$9JbhPE*Az{H`f2eMi zh$|%InrDH|lwOA$$JE6r&CXx|@c7pBIx8b`dVx%mbk*Z^}(W-a{S(TQFctCmp1Wmv^jSGs|zo zm@*$ez3>VVT8HFqLXoFgKptOU9DpRiZrQ71_+wMpH8@!|e9sW#-1=mjA|lP?JJ5+A z5P|4Az?$AMWp;^BdXWkYQAkj^ye#2-6LG!tn~FQ_&XAy<_F#zRRC1ndN@aL6 zYzA|OX{PwV7e;7`TYrB@_s%9?pT{;Wmso~6`oDI{k6!U(?8=*5$f^GT3?_5JO>C+r{YutO(;6OT`PYAi|5+4em{~J_&xgY zXolm_icIg}W_0)~{GaEuv%GEySuYMYNjB;G@5rWuT~1Lm#1Vz1-+)2-FKo-s*NcV7 z_c&t$AgAg$&+)aL_~?k+;Yzws8EEViqa!SJZgQW%Xuyl7*WFLL5M-IS>d=V-6*dit zQXn6|Q}%s<0!qc`&m=y+QKH2zt68wgc9 zvT}xn_xTF}7%x^&wxb$`aCjCRDe^oN_Li|)Q z?1f6qY-&l}L|eYh_fSyx8v`Ov5!@Bwh94_+dkr-XadtIXa%PS|CspQQ+=zM0wHN6w zM)sCnE@lF`Ato6Cw6T7{MyA!6isY+#h6UhyHK`3>uzYlZy&Ec?C zf<)I!sO?akxvea`7JX!ByXp@>juml!4NdujNhi za{}WGn6=awQc9zgXwv)sX9&ZNp||Ra`Io;^?q_x$;|Ei!ab^Jr8WEyvhtI?OSA!7C z=~j}@v(>uZ$zW4-5WV_eqn` z3kI-|sgu5iYUb0N1XE7wyH%~I3Sq6adz)~p%#KL43oNqzCrY$-$6AbnS)Nshr7_+& zZLWFA1flg;@JR!i7oKmbH&cYv2|CEwmz7;*qQk3ADCx(ahWroe+L3vdameO|{_JBAl_DR22) zmtU>5w#!8mz#h&H%Q=tV*!MwrE{Bg*dDV=|uj)rQ%aq}X#v%Ak1+Lze0|ghj=T|9m zBG>95i|0rZKB%zn!56Tiv%(8Z(hU_Z=aLi3Oa`Kn-AS9-GdZnl3r;yp6!6?Kri32u z_!s~7#(1zt!1OQVRrA4=$q2DZTWcv-k9)E;p#A0Y&_I~rsEk&UT0oI-(c>Bqz-5#= z*IcL9VfKhCbU9+i&H_df6o<$hqy*hbSEr^w9B`b z`ATD~A+Y?GCH)QzGBE~BI!21a6Jzl^sYG&0RE*fHVsuO@>G(uagh0`ZLrToC9Yjn< zn_NtEDt=HmT&D7sLCxNhDpJgG=SpVE0f)Hdh@@zn7C^7x=%l8W8Y zbM&yQ5KWzoA)SayaK~mPkrGcv*$5BTzA=%N6c+vvzKqMqaCr$1q*(4KoujrmSu4F~#R zcQn%RfSw6HxpQoqlAdxmB0<`Vf!Fsq7xFeO_1x%_h&Pkb(YI3TQy;;W=qH*SBgjb# zIyt{Wfp@(`VdG;2;gds>gh|JwM?>;L^~rx5QvOOz*G}bgr@b3g#8FI z7R&FDT_kVAk92;N2Em@Pb{AMaJI@X zj>t^+u%|S9x{k~Vnrak`mN$tahrcw02j{-mosPDDQBu-dWD@H%6BDr@K1s=t578rJia1Nvdea5J1f8(IJYl}q z@TpL*V%eR9{HnZRy@tL%lgAlz7R=)@(H``r!&Z|CMq8$qhNcA6cjF3UVj||=54U~e zDXJx+Qn?a!s$cZ0BJ8(w+t(70N1s`9BEWZ7%c@E?hy1fGjzz`g%71!=XlF=d5bmVX z<$@@#CDS+;W(J(fpz!g|EMl!W@*JkBL5@Z;=$;H5hi|{Z1;YH!W+G z5K}m`ru|J$Ga~s1L=HI=fcIHBL3T?Oe-KR3!WAbjP-O}N)9;Z}Vq}Yi_o{qP>bk72 z?_K34@9QPxqpp^UKHyac;B0g5gl8(@>(#?O`wm8Hdq7CVM$e6=VjV61Moxww-+!cZ zsE#9TwwzpDdn_TOD?L#zQLj4U<)2v<+5)}L~3p*b;PYYSSa!Xro#SoknOAT@?(vZ zScTJZs-N|4!u{_YD{naCo60VBPq1u!tdP6v(-sps3=`2aa^_TS#f3YadGm{Q&` zqK$Y+us@VE#EXtilel;%V$p~$h8Shgot!0FE z+H7bRuQR*_ZKamJmA8JkrINCT&P2sJa`8D|sl*z&W@I)-0)6-XifFx!;HF?-;TmXa z$40aRC;;%?z}*Rzd7~ywY0p`z173Uk8Y5N$&wpClyCp1RdwaUpl>lE1CDntr?~bA8 z$w%v-IU~V9THz{aWstJuRc|LsaiQH}f%VVqg|;kdm${%QWf2sbBw0=7we7ZDNfEXb zS;-cq!ymCfXXkCfvIT^O8*eQc_B*^m&OTrZ14YaCcwqgj70Rpjro8MG2ze)@q`&RE zg?m;Bf2g$06)fwh?0<#z$}8C#p>>xQ?xnCclyRk(2}ob}f}am9%h#q<1>f(c7lO_p z{jwv-5P|1g%y1=Zj*a4Z|J(;TfkhPqaU@H{KoYs6qK^ymla~`Lm$6)xOw;SA4f1Tl z3H1onz{Ds6H8^`&0&QNh`{|*>R+;rq$Lxd8B=nLHN|8p(+MzSL3PC1&A! zKL|a%dd3agK3(VwJ`=&_%>YFmGyh%o20Ic@&?u(zE0iLr-I${6@CC#3{%6BB299h|e4s2pl~!<(w$eXB#^xVL7Hibs^3 z8AZ+(_bKK5$NTNG`>d!Wgdo4U8#&>O8AG5PO(W9vG@xxquww8264IS%N^xuG5=1=i z`==j5hKwRicMnw#;~BShwMF;80M>gcg*-LJzbH{k5PR099^2Xg0v|nv0-|=PTXkgd z$6_MOB$e7*S-~X@SDYB5^e{w18d&SQV*f{kH33M>$1RmzE=arW{bQdtM1l6}x0nl5 z-1jRTi7nMp?ScC;kh?&nya=W%rO-iIPDKy1^_`(GCYWRuz(w~2dKcA{TgiV`?X}6xAg~1+-r+k_wxr5#%q@&MDK(wyb>k(mg8;Xv!;7Ae{EGmsj%jS9ec}`Sl!UVN%JSmH+9ED;PMPh{h^w2@(5OOTzNj z6o2w4d!=&uy|&$)l6DjCWlY$=0|l-kKWvfW>b%i<#QPET2h-6-T_8Uls>dsu^Mx8G z0b2)g7RYnSzPX;4vG?92jd*}NuH<#;yBkZ_K>6FtBM(fWp#ihF0z=NiR-F##a=ifg z<~HgKLTwF(O=;6La#rcm=R5#Ix-epbl$W2iZfaeL6!`J|6TSVCdvwPm$T_lDU)<5M z6M+Uqy5ru~@ug2%OZEx5vmd{XcI$6VsnToCO0rtgVmHZr5;01tlM~2>#iu~?SG~o zZB7%TlQ>cX9jiiW!;<`TIz5e5 zCLWf1eB!?aP2$nh0&(c)Dy*aI9)9t5-J542=0!V9>ejhb|Cg{cskSe3DXBK~IkgO! z`(umR{QGm}ZOY$aaYw`r^P!RZyV;i^Y@Roi-3}crSXc(q?3|acr@F$vUrM$3evxDb zULc#a4H=XSl6)qqo@ZfzDVF_>2!8=fOTSi`>O_RyOfhXubN`Q^W|@Ce)CbOP%!J+z z{Qw8uZ>LzLSrZX=NR|`=ta_6D%E%oh-{M601_gx?Y*R#=t4X+7z~77t^K0xY&obM( z%ra0i@DTJqX!c=P+kNvc^1hPPMtc}d!lH;ST+i$^CEIqrV@|F^Rr;W5vYBNm7HKV2 zWL_qHSNkj7Zz3u0>?q-iQ~-TM>mwT7)*T;@^yN zjkW#KmfVT5Y(9*#44Fe&eKd-AEMQS*rJd97YiT==F~vv!#B=N*D228s5oDxNzR?PP z$y^(sLUAy~n!~M73JZq$!jbuNXstZKBHx0CIL_Xo69Ef|VpdXc(Sb5ayq5Bf8$ny@ zps4pv1Own&Lo>*z-YPVMEf0ug3IoY9%MTE-Qt)31=Ex1`&EhIefrz6#K|wq)1wd!6 z2m;$()x!j!GgM~it6)D_Kwk9PmnH>S2&I!LHi`nxN7E7Hd2@be+T1mi;$53VWSR_xRiJNOE3EUw^m)*@GVUx>@z;@Y#}>S-N#C=l<$J6~<#T`sI- z>+OrG$SiZNY`#|;M^vk)ciG0i`c)eCQa03AYvc4tY1&IfZBm%vADlq=>Fk$f9Tdb7 zue`r7xO=Y%AJXO?OV4hd+b=gTlzyNhVAuE(>J)yfzs>#A-f4Ab!)%}hje2z;R$W}K zBqJFC+!8M7e{<*-yO;m?uYi;YoBoa*wqjybtgI^kjCZE@DsX1PL<$JjTRfL-yMZm3 z6jiE|kS3%-<8>->sQVL-?DA2gy~r@wCqR+mLFcCthU4=Y8P6;mS}wL#N~@=>I*O74 za@O9PC9B541FGt9uBFAxrERP$Yz6gi#*M6!eZ8HpI|5ND)%OUra|_WfL+l9A#Hc-=~AA?scL0zbAWVg=(!swl1(8`y< zokSON>KwVbEXYM4E+%1P^uTkn@^Tg*YWTSW0vsN+VzF}$<(lhxTzqt`eT;!V5f~uB zJAJ%WVzczt2LEnl)5eKmX!*AG zjBub20?BE3H*i>_Jx733q2CdtCA<;yuF`hvb-*Ty+F6&hNm~M*2bTMFbIP30U?b0? zg4+a0@Zx#&nWIHlQTfpU;O>kV9&WSmC+NR3(Zob~+X%m<^ND0x;ThX&jTkFnp zS6@qr+ZQ`LS*68Mj)qN zzr(;u&;FeR8lpLu^IzPGlNo;hZRY4oNN7GP?FGdYCP*=g)_nGOk9T@I&3VmRHbW@8 zi6CJ`t@t)x{*j>;xJPf73{_*6s*aq>m3&-^k<1pP`%?`DhNT%@$!RXIpPvobyz>_nKNaKSx+rk zgZ#w{eiWAV;vqWGubp*$I*fZgT$_qCPQ*Ib$Q@%fEgIH&a_07BXZJ%t|4a>NKj>yt>EI}Q8(!))vR$%Kg$@rmzX>(_E#b? zT?N*($M>pc*wfMgtdTfOcU=TGN#4d#wwoz9xNj@brzC)%X6mW;=n^3~%WmJ_BEU<^ zLog7GAVm=gc!zyo9kjG)aEdQENyq)M;J3xBsqhsZ#E?$)8T9IP_BRnhtyL zekUarleKyH14&W4Kz(yFGaUmxo}Pj3UVxAHJaq6Neo`S-D>5lZs1uU+6`1fOQZUn4 zBKfw%u0egVsU<)djlTbr+sTNO-pK0~rGA^9peePlYm_JzBgO@$#D>b6yuL|gpGVL~ zhZo;LV45a-?q!qr(?y`tRvlU!{g%Ti!UVbI?@hvQ76>i7CCBI!kuMDh4FZX^%wCR z@HEuSl)RgIx(8;x@4-6=r$@mWI@=)!?V7^inG#r>A#7IADv6AwaC5$cd|hiewW8oL zxpGr?d%g9svokXIj_?&*`8<=!T14Vg;_)eZ4|w|u0Dd$)OM zU%B`0$MWhnq5t}HwJi!@GX(PcX%NX$%zdd}*!3m3#P9| z+iOp>fU4k{OP6}7k5B*&{SrMambAoIYUAr^ctN0>i=X#AkIPo(jQIKV*l0^DNS$(( zPOk+vt$%>&8)3D88o$QOcArHmye|QXNGSbR)pTc!=nquaq~?@#h=s`6>NU%?wyQ#T9CEvXeA||fDs)nx8jFfA_Mp*#u(T_pF5d5JzNFC<8|iIJW8x!+X!R_6D2 z()d?)pbC8EQG$^OUA2hTNo;~?6g-GO71o(8|gg!U*uESK|AQ`Y5FG}2Rw zp@=@1`qnA-$?Ck|7U{nA;ud65PWS8q%dv$tpcjL_wzsi*X~n(6qo?e2oO z-~zLFV%UGmJl<AP;)ZKcg_}9bX%?oKzN722a!iV%2%iZraKwkb; z07~{LBF0nyYITwiyTS>?Zg5+;QJ6QVd-+2_7@lPQsd=w?kjBbgsip~i$a~U0y`;Gn zz2($_ZLIae;Hs;hjs&k^n^P3JtwXxadG3CT&(|SC*Jo&4JtyWBiWP>|D|RDyTi2)^ zqH6VC`8H2kXTbmTb1{lghlVA`rWrA8=K{6g@5!-BXAr0ip$%d)Am+v6gIp)%DsddjgA`R#)erqs& z@X7lAFSeY%FTMc{U!^i!CZk0w6B*duyZIfQhc21VSR2e7n^r`^HJHVyrpQ~=UOn_} z{t>Ti5iyzl+@di48-r>Cj0wSID}Qi#+nc*Bh%AOs44+EX?U8+eD{7R4@F#h4(0H_L z!Y7pNyWH6x>e~>ApO_BK`?f=YBRurkrc1Qxc%1yfTGilUbf5n6rkKnu9)HZm+%mWxvF5Y>9Q8JgX7w`K{YQCeN7-Xi0=Lb)H#nIBGc*~Wh@ zZ3&mB7^0YmDqb1OTyGttT53Z9a>ZnWruWTd+*fL8+l)auN*_Aih58FwVGDrz#gI~m z7pfLta2TvCmn1%kV^EdJ-}#!)j;zmPbUIj*x|}6foDci8!F29K*NaQ&r@4f{8Ke4A zAYRn_24-r4s2r5nDJP7EnP5{iYL-*uDTe**a8ZOTS)o;d?^_*NSFFIy*ITIWf3?99 z<OMAP(J(Lx`LC`FG*dwdK=ADK8nJIOp6+y`D=+N z$-TqZn?U}6Pk106T}qzeKpOUMN-YU#9TWUD!9xl-B-?LlqJ!>r|5y>#G5?Z9!Iop3 zpYyiGC1J1mP^rGOE{E;2c1F(qFY<}Iis0qpx!J528|7{x36WiJlJS!_N!y&3?+&WB zE{pMHzF@P)0~;|N+7q~cx%h;PqzLaDqUubr5UPUDj({WLR08}yQUxEd{gs7H6wvg& z-6nB?&ga&yU{x&RO|V;n;<4W{4(!ob%msiGjEf6XQFMI4AJhXWchmK$JrE6uLlsTxVbt zG}0uhzW$m43s97o=@gr5TL1$!!=lG{@OE4qB=v^zGDfQ_`}LhXx3si*)Z)hylaJY= z7tl37tb{**1v7c&48)I%;*!sOL$rrT#~JdqVU_)D>nj5?pTcJR0JP~**3AS{CE2O) zx2R!3NX^SLcbZvU+7xO+Xr5(aRGQ)#KN&q4i74>HAPG_7>)j6FZLQ!4p97YIx~EXR3*4^4yR zEC8y3UdEcj7pNP8y#+`aQ}=rYno5ouI*c=~zfd(FR4=_TkH~M?T`4atC_KwvxFr_3 z2mkTG%bJn(w4|RyJ9tN02ystG8sB{_&EvXz@1Jr)2D?7mh^+hm^gk0!u`s}4(Q(X0 z=dfs~p?$|wio-NsI#0MK`EzzquXA_N`%_)#*5+B}_J( zx9}g^@j&*xKj_bP5)~GEHV4bX&0ny7iqBq?BWvniG=9chD;Z^@0h?XS<(qfpX4dYq zMZkAIQVn+hIPN6V+X33u$-%mX)gszjZ!ZMnl|G8sQ1n>?gP6>^x|*$65mfc6g^3Uzi z`e;Lkzl8_4Y}9>=o9Rmpd`y4HiI^*o@2JkcOA%WRQZCuW?c*?g^W5d!;0S8`4DE5pfkiH`!ThxI~F{vardz`hkS9>V)R{r)AE zN1?D3_tPR9{z^}3EP5h5yANDgx_~SPceQMglGDHdY~*7F|m4Tyk1@F6eW02Xe2|1 zD>QJ@<-o4iP|I2re`1}PHvtTGB1uY;XIM*$K)I0opjM@5c}S1}L5oF}a}PV=U^#KO zY?Izk@6wu{_26ddss0(~*-G&TLTL3?2&5 z3Ts*sm=uPrq=-4~{r)E%2B!Vokl=Y_;CaL2!;?mQ**AYuxB@Iu%vg;mD9|l2ocfFN zY8Ls-liohvYd8`X+A%mNl~-8>79rqRK#luM{#los`raThku#q_l29{%H6KcUs-m4F zT8z;7QskcY_*^sQP<-U&hm@@;eA;x<&YmHmq}K@MB+kwDmmY6)&SwB{iReO7J{y-q zhIEk587vRLggWn+=vpWB_K}{Q$$>n&*V||2}C&(fzZ*B|wS;XDW;f8O& z@Fl$fvi~z(5%R$%p5B(!i`13JuI=s9>(bt%#A)@Daw^MV0uo2Rn8-;gwb_{WrlZuC zw;`+MUFpF-MF&1!f1)E>j*+)D(0`mQU8vdVCVHG)hsW4c7EG?F-z^dB#~=M|$BqWV z%}fm9CHrNBpNvR9%t*TpRSaS-^Hr)Bq}xL+Gg93TIi5lP>PnaFFHnoMe(&}URq7_% zu^?~n>o2>})7t(FR7ycKpTU}T_9}e4;1X)$3&8=23WrfO71Sa2YtJQ>BcHSnM&Vw5 z1ZH`kXtO00>ge>vvPqG>rBcCfEX^)58pc z1mcZmukBE=w=g{Au#PXQ_&@K`w9X=h?9vlUYU_4Z$~6)fHg7XPu>B})JW)( z>~X`VvO{^B4a;KE^J~o@c;;|7Ho$y~Ubwxp2eChZI6Jfl-jlc0ZaZc^MnUY5WzA>P zq$ogweN}J=`tl>pzL47V{L+s4Pn-au-qJ5^{2gMl-cY3pszW4HQ_wvlCuP+%Ppds$ z{T6@cRGd+c05rT5D9-B702`h5sBX*ll85qC6?FF}AvvS3HGvRyeWdlzM+Rrx%UkGd zs)J*+p;l?cpzI-EU8=vlaGY$-`{?t9~!pL3?~RLXcCvpo^MY9Llgtjq*zs8FOB40n1m(vs`aa`ZYW<{09CkC>E)J{?x0R?z}a$Tg>dgilfvYjTFLkAexfSisdWl ze`!)w-LxGhdAUhy?!Ui;OHr~EUt|sK21`HkfBn#r!BeY4aiUb0BFWT1xS+)l*x0pM2gm z)#DQ?PpAMp2;Abxvd;o$ zH>9N3FrRRMP4)Wx<%RAemtS2W*8keEE?HvM?Nk`JpK&{n;Hh2X9g z&7fQ$*rk(5h$LzsVfTeSkc~*Kbx2CD1Uq0c>^kNcG}clW z{`XT^*!7>P>Pg^2`L20^a6-xtBLYZUyEE@HI@E_5#X0iT8w-wG~fTO!HpA${2lQ`FeXEEuvL{D<+C zmZU#WOB5dbv*%ggIVkpP)#+JcZ;CpZkFc~kg-B7%f4!BBpb6*K0`dhA#|<16A+~z9 z<4X=An?g%Jl7l_10q1=3Gu_R)45Bp(x_0oA@;Ft=&tzYTce&Mx;+8wyDCY(qRqppb>>ul+-zfsx&*C4dU71aPw0#v)*u6#*OQvFG zuKUpg@;5U~b{*WaCaWDW5#SPpKYp7ZrU5V&NONTPCKACM+<;js(-KpoSwdTw7C8=uX z)BU05g%9q`N1gkkuLw&N>NTq_b;|$1R{H*}T%d7oRX-+99`0K|ECKKTd%s>DiU`dg z52BE2ocq6`AwE1HGC?v+_qXilIb*tYJ4NBrH{bWttlqqD>Tv=W&UM_QF0`E(cdTjD zk8*-X74cCH?G+y1AccSDF4UtqUWZI$r6dET(73=fY>ez4St{k6!wu=0vC(Zk5(V7CuW|X}* zFFm5DgkPP5L0A(Rft!T$I z=luwUAI9<9ckVdrjLb7L&d#Q6qU;1Ac$zAczjZL;AipP5&{ z*hXFb7A+Uh(wyC%^`~c>7dAv{y>b*NQW*`#FNk=k3(^dAW%7<{`hS7=`YuaguY3|7 z)D!pZJ{Y^3M>I8=;L0zZA`FW!asx4qksRgNivaC61b!|>rWr=AsCwv&xu7Y>DbjG78^ zFDQ0pt7(j=Qtv4^+qiM>#QWde2B{Qr`??W}*3Hsg?DC1U9djoao*qi+C$EzH2%A-{ zn>-vv{L?kdcwz+*A6#KZNIz>`uk}g%LJ#+U)g`^JPgJX9*NcGnx^z2+vk(m3$7uS8n-&_vq?j@Z?*eU zy9Vw-xk zUG5qYm?_B(+9KP{o9hoC;spfptLZUFytV(^UUnzwTb+N%c8IlenCeyFPnH?Saq9KS z8+V_ct25S=T5W)^_v`yD0I;02s=1phQ3EmD=qvwP`WB*#hPw5lVBfZJ=wPy*R*V0`&6NGMqtAoLZhV>gy5$GE8j^G zW-~S2I?uMDUm5lsB!noFRe2MDYawfid2CDqgZ&v%ATrkzFr$#{9^Z#O%Qf5AMooB+ z_LK!POoW@%P5ncmU=r3332~I%gsO$XxD3i{Yx3R5+@8ouk}#2ZAos(XfbFi5p2HNv zd}Sw!^1ZLLLlmY*j%BL1%$86{@Ar?!O%9;|*zA~}fTJZ{*C!vmoU~HlHUa|q+Klsc zjdJz$Dimf|+!e4>NlgH(4m(J};QS;on%U>TBq;<$x=a@4t(FTEk4}L3o47|m|bEc=$ zgdE&88JGT8uRO6s3qX~SOjAi0nXEjyOojK~w7Hmqz!W-*Td~SghQKlBlPAEFT2bq# zgkE5xF>Wu-AqKU;3=e|e-lnOW2T4~fuXIP?721j@)R74MWFS|g(Up3F<;mGRg1*Kh z@~Dz(%C4a$-{*WbHg3;&mT~(zA8Xct1H)R%F0s_$&O+LKAr>C((ItE72*r%+ycgO& zXM#Bkyan@y8{UHkPd~qCvj0&j`hbu5>h@8fmjrm&T;WolW32#Z?Q<)4&brcz>L}f_ z?a%HIg^tCbtad}51MZ&%oTO;*I*b7eD=E1gcIPG35yjR9(Z|1TCGC9zm zEaL`f^U2@iQ^MlBDfYa7B_J?vnT(b`$^_(m;>U5(0Ls|h2{FQvPD*s`3HVXtcoO#> zA7z^bIMCN(?d-dLimS1>w8EyxDyEx-$jcC~`zNz>IVTOnT3AXP?i+6?gTVCjE6U^F zFlse|ZCAF!K2iE0*vp;;zerBPAa8Oq|z$jwX7z&Sfepjy9N&0@&#LZJrcOI@F&!8cQ378)XcM+PeOjM&V{KuDjU*e(jXA{|stJ z%@db6Q+5*-| zPC&jOOlDNcs(J#RS2XBK2}<~H*1;FkuM*MCKTg?AOdvKhBEu^9rScCGw0eG{cXY%e_<=B(tH+Y^Blm2H8KMvhrG29CUaz3kjzNEND5 zi_B2+0%};S1dnSLW(Y}&%k4}Mx~OMVZ}cB&P#niT$)248Oxa+wiY2y&!(Pn`l0twx z2_mFZLq9~Klf_vQ2Lhd+rifDy7ctf~RveJMEZ2+LraJfdGipz~q%z84YN8$A^)>gJ zm&}P!g)=sB19Z;-EDcB$VnVZP|Hqv5k`ycaF@@+N0l#IaR>MmMl2u!-OmK?YW`c-8n%_r9Hv0H%!!xLz={ij7N?|{ zy9};MB`9O>E9vNT40k||Ev-V|MCj9dujGQ9;$4g>Yc^8?UoG`#?Zpa% z=tde0v-I5q4o4hVL=?1D3+IAs9t#>s1F|=)#a-N70FOqTG1PsuE2hL9gUiSIabc(K zAzp}|eD|fa@VJ>9Si9IZ)9mOOUjg*46h}nbBFatJdijdXS##T%E-rC1=*2CWWJQj& z?3kiqcI1u}6F<~;d%x8cb>U!>{62jbxpq;Q^~^G_q9W9@Fat{Hbo@O% z{Lg(SvjYTeRAel=q8h=#4seEknz;pow5Yoq_&)9!d4e|o%|~1tPtuH ze_2~KdU+!*2^j+5Suk(+0xT?gWFiLOjezJwy$$T65xb?_->(_b-JN#w$z{s6W+=Yw zlkA<+7Rc0eTYnEJLhKL?q{S6I3pN2hEMf-R5O3YP|Kd0L_{HY{x~C<_>Z4QXMvvCC zr~r}aJynSBJWEx1&Cl-`I3#2(8+LQ^^km|-kM2AuN(Qf|19ZU&^gwR(lcohK!)3?j zW`>$Va00x?go_};Nxv|lyZ2=c40{WR z2$M5ITO?lQuMgW7I&F?dl_RrFtzKEb0vCvq#wm%8>GX;ta2z z4?1m7^1;@al|ecv>>a*VD#rORv{JFor4~M!ofAuXz&h*hPyywsMdAyt9uFi?+NU>t zKG^8tt?EA-KWd7T;mq>0Ru2p`ls_LMRtEXIhF3oAK7H308c;CKFVuSC?yUXtF$v-D zQyo?dUADKK^M(#@fT{TW@F}Q~RjjLTWV;_p54!q^s}murjoE`S_FB9X^?vhNIYp?xEzH0Cmu6D|OBwm^xGz8j(MeAm9zjc%MW zOORb~FEtHmxXc~+qtkHWVwt??TjdGHQav)EMNk4bHwUi({u~bv;z(D*q3?3L>_dm%wLaK$g8_+XC>zJ~NiWuzPp@T9-N_YO>D^ zd4PUCDL*9NPud*A2wdXLJTB{yOo)B*nX(N-iKRCw0Hn^7nVcg2^X5RWBDeGIyaNzb z7IzTwjlJ3f2&OBmsNwu~?w`oN-QIun&T>~A(JZP6*MtaL$qpCIgdgGl=rYcALm``3 zx+V6Z2>71}aq+wV&k_NQ6+;Tcy~yAaf0UPqqMQMpWn9@8Dt@J0O)UlSjZXhO9la{k zBc;jbji(5lk(-aCe=aPjowjX6A7vjhtME+53L>L>TC(J9Ch2IFAkKNo@~{8De-I`# zZ%&LFMKU<$_T_K;@WnKtCVA46nJ%!ITSxDmfybRh-5AqW0gw%+=jq*_l<{V?|A_)} zFBf(Q+hIUiH^1Fe-s25b61oq5Ogn4j!0F+CSfbUhB=`>y+)p^CA?2QS1>e$i{{+^< zsHR=Gf~Q=}qc!m`a12{lN?oEHuM;iH;5*pzqYDEJ95m(cJ(!mIAS?_k^FXY&1oJx! zxX2L88u1{~ne`7pMgDc0hY3yWb1MW@Y#+j|vPb|b+jvqMOOLw z7JeKQerLHH{UGOxp&DMs2D6ZYWpm(9C5X}8;KB0k+|S))%nMF)#@_W3liyujsLz`R z6~{C8I;Af#x-fFjJAr5UnQdJywhRzN@thDP*eJX#IgS@0un)gY?t1SMm)&*b?gG_I ze!t!O2}&y@G^Gy?0LaX-Dj>;zKh03iyuQvD8}TXxrX3yfJY1WHR{}o-6XR1M9uHL> z^>gC`P-6Ak0{FW?d55}*V~lM2xOzZD3)FxaL+no}z@)-`EivCqECR;IemS#6#)T)X};zUe^#RMI5> zWJXK!#rN0j$JrfJ(Xv0pvZhj3Y6qutC7WOYdQAn=24wg=RHh$t+I4m;K6a{kq`&Qz zHD-hOp*GKX(^r~oKCpkL$Z#&_6Tz83me1k5ki>t=8M7Y6DcdO%dm z^#gOg9CEY>olekFq5`Wdc-yrF6ZN@^Ak9>D1n?&}P>+N;iYGQ|p27e})53T-ZSG+M zKqE7aOPIXjKzJCRFKI&ceeGa7y{)(d8=t1V`95>ddhtYh_iMs`=Y!59PkM;Y2B?6O}3VJ&0nkK(#BEMT+IBjfW z)MKj-kWUj$+vVk%=P!8#3f7l46KX5T>;!RaflUuukIv>NfoE9-4|6BfmHUPgIo>hU zhh#vkC6;m`cz5vCyTJy77Ng`3gObhf@-X(VPR;WT63c^>r_C_Vrb>CrS07ls!W^6L zR!+uFyJI{}Me~$HA9%mQ*v%u9U9i)Rm=IG)p0e?SxK|kb{GRer>~uUP$#gqUng2n8 zag$)kLj3+)Jw2uNGZq9X*4s~}CX<-sE2GLGBR|h!9{SGwQ*JZEpANw3$KZ&*YU-mk zh;#cy;wTlKClF9G^J$o$Hp4Yq70#wdh#ol;y?}VDccc~W1rZcIj(9j}vMb1R^F4~4 zY4MB-_AwN5d)A&4H=5AZDjBQ`kKfMBmmD@WhW$*CHmt`Bf3}D-tcUw^#uF=9&w!|EuRd?S|zEW%(@244U2j1&X$8T^5d{voQKqFW~Aln~&2 zbea!gMik})LZf+)HFzpj4_YYw<~X5yI?qG0mn9cFfj1-ug;+bpB$?X1#}g0mRL{km-W#^Gf{xHGQkC0_Px?boZX#NQN#%F?60 z>e+&Nf^au$PNPSfNP)*;;h}@LS6WxiljS~%J{%rXOTtfgrPWvSsAjjXq zbdT?h>K>7tM)K)1mo__?Ks@^KaC^VkLK1s}%1@td1S);sCiMf^@4#$~TlfV6A0_3# zd(f5zf(YgzaOiJmu+C_PT$2Z1lL?!}1%Dvw3NYYOj<7yXMa#p!RMCl@y?c4@TS0&; zSDunv>dLoI!OFWcCU#^;zw-7_R?=Yz|3YwsH`# z$~-W)IK5=G=Fb8Cp%ICOcWH#FHxyOQ^H$H%H;>u%ZZ_xCFC(oL5*xbk0-7dY|3G(U&Ya|IA-d4;h@v7E8(5;c(uwxzOB;ic4D1S zLB7oaU(h*}$|-sNMwlJiEKtr9{xd)2U`xPlgW!~jR4psIso?-lJFvN$(KCq-QFJeX zsD&d-P6SyE5`D-6&4q)IrhUUdGHZ}yuZywf+lFfwA5Dj4TAq`LELOFa2he`g&df6b zz+*3Z`HQbS$bM6x)lL^G(#-c8B$o}nK4M|ka0&{lj<-6m!3_;ntf;*y+&{KHaR)&M z8Fs$64vLnJC;#r!rGI%XlR1jFPB8z|IKCpZ3ZQt+u;WCwk&&s~5?7BqKyw07% zgP6@>p$4n&TH8iieG#<9s}>IC82j8Q6sufa#}ZDDUFEM%kJ=vL>cWeI*a->5wrGYn zKhA86ze?`0?S{fW7(OraR03*o5XApqtyf^}RO-3LUU8U>v41PVZYiSU%H+}d0#c>J zLP1GbP(X(FbrB^oN86hX?zFY$T*z~d!r0=|V?(w~Y>nODR&?0t{@Kabo*qFH{_2H;ZEVVtq2Ap|w>SN-quUUokP zd=8^YP#-K#^5_zQYH5#kGfKx%b8(x1K=djU^`i-;j@uWdJx z%rrbh?2=!b*r|uE+LyF8@5DQ6{(d}wg+w4E;C$UMd9ER)7})F z%C^x4Hx8ee2(i2HoFdz(q}lh=xQIrZnI=alYm?lg*giiK7|-iJk~V#&83OEhZw7rn zBDQIKTs)m-iCw_kb7^dS0bb+5k<5;s0P$mK?T0%S%{t-fXrg>6+p>RB zwm}Y%g>SX5jxgB$(pM-bJ&BEcFJOVi!!eAKKPtD14lzT&6aMF^;1bRNS*K5%|3&D# zIOn>jb4eD}a&4`x^}_Fb>b>S!+6@D-O1s4zX5?d~@PfH)5zWn?M8(`Je~f%4(8k<9 zR_kqhBSex|xdlDhkznc(^lYVdt_dU_G!1ltfs`Jb79aS6r!ct%@BJ2#*P3l~aK6_F zS~Ufh(zzv-&Km1euA9_uvmoKV-1OrIitxu^JjnN`-;9?Sn=sD(kRwa0B6=1O=j zN58+4hF59@DvQ90HGGJ?RDo6yEY+%^;%U|&*x|t{gY%FR_R0J*-%_VvP<%;x@o)M$? zaXG^-rbQ4JvOvbT116mO`(Z6%%BnOJ7`>{i3o*q47p!TFC+6SOD9?HLV4j_sn(T=;{93uVrf7674D-3{FhyY7BgVR2|zl$NLOa=T>V>(8S5z`}c}RK-1N6WR6*!M)3={{4%Ev<0;bM4{<`jF< zA+TKP(sJHVv&WMc`bOFL>$Z1;0PxYbG>TFnU|XKoWQ{8U1fe0gHZ4x9Z~(k5`+$3k zOF7W-;X?7#uD4V0wK8?x1i?&)a?hF8o zN;#@dFYf5qihY{JLsi3TBs`@$*sm01lGP=>1nl*BrYwDe8fezv(Qrej_ejVI zMpyhgfxyd@C^M%~h}JJ0e%Ms(ynDcWiV)OTmf9FSBC}M<;dg!59wrxG(s=_WTy+Zs zFsN1k{u)??2U*wAdTAp6bd~3iKz)8{gE%xQ0cKw4f$jKptyHNK*Vqxb~3`r zjIsu}a+SzjXa6x%xI9O3BwfGeF~O-Sqzs^9wA`v>?FP=f6J1EsGaC9N0(YAC31CSk zxL>e}7g$vY^?U+GJ4*n|WZ-AMnU9S^X5Eo^Ffgm`87HFBJU12F6ZZR}*L+o0jP zL(DTHqz>d1^MNXA;jdOd*Yt5pWh*+vY$k{UeOpU#b9e;xYlKe_(AmjR>$j_7vN$|d zN1x-Oc8GWz0}^mGQL#G-b>lwIx1_T-8cbz|&I>*;N>==kB00^2Ki}70Ef=0}pudO5 zbwx7{exFGLX*LfJ>bIk@+KvSFH@ir5M+HCpOq7bBQ45(A^{X2@(s9{SnJlWUE){0UPQyh#WEu_o0dxB{4(wjqStQ1B>C^3@?f8FpCt z#4q?3%=3;DfM&l@3H+HK@JZOZ<{twe;wHJD4{YZ_1>|sN`p~#5*lBBJwG;?6%$l@% zj%>pubp*EWKaCV^iDCNk9T&L3k!_H0pW+)Sk7*V2&xGtldQ5u!_geUeEBx%GVd2Cn z^1Oo;rvfyAUy`i&7C2-&@fs4SEh94$i}(~JEA!S2k7l6mdL=Vi{8JHPkp@frrnS5b z!4dP1OCVRSUw;pJT?i*vfd1Lk*ASGF!Yv=+#g8hlGs3=aZ09gx2+~5H@m_p8Vl?GjDM;yYR~29b(>s# z;S%Ej#zx65)Tlf8@1;abQ(t=Hm<#L*Z$ZAZmFSyFQ!8kI-ugZD`i3Q<&auwJL}B`L zvKxN*^pOSRwDeb;SeDM$$?r9i-%ET%yS_wa?|%!~%15vwo^A=DMG=OJ9&LYj^EwoJ z+1BD%p;!=F>W?h%Sh&Jmy9(ZVQBdIaU(NU^fCebM1$iocR$^-zKpqsIUMG)uZu~1p zU;TSwlv=J$VD0-S1Aqdk2%%+|D8(AR5TOF+@d@#lqZrOYqtyB)*}dJdtt=i zn{dJ}%A1_J=w`BflHk&JM30c6@=UlGajXMx(NGQelg7T6DExAxBz)S#cUbl_>JBiy zWt(kN5qMw9B#O{-%Gd~MS0^bMOcG3CJF!Cmu@eahlcmdeAF6wd*lRBR7&$M6#h+z6 zl52TkMOB}5+=vMggjH3+^h75hn_*+aG4a9+nK%-fhQh=;hs>iA*igSDlNB36o9`7U zWP)8LrwLKBdbBACP6Ex|&!#9j`AT|KC6&#UE`PHUz3bd2sD_s`k+VNDC4Wk2Lbg!{ z++kZ*@Pj@3TeQ~Xn{{J1H*y*R2^og$(@8v~CFn(>pC!b8XiNAO^uSX-Q#RE~oZY-& zDKlXuo2q8pQ!xLo)^G^_Q=y4u6PxqRjQtx@bZg#fVXFFT=PoCQ8Zf#aC`#!{y}k!k zA_4T|1!cBc@Lzz!{PQG1#R;D-?&EzU>`3NSFQTk<>L+|F@zQhjUejb>v3U+U_S#)Lzm=L|2Jzjic56U> z^19>Zq5V3e32;h?@dc2CB=4Q{+=Q*5nZ%+om-jD0k^j_frPxbb$7(Qyu&Gw~K_=4N zHq-;+_c?O1q)?hcQ?g;Fm4@l^MTBMCv*&z2qS$1P(YYiPmulo%sjFopCe7rlNj{&j z<+;7Xb*oqmQmU!v37NiP`>z325|H>#!M8UMD(GvDxaYWE@$E4LpS0(V+O4X_6P4cf zoN7WAr;gFQoth02V)3?p{f30sv1V#gy%{mzzI9qLqP;lYwBVWp@#CCxG$r%6fIJl! zrMJIl*e(fgaEjlo84_@rqRIbEYlEYec;xMN*Pdhxfm+T>#9XJz!Hhj5;Nq`OTO$$akvbID71)3QC6f^LTy& zc9r3jiOFbx84&J?C_1p#UESUL7yF1Rfmh=FW?u1bRx|){-;@(g>my=#SN?{mr}`+P-`%+F+R{9nfe zg4@+I_9SocXXnvC>C^r5(drQM1=x>qCBJQ0>cRobaZc8NZPxAJ(LS%_zi16&Ii0Xt zZI=g2VDGlsLpHe7CN@Ril8tsT(|7)R^frpaLh^QsojpgCVrvZeuiQN&G63{-d4aMS z&jr*dHM_Tqd;J$ZMiSl@mGzB5mj2zrpI-%Ewv0pMqJ(VX7w%fBduKBnyU(EQ+7>8u zq{oad=YjBlSj6W)s%?&V6M%gN+2Ay$MFSvCW4o>*gmmF+WCZ1V#JcM3hzjvbNt{I1 z9adyIhv-a9xz5gGqdsrV=LBWWlO}WyEVE&{-8xSGTy+OjjgI>=yI9ujzN*6MQ;bm6 zRce6p_1ouEWzV!E1|~>nroaq4AicHMum)vAIBY7pt%`X*PD9o@Y-?Uc6)IPC^r}jh zj7GS)MoEQGWhyCr6Ur;(^oo_Q6x!$x8V8HJgT|fBG4Ll4 z1he$K)7A9>6f5+PDYA3MWH5s{PuYGsY?6Vt_`Akz-*vy&1sHTqM4#fCfwA;r6*hpZ z(eFSStURwsF-wi;=n3fSJ5yusQIkOc$v#t$X~99Nw=4S`_d*rVuQ2p{%|db7H9sO_ z2#N7mmgjom)y5LgiY$n|rCr{3qCe=`GI8&IxzdpE7%>g`!s+~fZiiBoWIf#3VCiHe zlN@3ofM?(*XebdY8Zw_94#^dH&Qd_x_e6nCs+~^4pqCew*Ybh0+VOyjJIxq@L=!Eb(~ei}*bsY@g=&yibsjkEws|7TV23_TK8s zS-j2XPTco?v447>C9)eKI(yXQ{9G~jE+;{<^}&fY{utJzXSOY$1B|mRr447%M;G|2 zajk-1Vx@*fj%JM#$Pi+Ou9w($y>QSm?&7_6vYJkxaBSh z6gaY%aDBtX7GH4D!y;NEXSi^u*!c2+F$!2gOp~6NKr+^W@}wk zKbGE5`TZF7`wP9?{K_iiVQa`L|30xkS3>9{q13u9wkzPfW+}&^EpOs7M(AOB>m3>{ zF6f8NCdb%pU@v$!U5vGwzRk7$)2H?zNHS7_3+l(Y!&Ty^D92{`9~_0csOYq-STQ)n zJLa;(2))`B+JcRH_0z#4q+ai3`})8#!DS85-y_)tDKjad&yJrH$}h=Gn>m-7ah4CL zwzA=X(wxAv2Rr%bnM#*ez$Tp(}4cAXqz=lVTLASaIV?|4pmLQW8#8 z?>@O!eHi@x4#z{e)EBmP1#SnJ^M8mVuAjXlu8aU6XiM?CHZB6{Kx(v-HK+<9$D2SA z2A3|D^K1HmjZ^Tb^nV!Ip()>0pc1P2SK%AR!Qm5${v61SY3BqWNnr=biPL+k_O1pQ zZ%>tCo@C~V#RYht#%9lU}=!`Gm zgUR%+mr;5B)g3PCAKavJb)n{{nEO*#ZU3q8$U!IAltlkTB6kBH0yKcuB_SizCDWw+ z=`gp<_*zb)^JT=iuM(X~Rj0;g1HxsiHx8uf9|2t-fwLe`SM_CR0|W&1frY|vA-H^y zp{QHjJU-}9-dlY9J_5v0!CM$1AK_4uTcSsN#6rbyNl5xgg-YMT%J|5J%5}(hxwL&| z-2{C+8jVt_11Zy0RB^aEHM+V6PP0ynuC0SRR;NqX)5jUq8Pbi6aVB-9^y4RRW_9Lt z3rn0;oi*LY7H3y?l5X#SJ5_g@?&ySbu5+Qgy5ZdGJm{WYM4We>58c-fcc#vt9uSBN zsyjGX_DTvlB+J?9FJTt}hjQgL~8`SgOTxWc+?^y@{q8+AA7w{GL^)ZL}uE5_Zg zdq98q2uGyVmC#GeaF6TC=}(^GD(WifRnKtG>#FH5UgB!%YUy>aaIfp?=?#szrn+W& zODpb8-CO#*He7pM2feck*Im~`@9o3Auj{7|&~YE?KGHu8;)d!N^x+ZQXx$in{4;K% zZjwGVjhm^PrO(ad7U~x1OJ8tb>%P&y|G+Vcbu9Wa8@E#TlfL>3w^sL?zWxWdQTLa= zxrN)V`$yl|#qHJY(+@Zr3Kzi*4@4iZr4+f)u7D&eI5W$`Mt%%%xw=Da-&puMEZ)@K zk`}1a#XG`=i{+~3xrDXTjC=T+;q~rA!oPE?=j=UcrVq`hLdDy|KMN?etHnV9o-iTN(=sqkyrl5uxShD-CkP>Njqq{PLO*9(`s6IMxW)jHd;6@o>>;&2{x}5{%GaOB+uQVpuK^?Nm9NTCF?9` zX7SUEYuU>Tf*Jap65j`R=&y=bq_UV>!ZsM>_KPdUHXO%{JupAF0Eaks&HKXk0^7Ko z6mfLD&4r>LpZ82C-F!gj#pof47otu&ZAiqI#P7FuCnHE5Sj@UyvuqD~7od;1y~ z;4w#k=TaZZTp)c3mnBl8%}=|svds#Y+FiWDx%z&Ur}v$~3@LXswVB(>-82_>4)pD$ zL1hy~n}NUxRXIyPsWRcHwK7Gx?WH%^D2c9fmPY|U?Mx3K%a*45RsL>=+1U8zC4lK3 zwBJQIyQ2lR)|oyyeH*cdTc{}jh~86k$Oa~mV69~=DuCflgo$J%Wdfvh$RZ)HgI##C zF1CYoaA1N%`hJr%oOO?f>=x6`H_U_P$0%{LZa_P ziV6BblRT~tFf*1wv8{Qk?HkV1Unb)OrzErkhQJ14Gvnsz4lE*vQ#=`SP&~cZQY>C9 zoU?^+5vn;V5AK_0f>wVP&;5fow1XDr5R=8k*$vsqJfpOScu)&uPo zh$9P+n*^03U2J1`$Us?HbtPbQ!e(F|nQUS^c(-7J(kqt)0?@jycPTk9B;_YeQeGH1 zfHc3n&l?#Dp8&h$5J}ZlW)@YAAe~_|DT}LD`90%?+Tj{4-V%s{3$&e0D; zcEpf;Yp0#0t+Os&VD=SQz=IJB#A<;&injYa$amgup!|_ui_8=O!eJ%UHXek#if7Y- z^-A;5oO8-uzn|sfb+aI973Q?1``V z41X0BI+3ML4Rr0&nbu<(W8&RCYt}kH3zW#IUsqH@aG=+m-IA;gANz(^2>Wwh;xy9nVSKZ zuk$*naXF%!ZYc@*5Y$#;q#Nh~GxGr?&X-4d9!O5dqfrbrIE$Sk>1F0I=9~?w5*$s1 z)CjsVCY%NNc5@EcuZV=(C14Y0u&dd-x9^X9YHEk%pXFQdyB(=UiGgbM50aVH+0_1? zW;eS_S8xoxxB^)<_=YCGfmsv=thtG4W9>JCfe9rSzYPpZYqfvRh!b0p?Pfhx-FY_bzo^H zu35(2x~9!%cVnm@c!!ttb^}w*(GcY4;$tYj&!{Wv@fwa@2LN`}Gmk${dKILZm4;XL zXhXP4!CvbU+iA|@=hcJutCH07V6BJ#Wj-3(KU(Tiix6DeSKqtd=bnKd&{-qc15BdH zMuPywN@kcSB>xyZIu&$PN4-EQLcvc#*w)X$U)`JjOBMZ=2A@jb2M=jS9zW(a7oRDJx z#;yXZ8dc8lZ+7yl)0%UpUG2C+fdWq(xQ_dQ1gkT+ZXZM7_Yur!U~^U-_t9BC;p`|b z$yX4EOhF~EA7QJLzuJ(um3q557Ygd-;4PX0gz~02Ede5VelKWwov}iEI0;Y`&WG+Fc zgrHu$YbeB7uY&8ZI#y~*rw3bab_e>mHKzaI5Yrca9h?YE$?P*j9dOc63?^fm+$cEZ zWU!cG>CXMZ@TN_zUc|l zn_UT}7NC`DA_}0M%oY*FX@tKsf`@+&x)SN~?84+%T{GlMnRDX$vmBTeoDG?Tow^M_ z467}J1kO!%rL?Oy7(y)@zrkIH?NK(3vRmxwt=T!;D_@?Qo8+SbYl^c%bKZ)RLRlfd zdtoilKy+LF#B(bEq}K(21QMzG8tr1vj3sS!IbV)z(8Q9}Io4pfESH59q~)cbKUO3G zi=DU-2b;z6ss*EvA%>vBYN zaBLLDQBFO0B0Q2iBOU!b4GrZB3{OKiiYXPIw{VqGM*^r+l_nK&UF(dFLT?BIDnTe2 z0B9B+0&9?SS_W?qHykRmMEC+T4&b-)s|O%l^*Go6uNm`S)BqtiRwiexQk5M z-iG3qf*`n6JdZe)BKe>bEG+M`m%j}hg1sZ>e-n!1DQ$l7snRDxP|Z-tGyE5{b{^6? zI>KB`S7pF^YNjC8rJ7}2v2!C2=5Bq$I>VuHuR#L0=Lh9tvjFxbBXc=2^>DOu4& zJcPS|$Sppf8^*=6(=r97bd=T;EMETnM-`Izbj#?y{%65e$zk%$#H!S9iP(vMH9D&; z?cgvz@I8`-Tl*!FCy@s*BgR-*1s>UzL{ILzrCPb<81ge#wp$ULHBuo2anhdbbU}vX z>?&*1;dBoHj&&t>*E|6cqaXIXe!P%=sX>k?A3dLP|Du>xaMZe{)5RVltq^6QVC|<+ zy9XLs67L)V(uxns=yr6(GOa5EAju(`+pYI8#QioQTcoAiU%@s=kJ|yBE9lT^psHq& z9jx7sH8R1KxKuI)C44QJX?mKl{>#5)4wBjT9UOc)*J6nbdT0)=Qe!mF3AXZmCz9FG zn|U;FzHv!cUIL_-XPh^XMJotPez(H;R=m8rV3C`X|7^5ix#95y7iWjFn%h-%GE$8@ zv4$5J`=?6i+IAJV#DKsl@M{?6wX7}Ng`H}5_(&ou+MijA@!Uz zVL8nupRuYc1j~ zEwjT6peYA<;mK|=isB$hb`03b5Qr<|1UUTJ{och4wl zv?q9w1RnsV_!kp+vsqjn@-yK<^h(hp1 zvGe;$)+Q2z0Jj<%1*^p$5naX+OYZVb6Xobv*ckTp2~qw<^J={r^W1h5;<>Ryb`#r2 z%4QuYP-KPP=GXTv2;lR1SDGFiv5{phe(7}kY?w5IZ6y&`zLWVS27>#w&im9SJ^2c^ zg;QzH-t)~v-foOydoK>1H)TXzNY0%oF;;Nn18O?xy`eT>!Jm()&@du#HVaQQo19kw z+{wqG3vX>Jgk*OVUsj0LTwkSFE>Crd7)`A-_@w5)!e<<|)s*-2Qb*dz;$69lfGaGC2#8o(qOuaDOgQPqnxsveEinPlMCEv5ciahQq z0sD*6?r9SJ;|?FGeXM<7iJmc zZ_K%%hH_1t-~_d(>ks&CGA>X7^{D(@#C3lJ_wzAH&INPN7^PCi;Y_VAPbO!+yF85# zQT(})a9-=MUxRlJWffH90 z`I*~!zPcr5zF?B}v5qIS%G_(VK_QK-9^?6=I}g5&Zyikb!UDWIstu01bS5J^!~x3AMj#w;!Ca+@;&n0g!6R}R077RtZu)H z1)?ThX!#LZc_PD2$UhAtGirTtdi52;K@s|i|q)x&<*|e zAx3TX=mQjh3v5Q7py%njv zMMQdA_$phAR!fU4C0ZtlNkx&KuYchDa<1!K*E#3DKlj(pJrd_aRRd(ewOhCV;81JM zkJ`*#3p<;C{6o#s#QzqSN)pt4yvL-X!OV84Z;-Flm@WI2_od_bC9qTANr;oW@|NxG z(77cOQX8Pk!Jj1H%5!E1Y;!=*;>1sYs;FM-WtiGL z&mZBY-?9qj4*Wp7*LE`=d z@b}w8$(AG9&rwOO*%J-0C~#*Z@R+y9?22;%=HZeA#!{;jNe z(&O-N3%#7*8>#{P%!)vAm|zc^323DeOXIzX{NH<@2!Qz;0w-&2&QXCSKJ@o^*3OR6`_L0~kDa*+&HL6ZB?J*DmyZF6xg6 zaHn5qNA|z7HQt4OZqFlIWUFQ*NO=i&BwiT19mKEhX_eLCFy?dT;f#qpM;YKUq%JlkhBSoJr*3*B@z@5Y_-@@~-AfYkN?vsLp2hQM&6|--!kj!;#;ob5)zEhBnwjxC`?6iCX-Y7 zc?uiYNfGmiluhv%nR_N9L$CeM=exo46*DaA2+oqHRPYS6>uQnDB?ce?tNNcr36DNN(x*HcC>}JIAFl zrD0a2nPYc(b({AAW;!k}G3hx)(Nl>)O?(u5qRHuK@0V2`_}eNtR=a0{2c2r^!=A{x z2Y&js4XylTU$RQyeNmg+cRx?< zOxgAeKKVFwMnlYG?zS5Dx0ft-ZdoR=#w+)$QWN!8ea@;9MHO^yN8)>FV3P_lkzo9l z?f6!@gH2A}O!FXE1MDMQ+zA8k6l5nA(RKHmSIJtjMc6Hv6-k1ge}QBnRY5?S!}t=h0_b}*^JCsKs(xA zca??*$2#i@9|OiLT?<;vmDruhGJsm8A+?AtmNb!M%hOLxwDnlDG_xOheGt~$=tzm% z$c)@!(LmJ9fcGr&<(Xj8b2pEnA44$`XSaj_G$bY9s`!}oA|_7#3xlUBZ#I|*t?w~) z|5Tp-FcKYCBkn&3Fy2CT)B}lfNrWE|``IdZ__P1t!{a|fB2UxN)bIXJUpYK0D{)iZ zD;K}TC+r&7`joDMyE|}AZ`Ln#f*w<02RvU|tbNp0vLyFKcNlR>!eA^c-Bo{%1lymZ ziyXwJ4__lhK-u1b2%;i?Uvru4M)bC`b8SycuHU51eF)_VVWtcm3#ypIzUGug7c)DZ z-*-c8Gk4L&?h!Yvl=Gck%n=!(1Mf-^f373V@IQBTX!Z%$UynfTlWw+stA`TbM~<2; z^kE4<5#=#s3%p7!D_{@!otKq#582_Q{E6eTBSj>BVrBAY=lH2J;Dy>#uAfG=-iF7o z9GFo?sz2or%8qrtU)CSh{MENPa5y8%ZriRGRNlo9-xdJ4e3VPRmYoj#q2JV21^Bv*q@8R--kFY`RdqG=ixfCv2jN)yX$}UDZI&Dj@-&HIs6MB~>haoCH zfIeaH{A~b)3f|-_YmM2=l!$4jinlF9vNX$5#zD6QH`nRgaA{Kez%1|S2)=}V61PH5 z{4+>vw|C-rC#STaG}(wty_2}2xANalXP!v{#b>A|=N-aQ6a%G$GdtwOm-9|Jbj$38z7CBn(o zeuC-q(v!15G0}T|BW~-h5&bPe9z!1;u=3O3%l4CEDJgSI zCC!GpzvtM&Zv#m(>#m60UGH{I#V*UcyO?1wzPIsy*j9>VeqLU zclvT<0ela{CQ|xuV4EZPbP{kFz}c-F0Zp&6S3=jOC2phFC!K3ZjAzYeFk_$>X#$EE zX)Oy&PG&l6kHYPrOJ&jWU2;vkUr_!bcrAs+rq$kTrFinWYiT+`RFRgkUTTQ%%dmpF zsarXKvm|h0SY47oS}K78F+hVlG7L7vPA*{=JXO|ixoZ+8#ehyZ+vN<7?{QoLGq19b zdKeaE^$^3rD2oxAolOhoE2kZ|B>Eou?~Vjs_hBnIR1(b_G1kp>zsEy!=cOH?5+Dco zl6FqT?CjEYJF)0qj|)TARqYwx+I=yY)iTaa@7*V{%@0hI@O95A5qbYr2a}om3l$#S zM8G+J@|B>Tph-3Ett^ap^SySxjsF&tR^^H|0XAQu=qc~_85~C84aeEqOCkJV-88`N zne5VACqzA%%~|AM(y&3L%N>6;LvT;y15CjK^5hG?$S|@OgLIr92$O>_?R=h+BLBk! zO8B+uT^NQqk>@WOw&-O|%F0LFL+Q2gPYUTC_!l3&xURBkeWY|MZX4+htV>K4-c3vg9; z6huo?Bc}9 z!tTo@KJKwx@sjHg`&b)u_6Ouy6N05MDW`9ST<$XHH%Tp&6w&ZAoufHR4iRJ8whH2q zDpiC<>JybCTskW*%~e{t;pl1n(XBT)BDd63@3U?brDGm{-7+j3LL{`xgI^{eeNpGk z^;bJphQ$P~o7;~~`Rqqt+xTVO7(=~=LM1T@?#5&ixh>d?$?qAGJK~Yu^^$xmhvs0A zhNIriAyzoe!s#gSaLU40)xc*s{KYrIZLJyD&vzz3P+yyUnBd!PYCjxsD1a@hd}r$C z;PAjd!M6AfGh_ma1izX2)@v38fIb75V*xr7mb*(GUJ&k06avqW|MKdzwb<|^Auc9q-CqcQ$D=}}FjvW)W1OEm2vP-n{<Ye0% zV}G)9lSL8g+flEMPn43|yYRYXvUkhKcy4J$R`D4b+#LaCPoES=i^Af&8Q)$7QX)CI z2c|an1oD5^^b4Ien3}B=viy~EC4MAk4q{*(GG?UKeMvzjAP$Ql2R=eeMy0`c$S`?u zUcpcUbPS4OG&qRU*Mr#=|5sf}m##lNn~~)|@yzSjwH`z4XikN-?BqD|?tDCG=Wy2szUd>>`M$YJ_%`fa= zuKkv+K7Nj>#4;y5=V<~A3y+BWdNy{pG12yG^6nJfm2_dop1u37dpd5;!7fc21083)dH&GXMY`3CN@Jnca#|R7*AxLVF6O#_p~Y zR3*m8#@0nwMTW=jtrNW#)2uQ!K(1_ebE~2Ct}HY2Z3CMFJw8Kfb&O_`Rgxc6W#JjS zbCq-I;uPypx1}mHzcW4r(l8h44SBhm_?X)caa|8&S!7DqUxmcYWtfmv(>dL=GzS_k zjwc&IHJg4Zwobd=4l(Bq9dx~bPuIW>K`2)QoUZU^2>R(dKW9EMH0C<|)VyFQ)wMc3 zeSO~$X7xv8+lDv%jQOYOJyiALa%@r)nSSdKwEjW7`%OCl*?A>-vuHIz#|oNi-Nd-& z>iVtDG;fX}4`|fLlL#Kpjhx#UjC@2+i2wGVGYEx$5x`XhMI8d5hg$JSTY$v}Xz5?M zh8EDUssaK14lu&rl(RzP(W6KdfZ*PV#Au_jpxPjaJ-Yw_2q)g;AiDoiWN{xe2P@s3 zjKIh(>YIv8**d;tfsKfIGWAs}^u8*6*wH2j7Qy#`xvvRP+e8|d4ZVuicJ1#P)-$9IaynfPTx0Jlbk_fGFkKnQ9W zAb?f?shdi-QdA1WS$?%%17v0#65^Fy+yG);ZoULFOu?C<|2ZHCbV2J~rHb@_pn%Hv zltdlX(yNFj(#Av`kAql-mJexI9_TlfFAaHv@CCMZZ@r2S5n>b>PS5~=I+`LRD|up6 zjJzKLp$cdYnywX1HsWs$A{EHJM6|0x&I(S9W{R8)wI~Coj)vqR`R< zt}dVPFi@#hg4d?$f+Am&Le7OI$&yL7ul5Kh*4}HpijCHRoz3Ls6|MbQFrGuO)KOWZ zoKO5FK;l%_G%zEwz{T_QJFMDWhn0-R6{&_q*b)eeGhiPNg+cnKTVznS{)Zgi%Fs|r zP6$pq!Qc_FRdFqOaK2*O3I&T*6|di1z*sp# zR3(&wFL}WTnC93BsVZ?{ytg6Snk=t5smr8=n3K(|yaqvSTEQuJqnWCgo-RZGZzeau zRXck9M{)9Bf)YW+UO@*CyfP{0{{;UB>an<4>J?jVTwQ}r`>x2i(@tfu)>jBF98hEl zx{8~laLGyRHFN9O=C dumZI@O8~$bJ`cd@kTeEJS>PV*tSo4{_J3LfaV`J= diff --git a/16.map b/16.map index ed23d076..2c19a370 100755 --- a/16.map +++ b/16.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:50 Executable Image: 16.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 136d:0000 0000f230 +DGROUP 136e:0000 0000f230 @@ -35,29 +35,29 @@ wcpu_TEXT CODE AUTO 0000:65e0 00000058 kitten_TEXT CODE AUTO 0000:6e50 0000080a 16_hc_TEXT CODE AUTO 0000:7660 0000158b timer_TEXT CODE AUTO 0000:8bf0 00000235 -_TEXT CODE AUTO 08e3:0000 00007bbb -modex16_TEXT CODE AUTO 08e3:7bc0 00002406 -bitmap_TEXT CODE AUTO 08e3:9fd0 00000605 -16text_TEXT CODE AUTO 08e3:a5e0 0000010d -16_in13_DATA FAR_DATA AUTO 1352:0000 000001a4 -FAR_DATA FAR_DATA AUTO 136c:0004 00000000 -_NULL BEGDATA DGROUP 136d:0000 00000020 -_AFTERNULL BEGDATA DGROUP 136f:0000 00000002 -CONST DATA DGROUP 136f:0002 00000076 -CONST2 DATA DGROUP 1376:0008 00000102 -_DATA DATA DGROUP 1387:0000 000005b1 -XIB DATA DGROUP 13e2:0002 00000000 -XI DATA DGROUP 13e2:0002 00000036 -XIE DATA DGROUP 13e5:0008 00000000 -YIB DATA DGROUP 13e5:0008 00000000 -YI DATA DGROUP 13e5:0008 00000018 -YIE DATA DGROUP 13e7:0000 00000000 -STRINGS DATA DGROUP 13e7:0000 00000000 -DATA DATA DGROUP 13e7:0000 00000000 -_emu_init_start EMU DGROUP 13e7:0000 00000000 -_emu_init_end EMU DGROUP 13e7:0000 00000000 -_BSS BSS DGROUP 13e7:0000 00000fc2 -STACK STACK DGROUP 14e4:0000 0000dac0 +_TEXT CODE AUTO 08e3:0000 00007bc9 +modex16_TEXT CODE AUTO 08e3:7bd0 00002406 +bitmap_TEXT CODE AUTO 08e3:9fe0 00000605 +16text_TEXT CODE AUTO 08e3:a5f0 0000010d +16_in13_DATA FAR_DATA AUTO 1353:0000 000001a4 +FAR_DATA FAR_DATA AUTO 136d:0004 00000000 +_NULL BEGDATA DGROUP 136e:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1370:0000 00000002 +CONST DATA DGROUP 1370:0002 00000076 +CONST2 DATA DGROUP 1377:0008 00000102 +_DATA DATA DGROUP 1388:0000 000005b1 +XIB DATA DGROUP 13e3:0002 00000000 +XI DATA DGROUP 13e3:0002 00000036 +XIE DATA DGROUP 13e6:0008 00000000 +YIB DATA DGROUP 13e6:0008 00000000 +YI DATA DGROUP 13e6:0008 00000018 +YIE DATA DGROUP 13e8:0000 00000000 +STRINGS DATA DGROUP 13e8:0000 00000000 +DATA DATA DGROUP 13e8:0000 00000000 +_emu_init_start EMU DGROUP 13e8:0000 00000000 +_emu_init_end EMU DGROUP 13e8:0000 00000000 +_BSS BSS DGROUP 13e8:0000 00000fc2 +STACK STACK DGROUP 14e5:0000 0000dac0 +----------------+ @@ -71,12 +71,12 @@ Address Symbol ======= ====== Module: 16.o(/dos/z/16/src/16.c) -136d:07a0+ _player -136d:08a0+ _gvar -136d:08c8+ _cpus -136d:08cc* _gpal -136d:08d0+ _dpal -136d:08d4+ _engi_stat +136e:07a0+ _player +136e:08a0+ _gvar +136e:08c8+ _cpus +136e:08cc* _gpal +136e:08d0+ _dpal +136e:08d4+ _engi_stat 0000:0108 main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) 0000:0358+ jsoneq_ @@ -86,7 +86,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:0f02 jsmn_parse_ 0000:13fe jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -136d:08fe+ _inpu +136e:08fe+ _inpu 0000:1590+ INL_KeyService_ 0000:182a+ Mouse_ 0000:1882+ IN_GetJoyAbs_ @@ -134,9 +134,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:5b5c+ MM_TotalFree_ 0000:5bf2* MM_Report_ 0000:65aa* MM_BombOnError_ -136d:0a60+ _beforesort -136d:0a64+ _aftersort -136d:0a68+ _XMSaddr +136e:0a60+ _beforesort +136e:0a64+ _aftersort +136e:0a68+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:65e0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -154,9 +154,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6d20* CAL_OptimizeNodes_ 0000:6dd0* CA_Startup_ 0000:6e20* CA_Shutdown_ -136d:0a70* _finishcachebox -136d:0a74* _drawcachebox -136d:0a78* _updatecachebox +136e:0a70* _finishcachebox +136e:0a74* _updatecachebox +136e:0a78* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6e8c KITTENGETS 0000:6eec* kittenopen_ @@ -167,7 +167,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:7444+ get_line_ 0000:74b6+ db_fetch_ 0000:754c+ db_insert_ -136d:04a4+ __kitten_catalog +136e:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:780c+ LargestFreeBlock_ 0000:789a+ _coreleft_ @@ -192,56 +192,56 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 08e3:0005 __STK 08e3:0025 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -08e3:7db4 VGAmodeX_ -08e3:7e8a+ modex__320x240_256__Enter_ -08e3:7eea+ modex__256x192_256__Enter_ -08e3:7f4a* modexLeave_ -08e3:7f62+ modexsetBaseXMode_ -08e3:7fa0* modexDefaultPage_ -08e3:8006* modexNextPage_ -08e3:80d6* modexNextPageFlexibleSize_ -08e3:81aa* modexShowPage_ -08e3:82a6* modexPanPage_ -08e3:82f6* modexSelectPlane_ -08e3:831a+ modexClearRegion_ -08e3:8432* oldDrawBmp_ -08e3:8598* CDrawBmp_ -08e3:86fc* modexDrawBmp_ -08e3:8762+ modexDrawBmpRegion_ -08e3:88cc* modex_sparky4_DrawBmpRegion_ -08e3:8a36* modexDrawPlanarBuf_ -08e3:8a54* modexDrawSprite_ -08e3:8aba+ modexDrawSpriteRegion_ -08e3:8c32* modexCopyPageRegion_ -08e3:8d9c modexFadeOn_ -08e3:8dcc modexFadeOff_ -08e3:8dfa* modexFlashOn_ -08e3:8e28* modexFlashOff_ -08e3:8ef8 modexPalSave_ -08e3:8f4e modexNewPal_ -08e3:8f9e* modexLoadPalFile_ -08e3:9080* modexSavePalFile_ -08e3:90f8 modexPalBlack_ -08e3:9122* modexPalWhite_ -08e3:914c+ modexPalUpdate_ -08e3:96bc+ modexPalUpdate1_ -08e3:9736* modexPalUpdate0_ -08e3:9782+ chkcolor_ -08e3:9a9a+ modexputPixel_ -08e3:9b28* modexgetPixel_ -08e3:9bae* modexhlin_ -08e3:9c1a* modexprint_ -08e3:9db4* modexprintbig_ -08e3:9f30* cls_ -08e3:9f9e+ modexWaitBorder_ -136d:04d4+ _VGA +08e3:7dc4 VGAmodeX_ +08e3:7e9a+ modex__320x240_256__Enter_ +08e3:7efa+ modex__192x144_256__Enter_ +08e3:7f5a* modexLeave_ +08e3:7f72+ modexsetBaseXMode_ +08e3:7fb0* modexDefaultPage_ +08e3:8016* modexNextPage_ +08e3:80e6* modexNextPageFlexibleSize_ +08e3:81ba* modexShowPage_ +08e3:82b6* modexPanPage_ +08e3:8306* modexSelectPlane_ +08e3:832a+ modexClearRegion_ +08e3:8442* oldDrawBmp_ +08e3:85a8* CDrawBmp_ +08e3:870c* modexDrawBmp_ +08e3:8772+ modexDrawBmpRegion_ +08e3:88dc* modex_sparky4_DrawBmpRegion_ +08e3:8a46* modexDrawPlanarBuf_ +08e3:8a64* modexDrawSprite_ +08e3:8aca+ modexDrawSpriteRegion_ +08e3:8c42* modexCopyPageRegion_ +08e3:8dac modexFadeOn_ +08e3:8ddc modexFadeOff_ +08e3:8e0a* modexFlashOn_ +08e3:8e38* modexFlashOff_ +08e3:8f08 modexPalSave_ +08e3:8f5e modexNewPal_ +08e3:8fae* modexLoadPalFile_ +08e3:9090* modexSavePalFile_ +08e3:9108 modexPalBlack_ +08e3:9132* modexPalWhite_ +08e3:915c+ modexPalUpdate_ +08e3:96cc+ modexPalUpdate1_ +08e3:9746* modexPalUpdate0_ +08e3:9792+ chkcolor_ +08e3:9aaa+ modexputPixel_ +08e3:9b38* modexgetPixel_ +08e3:9bbe* modexhlin_ +08e3:9c2a* modexprint_ +08e3:9dc4* modexprintbig_ +08e3:9f40* cls_ +08e3:9fae+ modexWaitBorder_ +136e:04d4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 08e3:0042 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -136d:0000* __nullarea -136d:04e8* __ovlflag -136d:04e9* __intno -136d:04ea* __ovlvec +136e:0000* __nullarea +136e:04e8* __ovlflag +136e:04e9* __intno +136e:04ea* __ovlvec 08e3:0072 _cstart_ 08e3:0145* _Not_Enough_Memory_ 08e3:0277 __exit_ @@ -251,10 +251,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 08e3:02fe _big_code_ 08e3:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -136d:1384 __argv -136d:1388 ___argv -136d:138c __argc -136d:138e ___argc +136e:1384 __argv +136e:1388 ___argv +136e:138c __argc +136e:138e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 08e3:0305 __PIA 08e3:02fe* __PIS @@ -263,35 +263,35 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 08e3:0359 _fmalloc_ 08e3:0359 malloc_ -136d:04ee ___fheap -136d:04f0 ___fheapRover -136d:04f2 ___LargestSizeB4Rover +136e:04ee ___fheap +136e:04f0 ___fheapRover +136e:04f2 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -08e3:a30e bitmapLoadPcx_ -08e3:a46a* bitmapLoadPcxTiles_ +08e3:a31e bitmapLoadPcx_ +08e3:a47a* bitmapLoadPcxTiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) 08e3:04ce strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) 08e3:05d0 atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -08e3:066b+ __open_flags_ -08e3:092c+ _fsopen_ -08e3:0993 fopen_ -08e3:0ab6* freopen_ +08e3:066c+ __open_flags_ +08e3:092d+ _fsopen_ +08e3:0994 fopen_ +08e3:0ab7* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -08e3:0b40 fread_ +08e3:0b41 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -08e3:0eb7 __get_errno_ptr_ -136d:1410 _errno +08e3:0eb8 __get_errno_ptr_ +136e:1410 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -136d:0504 ___iob -136d:1412 ___ClosedStreams -136d:1416 ___OpenStreams +136e:0504 ___iob +136e:1412 ___OpenStreams +136e:1416 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -08e3:0ebe fprintf_ +08e3:0ebf fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -08e3:0eed* _frealloc_ -08e3:0eed realloc_ +08e3:0eee* _frealloc_ +08e3:0eee realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 08e3:0fca __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) @@ -317,22 +317,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 08e3:1366 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 08e3:13ab _nmalloc_ -136d:066c ___nheapbeg -136d:066e ___MiniHeapRover -136d:0670 ___LargestSizeB4MiniHeapRover +136e:066c ___nheapbeg +136e:066e ___MiniHeapRover +136e:0670 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 08e3:1485 _ffree_ 08e3:1485 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 08e3:14f2 _nfree_ -136d:141a+ ___MiniHeapFreeRover +136e:141a+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 08e3:15ed+ _null_exit_rtn_ 08e3:15ed+ __null_int23_exit_ 08e3:15ee exit_ 08e3:160f+ _exit_ -136d:0672+ ___int23_exit -136d:0676 ___FPE_handler_exit +136e:0672+ ___int23_exit +136e:0676 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) 08e3:162b ultoa_ 08e3:16e9* ltoa_ @@ -342,7 +342,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 08e3:1a9b __doclose_ 08e3:1be5 __shutdown_stream_ 08e3:1bff fclose_ -136d:141c+ ___RmTmpFileFn +136e:141c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 08e3:1c6a+ __ibm_bios_get_ticks_ 08e3:1ce1 clock_ @@ -353,7 +353,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 08e3:1f05 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -136d:0098 __IsTable +136e:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 08e3:20fd tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -388,31 +388,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 08e3:28f7 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -136d:0682 __8087 -136d:0683 __real87 -136d:0684 __dos87emucall -136d:0686 __dos87real +136e:0682 __8087 +136e:0683 __real87 +136e:0684 __dos87emucall +136e:0686 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 08e3:2906* __exit_with_msg_ 08e3:290b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -136d:0688 __curbrk -136d:0690 __STACKLOW -136d:0692 __STACKTOP -136d:0694 __cbyte -136d:0696 __child -136d:0698 __no87 -136d:06a5 ___FPE_handler -136d:068a __psp -136d:0699 __get_ovl_stack -136d:069d __restore_ovl_stack -136d:06a1 __close_ovl_file -136d:06a9 __LpCmdLine -136d:06ad __LpPgmName -136d:068c __osmajor -136d:068d __osminor -136d:068e __osmode -136d:068f __HShift +136e:0688 __curbrk +136e:0690 __STACKLOW +136e:0692 __STACKTOP +136e:0694 __cbyte +136e:0696 __child +136e:0698 __no87 +136e:06a5 ___FPE_handler +136e:068a __psp +136e:0699 __get_ovl_stack +136e:069d __restore_ovl_stack +136e:06a1 __close_ovl_file +136e:06a9 __LpCmdLine +136e:06ad __LpPgmName +136e:068c __osmajor +136e:068d __osminor +136e:068e __osmode +136e:068f __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 08e3:292a __fill_buffer_ 08e3:2b79 fgetc_ @@ -422,8 +422,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 08e3:3039 rand_ 08e3:307b* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08e3:a656* textInit_ -136d:1424 _romFonts +08e3:a666* textInit_ +136e:1424 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 08e3:30b8 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -434,7 +434,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 08e3:32cc __FiniRtns 08e3:32cc* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -136d:06b6 ___uselfn +136e:06b6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 08e3:3330 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -452,9 +452,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 08e3:3b7c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 08e3:3b7f* __set_commode_ -136d:06b8 __commode +136e:06b8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -136d:06ba __fmode +136e:06ba __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 08e3:3b8b __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -490,7 +490,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 08e3:4ab6 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -136d:0050 ___Alphabet +136e:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 08e3:4ab9 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -501,9 +501,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 08e3:4b72 __GetIOMode_ 08e3:4ba7 __SetIOMode_nogrow_ -136d:06d0 ___NFiles -136d:06d2 ___init_mode -136d:06fa ___io_mode +136e:06d0 ___NFiles +136e:06d2 ___init_mode +136e:06fa ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 08e3:4bdc __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -523,9 +523,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 08e3:4ef2 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 08e3:4f0e* __get_doserrno_ptr_ -136d:1440 __doserrno +136e:1440 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -136d:06fe ___umaskval +136e:06fe ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 08e3:4f15 _dos_creat_ 08e3:4f39* _dos_creatnew_ @@ -536,9 +536,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 08e3:5142 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -136d:1444 ___env_mask -136d:1448 _environ -136d:144c* __wenviron +136e:1444 ___env_mask +136e:1448 _environ +136e:144c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 08e3:5184 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -559,7 +559,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 08e3:56da __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 08e3:574a __EnterWVIDEO_ -136d:071e+ ___WD_Present +136e:071e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 08e3:576e __flushall_ 08e3:5802 flushall_ @@ -572,80 +572,80 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 08e3:5a57 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -136d:1450 ____Argv -136d:1454 ____Argc +136e:1450 ____Argv +136e:1454 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -136d:0720 __amblksiz +136e:0720 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -136d:0752 __Start_XI -136d:0788 __End_XI -136d:0788 __Start_YI -136d:07a0 __End_YI +136e:0752 __Start_XI +136e:0788 __End_XI +136e:0788 __Start_YI +136e:07a0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -136d:1456 ___historical_splitparms +136e:1456 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -08e3:6bba* _heapenable_ -136d:0722 ___heap_enabled +08e3:6bc8* _heapenable_ +136e:0722 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -08e3:6bcb _bfree_ +08e3:6bd9 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -08e3:6bf0 _bexpand_ +08e3:6bfe _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -08e3:6c4a _DoINTR_ +08e3:6c58 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -08e3:6fc3* sbrk_ -08e3:6fd3 __brk_ +08e3:6fd1* sbrk_ +08e3:6fe1 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -08e3:703b fsync_ +08e3:7049 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -08e3:705e __setenvp_ -08e3:71ea __freeenvp_ +08e3:706c __setenvp_ +08e3:71f8 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -136d:0724 ___IsDBCS +136e:0724 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -08e3:7248* _ismbblead_ -136d:145c ___MBCSIsTable +08e3:7256* _ismbblead_ +136e:145c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -08e3:72a2 __mbinit_ -136d:0726 ___MBCodePage +08e3:72b0 __mbinit_ +136e:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -08e3:737d _mbdtoupper_ +08e3:738b _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -08e3:738b toupper_ +08e3:7399 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -136d:0728 __8087cw +136e:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -136d:072a ___Save8087 -136d:072e ___Rest8087 +136e:072a ___Save8087 +136e:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -08e3:739a __GrabFP87_ +08e3:73a8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -08e3:73cc* __init_8087_emu -08e3:73d2 __x87id +08e3:73da* __init_8087_emu +08e3:73e0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -08e3:742f wctomb_ +08e3:743d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -08e3:747a+ utoa_ -08e3:751b itoa_ +08e3:7488+ utoa_ +08e3:7529 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -08e3:7565 strupr_ +08e3:7573 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -136d:0732 ___EFG_printf -136d:0736* ___EFG_scanf +136e:0732 ___EFG_printf +136e:0736* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -08e3:75ad ulltoa_ -08e3:76ea* lltoa_ +08e3:75bb ulltoa_ +08e3:76f8* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -08e3:775b* _dos_close_ -08e3:7769 _dos_commit_ +08e3:7769* _dos_close_ +08e3:7777 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -08e3:7778 clearenv_ +08e3:7786 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -08e3:78ae __Init_FPE_handler_ -08e3:78e7 __Fini_FPE_handler_ -08e3:791e* __FPEHandler +08e3:78bc __Init_FPE_handler_ +08e3:78f5 __Fini_FPE_handler_ +08e3:792c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -136d:0750 ___FPE_int +136e:0750 ___FPE_int +--------------------+ @@ -661,6 +661,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022900 (141568.) +Memory size: 00022910 (141584.) Entry point address: 08e3:0072 -Link time: 00:00.67 +Link time: 00:00.10 diff --git a/bakapi.exe b/bakapi.exe index 337357cb87c8db0e9949f15c8bd6ba4b2d93e206..602ee8a27ec795cc22d24f7d91b4a1c019f1f64c 100755 GIT binary patch delta 41731 zcmW)GX*iUR_x>~cm>G<1?2I-0QuZzDq?A2m%VZ6Mm_aEs8e|M2?Yp!WAId&u8CgmS zl`P4cj6sryY|roe|DPAnGIwXg%#GkTE zaA&P|?YTadrtK-xfKBooyS|2DF1|q$beq=29OL0o5N(~gPHogK{FQt*&ekn7_va~A z)#+P&W}Ow?<)8<=Fbdo_8X6dKs0Sbedk^}=L{YZ@ElRq!ZKA6X;51E5j`unfPSi-R zg3>q<2O|}pd#lntqn#6gh;PS}HT$KDY5^Y;-#BgS`zNQJJ%coo7pXqsF7kybqX*;g ziS=o_6B4^9iJ=KV8n6cVl8@mtV-ruN0Iq}5bje!X$^@8Z-lNWnh41m*#H;+fhSUa|a{IAD>!1xiMAz&5o2ljK! z0KcF>>R^=SBH*Py3U~wp^@lzKZX>_}#jz}4UxiaZ+{6EZ;(4ty-pbxC;0lI+d_;)}85NiiSpF4UfP5T}mI<18C^o-$; ze@AaMg@M3=&P)>-U~Q5%@<=<_7FHXMv6Q#iy_Am!Z6>I}Hj}hSUPChq`Wpi&KYnCa z7Yfs1F`773_5wA{CRCY$ba>7H70cw>;e+cjpwdtkN~um1ca$DiMFg9Oz!GaAAb^Wf z9tJ~#f&z~Od<-Xc)gp~J2ly`|L;xpFR~E}}XZJY=Y$!L1uka4Jzk zGY=k}!j8*H)lN!IgHCXksb<>^6B7BM8_gm{VE(z2ZxMsS+;dY zw?cXQz;X2rD;gpB66I&X$T?!CA;Sa`W9H;kH*X|GNU+bTY9nf4Y!E-ZY*TwtLg}oTw6?Ja+$-=HolRP0!HepLkpdRgaEB7z ze$!tL{Yl>F43`zUd{-lAWN9u?$NVB`L9RhgEzj&D^w+u|-(4W6f(%Rub*+3%JJ|q} za9dyBwOW7EaifU`fi5VgK3v%ZD_6lif;}L(8$A3vPh#N^NEOc?QvC+|GnU_j8l!`- zO=u5oJ|tgIBmh4dEEcUJz+bGo1(4Oe^Z~-%lGX7y6v;xJTY0Bg+sfso zK6xlPl(W^`Eu)C3_|+UYUQAluOXyH)gi4b5I2H!M1Ugj6a*ViAuliL z)fQ~v5S18ZikL2EA08myP+W`4+7DY^+m$y_DUfZorC0d-IuAr4etd^Q_bkLDo5vK3Ye#6PVJtysxti>y#m{R*<+4a`jX#s2~?O-$FZR%f?# zmBfYm4O2#`3hT^GbSmsdPe*FKV0CR;&Sw}6m;2Kerx;Tnqv!CVo?Ey!w8+y1;R5~> z4!Yid*&q$`<9E4XViHfN?g~y7K9o8#ylfv3cWpy1oU=mK4(1-Q7d+W%K=F9xMOdc1eJ%Ew2j{$42f zocc7U5#nb8Ga4{O>XkPpc=H#lAQAYIw+oLQ<1ISO#;4dg)`wMA-`t~m+b*Qr-S%zE z-_*aB;|51rLqjA%WNyB~8N&w54JXGerZguWSuS5hFGoIpK$uuSHUw2P-lJY;j7t@b za#~?a!W|$VaI!7vw?5OxX2G)@+doi{UNv z-AD@k<(`<3qc{m-AUeO{62+*!0>xUzZPJ$CPvaN1wkq^_P}8<+>aB#yU0*&cSE3(n z19XP2!u6{>r#q9Ylic^vTNZC63hQtH0WCNy!7}I)trquC9$D06iy9|*>xw3_dW_#j z`%0=GM3zF;M%2x?KB=0%PU7kZMM^O$C;}1dJ&m&8)xMSGk|ZROlcSU4eO`!#9%2qU zvNktazl)8&IDfTeca8@7t;9Z;ydo`1uP<+B5nQIFIh(1v?(*OOt9$KFKM(r$K{$*P z^zMcrS37wO^66b@?YgP!q)a2D!VFH=g82!;)MHx{f4$Y@EFXmeL#z$L!KDI`+)Ym; z8**W3asAkfCAR!V)N>?TWFt+hiY=|)jd7(Pk)G4sJ}8~Lj2x`F=-TMIJWanF9Kh@} z|8JFmY#sGM3fv?-;|`zn)~Zn3w9S-A!#Ui-BFs{;w=LHt$`jKC{S*FwG6p>Rr%wf$ zxZU3&k=NTG;>&UluH_gOJn$`IG4OeXPm-aHny`Bp;mAgmc!~#M_}cZ5{&O=aI>-@& zDJFh$HZ?By@4ovg3`sAC_390Dq=UcCgN%faWB(PGip6xWxj9B#M-XKJ-K== zeG_)engg5bTi(2CbLL!mvvQPiHmLEYYKL|AO7wxLdivbt`JJ0l=M3c1nWyUi>=ttp zcG%aF#{{9t@4U^iA7~JOvDuQyOKSv&aZ7u;F5q0MWj;Q8dG>}1mc9mIZa`6|=K$so zY#4yV!EZaN;+hA);a3qf*)Z)sWXalSvm!Fp><7?Bd@BMmaEiswo{%XQ2@+M{b3`G< zuww=z!WM(M1jvBvpDKc=8>d)8^bn_5%&1(R&PXWc*zG?1n`O>C*%M_Vb)dMrzI}V= zud#qR`bF%_ z#WJWrq!j^N@M|TA>6+X&uAc@tux{m1PowG?w-nd+@$;^u^!e{$ zpY-f4?Cc@0NFR*g_E0_^ij{wl$mwz#0>KPq>-(ZP5lH0wWT;E`Qt})^W-N^ZFL@ti zPCEbMeIr73X2Eh9dQJe&fE+}A>)_4V_x^D8;MsF4M6Z00*nD`>%X5*LDFq|kW<2=^ z6>OO1KwO0ivkx!}y|i$-LG&jiGey!6V<1Q+Z00t32DO^-a-YdhM{d|Yi@399=_mXK zRl4=nV(__=e`h#WfXah3jk0O zahTw=Hug~Mm%xW3eKyT&-FUFX(Bcp?Ge?k75M)OT&idfcCYCnwt*BL&QyFs1o>?lQ zMvwx!#6T#aVacVx)|i-_DCNYTjEVs5a`thl}a zOtX<3u2d)Y;?T(iW~av$F~PD*C|1gSG|>^ZKUCadB;k=Q zUATZmjwvs@VQPaddtaMxK#)J!1Z}Zx=z}n3%5{7Tgm5I80~gTvJDS<8RDTy|AR2mT zsAtPm8b?IQ_(@Bk`x}~7TntW;4wx*ds2=l0C7to5=OD&T2 zo(L*C9};BvqaGsE35PHeziefJdiL7a?tkcWf-s|n!t>y}i=!g5=Qc^qoi55p;nu7j6_tzzLYYu8vcRawJ~1|kZogr%9Co!d zXL;9(x~Yh1736aXRnto>G`HuaP@b~zPipl|l?nbO7rceZUDc!Ytnb>R399zs5=kOQ zppl+{eY%aE;cej@%y|Q8liRcv%bw)XT(K-$;IQhU>#|_kWsC#$e-rtMvM*npo41(v zIP4JA?o%i5wnzypP9CK@Shc~aL~*r)Sjp_Psx+x1&eoY0&KDzNgD7{wg>)a7kepe5 zv%H0lBW}VLQrvjUxRrqe<0BKIsWC(_F^ZUyNJ|c9L~^DhA~#d0#}mjfdSU`GIWjsE z8JRvV7a5U4j5Mf{$t1_eCx#E|$TK*dk;fC{Ba^xNm1D^Cgb0cq@WIHWma5rHP+Opo zllBK{{xmmAbw1=EdNd`HN;wf;;f5C^P~%U=9t92^+CJ(`qa-;us|!0h+ll;6n;Iq1 z0%f9t_vsOh)Ybk{lH}1ZbARIc@qOGCv|snqHemO1%ZM3+n`c=|~k;H`R z;>f<502f_}$_%1`fng;zofGe#44iqZ-{+e&`B*F{H!TJhr$vox3)Yv5h$QSg-sjhb z!6s6FDui}`)jqVW8wDpqW)3F(pa-0ePBK?~R2zJ%+x>30-)*I&7?X3cWnrC*S6M+m#}tH#p7geZGc}PBrN#-*BYHFzZf-B7 zbe>CHiM!Az%+r(W)Z!-5XQ8I3yM7K{-%ijD%!?nJ_*9=C&#;lv^?%qvOOEW$JFfEs zOXV8BFwQxEkuUZ*;-#HJ^!7LeoF%~TV_{0e#2Q_9d30>tC^t=x9AD0SB|2WKBKj5>l6NX59jZP;r-Zrpd~CRVzjUl9 z?l_XuyiWs?x3^_;f zh5g(+nwChu_eMzgywPa7Mv&I1MmR+K4bfJl@r8I0EgroQ{^McfC?=&ZVMyPu=Drj% zve<9LSSmxKokvcsp%WKu0d$~isT=H6wbx)WQA{dZAVa$#byWu+eDipM+L8ExHocDy zc~{&{qhE`QM-%AnQKt)4<%}k7)%ZqVF0S(4OgVn)5kUb392v1q1CFZqRoPu+eAGU# zU&T1axRL`MNK9^(v)AKm?5{tZAaIDdn(BU$Ts%J@WPodopnnSQ)^qh7pnaxAW>2xr zuqkF^``nN!u8-q9g~`@$jB0#6D)g|0Rw4L=KNL#8Uu?~cS;Y`eGn_|3vEZ2bAz)4`PJQA(p z*a-=B&E>Ar!S4<{B(RMJ0N3@v;*hX+7lgsAg(|?)8~+8lbo9c4@8eBV8R%FYVm@%5 zL#?p~d!sQkw4*hoaFjpD%3T3%b|-rb)i{WP`&hTc{)8tCjyh0U&Dk)vQ8u9=4rUfL1McZM)Kp`$n#WNH@_zf7Aw>cK479ALE< z=IYSr)|Cl`mnkT3w;bhSoh7s?yz~Pb%q4;B%g!L@tO(aCaamGok2!IZgQo*>kmC7k z;;`bmYm%_yg{y?;KEl~@-7+$vER<1ePlZr@8zHPC366O!t;HdDX3LJSX0-pyJ^aE( zP~#Gv6DS%-EkG*0iCGK?`5vs0r|xxmnHx9NjHh?1EItNK)OPtZ;#1eN4LsK2XN+r{ z{boWI^V=yU>ta<0FrU6`&;tb2O#y_u?{5VN%``gwaSnS;g`aIcmg^0^sTLuAp8{IV z?_Bn*0^=1}=A@-5Iut*+Pc{OgI^$d38M+}+qaH7BlpsB!c-&VzZ-c8Ju&MYw?>2K8 zpPgj0!jU2Uc498>wNKf8K+Bf%P*Pl`d$Nm`)mGHW7euN173g$=;_|Z5isG`X=jmdF zNxyY@%vKeGziOsjsNwEuFXHLZs$k-I@mTSp>YH+ML_%!ihuB9)#|>>5)NJI=w|3Y_ zIc^XJS2Z_EyQ&FkHo&82|4}$3meRJCPytd$sLpwIIxP{lu`>vD9BVA zxYXh(GB*NLH^K2mten%S8=t1JdXOyz)*rEbQ0Fpl(3w~mNa|SfS91iN*Z1O*a~+*# z0KR62HL4BJxzvTe`>@erBOZhH$3>|EM)R#!va2RCsWvqfTlK#Mr)W5yEb*0jy zKI7@h{a6l$wgevKbNTws*1N(6P`pAN_s@=yccKwWEvrL1p3UX=)z$)RzJtl<@&&Dh zq2Eq82%4ibjO4Ca6>En*7=BaGUnYsB7p8u@?uFxaqAGDinpNH5HYr-UQo0w9seHQ= ziAt%92KHI+-YY!4iym}KWsmt<|9<5pR>6mMI9&hV%3$#AU4rYEM8tpd{I|0*&WlBK zDab~~zt9xz&{oNoDUaix zZP}h?VCH>8$F0EWH>>G|{-FI4J*4E`n@mfcsom>mlzH15gL1P>&Z|R6M z^}o0nVB`&NKC};QG|(DT!mv~Zi-z{cksws>xPY8wq%p6cKLjH5C|*;gICY-4>T2WkB$I9uTHxLtd+;Yr84iR(Ox zQy1n{23%C^pH(`vzOwZ5<=S7LM|}mf4LEI-ky%f;FWc;iQOv&p>*b|;xB=Y)Y+X*`*waoi<##l zF7$s33|v8d+@!`-d18zbACYSgreX59;7%IUNRT(-4K+;wHV=}tP$g;J*Dp&sO@7Ar zz8e@n1iI4ht$_~~u4_vpUY9luYd}N%#tF45{GXdu`uxi^Fxssx^f{#ZDgtN6HtDZY zF@8$t`+?kVxW@h+?`xH}{H}JudVhS8Zqd0C(F*Y^nHTHoKdNw|a`!mfS!bBK@WpQ- zCl1l_dFoTU@rWR6dKpAav4*2fY~6O>2wEsBm~f*N z0*79%JE}=&NcD*AFaKmmS#g*sR1*=FoA5M#Yjng#qa$y8*t{W1og=b~gU<)>Ge?L@ z9Xcd&do!h+zJ(ecPuXh4__8N6Hx!j1M*ctdI#O6#>qCAU*@{Er3eZ{gJ+XqLm_Me`<0>$?L@?Crc^N7IKIWsJc~;G7THT#O;!a5?)KcOBKy&VWwXZ* zcucVPo^0r`z3sfq5T3vj%4>o4loq`29=2VtJi+wK}7DMKc{Og9ySHLNkxR zo4@Yj(hLhy>Kx%L39D^3?L@OcBb$cn)gM}W1yzBjrpXp1TQ#LN7R&Gcrb2dt;pHW) z;-L}EMbPG7`1-8TRC-i=wjen?H5~aR_tlwZo>rKhUquQz8pdBY<%jX|$Kz{&=71_K zGhJ@8`VQWr+24_dYCb9>V=rh%=;+}s1J%&-A9B&wje2OCF70d)3w1}-T`d*Z9Qg=} zO`br(3)G$yQ)B-h^sF{o!A2unL|M}jd7=uE)!F==M#rbSt2!=;?BeR7QZ!Uxro}p0 zRnjk+RTuQKT*yp2Z$DP29{=#&6VHDNOK}raE*Zri7m#k2TuBmdp+(-M*>2^3kBVW zpUm?*cUu3MDm-`)f_Ix;@-aD8TY^ zeebMRhFgRh{4P!XVX@SRE(d~xUv$%180FtIT#`(i^P6Km9lxn6wj(=V5W3o?ab3`>)Q5-$(^L%eyg}n;I!v5 zQnK*JnYZ?y%~?a&@d{t%y!TGiLpR7XRdVKTYgVL<@c49aa@qJTLD_l~-1b$y|3yCT z!@N+{3T6QSS|TEk(e6eoeIcFo?ejPCB)g4L^NEJu_abYz@%4^Tvc{@j5j{zM65UA+ zjDVnVrL-#zDv%~mVUe0?*kq!+(m13KH{`|W{_hWCqjhwU9zf(q+0%nQzu+r%qR1*@ z=q5#^&Z8d=_iVALJ8~io`2pBiQR7xT*{NP$iM@V%1!NbEFxZgm^rGAUBdvQ^dsUQE zkimWH@;*F)6kwezKSUMDKmzCv;mP$dCp|j4(O%Uk_Y6!Z>?3NR?xHSbQ9zq|hmjl~U(FZ7f2tBQFtbuY&tq>{cznvgU2`+0nLeEH;Dc&#)0VIw= zI&=@nVHp%oR*w(IM;(VghdZ3-?>B-eeB01pBF=6o5#PUQH8mPU3MUe z*lzyS73dDc-`iV1WZlqS73>!5oKL*vb=zkqWL};`&2vO6=D#g&Ees}!QV54y_;oX4 zcYGVFW_DCEL~;jXyVq(VO$^FNIbFbSYj_;ux;{Gh{Nnt><`+=hVa;XuqYObls*Hm( zdbyzY4nlt%vM7;|*Fv!grtZ!6e21PFS`s_)CJ*6PAp!w9tc^5f3x(*X_$|;Ooq@80 zdMbsCf;;tQben_}ybU>}UYAVMPK)7R<9+!6luhiS3o!g58|vQ_j7@T7mGGu8pOJ<` z-4jy7()k{|RKTV%y&-6FnR=LDyXwt6)p&ru%sk>avJ|m%&^cyhw8)`)3R-SLIGI4( z;TeNAsWjtXo>-nHIF^P&qegj(5>YKQ432k699t@$ib3-f$i(g-Z3H18+vuWulkw2U*&F1m)m>R-LE+}eXP<4GBukx^fT@o z?#sdzmE;KQ#Y~p??d$AW6}d$l;k%nTAbq3*ccK$c`lD=vp=K`~zu|Fi*9M>U`4ZZ} zwPV6wNLopETW2^X*&kfwyWywHakq@Fntt~M8gPtu;r~$;Wkw&BE+=I0NZJ;ZpE^ zkl^C&?a}B>3UmU@CKI0~JrsN2={}^8DD0o`H-bzdnTg@Mv#YtKq;W?&l44$ENTY)<;rCPsO`(itD~c5wk-=mP|+7eYfA z36c6nS+>gwi4oY+1hkb|%2p2XaE82@9Ps=lNPta;*xY7hE3)?#45 ziZv{?AJFl?^7A`n;R9>g)&MT15w7vajyCekRjMd-C3-LGtm6GJM2^+iNcv!mB-z-o ztDWDCkokf#KG$lDPwy3aL0++nUMf*qTY}S5v`B{(Wj!;6X}*&gFjO`PnVvr3;nd~q z5Id}bPKUTJv#%`)88Q$GVlt-f*gzp8r4|0=iMGc<6`t+((au`FWvGu4Y>7Cx%7AI$N z=6rdSd9L2g*IIR>UB+)aEfTL5&^_eY0vmQP^r{)lyk49?6n($n!8&?(QkP5jOMSzb zzTk_Jc!1-g|A02Mc0^wuzRD5BN zfAmP9*~5g!#hku6mGdEl2B~L}!>ODY_{#(VZ4{R3vpCp*KX7k+Ia`FaOqU8!wm#Hz z1Zvr(b7a5I=3TXA8t2_9@F4W(P{}866_I1``@cn84N?;#Kuv!~bA@Bc%_@Mf}N|8y)KYCWi4I z>S^6CmD=+O!YizXM6l!qY4lEDPZ}N4_%EQ_kYmpJfLGrif+^K2d=pwFn?*2-;xZAR z8yZrpvMPi(t%_Q0v{IWxqYmI=pz&{xRg@gHw2Bfg)+DQ~(;^z%{8mMBJ_*qyKnR>WTc#t%3$9Uc!Rz&swHcc9#9`CbP7rr>~ z0#WuVQONTxgg``g4?*7nw8X*c*)r9!{iK=NvhK@z-^yU9OS8S`0jnq-NUZsBPouM~ zS5o96Uwi7mwmV2)EPh;E_i1Ez)HzC|ihwf{sqOH%R`%|T`qskS7(J+0M1@EAT=0)# z1ZsivIzr;dY8#lkqhUAa_(OLfgR>ARV>vsqvT3Jd9P))y97(w8z^jgc0VZ$B&r3r! zqdCSAM+N(%5%+(Wci)SUY%1^^EXtgn|p!I&dO{nA-fAt_x3go)M2i(`CTGljM`5tdj`Zy_4A` znm%F{#X{8GC!McXNo_xVsh3$Nf&0wRXGTbb!Ea%^n4o+>}VS z5t=?TT+C5-Nzqn=oMps}YXIrrBz0N~+x7{~v3+50^~FwehBYdP^|l;Ip)hiz;~u-B zVO2;;py)^Aca z6m;Jxd7>=9fVClOq&gz40{t-#rI1dADvxXi>V9(3k>->ys@?Tjh@=xeoD<e;Tr_No}o+#-~5q`Hu@_W*+1|7;;>=^CWF*Q{y^%`-Y7JA>4 zOerr{n^7gDd{Eg4Wb%cXn+w8e3i@N)%J~}O$aN+i1iw+;{FT1;aQ8>3j5&iXZS92 zdrb&FW}zR8Xnw!i1Mz{5jJN9~VWI0wov4y;advrloLuMwB}h<+2&&RCRJaDJhOEw} zg9s_->i;|rkGK1iso{w`6rz)%ldi5-eTz43D?#7)I3@D%E)#5jTcUq}_AU%`ukx{t)<|#c*>k(8k%hC&g?RWlVpYWho_AYZfR8ln}6Q zVzeTCq3LlcO$7T>|I2xZgZ#3pJd(!U%hoFt?6m53TlXgm%X>83$%0loVJQ+Sl5Omf z=L(P=8jQ08^6VATM_@xzK-rPZ)4D@72NG=y#*4PPTC#xrLqX1q;8qop{-?5#1~xPm zO6qIcjb*d!Y{yv0y$Ecq1Ni5@PV3XB9yRqwrkeNaiG)1OdKLGNHXE?yfFh-*2_zJepRoCR;^`~(}GYP!#Vm(~QCSi+) z%K8EB$gd$BN1+1lSrtF`@$g`zXdEa2Lxl(>G(I0-EmJ3LDZ}qL$a5iLwfF6SMZf8!N=3P;A7C6 zrqODsylvb+8M<;Hdu8jn#GTAd_6OZ}`-wkCl)`GE8lq>g0eN15H&>4$sKTCi!N2et zjShkUPL=TtdfL@hwak-l6uOR_CzUxyp-UEuS=TX-U6MaZpE!$xR*CQP)tkW^ zY8=@tMrLatx)hWs4$IRiFgP}QUW4+?&$;>Xb;n}%;4{TGkx)dwSpWjPbWnM-7`J0J z7njSbm6qO*N}f+fTK?M5TyRH|zPgnzmtaa;Bt_ zm3m%KOF9Exn<{ySEc==P>kfcF>(5B4F@5^g%!6AKDvun=QR={KsrIcKE@KDM*JZG8 zPwvCh*PJL0)>W{PgzL-^#8?=rNlDU4FsBFEoX^13=Y~g8);Ld~AsRKZbDNN(Ypj^IuoOV0eH#f!q{cOHp@_7d~&-Z`s*0!o z+X3b8v??ObJJ{^1Mi$_0>#@XlVDq{HEQELG#O{`XRZ^v&-cG&u_@Whn<}WtPM~0B5 z6YtDC&J+zDQ}}?!2p3HD@uXhGv_4}yH#M>U6({VS|MW`$4l~;DpJrj5K5s)pQQtS1 zUjgf{SL&?W<(WOMZYFw8ERBe}pN}ehVT~7TePX$96^+JsVd5nQVx9V*)M*t$!98!y z=+@H7sGSSv`pwqNOXgu_kH=>FIrd3ZXk)H}O-7&R(>>*MMq+yY9aXV}n|TWNVJH6n zQ4L4mZhMTr(|eEe0Q>+kuwxC2fKiI734(luBH+P4Ibl`v&OAlGc3R_IbA9Lkwu(N} z-ih~V@0EG?B}ip9U)aQ++Pvf2`zT}*L&wlct4>R&e>ppO%}4>eP=tSHeI66zEEG`j99O( zOTD(LY-bHEMNNS}`h<<*SAWv-m3K4d43uIW&YUmaX=L-9z&8_nbPLHnvc8VKIgMrQ zpVwsvI&}I%o(aM^Owr<57-yP4lYXzMpE*84 z$Q0*~p>r%mJfrPT*dO_FOuS&zaX8DSNevRWewSS=h z9b7&$>Ts)LqT`N$v>CGtQ0TzsGe&3W6lYxwXD(_-;p*h5qUy$!u07Qw#|m+@ccFox zhC-!8cRnyvTCBR8M|5w1?e7H?n+S+l(tOMtMA|RHX zbkOUo_m?p`QUW622iuT^tj_W!>P07o*gz$+r_j~wsHV{lFBGt$($Fm|sz!`9;_g}X zy{BCH8)O?@noQ>n8@>yyJ#_;T`WwZ!^ij<({-1%Aul=h+%)gIkmouAbWfPuKrV}*X z>Z1TR*3TVi-y0j(^_H2WBYJC5lOcMyii5wtiyrXgshH@oxk9~8yVarx`mf+tY27?m z5UoS>WX9eO7!;9+fXO0v1Acijl=>S>0f4^e@|F-PUor`C`;w3(!Kl_W3oblc>-rq-9(KFUb`?`w+>hMOd_i%>>uKP&Ngg>s%$)W9t|33JS`Bl~g zT|Fdc$?qiw_zfHy6!AP*^G+%X`F(BCAA0o_X%$OZn_Sf8ay!*BuF55l5;8g#iFZ6n zXuPhdJmfa|Nydn2N5=2La)w?#6u1J(eeTIQv4lK7IOk;hsu&#(Lvm@M>mvCsM(jouv z7F0L6b<`2niQRHym!;aS*I}}!@JaWeO>cMRa@sApE>{yxrgSI=5`jmb`^ zRX4F6+@z|Kq5mkZRgXd^jBqu}*tH_RrzPdo`mtiKe0gNh@0ihmW-l}cyzi@QGNOQC zGYf>v{7djA*SAzJek&;0lr73GEk0PTkDr=*xRW%?H@|DFXMqqDDjB0e<{$u>dFK$mra>PjP;!;;{Ke#PCCnDK< z1?*5M)p6ZNhgtT;$9x{k;NWsy$0UxeeJT$ zzk4xjQey1xI+QnU2CSM5i;;mn_30Dy-U)HSRWy{}e$aDY-QiYEM%e#5S*2U*F^B!zxeD*n!60PXzC&p#O0R=N#e9-Z;7*U<>FDS zdx37)e9V94GO_hpk#j<06*~o@$HIjyQA}GIWu?7yPL+%{ACkrD!3VXCGE+>+YU+N* zm1VKHV$X>?a}IllVhr8BL&4UcH+Zpf>U8GHxr^yr*C6e&V`7aV(!a6xwQRq0^qvT{ zkJ_>mef$x7xV^OO<7*}2=gh8!{3_z6i8S0uyJk7{^)y~PplKsT=xH?F`)@l3S$gYu zbfIzf+n-{$TYP?Y+zYE3JqT4u)NVx<$Gg$>`x?KyrPXjSyLA^d^!+DItABJZLBRWKhMuuN63~{N5UPu2rDU28h_~ECmiz!p48)uE2m<#WqK#y&n1!RwKEu+SC z(xBkXSoQ{r-#5f#QipJ_%@f&bgVPvpg{@;gwbEdWD!DhpPYStUalJ!9)8PyKHRc-Y zk7wSZi1XUU+{tY{2P4FEam5YNKVhQ$u>11UdqF9s=iTHWyGx(C86tz{c|$<~rQ>e0 zkOQUv+$@woTjsQeRXy9-2|DAg|vs-oad7G@&8KAxqHb2dzG% zz01o@f>#Jau|E*{DbPEvbADEwVieyghhO-sy~b`?CT2Ib-2h`GLCS(&(dA1;$S}Vs zvyJ&MnXRx(1?OD(3-nsP7S_mZ|6tP6PvzgaRT-<6$5oY^X>WMSAaKsvFOnSI5&`m# zf<931V?S?0RZNbF+{i(B%2#><2frCE&S9AC+TsalEJW+`VXYJy#)+UF@{(#lKk4_2 zrD96-uw81t+bveUY0MeXa@Vvm_OrTC4+?Y&AI$r z$qZ%{uFkX1K-)y$Tv#AIdwBhT=kw#!$su1JAAX-EbIk&rC^q#Qo8Tw>tv)D!Th{U8 zo)Syv(Lb}6!<+PXtRd`cx+U9>7qa(^HkbDDmIkl2ar95;UgT(>K9aD&&zJw<(P?XY zewZb^+G1HOdqhP&oxcJ+7sL4KNp1Lv9>lvveSqkCdH4ob-S;^hG-XKZU6w}EA490& zkL_t$WKym12NS~%^Vra(nu5KRUV(4TSTPHl7RGwLsdO#HKqu?q!Q>;FGG|NRVu48r z@Z7k(LvM;ytu|<2{F|tdB!1>w<$IwQTYPc8x?_j*EIy}sV~{V+m%i0sl=a={NEWSg z(49k`_cs`AtV=c0Iovgw_Y7tqyyy>Pi|ERn$m+{rv;)dCz&zz305obDz&$0_U|z9l4u`_!m_z z?8)1M761GwkqPZmN%-TkCESfgh@o4%{{rhsWonDS9Y#D`{AZp6oGH8G_)wPru8yOX z!XNoOSkwskQSqCa%0df792+IoK5E%(VEf}eHxb8&JwA9FELFExG)EZ(S^Q3AE_*~+ zYuxB@$jKUB^y>2ORUe80 zIhh+>;eN3r37!P4U;5cQ_Jv|a?b`J&{+<7`1%4+MqNW-Dk;``O_d++BNG!4Z=d9C*s2AAVb zjx6i#lRrhJfkoYdPxC{@yI>vi6ms=cIKFCl% z2J|NUm<#s%4EN>}Z1Q87&4}6vTuUl@msStpE4{tTNKPRUtk=zdM74T`O z4??7jAPv`!1sW;Zbll((4eU3`$vc%hlL&ek`*7V?3*ez?oIz3+?)riJX?-PMwh>ajj1vGFN#XBYqZKo;{Up%9ur*iao3d-7mhI z9BP~bN6G*^U*KkY56l@pY~fYp74h2H%hgm{`rs?KPcsd;92zC3o%f&kbq{ zkVLx9m_<%xfy;0V`*;}l7B7U_?yb8!bGi}YnJ|IK`1lkseFtb|zB@7_cll4e#C<^K z5lUf)yvHNe$!2%??mV2yRUUqwkQ4kbx!T`j+yp|fNq#3^3h42sIY|5w16`D|&axSV zUu%{$=oQ)9-|k)(jqeUj}$)Dq1*MDLkXP4g2Hm} zv%jY$8M^G#CnNP4ifpeNSrjj|Z(Ma^+`?+BozveiYW4iDa(DkVs?AMNp#f#cWm&Ni@xJypCpWcp|4 zlF*FS&$_WGn{1yQ{un?xL97>mFj@yDm%=p9f|iUmd&uAUO{#rM1y&rbhFwgGIJ0g3 zMZ-eX6rG85PeUj|toX?*Au6&2W~x^*<@p%sUqbS70dACh^fD$bIfg4T#8|0(0Uu}Y z1!81qer&Fjvi)3c|74EdslJ!0j*FQ2t4>n=Rlu-(h|g;%5O8*+!3#=RRy*JAzjL}Jhbk5w2sbM z(1T1;Y8QvkzXpE%C8md`cf(UM?3R*3=brGeVQ(6llT>TCne_KGEkDpsDYxcOlBvm# z`=x|{?ttseb8XsNxk#|E9M1Tiy2K7()cr#DRPlN-ZK=?8DfP&{6JD55*pywyiJK3D9T%vEdHJg{4n$*^NrI z)cRE#HjZ(k_f*Qq6!~MIUM@&rmG~tO@!<5aUcDI9Vg^~^lbq(oRcpKyojt}UY2rL~ zae%}lASD@~sr{nZZ-;(NA@uST-=+x<`E**~;izkOi`%Q{mVQ;|IX7UL)NSW|8Qbud zB$NTi`?bS{I$2k-iP4k47B}ps_^f#wr=K1hlBgf8yC%W41-EcvEveRZN?R%eN_Q;d6Cr;_MM& zTrhK_LK829dk+>bN_|6lOQ12IMKqC?Srtr2F!fEGG@hB9B)0!@Ae;ZxBmMwh?;xA%5Epxl6UpsdztnCGC+|5ds->?kGCRau zGuwd7%3=+a4~_hglT@+PH|QWE<;N5cmEjr5c*Jta$%(+ceqhI3sFw1NLvrPDIrBNa zT+(3&ftgvq`0Q;|F4~Rj1ly>y2qJ%=uP*Cm!`v$NC~~KQoKD$a4<{MSdUuI?N9H#~ zSea-kTckl%g0Gh!TQY{v68cU}n(9%nB!+-EejifPSv)@RYGUi2X3L+~At>`nZ`8tl35?FT2;Nb2vZu3ZEPksJZR& z6zKw~li%g*>nZ$|l_~eqt&qnsanYvup~{VsxLSy1iyp&CW&1qCjh*>Q_wz}P^F0m} zsq-CNsa>x2?!*PBZ@q{ZKUBDwi{@&2K%ZvLF}? zY+A&n9bQHPiCQQIIP>PiXpV{;z5%Gf9V4y~Ip%zo6egvT*;j?Qz^`5NwXw0u7D3(N ziDCA%RQdEWyvzhxq7DcA$wJJEWxoR}f>I>6EXjrs>^z?#&{oLh){y-ZyGmvutcx8i;Qct;vLp5TK5fG!-NIV}Yr$4*J^?{~_wb5?rs>`J(*-kU8+fu3 zNsjrQ`pg$-zEz|=o5P9&ZGX!S{#MMfi0E|u+9g|4F&8O)tyy_)YlP$-K_233eQ4Yj zoiEUH30lz4WP<$qd00K~ZRIh=?>|5zP#J^aZw0sd+nJp?wLj(NnctOG6_|@w!Y8U5 zx*hSYIZ{E+LQCk2v-uab!=l1%Zd%F7A)!9b7Ddy?z%Oyd6Y*pk{rL{XpF- z?>lufeEZnLg?^Z~IVJdh#dR*{7FeEv&FbRRancL;gG@k^wO!rdXYWVTFh+S z8TM&G%f#($NF!N%sOVY)CF23L>7kxAgmc|xZ0!A(Ny|RBa zZA^X1qZ*7oHDz-Zif zrGDSWI8S6$eg05fK$N+h?sj|<6No&PmgDPa?UpMD5nFbww-Q#cru@M|38 zL_<4{s%LjC;w>$T0lCuxUpE-oeJS-gWv-Ky|N0z7)?cgi-a$ea)CiljUAgU5Zn4Or z&+5c}jX+Vj+4Visj}}SgBzZGJLg#I1yJ`L4yALq4DtX`xrQd_a@B6~r(Xb+{tgpl( z2Xqpgl(l?Xbn04c(^dU;y2w7{58pmHa`iUrdeODF-R33}WpP3P8E3dVAX{uc zJ!RN)Buj1Kw7*mpMLPJ@aPNq(P347O7{_%vvu*mq9-oOdJVBe)y>pYH%rfz57WEy7 zxMxtd=Wyd%>Jimv>r^!jk_`%N)yj5e8)t^3KTcZq3>n)kJnCj~7{IALy?Qh&o&+YCVJMGLTn%MC+x{2d~fl24X!#0nG z|7OD;K6^o>KXm)kU`u_@k3)PQ=L6ywFO>EctyEZNmRP)ds%=LBzgjPMij>sRE zv^7TYM})h~Kiln}yft^xXHh;JDEMKXzt?W@<@PXon&WccvTAa&cAUWUdE|4L4#$Nv zB-T&iz_3%=&kt`e*sa{zH0`^s#w7s!M!r2F6Yb3q8&1!%ot>H%E&FxxgAq<*@*h^~{2WZ0? z7P8&U2QMkMcSLs>iT$(QqlYJwBG+!47+9ge$2FA3>!lTm$5n%76}=+)%F@4O9Ue>3 z{1CFpXpcIx!rB38W4*O_&3mmCFox7LOvvo_P&EV|v>0TRetsSZNL<&au?T>1=m`@n% z!JO|)FrA;6(#c__&7`CcmZr4CtY7JwPDqOvAnEQm(d9Cw#zkNL=5)n2-e<{r9KHeC zB|24xVQTHpt;w0D_S5@KiIFGFL69Ub)eRp-$Rm|Re+zCBZG-vLG$8u?oT{Jxg+d0{ z@`AZ(1m}fb(^HnQ)e126Upvf;DV*l;<`q+0gh76fslD~;2#FO5N$j~kfvGsG__3$E z;DM#@{oG=lWJmHjanx*XGD=y8{q!T-3DZ%84pvG~hr{DV-L+VVZA+lv4| z{1Np{G3Z{TC)cuVSv1lGxTN79&?~_{5R_zYdkUanw*a!aw&{(W$RnvpF6jYzzSlAW zCrOlU2|Ao~*xgBnwL7>a@Z`P8Y(C&h65x6%qxOKE-3w)I7}*0Ko|T_l%!e}9er2*m zJ-SB=pOe-3{=n&Ec7imBIVb`axX7M$lT@Xedb;Q0^4K5fPrLF)I&a1Xk+MJlwEPkKi?dg#@Ae~LKWBv=BtbZ1Al0SQBIiF+?vExQ7^Uv245h+b#AvodePw@sk&^`Q-Oz=@}ECa`p|? z9#S^D8w3FXMn20mvp9g=UbWXUwk@s5pE-8D-bC3M9q(0)nB#xPH9ec5k{rElw{B3c zOxDgUS>C>spM^v~yH@JAa{$@u@4U<2KC0|5@AX^$$!y~Z5-o%`_L+KI`G+0_eM(Vi z2O9j-*_3DJI^Xu^jDPYlTA&V>*}4hqkrp`G%i>RY6m$(;zj zQa(YD6;}{Um-tcByCzp>RX{Dvhn;K$Gbvi1#<2gBXl)&Mv{a}9@QKMDx2&yzG$C#E zA3I>Vlu8tBRpt!w#BoRVzp5F8n*>JfTJXjv<)Rcr?G$1&JFIMGY&*&tc(!}`2$BJg z`T1h{H|!24D0@QW3v@%ni^1o+oD+|=<{8uMSXI3P4p*4AJWs-Ux4Z;Ahi77x$!T{P zVJycS2v0p1q3E(_*)(NNVxeWgjsOjQymvpoMVc*yMs%`VGyn}G(xbE>hbVYNt9`AT zKgY{P^fRpaXk^dNZV%*w%!qlBvzT`IY$p76NWq)-ERbfv{+X6y;CTh}f~@H~WgNg& z*A^jU7f?F)M>4ozSdv?#h+)#3Y}}t`&tul!+x;Vtqr7Kp&Y`+x zgX|BN?;ck|q>euDe7x$WOqY9fd5tsMjIhiia!JcUUZxbb1Qv`6FHDH70(Zn%Dr+1P za&5*ONpOQkxBXp!j;R_^+SFSE*JIdAPwr4!zriZB2Nf=NrM!S<8Q8HbL-P>T+*;7t zg%e0p2y`J0R+yF$G;KJ@Ai8F+U0FV>CRxbw-{v6XADZ}g8jBmK@nO)O%a%)_`3&3M zxwT}ztN2q@V5i}4%d9?;m!&96lHo?ueI~;`(_73DsuE#aHCwSE;ti;rtr(CCSh&k< zzV+w-a<y4_}zB+Gwn5TZ!x1PI4cGpT}(MYW5rqAJqd@)#6sVcRdWWa|H;siR!yH%*gyAG{#gqf`?1!kRZ<;2lMm{z{ z!Ij%MCk1l=H@1f-V^i$md?YPp>O90Cv;{Qh{E2SgX|nWVirp8YhKoYA?fldW6UCR;7@Id z_mfzj8~m3ZB|Kz^uyN0HF#=z>yzJXu0mMh=rzm^9aln%wWo^S(6=u5cH+bLNE1gbW zZJ{)~clD*RB(gq-W1?`=4xOKly5yaQF^Q3*za_22|?-mzck`Qc6g3LLb4csND} z4ra1ZD$mjDMebP#Bg1Y7sV(M z;cbgO(@tPrSYi!J@hQg>OJR0Q80uychhClOvc<5Qn5LDRPPvxhrR__{X#?wKzdEqe z6>iV<9?uPMNf!q7*zMdtA=C|c$|mWx&B6@HMep~Q#=cW@DZ6uEuo+Y!2G0458~gzY zM5S}`?Fx}F;65Rria8imL>=-SqA+MLu&IBFDj9=k-ae~@3fp%zy-|NP7SuMgnB({l zI2c`RD+l7(|E<+E1gwxR)XUD}nQWUKwDQyQaRM!SgAkXExPdoS(Wma-8fI?k91PiC zHn7#!*E+IYyqDKQk;t342kE%zNH&vdet*_>c%KE0M1yNX zl?YZt=rEBAvsbW5>bz8$b?IdJy7s2P2J{pxJFo7_tA6G@FKY^~w*v_Y)`3vi;dOlz zq?j){UYvIOTTme2Nv0~qC@&iamF^GYe;;K7^lODz%O0zdR<}MSdzAkiz2~mx2tkcV z&H~q1>M7bV;)k=GEnB!J8Ezh(XKVT+Vf@lJ8vAvjYZ-Fwg#W56o)8{BKkc>e9Tv85 zh-D455vnJ4gF-todFI(z)0F4`exG_IBnR9tD)3352;>Rzd(c{WGM~+aL~0;$gwuz( zP=KKH2ZFAC7bXHrDN0$YFU3&le~VwoN%u><(aa3S#7xST#*AA9trpGM#~~HC-U54p z7{PCV{=8=K@-Spg@UxbDcP3krO#phh!{_>*igA?$&=h&=y%Kx5mg@t%@rXO1 za1Ri5B3*_H;C}bvtvpG7@NZGs76E5dE}|ffa&|V12C9r}~1Smzx9&;Fq(2f>|=R(lAYPTY)Cq zlwiw(YtQ+0*Q@&lNp6}kx7?EjSGYL?igg^70LwV}L#$%>XOrOJGFLSEsXbwCuS+S~ zAFDBvvgCn0%i`JT8D%2->Saf#p4>n4=FT0|{dn9>l#2IOyA;v+vbP+a{t8L9@7bTeq;73VxY{dEvJ^ zJ(=Wa_rT(k)uy-&~JB1}YZXOYHsl3^^y$5lnO= zo8{B^egjN8P%YnhtKr+G;0RE>SZifXd|~Cr8f35Xl_8c9%eD*+uA9?;d&cPHc#|g^ zB}uAaTxB8eJ}U>lO6`IFWL75Nm}`_hfpqXH zq)Ml+j`9|`!J>5Ni52<+sdp_r#0MQf=VGl%~gDU+)cH4LA!!y7pQmmaUtIq&1!5Frwx9IwcOJDEBz}^f zb%i6!b2h6x&6j@{P2<%!=%SBGEsvd-VwP#u-=cOxJpUB?51o9Mh^+aBuaA(+o-tW^ zh(4`q?l}q9Q00yrmXAH3Ea}>vCf;L1>OIg+H{2u0xiK5%ufg{7GW9kIVyF}?8?bS_ zE)kNu!x(J=G39%oUTZ4cAwDd2asp3G*-#ywzV6|7Do`BnZ%6-iYQc_Azwo%@@T{n6 z=T(BkS&)Q;WKFbC?_;=)?jdJ55&kF&!xJ`+7CvYE_rWw;XMNp}F6D3Gy&^&Qsvfi>`L;uPSBxiBSfjBsB{Ap?wERuVW3dk7b| z;TC&PMA7Zu!Ov2$LE&(h=`hmten2(}^@xjNmsb1pbkDeeX zKN^l4_IBTUNOZ|`TVZh|d&9L&hjQMIColOP#jhO2KHes5e(Os<1SiyGfB)x;!;Kd} z$-EAI@SOj^&W(huN3(Vn17P3;YkkDToAv)uc7CpKA!hpzM?3iu{ z;SFww7(*`jw3>~`GQYQfqJx^`HY?_uE41P&)Ob|cSaFi1Dp6mg=pz9FtbZ!&1M6l| z8l;2OC&att!~;fzU~kBxH6Cv4_e`j-&q*8G3fFSZ`aZBF`6HFzPyMNtch5QRe4of( zyBZ6YfNE4>$XzLl@=oM4yTD2ilT2)*P0%I6Jzgh&D#Yc^0r;-Aahvx&qC8I-5^ z+p?29HMjg-KmYVnSH1-3GF*Qwon@Dsg%;HCgZ=sWqpHtK^!AjElnNuWEF;tEv3*QI z*_)LegLn(mpu>xjbjLEq{&`d`f&R+yvP14uSCU3lQT5EpDEP(IMqPFprr7y2`+f+F z>I)VY$>Q!+Sh|0rCcM`dqX<}7fmmo%@v;XIE}(S=oE;uiw_&ON2e_AYAqr?6j|#Y6 z+0!P|eIMVsLcOnil8NB}y6=gyGhI)|xy{u?dS5Ukt}GNb$kHFUKP@Ch1L>^a}Ut*=lvG%b%ltTBHJjd3s9 zMpoCoCCuFOxZv4;^`5YoY-_o`*B4E>4Rhc{>erlmmy_!u(d8WPH3qXx31~tvR@jl*i>? z-q%Gt-x3W`F23u&$-av*bGCz+Gy%WVw)BNxkgPrn1mT@wHZAmF*YxRB7!M%@Q+ z)~^i!($14QXo3D|+uuAb&RrFI&X*aH<;sefdg~e+me+0F`q8R= zyAg>Iu^tm_-6@9s<2(KyU5O;hbUejo!}^4{fzloBUCo|Y;5$*2xIDu4olxxKcJ46Y zpMOg0lKRA?6`r12Sja2woMn9Fecv*C0^o7ZNWYV6YkL7kZ(&HY9V0CgFT=-^V6rQ~ zUfwecBVXQR=JZB8&F09U;9r7QAMqf#1%`9LG;OH#Z<~t-|2JNftKiIslm=7o|aiU zjdh>gOnj8|#-m=V`vg>RpVl%lRhP(i&{fobS(0&1gjD^pW}+TMSNU*#xw5_#N7WolIDFAjbvXA)+@v(3=_~S4J4QaWw;TgqO zSOmcEG}0?IoGQ4bq7F7z=@hWr$sRIth@NNn?{s4oytkwpJ<*@@%;tY=izaI?RM*&4 zy9g;LJsS+}H$l~gqx(jynoK33eY2uoHrecV$%GYg*_6@VJgo;^%vn2=Mfk@V8R-ia z1SH}L{K_E%&1Q=5q_0Gx^DI70_z!Ok*p4@>S|)?2;9*3~u>dE557%lQSDz}~zO+Ke zz>#g}sqd>p^Xu;vgL63S7H2@q_!Zh$(s!g;rBYwq&J)B}O)I_GOHL5*#ylXyGHM}K zFJIiiAXJz8KLNjYph5O6_PEQu^B8k~f}FvS!rrq;HqGeE$2iJFeOHs*nPmRN4lSbI zv}x#Y)<30<0Y05eJroC$ioCjmihbifU zt)}wz2D_U9wxYXI^k@(=)1V){=5b84OL?B)#19Wy*F2kKvSOH$AoZ2%)%*SM%%Arq zRc^tmoxu1D`CyThynN%Rkw{mPYkk=b%G?@NqDk!`knKSUf-|$44fy{J8;lEjK=T9s z(Wr_M;c%?0G!=8AnfJdN#TOn(_MkI?XNYGcW%34Ak=NO`kCj^_6Z{{ES&x~Y z>U>f2Ce#S({!9kDaQ-wXm~R(8u$z&8@^i`Q|a3f!5< zK_uouJe`a#EY?Kv+CXkYG*ZQNR7_-#@TJ>&Ue^U&_FCno`8b1DhvJ8Y*I7VN8dKLir-%LfyOGv^+y62qw9~vfUx86 z-nFUvlGjqz7oV!z6PPO?xia~ordb$^9IAxTA>C1PC$dZKNS2{185vJ2BIoqHlg+f) z--YE{Edfc3SYo=7kVChY-IWl~t)lwi!#o=kKck8MXCpb%OoiTSMZ@1Pe8Zfvos^ zQnEE_PRn$#;p(YSmNC77-xiy7@Tvq|`Fr#HJkx!xS5m!NZk?xDV21W#zGpwbhAL5pJVz4s7DL%p z_NXA6Kqwp^9zzol0;&1Tasc^c>MoP|fhCvtJOoqMt{gi190F3uyc+8?Ni9Yb2k`dE z;2oO~8g*7O`&)ti8BDo7b7n%hnvhB|D;yb91G`%27I%S-dwnc1Hz{09{K4P)%U3>J z=fT=1R!wxq#{|PanzHrE2CMcH&Xik0MVcnzQVnk6gELT!k&Td8ysgOi2nkNy6&T~d zIP;;f% zk?_KwF^47*NvbAp5Yb1r`$@_s)`W*1FS*V;iT-GlF|Nylh4aDP(LjwiOkYMhlH14e zh@lT*U=h;^+k|Wrq8mv&R*;xVIQVPgNBHBCO!T}Q=s*r;)D_L5qc@_dO9+lkSUSh@ zUB$gO$gwaBs!3`LnmIErjc z-)~hYfE*w|rV5~UK9&8Gag%e)qSGzyDzkveMC>kIs>@#TQte<#=Va@KAD3+5WZ|jE zr6S86DaOJPNyXu||HzQa5;a(%en&i;OCj}jvM4mmB5+Nm9 zqthTa*m~7+cnUiF&S&FhZ`XU{n7{NX!F`kCS+TPUdoItfnYg92ZP2^O2NV|_@V(p= zr& zlDuMEQe%dL4ju&4L(TXQlb# zWPVS7EO{=DVq($!;1<~tG{!nizG`AmC@S)fv(jk0RJ?CrdY%<#9I9JjJ=b*+O5)vS zoV&q1^55GTwLCQIo6L$};-q^6DzTe8eDQzE$P%$55+Z+_Q^92Fp z`VKrjX8vX11Fw?5sFtuvikgVNtId5}(p2)3So)!O6)Lh2s%3Ab7dVp@^-vt4rG=Tj z3js)VhhzP(k=rVNqYi{rDuM}OMbjwOZ9(PwSV)ocLLQ*ItFBKE)6N~t(pPG?%mkgS zF(wwQDF=WN5gB>={~ZDf;|?UxQSa3-0#*7xWM|(8{JR0K6}-Dcln>>hxv~3&B`weEAkMB(AQvvnzM? z3L@Ce!;rTf76F3)_*WVoM`)idbnr%@kX#AUZ#4ktudlYF4%WBMwsV^X7>M#7Ta&W0 zkdW;cD@6#63eVVKU&|Cn+I@6}4sf#il}gS5_DS9n9&Xwp&O;+#IM_3py${b9ye+Ee zZocCw!F{UgSj709%T@2WmW3_0wNu)8N!+M7IOsYunt6TFgEA*+4XyE9TV$sIU0B%j zFBGXmRBClXjK55EC`oeY1EBXqGY|yooqJyl0mp(MFQ7v(xGLuht|0`sD$fh#5HCuV zgnq#{#E((Mz7QA^6jBv_Au=Q?rYinIVn|X-Rr-a@kgQx@FZDwP<2vYr!W=>ogjb>| ztKd}eYBY5XoF-n2rhOczgV&|$>EjIWhBPB%oC)5PW@e7Fz+2L+tZ_DYTbi9c?gaiM z&A}1pgmRK?lccioEP4kMDy{*`QdRi{{UPdK8O|^f(ylm(ZWyRBJg-x~H3mxfQLWsq=Wd?qa`8+Q?(L%Z~E zpaf5$~oFaLaO}6p!6oF|D|0+Vq%M%G}ZMQ=R^6Q0u}zLnL(a*I9+4PBtd4MigrC2F9u10MpWz`i)4ibYH>~1#`z&8B9A$$W&JM) zw9eJ;dxvTv`Qozxbo2)R*yasu2|rK63ly<8pT>hH8!;BZsGMKHe_o0!K3od^d@727ISt2{-nL!`Xw z*_qyV(X$ovFNxa3tPuoIjrZpp`Ojxal7_s)nSI!N|5}=bj^|Gc`51tn?<$wKuEBJL zmz}rnce_R~Q3Wg>XLkkRpke_!)yFX%PPfjFjvgbME&_7rW336HhZYohp+kUfl6&5L z%Z3F)XYrC-3!^eGaj*9C2RFN+vfyYPfQ=3<8Wu{ugjTi94q2(g0kTWR(jz9#-ABxsqRwLOTD>psN z21p!t4^VkYKE-jRB?)v~&AgKM_`h9AzVpNT?(CTzLJ0kI4*R_uzlvmt$L7GbxN0{VHMJbD?<8J65fuRRXbvH>3n$7*Peg)+4;)CEB*6 zlw7#~wj_ans$Rt1Hr5yGxOtj8sF2=I3xMR+3?#m3&%?>!JePxHkAaaUrnHk9< zu}fJq@vPFh&!u$HQor0?s;3=ypByiQo&Z`eU2OVGf^^M+mf;s>N*82yK>0=^_u3(K z9J0@D_T=}Xu61+WQMPgzZSebJ?d0*cJJ{yJ!8ySJM6=r+#c%%of3{KIybsq6EHF?>J0T0Lmhxj zdV-Zqrgm%nI{x-J0{4b=ocWQuHbNcbbC73Y-ctAMUT6Jz+?evwVv_Wfv!_O4s*2R{ zl5Et>GwL&xQwDC!ESQx;n516-$hTL>nQz*%d4aobaRa|~l7E@KVY9`(VZUQtFR1px zb#k<^Cp@1IX4s?-tB`?#9QvDDPSxICq`dL}3fomK3UoVgmk zk-e5?_TqeDGdlan3d?Zm`mKPFP9C}7C_b6iq<6aNr<)={!xeVv!hHemq^Xi&mmR~w zWUT{5pwiycP;Edc)w}&Tn5up)m|ZhZ4#v3eeART?!vHfPL3H-HClCYu0Jx@2I3~qc z6Qp7mpz_8&<3sbgng)F+V^&*=#`9_3);ts4CQdw4$&XTz4%nueVHQNF=ZXtMZ0dXL zJZ)^{Tyezua~PUOs4~eqyCuvUs9aMO2+x=nf74G6hIz0E^2QnGljSCPEY>qtv6PHf zVmkO=7U^>!4;!kF*kyGlj59V_{R~01(9qh)9!nW=CUO9-<5kzk8;$zyRLQ`r&fe8wewd0Ga&R(5?R>hT#ADHbahq0DdV68c3ZrA%x21HN$EyM3GcBa_ z)_u+obHWt9rDV$E(twGW1}nW)m`lVEOb@q|_<7DYU{a^|lva;YFsaE!Jx_)q=%>&5 z*Yp(0ft(Z)??lRJQ z7#}ToUU+l_J+G)oYmHK2$3_d`1DlrNdOO0fRbMcFl$r8{8z%f~bkBGNU(hrpb^vFn z;|bJ^n@vpqyjw4>+X~yuwi9O<8$*+mB@Jf@Bmt&XqTwv8X6|URx`Xi)$Y`7f)iH%q z4Xoh5f)Dj=TGW9{2cGW)@DVf@I0;Vmh8`IE#1kdCtS|ZZ@y&>z!28`3>QmOeS_|Qd zm+kM+=P`z~B^ky}OB>C(OTiNiq+?*uz5T^T;8Ps$QA6)z8!5V#60XU?ZVGcKxW&Ic zNhlyBj#sD2N3r&kmEtDb71`ev2GCdL0Up`KEB&vb^Ny$b`{VfMbN9Wjd#}B@X7=8a zy((Pe+Iw%=Dcoyh+*`WRQojmKl@K8c(bD2ZwnD0Fgyd_+=jY$^?>X=Dc#qfn`9jY% zQL1Bd9~_%od>+%SB2e!b6&2slX$sqc|nB2hlGx&8u7B|HS!cu2ksDQ+z$ zKm9yI@h82P11@yXO7_9i`Z{T()4QoxptOD=pWnq5H_S_G;t}~4r+ju#4O=@l1kk;e zx z+LDQOOag<(_qCk8yv-hgyDXZi9^%-fGOUCc@&s;@AT3K2^i-Fgk`?-j;qIg_pmfaH zwL=Mi7y3Tc6><(2AiN~-RRhU_@th{YD!YW|m(jVqwQz0Mxn|vTeLMI(;;Q~EES6|^oZzqi z0ie0gzL-Dj9oOrjC^v>*yarF`gVzQ&Sr}8KI@jRM4?ikxm1WY z^R-qEd2B?7J8EWzj|0h2oG4mKHqWc`>RjK`-CQ7dXM6N> zV)1w)Zu9qODgxQ^9|^Wl75pN7ON$dht;8be0 z;8*jF9?UofCLA~@mm{VLHec4H6<@7EFm8Xi^xLq14%``dmp_9Ph(;3qS9*HfJWDNc zMGuY!kGJ2r5D57*H*$YLwA}gSJ+nZC{5R%YYfugs+odvE<{Ns_>MsZEjry3_i=aK>=|5qkt3`Hv>%8Ag4Gun zgoNv#M|EhUtA>j%)QXfZoZr8k0wE}kD|hQ%QmM^w?TIU0@6b=?_1i&fFyQi;2MhFw zy`K;MeZQDh_ai}m`9EbND{vwEr>4ctqerNgFwyN_|NT!-Kwrn=8AoLnxSrRhrTqCd zN@))E{;X6+2!N|k0<5i@@yUdHHGzZt^Nq?Ug5-;ze6|q%UR}6B@z7?_RBojC`Xlze zP{~OR$53r#qS|XN+*_mFW5IFZHlixyhoaF5#&+@K3>MJBqfHJ20u_}{v?-Z{btw^| zE$X%0lvr9yi_+3$07eV;mDkh`YxLI*6OZR}f$gn?CdO4csY`}N#lFPbaPQ>=5tPZt zy>iT*2B~?TB-a&n9E~I*PaRa|rpCWydGYuECob0e&fW2tzm$s>wOi)Cg(B5$V{?jg z3=oQ02Bri{M={MG7#OvY7fZ!l1tbe1Gi737Kza%fVi1!{ibSLYGvy}3*#`BMnQCJN zd=B&+eW(z?!E);bE0|mcj&qxf%(gq!#Q~H;r(bD@jIC*IE2Sjeon*k{2}V)#8pW3y z7xkr89>EPCWWc$MTbcTUE#-tE&#Yq&z`sO^qNsBS-_&I&e@8NHwG~GEuk9s#*;41l zXnozktYLHEp(e76?^XJXxM636XD^L^*pSloqAf^7r0l|p3R79pJcRRUfg8K zs>5zm1An`7)ey3F>9V=+qFB)nFenb>%pC>{8UAxCgQ;5^FEPxl~CLz^!}`>VQ322Ak{H9%Ey0Mq`Y{rw7v3 z$FK@d*VYs^t)W^BKud=5#3&!?nr`CJ$jJq)m|Wl{_zgubaZEsC-5S<0ns=3H@a{c*5-}}R00vU z?>M8;w|-2oJv~^m`nF`NZb_oMJ^CsQb4fH}39wkv0`KvwZ9Mfbab{f&lWNgxfXBjL zJOZ22+KZ7rZ^M%C_;E2t1oj_y+ZbH*4^S0up1{AwWDE3uG7fz^oW!>@`MG#xsZUbe z(qnI{UZ<@KJ{@6woKeQpr4#LOiW`^^Wlt^fJ1j^Lx^_ImcPg<;cv<%5D6Jg>eTfpT zi{IzUOV1!};-M&aZ{Gc8JSLj2!?pNjJ*&=6>V4g8rA>mI;WD7D9-2&j3WCK-?TaJKA^5PL{$9kH@8!)%R(+qzS! z_5WM^9S%0DXxiuT2s_f|MjWv-lT~BL+5V~t!KOE>{y9}&5&1viCZP;V_Dr$OrWQQl zX1yQZBe=HXY$hyTYj1*Etl&>vjV7K*5>1o0CPodZ%i^Y;%Ub&**0HKUrp|2r;(i#kDztyXMmCv$3zFK9-2ynW^;8 zLC9!_c_iyG&DDRE6h6s~KR??9Zw9p&0=;kL&%t-{U&CiM#IrdNeDg7KabX3b-Dydi z*4gc!k|P$6qoG3ew7t^S%CyGnUSCpzzca)ey=od_G20u$d|MMjolOhDJ(CV8%LGG| z)lrK#2ZB_hdDG2J%e%7pS`|TGwL_jyg6yA}1=BN?f-%pWgXDfoj_)6|2b~Hi3bI#! zW-qQ2RF=sXBy;eg6Q$J^ZAbH80q3f+w+Zuy!v?XeJC`jrD-I3^Q`P?;4TD*Vh?RcEId8h40`ac&pcd59xM8f)r)!JoFIS|~S zp>fXiBIc>qXVnNXfABF;O+YONVg{){H1MsP_6uTiad|ODG5#{RqyM9R8TLcS1l&U& z5IPMQ2No;eel#_n8b(lDNsrv8h&EQMKvjQ#OQ`ur@-TLVTCK6l9v=m1YK(Qm*Kv@) zJ2QhoD;A<}(=u8N5N7ER{59IlHg^wLf+x3WgdX6upk>w|x|7nClbZ|w^WovC){(L3 zbXQgfh1);;v#BI4WV?iaI1jN7Q^<9nCdF1GbPe?ai8c0TU0=HYD2^kaM~vsHVP zlbJO{$1BO1$jPU+`_f3Y{Nl*r-7wQ?$KogvE(dFY{B**f)+6UAS$2N=aFg@fK)Y5X ziwp7%D(`oDzVN0@w+kI5m22gLn44W?(ecLH_3g{wyN z#Op4@$^~2`YBPN4kz5b%_2lj9)b~36@1D>Brz-WaGo<95MNl zB|e{~F<`jJT3bEq^pc}n0*h>Zo}(;#I{2xt1!>+=acpQ)@lCC89!Z7)mrYM%#YuX4 z0Qt@S91iV?#HD=BAvdkyh37RIJU)4D73FM4%7&#m3d!i=9I{E(^N}7n`O8}gdszOp z62GOJa7+Ai@5uL(?&x3iH#gjTKrg8@1Eis^K6*r%_^W0nge}zO^0U8~Q13Wo5n5S& z8%C}{S+2ChBm!qrgteaMn$bbWl3&e$I0r+GprzEW28~&yHT_8gvm#Mc#nt;-{1G~^ zCqG(sQ{LlHQOJh>eeU?N@%Pl{MXy>Ik+I`45|`gIc)za3%uXzhE z>P>mpdB4{(v?2_(Xb9z>?g8Ut1&*mIYH}uZF9t-Uc7A#K=UQ-Tj#oe|ut zNLq6Az29*#2$6nc8~=XGZ6Xd1-vTE$yh`SSw~CpT6sOIgSZ z;U)Kcd+25S7P^S#MNIONOWtQH)qCkTZ%G&oJNM{MAazHsOWAFwCkDS9HyKH82|+BS zw4#3h`^YV_8rd+&lfLgIXvrRz}Cap6mL6&^t-#s3$f<~YxoW4?28kWIs zw|q0OS~e=p+k}gv0A6M@qV_~#qCEHXxqoINs=!cTff@W+kPjILV~ju^=D2mme?o5? zKiJ*#x-#P3xfh)b~(PtqKnq7 zOQrMXcaMVt$tH0bw1mHZP2_98Brm;_!R~rjzVNgd@p-syA>_vGhmLRG5{J?*+l^gV z$c2&mY%HlS-T&7^;ZJw(#pq-Uc>{7fhU-|9dbaz;Q+nGxiIQLz?W>KiJcjSpVz!Km z`fdAU0A88TBP}p)HsF?dUZpsNF#bd9W1~Q`mF~`uptL5V#-JT9l1T4Vo6}!diL{#c zo2eqVPkN;Ocf6%t8y2N5)D6K!W4+scr>c$Z+#k*09CE+e>fLt6@0dvEmmL$wZp523 z#EJ9MKcZ?Cx$R;_Xxvxv5+{{xE$aR#wUYMT*a{6no9Y7jfjolf6JDHP=AYsMNprpj zrR&WZl6_1H_0a>wmo=E5>Y~5aXN;YR3Cu`PJ7iNG?Pmho23Y1+1g#wNLAF3{ct$~$ zIpXY`gBuIxb94nWf2J!$QzHxR@1rF3LRBzHGvO5z3LWeV8uql+ zzJJ8X+jdwe9?@7wiTzuDB{_%y{o_&hi@DT@Fd+QQ?6k8cl5ACfW;SU&sIB7@qjg+h zIhZam(m-B8PNbGClSfDGN_g+tn!<(JUZFxtm@U#SLvY_yxRR4uZtQ6$?q5Fwh@veA zqEGdv>%@m4+fJC8=^g;M`VfHUeJjj=n46<5>y+}Gk}V4Omm-<)`oYQx;a?_~jwXLZ z83g__YiKV8FEm(R{UzJ7>=e75yC{q}_$dQ_=HhJLj?1{kiiTI^4u%L_AxAJdN;^g8w>K6 z$u}B!kNyloa_^fU#p9&arNS4?4ryCj^DI67<2IB5>o3J>d4Kv>23kFj-=9Q&dmcAj&i;8)2T+$Se8xr(zz*8m0L8< zuLx+VN`pc!!nixjbMFcZT=$IOj`vtK##uidQ?&AP9ry{rpz=p|#c3iw-*>1)inGaVbA7UVHE+!KOPPo3_Ob1m0mCH&f`_9 z$vyfjHGN&~_?MUJMlTrs!REq!hpR~+H3dx$#vXA6_O)~LEnZve0|`JFz{uazv`(cS zA)!jSi_K}y)K<+Y8V{ob){G)4o*M3&^e5@}lw6l>PqJO(UstHO`aQ;li|bX@5$lU$ zimKM;kD()kl@dC_UeiM4&o_KpPvv1$Iuj;S&q=Y-{7)KN8-oFGPZfvYu-}{jt_$$p zV;ncAJla53tFf2F@5*2hO&6t)umm+Q?VY4h?2$0RjQu1q=w{q}}BmG59NOcgF0e1}O{>4o$y=f*~m+(eQmass_@Cj}v}`GX-o z=B;^^RvNY|cw=RB4-uxK;dV}ge?NfPFFGBBuI#bt2MyA>xC!;-gX{qIMoQAYTK>sq zSYLGb+r58ie*Ip1IU)YTOT}UTbJK>|UGsLpR8$>{`Qx)okYVA3Vf4q&-`V$-O6?d=OPj!_e&u3D^%ItZ#gnM|;?`ZWX^*}zzF?FQ_PtI>1 zD#^Bo>G(4@)!;}X&YJM8?#Daa4!1k*?sINv*iCmGjxBTPv?v%f`;-3!?5&K&Qvt90hgMnqLpG;QqX(h1O_ z9ZOUy>rgui40|wr&CZ{YgQ@Wv)ed>mk|!plLn8^;`oSiM3(pjO7T>ZcaEYhdN?Y73 zGUQ&)T|3AEQxnoX){Ad#4)#NKG@HnQZc_Offsk128w-sLD~M=qyFh->V!CBJbD;#( zlXd(R6;4>pQA?GS@#m#U@_VA_%bemrebDhKjI?Ch%M2!tH-n?U2~B8_ynfOmslY z9k!k2oo_l<_`8(5RHi{g8_gd<+Q+HZvO8MWTeQ0F;|*Uui?KJ|-!?h^v+eyqo};~V zslUPykHg?L$J2)8aEpcj)`+M}nI<6*6mQ&Vhk0}B%WDPEcM>oH3dA0}XDezJ4kE@I z)<92rkedFqKuqDpL)l~$?ET4+PHX+3o5#GI@pp^BdSJMLCw^t`I$C8n&Agw^1nRq{ zS~9*~_y32|y59xY7bMKAS;^KcO+gXPWR%y)hC$}Sh*dV5Ca6(wvcDkqhFP+iBUAE+ z-sUp!#(jOYfaMFmeYSgi$11Prf8#qa_I&$&^;`xSuJ#}6)DK3 zl?1|=As3*_0=Tnk6mib`5Sv)Y4i*-UWjS94y3t8GR_A28?5S#yRjz&ONnj@zX~n{1 zaTY9DG|W5v2kB*#KFjkeHe8UU$U%LCO2AD^WsTLU`F4#mOGNEWV%M0$iabSwSkeR4 zqx}yiSz_*v%mxS5LMOF|iQSs)qd7dy4hmWYD=)6IziyGn1Reho7wVY-qsbSQ zRDM{J&~^7VRBCrN_t4Es4D|p^{}alkfTzbP%`}bB$x0O11{hPyLKmI>u;}o44sG1L zw94h`bGmYbI*&xe{_~VE$X_IFT)cZ}{bg&Izg?`uZP6QQg4@BT-chy0&v7V+hshTq zj+VGJ{JAYCh3U#)l`_}<>CgSljv4SXBH#Gy7cKo0qHhE)ZU!IV{4j(6(BO6;i36;2do6i)GWjfeTCbI$(nh8&69>=&AwviIopT8 z*v;XR*F8mD)cwkBp=9f;!XZJ~B^F$(YY6ANuYOHchV}yHWFep%fW)-4b#(P0eFH-y zO*K!Rg`0o>dL!Y4HV0JsYhAfkk_k+I1^)5I_47MB04 ztUbML#dXivDT2zkX`?$f_LL)z8eHK3mvpdZ|AF9;>Cmw7&Z_9H`uK!Sc2aUmYT9mk z#_`M(0N}lOSh+%)ROnf}P)$}no}3nnD=hn+0@w=ehw(Wzs-Y7O|BT;?*)9?mULST@ z3=WK54#45Nfmy=}ypflPJ>V@hav6AA4BM3efeU~gNZW%UFeI`$y<1>C4ng!>ZHB?8 zBZ0-UaQM%gSE_DAU%PYlO2wt<3wO$|)YQc{!Y>&_r^3AlavdW>bzKI69W&!~V+R}^ zj~ogzm@I*Z>*f#89J5dAW(=e`rj+SDp~rT>oufVPoVpTN6rFe{=}JRw>;oO?a5(yT z5V~Wdg+>wzZij>QPh-j6aPt&JN6{q-;@MOVUkXjFc{E z0Pl#|OVeo{fZufNyPd|jHGsCYW16*b`Ocx=-{EiDw=P`Zx(p(%9-QDEI3KFllGf8D39xPP@XK!nGVq! zAfSC2O{1l`lZSNB*Ool3kv|Pna6ieC!pq380IqLmLy;CYXx#KQ38|0t_9UPt3d`049nWzI8I5p(GH>nE!3w?&DQS|NAD^;OP0J$*DF`nPhyXl7 zVF$kP+5?R|_-+Mto_z&N0%|$|-~%$`y0N;p3;Y-j4HaM+Cn`cYbs#-45DDOez;6J7 zH?)>NO%V4<-*8bB5U5M%5S`Mh zQOH0}P*|J?;!_k5=qg=wy{)VWy|ULL68Q~%AZe}~LG@Gx_dUG6yojhUx4=Rt0w*p? z#?m0+IB?^Z5cqK1J}2 z^I$OIw8W-caB)G%g3Cii$&f@`m!T<;1$dV{T#i86nj{^Jfku|?C?qGVd`(#P+?*jO zr({)6Gu9HP9#9a26i-_CI?6@rq)>8cctN~~B_FDCM_ELplt|+RpIM1Y?fHtG=N8AG z8Pp5@O;ati0;@Ls4MNKB-RsEDVp9;W-W5e@owCHh-mn01F z1{+@9)yVI(9Mqa-x>D|*`5U~ch%7Yq**$maEJ#&>_<9&@@D4X2#`mDm$aK0=nj)ET tvn&n);2sHogE0``&s|jn9wCXM1E;F!$o;PmfSH%eG-ZMJ%WpK<{2$VW_4oh) delta 41838 zcmW(+c|4Tu_kLy{GlQ{>ov~(L%D!c%EZIU?vQyTW8A^GELB=53_c!euFJ+&yW=jf{ zhRGHc21(u_+w<-BJAd4t^T&1ncRuHw`?{{XYYsj$2M0Y4&j3LH41fbKBq7LG;&1Z* zA@}zb1l;hAW`~=TYM5&Zk89~gJt!1V!a<4QN?Nyn-`~=sCx{Z$ew_QaLP$smt%xDL zLIUi)^n-l|y#kd45eNZBE>|w{%e#m~ci4*;z?H)=papVDqC}^X4RyHW-S9m+W#AK8 zXd1Q`Zj0QHTDXSB%Y&mLH$*|P>*Q|IW#oBXm;!A5ygSSn47-qwVmL3?lMyTA#p2MH zJM7wLFkrK2=o;mm0Ik?rf9cqz(Ix0wmkE^DN|k_U>+D3g#!6pVn%Z#F7>W0_-`tbK zT;H>FZA^2@OQ<0w)9czA?iJ%TnpCg7F5+R2{0EM;T+3RgXck+iF6LOryXAj5$!DGt z#0Z~U&)vrk!)^-8De#M#;%M&*oZG{rn$!xY02rk z*OQVHlNUpQ^lhRFtiCzYKjZXe&| zOEMpUn@P2kbSmyGrV=q&=LZu~eJ8<%b$0t9UWhk-*t!%iR&0PMSL0{F)V zQ4Yt9sRQ0@Rp7v38sPD96L16e%LioxwJr`7fe+&UO-KjqKC12G?*%M@)LQU(MM`}9 zv7?9MhGSzE?GhrAFX;n%5TFa_0NQ}E>^QJ428aN{anHPi75ok+a}>~C#a=wwaV)ge z6b3A4%``#b0MmJ+zucc*9{)b9Qf5W_$RdWG;W&yW6H?_UBD^rd z^8t};(9R64Sysc3F2&DkIbWD|UTAPoaV~g224Cmz6ngTjU_+A}ft98ACmIlQV(U@s z{M8%w+Z#EsGrQb1!$Goyad(3Z=P6OVCBa@%x>-sMKdu~E7Nak8(QaRevi50 zd0WlWQixjI&x+=WxYF)bQsD8~R6)gtt_2v96!_^V}|>e>xD zp%&M#C{nNOLIl#t@`-ENVf(Vyb`?xY@Hx`fphQ5G?}t}0*-&SHoBnh3eiL2JO8TZ1 zj18BC2j>nGunTN-E~0GZn&?XAiUlG(w||9J?Vo)a$;c7~|7Beao+dF@C%$VdhzjHl zra@ea9AgtpLx}a!I%)jX>9uL!AS_blcVN6qT*X}->~oX$;_WrgoHo>q%APIprm?e}mGI(RFumm<=rulHeA z&CTEF0b&S^zJIs4(Px;q2qO^BnJ;KOa!Kekk^RcrAu!)D2WB5$vhJQk-v&F(e^LH3 zzdvz=%j7uo3HjA>2lN|W;2cuy4I~rUXvW==F!1ijM=wbW=mva6W73^#^y?BO+--I% z;%*J*izt&+7xa-87&Ec4zaw%VR%xrj7GO&XE)@R4s4f>=ha1(g6f755LT1;uOx4jI zb>N=3_#<}+@nmdaz(qlW+73)Jldwr$zB+xAxOH*s{@eq2+r6u;IbU{Jd{nH(PCNwY zptjt#S63XY{#iAfvVH4o)+lCDOaR(Q3OR#fW_o;KQBB3|QFWGZg`rQswlH&{&#>2T zMx1#L9R-gX&2N9_tKQ=mCCWS}6on(tkYJ~LFj2P49a~OHDN1<*Ra!pfXPHW}jA1PE z$R_hwOsS0H=Z7qI*J1w^>M`-0%Y0$*?$-|H7bi(}(x$fOgzONrXKnKL;GAFY?Z|lc zo9iijO>Qde!<)L$b>qiV2uZrJ$-hXA1%y9eRWLAFzIRQ1`A8-lU~Z5E%9cunCcMyL ztldi?>va)JEQbwh3_6?jZiBDEg8xy)2?!sOoSRkOmMm>u9v;5HY!pzK76*gzj4t%Q zldB|dt1tQ}Uo6q<;IR`2HCj}xv&7N~X?FMrs1G!}dHed^#Eg0V;N;KWLtcZ^@h6Qa zZaYQJ>*O|Nhh9w&$Jhi9<1>X$R~_K3om)8eE#u%0%n= zgshf_i6)Iih>CGKUc)}ny+F}q49gF`BV;ox9+9`y^s^c~i_v_{`nA%`wY{&=s{yJ52G*g#G-l;IG-KorN1`I^WAMgzJAhi@3%K zx|kL)pm})e8#~jacQBx8Q!;sNE*%-I3&GU-9q_@&KT5?537?i@3&X-n z6ZC=v%?{_ck}O>Pf0JM}>BC z^5;zNiCH4&R6zdMxtSeV0=8_uYYy7!Ix21-g?vfHldoGeG?z}b@3^m&{}ivz?P^2) zG+%|u{30%$9-U456F8aKfboMdouUNBeAy~o%n!tyxaa&WC4~l|-tww|{&2zPg25Lq zz{vq-C_vOdkOWsVIi=g4ycAG48KnJt`>9y(?FjNGEaFm;II}1xWaSx1ixbu zMm0dnQcZ{jf__iU>|_gIj@ek2z!VaOsj+H@qk~PlMOdc@p zs_Wj@^|D{q!ppZCCRynt{(0lKhZFl%_SLn`l(-){SZnkCZv2=RQ+{c5HfOJHalJTf zL|R{3#?Zi7#^#y1u!a{-NkEt8%z=-Og55B+Fz5J`fj*k^(8qy~Ni9^vvABj^oUI@IJb&^)PzyMAk)Po*=z9r^f4ELaA*;iH2x@T-hkV33Sc9o6=l6>1~YDYu8D8wue;8J3F9A7 zXKZb-FiGe9cStN;o)`{~e4B#mW;;MoCct?s(l+}R_O=r4+PEY%YkOBVo&6m7Ry)pg z`0U#Nlxpz8nPsxq79WDxsIowr8{gl&ok6lnzt61T4EG&dDnz~@{)|rJ6pc396Tay| zm#5h<0RfS!{iVl>)d<8-L7=mWiE|Za2%n%dBNpB|v$*==i;I!{Lc5EZV2(*rhX1f? zk6MKakvJ|s!?pnK-PdD(cce4E9Q-#&r>Ih*3Csg5koSVKJ&}-fE^NY)?_1OVM z)p|>VZ<$|M&NIrm6Ik|c_+aIo7sC#=Keu5V3d}X<_xt6BFg~(r9TZy<<=GCH+e-tw zxTN4V7N7I!>4TsFtAMrk9!ONpY}td6#jnl`%C*5~U$tv*OSk$`(u!akhx9}c&qoX8 zguid@m}AgWB0Psfa<1mMz_AqLhpHI)(z2d zT`1j(D_*Ipqtu_B3Ll8<`bw%acb>!xss>a+HUkV_A^WcV#wNE~{Wt7~5_5V%k^;$8 zpNa9wl*;{-Cq=q_B&wMXYbhT)lsY1*^enXjZ4hiImL;9pZBEN7lZyf?yG1y zUq+H1#rPw+f!44fh;G&vs7$2t0~scxEUkMTd}qa!(E3udEl%wV<)lueS={34pK?KffQc88!eJwf=w0-as@Xq%Y-YU zc~Q$VEBQhXs+wSBlw9xjP=mg<7X>PO!5@?xN>;p!PH;2y1!a6ab8%dgt2|~4Ig7o{ z<5y(h+n#Knp4rkMsLw$|=~L7d^S;z7uE+q{8h6jbSK1F!y5y=Ckc<3KT_F8s-nnn_lVN;OX={Zj=QqVQXPwqJ*6Z<@)^F_2pRRl zj^=1H*Ivw*C)J~7EL)`8|l_eOrj*5=sN}h9v(*=sfj7|j)ZUvF4-Y5fghE$ zi(9sVXND)ClY z)q^xK*7M_Ij?~;Fxw>DdbfC*9+1NO?UhsstL!x7H(oy@d$jCS#Q8~6wBAnfoVY3(! zPDs3)%ElWQ30C+@y|Ul&XwUeVP8&kanaPT zToN_%a17o>C!ef}O#CmUT=oUA?Z;rwfRx<|uII~AM6^kKGHu{-mF&?8tt3Fe_h{|O zRQmo=pp8R_2_i(Y?X_$FtLXNLOY>K~Tx<1AdQ=|pE59zCc(5}!iq%ff3#p@x=)otp z!$Dg3(dmTn2m|}_y}ohD=^hdG!=EsT*%4nj0#S)3k<^?wa;s`$HH7duwEV96>XeOq zF*#-V(K?qvr#!LbO0u3FRiE-XBf&E{73gi!^-Ga^q%D%4n2r$Cpk%~r>oTJ3qg_Ui z`b*(-@s#Nwp;w?fU#h`%L)P(`O80Mg@Kh91Ha)UG(`PG#+&N{85lNH9*8 z^lB|UFbCcEFf+1+ugD<$qsak+b!{FSFn-^d8TTpTFnZZZ=cbc-9Ow1F<#;>x-3fmb?aG8~N*TkyLxrp6!ZZF!u!|E6d}@CQ<1!VkJsUO@T-YHGA} zfuNSsx1{z;+NrO@cP(U%xU zi$4+Eu%ml}iwCNBl4gr3cPnD!gWA&*WD*SShQH)bC#B^%Mw z_b9cqb@T}0WnvRNIn|-nRYzWpw(nrlEI(=wP2j>%_`Hmhz-L0#2T-TysE(Hm)$?O? z)z`9clO&V4u}QaHrALC{Ue7Ge3@-T{xTsT&x407`_44E`)8fswRu`0_&JT&i+a_eI(P+f+2|E zd>*a|*VqW07U<4jg+N)mxd}9Y1s((Ic%Kl$8&Sa{*$Y))0WTjJ^wQIF^9vU@(Neel z$54Iq^8pmF#6c z@LWE{iqX7l(>7JmQiz=;%tE!yVFAV_vMmci8@#nJ0`75;#soc{+ZF^09`K?1nx$9u z^^7cN2}TVn>S>mEta%zN8Ypgdg&vh0;Um=xPyy4RNTDN)(FIQ=I5nWV;ewCbz8~4k zC>mleb`h;eA|ob64rgX!3|cF6cGZ(m*`2LOjaMNWY?8gS7&C6> z!M`#G`>aN5oMOcf+r(4QdlW><7em6w<&GDqIxh#s;|rP{Kv|_nb-?lN;eZ4fXFZpF z0C{@Ku*PvFD5S8iBXQl)d4C7)lg9=hjHK|FU=)}CY9aWh!KwH7+gI~>#EG$dAE;W0 z$QTC-TF3vno1erH2BWW)wlt7Q!uB`4+Y`90WB(G>{W zq&>T(Ojx#0A^uunOG*C{X6&`COWUSi$c;O2LVkJ6i&e5*v{IIP&Wf@x1q=e^mkm1P zdzY0?*~>Zj=dR0YFIS;>t0RiVYUW;mxtCR|+>n=dp}j|!Oo~i4>B+Zuj~`BC>08k$ zXtAA?4x!N^!Z3kYVA`G@rjBfGAgcVimiW_|sh}aiFG6bxIC8&l%)Dk3!aM7zOs!-0 zR@EEb{_%e?D{)(HN%b#v*$zrLs@T*l5}P0(-4owR-4>>AR#8Qti3t5i!9Klk+P{dq zpg{Ak7)4jop!y|yq1m-jpc+Z^F451UZG6a{)&XI1%-r6SNEcS?E>EgDfR7XUF#m)mom+K*8zj!|Ey4hZ@t=e?V`|2 z(Yb)_u*^u2k#3B%bV7nUqo-3dTuQ@n)@zX*K-kx7Bo|k7`4aQP>yluDy817SjyU0i z7t_S$PM<A7y{FiFQQ_i1i4P2r8QZ9Z{7Db{P+Rb?D! z?E){2^Sr6$P}B50I*{N4)Aw+}8dMF%sfL+jprH~@yf@vCaw%RZ)vn2~XwWvq{$Y?h zHtMJCa$J^h_GwXTx>rz=6PO3-1+UEg$>T21)&6lcbbV30Eb7Jl92m4;n?G4}{t>@J zv*B^)`kQNWVhZQx3%rM1ZI2C9ro0sLKWJe4K+R4`{f<>PJg#7hA!jox^h=3881hUp z;F3Vp#Ybf>$GMa`JEiZ^p@JwSACK&X+p?B!q&#l#DI5`_&BgbuOoBzBtDEwCt>xYhQ!_n;NWvBtgoTb(by?#^0yqvNB+s5_))# zkcA%Zs;7PitXqWR&%cEDEdI>@QvT~Hhl&fj5f{`xENx`}xBv#Vtw&#I-BNpMNRYGI zd&_f!v@l&h=_X$c_`dL3&sFJEOBI>j_knA(VwX{@B7~61@G|Tr91_{r8DTnN+OQO( zDzxkC-=>;7Ln6C$mT8rW3YIGjWY%bTN$a>I94nu3xvl_n4=~@$wP$Lq)BHDbF&sy_ zT%&5q(LHM2>L42%eyrf|);jFHUI&5_sC{BU)tmrCt<0=i{ik$;c=5$)s>=DQJ4`)E z=8C8~wNr@10eTuie#ae-n6 zo~N2L14?5>ecoKM*jYMKkjR~zM!gtA(yra+ra2*RE~T2%+^+xQrx|_|;k}5O%Yg^e56bYw40Y8Ln{#><-%33T+vq$DKEg%;4cr#xuoQmkjbJmjKN^qLIbjebx1+6i^ zbT{XVl7np*QH*N=kJ2xJH|PGWC(}S$Ou}|`cZ8Y)h}7(^<|9%>>t>Wzu8z=Q6?^o%_I#nnb{*kvO(ld` zscLr5Z4Fz_>>)c2Ytn8Ssqzdff9(IDIhytuD>WsAVySxev*R%G@#b+bm#W~YOk84A z?b6BaNmH|(G1ej{NWLI1oYNtD2Qm)$_%m5uJc+pD+CLCZDM{fpHN*AZ1hPG5U~1_OD>`B@Fy#p@yvn=g4UR0dhS6z)i0R z099LeYl48b04dr4DA40pK#*0qhL@UPrAWy*%?9mgu{`;$Y74DoB=E_FuX>m2MHaNO z_3{zX%Pes&4k{ndKAbF2qr9BrcjuL+wPSRMYsLEVP%%h*DP7SJs`_7`VAyij%aT^< zU@OKSq=bH#5UhDK(~p(aw3i;=n{KCeIq>XA74{@!p{F_X(^HBX?QIr(2B*Aw!ta9U zF~f{@G$5E+)N@el-VKia(%sot{z23^ZFWL=}zZ3=CiiAls8 zqg-ga{2od6>AS#rkCihxAR5??ijJVh0;`{#MF;LQ8HDEqb17u+(>~D>kGAvd8)Kvk zm05i%bpPu;4fLEq?u5eSR}D%quTO$Px2I*NJQXq~ga|pDzsmoq-y3Ky`Z?H!jsZpC z6Ca-|bYTvKV+(AX=FkrV>~yV(M`$w3LJft1fyXioTNw_5EwT!%TPsi(tAswl!e1+a zZtPzi>uu4!T5rI7k18Hk3(`6r^XHNqx>^b~7t-JJi;*%>z%V=jwC zg&8D>Dm1`=J~5&|=V33IAUZoK+n0UFmmKw%zkU{AKU#xJ>>X?^yU1!SyS&e4b}V&F zxa4MN@x%2yxu!8J`Gg19>{Kj}t8xJyK&mnJD!S55^F>*IsZNVQ8i69~Uf~LKla{W> zGW9H^Tv)0KbAMg-l0e~}Y`}G@@G^!)tLE!@vtP22d(jZNO`jH&ouz*9^{JbO>=Im+ zMAX}^vX#6E<+(lO7%bTVtBOm4)S~pX>TQG7>eJ9^z=O#3kKqY;+o&fe_V(id$H=hY zWUS|3$AOofpDO^m+j%y-=mm#IK9!3(Mm7>P_!KqWf}jU`k&1)*eZF3kP`q1Q!T)!P zP&Cwid;=x>Q$(;&5El6lGk2l-&}}IMuY92oF}NFsP2N2QMBQH<%&Pmo z<8|8uahzh&R%Pd$ZvCG&e@uHQVXs6p$FiX+SjNq9s?Y~Hf9u-JJWtlS;I_S^ynm@@ zaWH(3@G(+i3vcGR$Upb1+GcjJnY8?4^*yyPb`yQ{ZZgPgYk2-B#D&{A8++lw!R6=h z@Ph`+Di8TU@ED!D=fx+TH!!d+Bp17wRFJq~6-?P%n2!w*@LDQ4UVu`rs-VFDOHFlp zPq7;~z-u9Cbq)!*hZhr&(f_bbtdh3Vh*sfg+U#(uW?VDx>fAe^ViLcL58-$vR+KcH zRYhu5x}YGBK9)|v2#Cnyd0PkOXnVnTnnMTlWS>}-BXw*)syUL2Q z)g=7BeS5CKf~8!V%^~9|fHk#Z_bHG>n|E zUp(iwc5IW{8xa_N3dP57le@VcC%qEOIft<7*-oF`B~uwI!Q|U{5Xc?vBy<%b4SsJ~;V4V0 zM@J5vvD)B$biHVgbLq4d0NDI;dsG8IuT}GsnK^RJ(N)KFymICB-X`J$$%_X(4_)4? z{pa@s$~H>6moWmNs%DAO282#6SgA~KG)|)Iv1~c0NWI1TMEc-gal~u4^cRljs$TGZ zNT;&v93e>R5&ZE~>y=CYoV})GC)bZyVVF`_{_F4*QF!d4TL^KQAbm5}xXW)9Xds{k z75q2asUiE8#HIe$%)xQ%&n*89ErZ$%7(G+`I_r_-yRzHFz-1Qcw!gYa1CnTa=aGsE zp9z{sa_^GnMx3GhPsQ>79dIM-I#+*+l3$7=VLMYe@bFaZ;V02`@EBdW`_@fi_)O1e z*)TvrCeaxUxHmlcv5&OvoM9aB$hz$q^vli1h=~L;wOTQw3)L65rNJNM?@U8mkVr`dWpY@J)UaQ})t-Y}?1l z3D7MCYAr4awBn4NyA}nk2kN7i!l~?pU)H!ZAGgHBke}hSgt?3)jI5c!A&M%5`;%6 zdLZ{JVQqt>G+@{s_;stO5r&j$&~3Mmyq|&jHFUtM;JP9_tx3*Ygm=XBgkyAh^@-QK z*@6ki`^SjfV3pDL9h%+OKk>loMrj}8Y2NQKDJHj1@(qUfLWG`afc_(}!hXyNHdF|j z9l|+@{F?km!iL~u%*uuam?Mw&!2cWRHd$U0`tvBjTEjFT4M<<;dXg`6feNJIyXuxsOx|0Gelh#9wUch3qopMOQItFiz3IuON`Cam{F)M4F0c`G)@@y(~=`#Bpg-4DJ? zgwxyg3vSJFI6Yqq{C)b`TP583>Oa7WU90g-GM5i_v4K4xtf}!&Pp3Y|l=&`upYj4u z=T>oO6}cz7x+bPw0d>FOHLVI;k!&$i(rV?<{5&+qD=bdzb#z3+5oV7?%-`p}unM&+ zs!;}O6dfkFK=GG~3Y?KZ?_Xp3t0mXY79_@fX`3C&mC__kx{0yqMjVml@@EQGJB?$3 zb70mcig(TGy4lhM?+)RC%EAP%(w3y?@7vAn&?%;Lh~U0QB4Q}GXGwz2L)HHj&c8&Y zQZ9dS9+jmG?6V~&UKm1MEdQD;kPq8O0!(_~t#1&?mDSbX%SrndooC9Q_2|@<&mb`W z_G3*fVoJ5}-Y<`O8MKPON|TB9TGX{!1l<=)OP#7ej9!Q_PmC63&peOT6m+VPevV?d z7U%2OibfS5NRABtR%)w{VZVxs6*yqz=}(@Odi%>wJ)LF32D0c&*>ycPHK1`}I)K^gy8V!PJi;gyG!OVUgaC@8`$W zQb#3^m9Ag^Xcn>D>zqn3>8&IV;Xt!D&dEK*p(itMm8IWdXWprte|u}?>;9P;ee*RX zlecE={2@0&`S&4kODUr=1HFENkujP9Z5vA2Q6cW zz3rNJ{k59EgQWAF^{V76ZI#)M&srZI6o@$8TpC3WKf=4wT=h#!3BZ$^tH6)iDwiEa zW5^CtJJ8`1;U}0U#!%D~;!0%(zC~sNjNNxms8$VBY`?IJ&Z-iN`Us0>h^YYNo1x9o zwuc3Q{WoU_f8|c*YXArvam5W9BhEe&*7AFE23DzKtDZ!b&$Hb-<^pGblmg)Yq2Z;~ zmaWRC)XQ6u;-@24TGZ7`Ky*9O_7JR2sBN+Z_NpDj?AtuRCcEHMVw8C|H>B?ob=^-6 zMBS;b*0dXIVLGqcMrG(_jjxMY!H-Ojl(JR5gEd=K!syo~)BuGq;<&0}1Mdw1mLJg9 z>v)7cB2eL~Yu(Nk4-52aJiDi4M4OILi&bj0C!nls;>}UVOx&2jX zi4lg?*>Itb1^}T&B-8#r%bnM0a@wyIyt2)pz%`B~T9t=N$G$&|8JDBL6-_n|7Y=^d zFIjnac2ULGG8!Zw5Rpa3Y$PP7D**+Lt4L0LLl=x%fu`0Tjz*2uuoFF-JsZ31$|odQ zq#k6t^*Rdm(cB{gFei5J97aW_~E{%Z%tI|h2|WP*kf&uQNb+ z_7cS{K=^ZC_`cmrNsb&y*jYoxMYdb?c9H3MCPz4TcJt3psrp^HaCP|JWQ}zPO|tKaJ3qB_$orD$k%)ZI+{{dOw*lW^ zx1JWTL-suA?oQ^)rD;bbF*^BC(XO45h*OE4$%G_gGIh{F;!0lO&j$b~h}%>I+938F z2}Xx7eLCqoQ^B-ay=YTG0fqP?Vo`EQVEU(oI*RpSWN;pKyLh=+89hba%hGY?L#^69 zmV>E+f(IH}|ACiR;_Jke#3xy!!*Vc?ieT?R6kEBMqX-TT7&z3NrOm0?Z)#mM0hM&O zWCMjB5VU)7tBMT%t}I@nLQTaeyt++#F4M+3or&IyvOfmd{l2Hw`sB&tff@tjMfE#m z(qe(SlIPBQD@1BwiNcfmUHn(W0POLmAen4E)gqdG3T|e)P2EL5g|vo8ZuP@>a@O8D zS7l(jrwILIF$Qrl5h(y!B~dZi-=H1z+5pPgX7w2r+z=VBYkKDF%){Ih4A?mRi)mtq zs-rs`g}$&l@93b&YwDF~=2iPf9jN6tM*IEl)}h}|v^sPJC{hh|6(QK|A|ZX$UBe;t zo_=Ff2(@SNAZwwaHc`%eT*wmD0R8jFbFVCJX5OaMOD-ls&PHCQ{jd1vle7c-{cj+} zQiB9O$dPq@sk}|{_3|ltpZvP18lEU?UGYb1OYtykW$T$p%kU=ao%Wl8edePag<80P z@DY4qgg5EN>SYu~5c0bHAF@WRlQhOhN_ml<{A?1=+|=D0SmRFgzb(|!S10UKT57NO zI!o4MM`Aa(VZ+(z!*_iRp*WiH;3iz!@v$&yVb@yT9XZQvT9$0Ik3pBsmzH0}-dl)b zs^gxBq*5f0PiDgxL|r8CGeo@Fp|;J^!rW*N|KwKDHwAa~q`u!(<39DT-%!c2A1!4) z<;V+ZqXwM=+fefEihr?Zc4j^DnGv;fk{G>tPqfR=4b|R-9&GRD)a6v%?v{w`L~zhP zPfOcB5!P)*-vt22i z^L5<^^-aW}jb)!6xT9;X^Rn~2*Ez@J6!_ZL#xk^@{%1r_AQd^7lv!ge@!9#naC;~N zoieIW-nr#?cpbG2;fFG$@VzHoK=>LqF458iF)Dbil`;Bk3?r%FDxNhf50tjyoBFhc z3Hm0xWwm|w?YL1$4wj?EFo@N|YS$iG`(0ZG*XObZwHdw8$v3Goj|o|vbb@w=ab*Qj z9^=TyaL;d?dDC~$|Hxz35`w!a)|)Egq}BLeI`TN}XGp0Z*?9l17H8y--h_3X*Ss3@o8newI4S;nBqmhd!T6}v49EGq; zBSbyEZHwQ#-pCXkbs*aPV5bROjoo)LF?k=EqEmICL%$WM=u+6`mGF$`@-0^nrnoqM-qm%;!5J~7;gre! zc9;%bZQd49*(qh^iyv!-EkE0t7C&%&<_N_1e@J5q<_O*#;GNG&9Ed$;UpTyh=Fh1? z&+A$P*lK^Bhk_lmbwIi#M`Ttg+@~X_`d&oS&&zYJ&|C#S=vS++w?D&u*aMK_W^edX z@}y;HiCwm0U4lx~e}!z)hVS}p^GkUvC7iA6DjL_K*wBBaG1tZtx0>Fwqp)cM-ESpQ zZFo(-MolUlP7&~N-6T#l>8y=;SMdzQ5{IprgYD^uVG&lf#P~bX_Xud)_q)@PQIaMa z`?1^ORB{fXEV$;18V)#TeQJqkH+Q(Z)huE6G0jpb*-FWKPyVCbas29rw@%qxN*dK` zX|l{ntAFE&I}nq8U)&m}+Hd{O{{Fes46mfG z=Xt>Lb8wwyM^7)FU|x#)m@Nl>Vonr&VE*#lD&bal2;1lB!NcoSq%XaIs4}doTlB9u6Ao@`()h543B|gphoVri?)fA z=D4zS7j+=*tQ_w#D+$B<(5c~-Wh|#*Q-| zC#8ovpN81~HKzq_aJe9S&hUQw-9fBn-K50(rulYVktEXTMQor9Xe-O+&B$jokr*YT z>!d7E-k4ophme=8#c^}A+P#g|)0w~{xcHhZo@JY%FW*sxu!5Y}c#( z;JaK`@R59{!Bn9D6MG*zHMJ$5CH5S8Dp$LGZcN@Ax=dXmo6UWRzc7#e9+8BK+A+{R zO8D7%gtLYBY`G1?s0#b=F(Ylg2yBPQ;OPduP2T`Z=z`cdY0ju=7oVMoklb zyG>Q`r0X^>+)A4&6?xr?>V#l-Y`{V@Fg)|e%AKy*aBHgO&w`4l1FJT9E#EDyBGbwx zbf;TOe36Ev{GqA6wa2>KdQ&c9Zt~UX!nZkWqQ@>!=kXJ)aP_RS&a};HiI46$(!#Q5 z&NUa(B5E*o1q74&>r2l7lGpl{0HzRUj^aps7w{sr)((g4+}}OA z1;=T&g`9TMU4?zf@U8Z6;1FYEQLyiM-8}F?BZ0>|7)s z@NzD|N(j?s-(w$JkA5|N^=ojL&eeefm+8a#UTm`^bmuVpzI7?K3vStt{ZqzvLO_O( zVA@v`lr7dt1mY=ail_;RO`lNww$q>l;@4*K2(9c{J>G(=qJ^2YRD;gw3-ZM_?Be+V z#yBOnN@hLvklt?CV-bm?ZFP@uc3&}f0PWt&@jOOHd+woR##j5!%G$OKukwZ5T7w@5 zh0OI?;T;u{B%=c4@Y?(h`St#0Wl%wA?^|1)PoI$jOVc#bB4R8RyS`32!UmBYw;Ujc z1+ke{pKQMpp?&DY{n~?81CU#{0iYxZ=e^#Y*fu|2fWOqBLNM~Uw0c><{=2hLo zqqc8{E}DqB;fXf|-`)P5zb8IJ8MZq6Ypyi6p(@ep(;RCtC`r)8yFv2sjpC8l$3zY2 zug|-^hxVV=IuEO%RLJj|`#8T7fcrSyd(5)4-o9twQLxn9h7x*63Qp+mH3d=zRv+7# zB3^kj;?f3fY#DbqDS@krBO>aixqO4(R{6n2H^utX=jVN4lAB%$Zw2SGEHTuzpzbWT zDRy{i0t#BITw;G^q8}cs5InT-Q?t9w`;~Y(5fx;S%1~>tYyKgGN|nc_$cSDX=oN$q z$-?lUBWYC}C9+a-Asam|1q5gRB&wr$pYnZoP0e0{)In`@lBg_cEJc*+b4n~{_^xO_ z^RVM(JWe9?uHmty?C4psv7jAcsfaECbD>CU14XO7vuDDB+a5TltX{o;tkLi*Mm&U)k`>dFX@}Ixc%QD-(iwpQg-uHu3 z^yF{eRd%-=s9?ybPhUuFKz zU9?Si+?YMlw5?-+)i0H+;5h$DBFlO%_dj8?+$^5VVh`y0K#_N5+qSoRDnj~scJ8TV z?n%2u&zHIBlSAg`IBr>XT;NplVF&;bC^FEeP=;Fe^as~(pWdx%#caQUUjh#y=wVQ~qB(q`llpp2 z5ds_>pGF%@L`Fe#N1$w9AH%d;f5|T+A&)#vu~#MCOZ|)F;wp~OAzm+v#RC^UYH2h| zHPD0ls+P*)cn!Y}ExDTHZa>Y#lo_=#ThHaf2YJ{)mE;J6luoU8C-#W`6r5tT!$F~@ zN3=N2-Mg|6c1~x!j{B3plprS7I%WLv-*@CJ%xr6lxwO1I+UcL?EQNw)(egr33lq#Z z?BnSJ6e%=fV9;r0C99DsyUO}>8qN?tSFlk0)cx8;uR}+>eL|id^uCgAaP>GeNj9z+ zYvUy?RhvH4l73;oXYjbW$?q=n^^rH={V9jCbaR$}$o;*1&35Vwwe;Rb#P5Wzy|Qdw zTUiqCMWO6veQRskv&>k*e+JA;qYmY%e&wwIRU(>R)e;+rgbj&0G4C9;mk;;_SKads z5)5zB?_XwNTOPqEk&kR!so|r}hVQQFcgh|MUFxdYTd7geE6a?dshb&+`f1{zysm?# z-f-$6F)2G&WI;s=9O{^mz5P8+VpJ2lZ1{^Tlp=6Csq!sy=Q&T5r>fM6FZgcXygkg5 z~KX?xUO`hEbH}Hup6oBsNsokZgvOQj~IZpcLg+?yGc=+_#SJW=JLE z*uMP-&u`D``5f=(Pke!5ZKtO3AE#du*1YxI0oawRwv(^Z}mV zz$Nygi%ov}t!{_RVP3bSPVb(Up{Ed{LEZiNogj~|0)*XRo=Fni&rT>Yx||o|e`=@} z1;p1a@HbN4C)j0VB|hh#w))}_Be~gvc7QYo&n$mVX!fW-e#)ThZgX{~%A9Rh{ifQ( zkLC04Pown8fT$Y|myP2Q@iVZxl^*8k7rGZ?8~Nfl%C8yG8z;O+PK!a7;C?sF1+%-| zpI-DU+L4)=^XT-p1hK4|xK8yaB5x2YX)o9HWC0ZDJloYIA84*EiMT?koWBW(8j9f) z%-U@oSd1XYDM5?@tp1fQNkP`i7&Po`%i}P zIg9I@%NI?LZ!97=+S-DZOOHU0ZYE>c%pkYSAd0|CQ%+^Vn~n-PG6A>|x{;W}7Bc!q zVNW1nn)7}Bvnt8>!!w{InYn=uM#7+ghZ1<$+~u8)g&o^@mq84eUQ8ePmjy4_aBf5BzK4712v3b48| zyO!SWA~4OJ6&`(!n-wL>9|Z!1Iw`29TtjB|q;ch~Iljt=u#@Y}|9X&<21ixo4rcNN zeFv;rzA7Mh=6^GtKlH1wTBTuA#UZNcNAsY@KGd(d%I7nb8|!lcitmJ4V(-r!*Rx1y zgIvMXybSikB#y|KPOF$7b+%2u#c%A}XHMe{nG%SFJe+zs>!}ZTpqG%UAx42)hM!w28`ckIcI_ao79Pms3PB_E5e;I)o z)C4SPhaEyLG9yOQ!gwW|O2@fJy7_*|K^g7|hTBsg8laEQRr4NvcnYY!3(U0qF*2nr z`)5w}J^*wee|n3`|5Lt$%T4oKeK?inUix}I(?5d??YnH&2*GgcPEaB+QG&@1=6|H2 z2>C1Nv;ou&U=wRf+@C%+Zz)NZ=%z>8Tfz^S#Zme8h3Gph0LNILwR_<5@qDe6F}rr? zT#*}`YnhwZsM~h{6A|6`q!ZE2hp?8$D7OBd^!Hi3xUwdW8~#|@vr~T3-0*RO=Ipv zzU#!%(C^F zVe^q;9_2`}lZ((QHF7mG(6om)|7OT52Jn4>N@ILMr|VlB)3=T3i_8I1SA)@lwwMQj^M?a!oj zJ^McT^%HQ=f{B>W?9%wP(~8tNbWYiHFS!|+CPg!os)}FUN$YB&q>rjnp*6948U3Mb z1}PPo%G(NsAtQApm(l^lEuft=o%0D?>7Dum;~Z(mNx0*uSx}l%iY=c%!7G%Ebf&fF z+(7)k@P1UOw{0{%gU{@>01$sP`T^t^^VaC$3U8r>ChDP&%4K?^;yd>pFN|UqJc((e zFz{vm11qHowQ9;?E59go)_Oa!~?g@5Pu8a z`@-IQBY;5M-32TczHg9}Ow;HN5QzSC{Fl!s*Azu%l&T@6*Vt1zn!H#nT-G;3L}zeI zKMjWOLOuVeP>S%qxPj2tMHoX_j_Dm}&=7|9oEcj2o=pJmATaYsVj zRmJ{WPEp;miick#8w!Y@6~FSaVkAO9PKzORRwc9ZQ!cqai0AlqY7x?op6!bggq(P_ zW4A?v*G5y!j1!H;EiU~0 zeZ?v4y+i*EyQNG^^Ek|yd68N&sk3y}1#Uo;%>#~7t%#a@o-`ogr#;_iY3-@gxsR*wch_#+#TEe(zA5H)&joi~tO2T>L639zJ`x6!AWH=3{|g#0oFK-af2*m|Fa zf$K}$*i2Ocl3DZJ&H{2}zv{y^I)zVWkGkhc4(87cKD3hzc{wtyFq|~0ak~Mph(fj1 z^SXPwX=oYa!=G$temvHcEo&Zm5btCjK|bDLa1>4s>?6ByI!|r1;A;%R)|^N(kUcxn z4GQ~d*vvd9K${340! z*LXy-`)HJvXJ|;5e>y{9pKWY@Qun#}33A)2Bh_-ni2N0p(oVH7AkTFFi+8l~PITCM zSEW1u>ov|hz=mXs(|`|)T*N@g;Zzi*{^x?%b2`$NcT|S&5U`~_l{0)gvpn)vjvc#U zPF~wbpcaWF>{i{qW5C_;gRp;C>mJXHx@dRBF4LAfPm+W+yP*(1W-Y8lZ=!_4u##XyVAw} zWFHdndz2gF>A(9!2So1WMwn5@O)nb1fGUAQ5F_n0s6G2Z+J!F97VL*@}o|H&@nrus*tBu5cWj+{!1kz2Mf9B=xW$TXBl8VnkPJ{ryOIW=wtG zA>gw}&K@i7fHi=KvRw~%bDY0-=}78rqe-G3EmO?lx8k#_*}p_)1uw`Y)ar4Cl0v_J z-Ea9V@e68P_0>8bmsfS21JF^!s;MX)6U8i3TQ2+Dr&xWCDRxQUxe&JPKUCa!q*I(x$zLr|2#$hd)mhG@SesR54X;-YjR+8 z=lt+Ua2Az4FvJ&_{o_Ipbs5g5p7{qC1)ew;npq44w^<|n<{8Bq_rVj~E&WwXXgAeM45Xu%#1(+gM;ou6V2q>KIuh8} zJ~cB1f6AvVCXCxBh72N>Y`S&x+|7uO8Y=EiyHuNix%lYBu24i?e~a%TGPg!B)jpNy z>DiF|I>{Za>*(p)Gu&`7Z0u$Rw1Fx!#JKj7o~iLZMo2@QBBHZ2a1i|Bct$*Xff#nMKgx63qC9#blhusbAjm z|24b%Ue~M(h$cO@)5?X|FGi37RYUWVg*u$CG7cyz-UxrB4ecwSlAP)NalBCbY1ei+ zMOworA_E!)&|b@y;#anc;>)Yce_M%XmAyEFi$e5j>L_SMuP6uy%)pKdf|&rQerAH% z?Bqj${10*bUzVSncik-LDi|-I@aUtnZ>HG6VJm9BLgyEEX5fUC-i&%-1(4oZDpyq( zqbX2Ob_b?SdhzpbZ^>W29Ot{tM0ekZ%9j=!#|u<@>XF z-YB#-ujgjyRWp(g0q|8M4{H-R@wN9LuUQ)+dl`NqXRmIf^uYB`O>JP1Y+b)~@)mPp zN7?)eV!?>hb$gYi!!dux%>4Kw|DJUDj>-iK#e>W}SOH)|3HrKioxYKKN}6YHRi)qQ zimO$4=jFDCuz)2?>#W{wa76DeW%KS1c&EvJuFv)PUN+QRC)9cJiI!gJ&$p13OEEvT zhw?$3hr8?{VKbvgpSqBx&Qg#ik3Si}rSAtj_qzx_p3|2Ppujih`eg5Ji##A%h$~uV zqm==5Jm0nD3x&#kDVllnT-jY&ILm5(xXU-@QHARoJ}=uG6v{TSRP*zqq;NVFkh{O2 zl{+iArXH95T#MB|$vhUOb-Iv=f2fm7_(h8#;s3&JdO}KPFSm$mF-{9Xn^T>>Q>B07 zcqr-5qTGW;S{s;IC$jd;#VVHeTSdkV`=+l{wAM1UD{ShG?6 z$*=n^>&?+bsV)Bvgia)-?iYfbut`}?wPOTFx6WpdSvmeN@@{w-3hs?I=qvYm`8Dw1 zc?;B|IBhtCwrvk%oCvXp40qa&g|72`5)+^O8+SysI(gN=NW0Hhow@{Yy4b3Y0AFY0U##niwf)pUAOk6r#3h zD<3-wMdK*BIz&;BSG4R3!*gSY`Qne*V9bJ&=}Ob?d%%DPVs#<{ELwDe~o z>%`{IK0b^*r-}MWkgX$T9YmwewqeakHy5=Y&$>@ej~BJ2d(20v0;hSTyuz?<5Qxs1J}n!}JKFA_qm65(_9n~vdCJ7)W4ihf3;J4o-;(@&~G{q3s_ z(8-8Kj-BWBhmgGE-6{f22Yb%(0;~S2Z&rnI;)MvZFlt1sRCTlNv9+j!tFUNDr_Ivo5}Tf6glT_r(P=N#Gdvd#dslPyOnpftQq--r;d2D8v$xx2wmr^p1_TjPx&gC84n1n4G3WVdo z&1?WIS^ZxC$N#91tW)l8i=Ww-F`Xo7fK)D$;k75Qr^6)y8D=OUg}-G%FUzk; zTb|GI_6GZ@MT5`9Xf3bT#HSAVfiaE}O|1nxZj$-2Yg^f3=`X1%V?&_i#o zJIV`e-ViA()X9S>*4*EaFP;a$!HbUv)lV$CK2t+R0v7dUw7t1LJ+fReIre6qD+Umo z3^IuHtQ+Q8Jb1PirJ#CNSaBqrqDAlPlI=L?>Y&2gvK^osTeQKnJ>*h6rrs9Uk(JQ{ zV{dF8wFkzvsm^D^QrJJzIIpEhV!3M1LFfJ;m{hKZT-6<%TBgrsEm*{)DL-y!NV0=5&^LNx&w+<#XRp>+LUqirP(K@J2d@RR?6!#H1?`t;VLkne9u8Z{!eyEq2F72w zB5W8`<117c?7Jw|7FiJ}WKGKEGtIXeb4AzHz`oQqQOma9oo8ao?hiyb85jS?pQ>e2 z!HvgPmITSae#ia-P=*tQ!>hC@-5FgVC}YD(OYeCog7Qw!T2QEwDIJ1T8#@#cg()&(-|&vneU?{=;J_ud)&6t7<-qTu7Pna z0L?1HR&om<&5QNQECmXeocgoeO7Ac0(Q>Ynb^)C^{^SGJlFnKH;G$f707hZvit;rz z^$BPA@(s5tp<+x$a<*gcVW5K`uc_|+R{2ITS*6i>_5|vBrD~7W+57)sH7^0?Z7r}) z+LEQa4kZ&}4m5xMOh632{1lMG1GE2JV{uj9* zlc1hoZW!a?sk5rol0GB*x4GYr1Pge)QySB(z!gXFc5*0A zx`3Af|3PwwGvpkV=ua(8%v>)_AS@rv=tnebQfwE# z@SD!Z@rW!~Enrd_O5Ah5Cs$^TO$bv2x2@@9#V9gX)Z+$6h#{TPk1^WXJ+}AjFJ$Yp zpS&$^hOPDSH8uunt+*@=j7lAVqXvr&_JQP6ZFfBqW5ya>MU-PNPUF$wqQC(4E;4X( zUds$74IPi>qcv{`9M8}>3ST|h4Tk7Qn|4E`qa_Gs&cc*duIX@`mZj z&P5Sh}_357#oP25eX z`x`bMZUE9mzwI5%l16sXpY)uo5M04#m+0rG!vQ3`)Hyk~V*?aY1T=OA3JxAzjtfw3 zd(*`@cPryBEGnYqT%KuHBG-Z8j$rt~OuI)Cn)*3PxoT~2^eMWo`XILx$7f%GCy2bD zKS^Jnp{xr^2ajVTXKX>_R>&HzHnJ-8YS5)VTb^&x({u)l;yE6V` z?+3Ybe8C+_ImGT7=ZdzqCxX0-y(S%!y#-#R-B1?tX0o3Q_sMo;ZWTXI%&ps42W>%g zjsGyve03&jI+DOqKmeQ^0~TAOqs@BGDmzGl&NR~fK+OnEeDG`oZB8023W9M=$H^98 zsK(MjlbEO8TS|G@$wND*`OFdn&%Eo2tlQSdB$OKr`qX^!J zN}HdZfw4q%9=;XR;~>gr*!Zp=24{Ua90i-bJC>ryy3IS;vFDW`>_rw*>W!df{D;L+ zDr?4b%q-CC{*^zU^Fi9rc>Dz=9=(3ZlH`U~e@1)tsN1+Wt^vr8*c0@fGkj3xXSukt z)duN~m!gD8`scQUReDpV^aJ=VO;*PmWoMe}kkj#Zvs3l+fNG6|5X@+6pbjGQQ*iW$ z%=?Z`fDmrs!~Q4}IM9{G1zl}NSk9WDd3p^xt4y zld_~Nb}MeKVHd@yUr$v{Z$(~9q}%KJo#{Ckkq&!;K@E`l z;-(RsXO8rTtp8YQSMbJb>|$nO`zsY@z3sM?i_>1;^j7boM7P2#O%XqyE{uMsR~nW~ zyo5l$!!Yd#9=?5u0B}NxXMrLtj!uS%x&cGG2ZQ}I5bj%?~N`FF{26bp0wxv>`lY&vWs zDNg;xEle$Jnl@T15%5gYv5voLE*oxn+x2K*s<2_x^8J~uI0FilJwn|T*-UuH9)u)F zv6lchPq+;&N7@QoHK-3;57}PXrS08?GrVTg(=G{?t@|9t^liZMz!kNM_IKH{Xp8xY zTSm~y0Dl89X6HFdt@!V0bA!^;s=r`kKmZ{qP(9+axTz5~NIqyBrdieyjCcSpRH$rG z%2ARj&zf}B)`UVwxYNM3d7Z?=aPs>&p5`Bf$EkRij)dwyJM5rZR6(C1^uB^^8>#xd z5JRT#xdeV}*9)7tFX}C;VpO$V&9v%xU~bFvXXVy&tpEzPRsd`r)aUDEJ_ZeN_cJbw z6OOT!B~^cQhuzqQsOIpVr~86Jm#>hm!pFU?dWLB5mWIFyP{J z9a=*Zn<>)G$~BWqJ76nUX4Cs@Kvt5)DzHuXKhpDOuKH`^EdoH2Wxuo2%9AEwH~rTw zfy`&fT72M6>tQ&xcLQI?edghLQU8tF`ySkTs_r#+LAO-EghBINyk+MluN4fw7Eqoi zDI?Y;mj3Ii&J6vSc!fsQJwczLI1B@np{(v#MwxlHgnFQU6Hf$X)hxY-0IBYR__3``Kws|MVkr4k*5O?e;?VASbd%5USrQL~MfBqb(+Onc~ zFvK@FJJi>uZSt4z&rP~NELZROY5ginOP`okw`rv2dZo9gZX#|$VttTfy!DA!;AwB&)$J!I?mrrgy^FoyOt@|J%<0#f zJo(gBcO-iuYLusklrEK*+L+KW^7*h!VedBbi2RWwV+y-+JPOH=rLjB_54e~BiqUk6 zR+E?1AnffW`Ku2QWu-^Prox}(r7JazYh{<|V6nCPV(zicHNS);XG=8Vxhi^M-Eae( zv`cl*{na)Bz{!9hiLeyK2+Ul%Fn12irB*{MWxdt%cN$--NeVWn^R0?01QzqGpJP2Z zC=XP`6`VJ2`5O$m^OE1nx%Sx`3a;KB?K1FL$Y-2yhx(36?}k6=ngx2&80TXD<|n?5 z=fP7N{(7-zK$>pxWU}E?G2UQj6F;5f{)lNdvO=jjyQ`k&$C8WV*MyH1OG|0^O%vY! zDiTGN|5iNrO5)8{cN#U)**8|%XJ@CGs((N9_@#PtJ58d}VIL7TW4e%{3&VT(2)6aZ zx^kw{EBRmACgK`M29y7YN%B1Um4_abV#T1Hu7clAxht^xpts;ZL>*BD z6f3?H+wz>H#h40&V;6a{|_7;JpR^`C_vZZuNTRCN$JmR!#y_`iNGOe(8MhDjXfN>cunmq{!!r4*jZvCF#EHex#~+I}`Y*#j^k38S zz%v{^MXH|sDteVAl1&k_(sdqJ%}&2u%XX9AR=Zn@t#98x5uT9Z9+}}-I$y)>WzWC{ z`9SUxkBvCqaCAd<-Zh^tY@8VZq{Y5i)s7V~Rg0jgrZwoQwPgeIJDeP+%d3LFI-8)8kK<=M>@1YZKV+E?e5$i&gGp5YB4^iQKmagLnT|U0(Vb!RM z338{Gl4ZJWd&IU3|HU;(%6Rro)f@0>S{mjjNm?ioRUjWPO1b$k|Kv%?*n}-Z^W@hZ z;#Rp3_-S$E-;>RvpC@0qBs)A~RBpXG?GOiO=g3vA?DRZ_+8WOC1d|buj-&appHUJ7 zGl_?jD1-HNTM}V%9D215O0Va_u2fBtxY%Ec4f0#E;o>udhvqWhBrM<(=9Uju zqBbd{Z@mfoLU#Fk8Q(czM6?;`bAn)LFAeW(J9(4zNZYQuTwGn7fkV@t=Qo}2sj$5w zvLWisblmHDB4#XDep5%Z5&%A!mH-R(d86N`mhQ_j z=lb$rNJY6MH=JF3Ax#!J?r32O{V{dP4C>KxtVfCcz2&3NZ>8v;<&90{`qSmwIv&~F zE8JF!ma*i(2NEV=@ipCKAVvUU2beJ`kF4<`s4iF$AVFZs@$5w9VYdevg3i^_u3nU;)3&7G1)|F9_u zia~7L+%K}=MQK{Z6FTY{wZ^~>nzG4sLQoFrX3O4OL@Y<*xRBPYFfO3;h6K>SZoo?| zWvO8UPkpiiEX#41AuB?ur&d^{r}*989=*7p0x*RSkQD6DCc(5;LHNKQc$J5n z{B-dI?+m=G^kgbhILn+-8a}v%>W%?gtnSMlI$Mghd!=?c`VQf0Z*^Ap>D`Dt%2eTr ze~_4Ozw}FOhcmUfh$ykfa?J&Z07(sgHqhBqzI zcTpglrJvgC{t}XbydOnf1_Hk0ETNbk`&bUfwKzYd$$l!VN z@SbeJy=?3AC2j{Iw?GmuR^x-oL=m4b<6U`Jl~Z+q)=6gv*b|#TIPl0#ikCpdI9IcB zUrYau$`_{8y$2*!ZmT@J*EQ-gREh|nBnxC-YTvl^H0F}vLJ3qte{z?UZn*98W41Rb z&pMtzi5h6#Wbv{D+}wUi^41#S{t zjr%iq`T30IfI(q;f?(nM8yC*MM zS9wQhl!>Y#>lCWXIU1)#y*_>3ReCQQA-!adtgT~>hTrwB>NKIyqhjc`NjAzd(kJf1 zw#9>@0J+sd1u~k7-^7g`xAEyotcn&4fN3VOPEQBs4(^~*Cs<$Q-ZdXD1w0_6dUmk1 zxSH@eCaYV48|DqprrNodTFL@@gi^yszN`+W^=L$fPOB+Wt$Q~39!KzoVKS+zeq}pz zmxXyW$J#UQC(p8JJ8}rdfzZF5hA1&gfSD8yNsNW3aHD+>CUl> z*rn=>@j9n1U~YW5BRr1DY%5iNdHck%{73PF6+eEmyN<)ub_<)wCTe534u)#RH&_*` zYNZ9AW^6BET57lGWKuenMDK{6Z8X`n#1&AV1!cbCOaj9*C zX!C-(%0pfk)h^nWT&`8Ny5zM50M}oD{Ypr8DA)f(#4sL(NnhG<6|T&McQr7KH9C%- z!oes8}e*sz?TK=l_UFMj&UGMbP6AI?5UZzp~m6N=X*+oDqc6e^mC|f4h#@k$0)=F={GHKJy-4MkckqH&YW4(W3oYZk)aHLUjI@P@5ijUM5mpwxSoe8)a^q7{Rk=i=B+wUIFxrqs?+?9*;MB z9R>c#oS(|L1*O_@k-sul^neYc6dU2j4FtR09)YO;EhQx8R%$l$o#S>>O9vsEteK8V zd;a6rt&$|Ua)UY#(4x7{c(~m0a{WH+Np7DCzU?r{^}fLIGx4TKWT+|l7E*N^Wi7#> zo`N7)aYk>oJ8PPettS46A=j0)!u-ny)2Pp9CKEk4*KqS=g~}RyBE1+%zo`L8b*kr6FhiZ(knj?~k<0R49}^ zX(#hWCYLJmlYN>U3aM7iI#fjHsyEi|Rx4!>r-;SRYCo8!9}uXKqLC@K)G@Lf92bd=N+a{S+B6HL3A#W+mRcR>l)$ z0ho6l-nB*MAOpg*NiyaSm3+@BpM(I9H|2n33U2Ocu;;}4&HKJt4=wN^A?F~WkORjd zbH_IV__IcWF2k=eU4@+UWZ1cITPgPI78~bE04mn**kaxSC7{i8n6zen&}(OW;hPmZ z`{xOI)dE}8r))2id&Sc^J<>&7&HnLz2EAWlLrF};0gSr z*q?itg|jnKXH)+LAp73Qo6s@ktr1D7H5WG8#PWM13e?^>Z#mIFW>OE7Gq+L*!Vi%T zP&g4T?uJJMMN#Rm#c6uJ+}ksyOA}88F?>GU8$x^`8pRyJd5jZHP^{n|H^(?Z-_yv+ z>yI8gEAVefJ5&*)Xh@ORsg@Whu zRUS`u>`ClpkVvU&N#it}Lk$#18^mY>#<>M@v85EdiM-U8yU$MaV zwW6O>j)s`)LnT5|^@{TR2NFDX;&vrwS|uTRN@z*@Hf}+FxPLoYrN1=5U8EdKPb)pB zSi3Cs$_aA1q1PB@vux`ukTosEThtFV3=^*IsF<4&kKB;n;%OCJV2SMm7HH$5Yr}*hezY zF6jwy6Rzjq=6%=9IRzNF=}gIpfJiSD46=jt4f&1oFa8S^_0gxz`R|f!ApQ)3!cVM&x@xx=H;Tc@oIgF7K+H$AZE+dfFP@!sFM*Vo?P?o+;CXNj8on?d@o{8r8bev4 zx^K^sGDghFR)fa^!jnjU%_(bMq(4qmWY?>44#117T9bFUs(D7`g6c1b^l5={vf551 ztma;Zlj0>4ww0rh=Uw@FJCSw6(`uF_ot?@-()PmVRJlCXlbu^8AYfh%`gZG?`%$^? z%aGlxlEF$-Y+XOUqIBQIx7yy7R@05yfWBH!dlM<-nVo1VsMfttn9|&d6kYT*db+L< zT^5VzbE}ApJ6~i253y!8E&2-Zxsd5Yn7?_RV?|eK&*csAKQx_2NalXuSNTM0Xv7yyS?@K~*==fL2zn8nMX@G!B*`#&i74!{R~`Lxq&ASGqk1 z9IxMy>4)*Z^$@(>!}kvC*ZP+!Mt@qAURfXQ^!$c7J~3xQmf1<&qstpW?hz6Nig^&2 zBSyw5o4^&;cc3#2_Mo6JP20UhzVPdSg>O2xCY?rZcuWm+VF8D8hmneVI(YAl(zS`V;xL0;w!veE#gu0U~i6`@7!?u_uLis;+r>&nB zh^H%2XkL$xBZr}5wA6oNo!M|D>*ik4x*aqxhh)+|gbK@y$V`@k#5Qb^sZwu{0LMaB zriw#jALs<;R8nb{eXROUE->bB9H8>PVJ%P`JSaTK_W_o>Yz3-fq(l^D$$0!-7#UESA-Cbn8<{WBvpe-=MJ!~@lOK*^q!roka9 z5j8!X{@<-5;FIDP)&4y@<6&U$YX67W8sJ(*z1^P+Nn`n??acA)5bB%u;>s7$pG^Ik zh%IjK@!ZnIBHlIsqUhcBmEg^{ zQewr?_ngIr+knw~G}i}DHnQ(GU#EWYD&XSv#2QtaXh@qBpy#pS{iDV5?*jPr^Q@zN zry#=xG8m;`^>g)505;t~qah4PBL9}()7P|pWhb3CslYK1a}PeoYbni32WGtJ4Kz~c zOYr9yyJ8d5KyhYn>{JcPv=2heIdxZPc^zmexo3Hyg@)pZf0hiF@lni?HZ%khnjN@Vi2020yH}r!xSsu3+fA@37d&egwcNh z9;zP>z{L=duK~kdjTi6Z7K8U6DsOm>PSi5uwQYk{bONFfzK+4J(a@9HgQE3NwD8E! zZ+=4yI!4>d*RK(Izu;X2@4!RbL5Q6s;6gjf;}>eue?xpqS>TwL55c?JctHmeYHW}Z zjR&Neyb$!K$bYs2tp1TE@V*w;maw}csyGz}i8{3k4vl;P zPo?!F?q1A!lh>u09qTIlHYDB8=Szt93xs5T44#+ zM2bzJEskJEv@bku(3B<(0qziZXvW1 z+X`R3CbSbf3Ol<9-Nc^4-abM9ZC*o)!RqJ=(E&Eo>~-Xt=UWCK`SOw%lqR-;xGF?7fPd-decb)@8FRcu!E}fAxwHQw870uSF zp;;N=R;*Or0Y-MKNcTe~ljUyW?uN~F4G^*>L?+tq8n1(<709p`JR8ik;o3T7lnT^Z zDSQ}?nk4O8Wi$D}pgFPv*O``!eK|+!$X~!WQ)Vh?u{$+O@9}merT*)5fnw_X2idzK zRFsPQeclpkz7;QnOtmV3qvbuTdHfb$R-|8^U%^}vMn%%I%9^=O`x>?VBY(b8ZOFTe zY_nbv^UW{Ss#&^mPGwMM%4H~iPVE=X4rh}0K>NHVRgUp1i`rW@Gng6qMWE*3Um=82 zz;SscC(fI%e8pID8d5whG}B(z?`M~_R1?M%y#^S(n0Y`}TvaN1W zpP>E^bLIOmaM1R;-2u_zipnNUj>iCVwVoX|ZTv7jj*GA;PEQ1DD>MQ4g8;XZF9HfF z@_3i^VFHQ0$pY%u(=8|$@rNs zQy_dUu;%c!HLyw81ci%D3*%6@cculHcv_9<;)hbab9uMk&Us=0adKx(v}DP1ASr^;GnF zw59r{k7kZY+^b5V_bUm4061|8k{ZQ1H({!r9z<^cPj8TtGiua4S!vQ25nBFP4}11s zDCNi}>|&$%J9kH9r<-iWs+V*QFD~7?q!52#x%U zu%iuWIQBy>O15PUW_0c%cl?uuRb#vrVY1oAPesY>A#DBK1;HSo?R@~VDH$vHja@nl zc_Ru{@T71hwSvqg5+NH9EDa7*_sgo+WmjHRX@Hu=r@~yM<)FGV{dUhX0jk5#a}FP( zdYAL5-f|x|6hK$_KY0p^uFR@@bQAOnRn6Enx~anuv+OytJ7Z*qjx!Y1>h3;fV^F{X zUwd?m_cWTK$e3bmd}QeW$nl~+a*U=f{UdLZC`Wtj zv7(y9>JKpI#-}{h7}?6#?Pb)a;mA+*Lu#ceX(Kt)3cQO?E&;0yQHM}C8uub_JEQr` z?}V}+gBx5f)7|WM%B3(Zd0SPr!__#IOT*4Og!ArQ`7Pod)$eM++%Xs?$Zuihp$A8X zVieac(B3Jq>ya!ojB_JTWa6}K1)L2?E{1)0AV>QOhyC&!x%29nfYtEJcHLr$56!WV zvQ%7BX6{Y8HmY9#4KPFLW9h{jd)wf`^b}2>p*{YH9RVHZ>Y0-*^KSgyi_j3P@+Xcq zWRjqsS2xK9q14pX;AyMpA~V*K!7nK6i@B)OjQ=>M3+hGgwE-RD%KpOXnz*}$x8H3xCK9p5fgzvGc&9gdXu;s1z>wM?@Uq^yw7##VfFiEO2$v9a4RpF&&#anK0Pr50JAW zsqUGjUQAHt_pwTCT@_7LX&Fy}7%j1u_+z=SIo@qfN5^N@PYz2A-5417xXC3|IoVtp zprKIi@#yGSpQJ1R5-Ofb0myD&sD^Y~gXmfj8;Y~~8QlP-Gj4l`d{z>e)s}ZJTj!Pf z0ID~54zh6b>aKfAn#QGEHa(WLe0rFS1?Mw4>T4lhqG2z~4bRG+az<1N&3)T>IY zU!n~bdev{r&$yvIEW~rvDJ5Pn(Z?4M>SyI=oY0{bkQ{aQlGv9NG-+X99Vdzt91i5)qt0fltDPhx}*xJ~2_VH;f!xB19Xxk>ZDaXBH7gmn7f`o)8g(W1<#k z%(q0Cu1$wLL6j(HR0zRT(iL#qCptDEc6(CBa2CFkQ6WPzGi!q-$eBiyFl6i4HB-2I z^pEj{D%MjVt04+hOBPQmGeIQ!?;Dr<>Hrr~T;IOHgbq0&ED;R%1DKgd3|X!Wd8MXT zI7;UD3$Ksjy`qvS<4|OiQeV3izu6o#-ZUyo;b=6)S-uHjqR;@ZeqJLGfnbB*34MDv z9Yr@Bk!?Hek3SSGgy^us2mu?Rf(A4HS9Bi!RKEWozwfhgoO6uh*p9ttw&WNGB{}xW z9@%7-igWC(qxzKUTUG<5C?Tg1Dq4ul5|WNB$&CBwcl{05<9b}z^?tpcPZx#SQ)XKV zkR9&zq#wZbk9!}qj8a6;HB{N3q%@bzEqaG_C=*9)L$o79*dBqqV0_ePNun5c6xRin zNHZ1r71uk7k69tKP?g7eQWi5PX2DbXd7VV2lzPPLaH3SB6--)7v|F^BM>OoI=zB!O ze4*v~!zQt%1oCDL|1M|SYm=r*FBz{e74LdLn%QFj5LAo2h9iQdzhK>C zEb=o|fP3$PYktSO;R<1~6@Y{?`v+Rfiw+Ya?F#uQ3syJ~$ z|E4!yf$=yOASCNIZ*f}DAyeB|FV85aDpfJf45yH-eGtTk9P5{aRdnlrzc5 zY5d!P)HmacUY5R2g$IH{2Q0>uc@Q7=O8?G8{|LO&WJD#I9T(4^%^}N=-65-- z&^}=F*|kfnO%OyLEdW^A)Y^JPN~BHit;bn?$1*T(M%eP$djfsz>4U)qw`UOPZuvWu z39OhEd$sm8d@00>v?(mwA`MdLL`HQ^@Cy7wBui9-x+fgfn^UsRrCl zRMFY+IP7?ZM*FuWxSem2tZ-OVFu%a1X7!a}nB! zkyh|Q#Lb8qI&289{~PC}7T%?O1MyY+Jx%J%mtr04uKSOFF2LsJMjOu)Vs#jZ@e9r9 z9g*(mQA(`ny9?MjZw9jJVF%t&h^Ndlfd=x>T zF_S~g9dS4P3ZF%@*=9$T%US%l{AX+1z-y}6)R&aaPD~>PCfGVCvnQefMwV#s=$%L8eXzNvKi)S74s5(j zpGFctBk`=&PRA_QqD;i;VYdP6H5wW%hA11-Q=9k9&(I zFVLdw5lw(~A_C}C8(km?`h}ct)j|)OXGgNZ%Y9c)(9<$V%L!bm+wV>n=?#ycyV3p* zeShA91qy)PC4~vWGQ z+r228ft__~d8_mWWupkY_r7rVj6cA68bDZxe2opm<=s*@J&|55?wLtcw=zpZ&EN4e-_ zSED&acJke-seb!!k{ttZg{-Us0!5<;&;R8i1ga*Nb28KN3E_KZ;uZ!zNn!zH;ox$= z0$waXt}@S-ih7?POXaKi?BhK8P|llez|4>%!PP8V3&LZDWfzav-bezYugz?V7N;6ZgfXPC=%^yQW> zaJTGzYJjo$;p-I~J@vV3a=U%?4z|nXL&#^#C16xH1(cG}if_hqDQ{G)qT2H`vZwOc zj~?Z*N1l%4CR>_~-UW1XNjb9F86G0fm7X37XN8Em&`5fKjD8~fEs;T2{$Kma7o3Aj zsM7I%m$5Lo(g#DG&AZ)_S=)$-rggbZ*;L#&k%HDSv1~uWrH>UUKeoU=AvfPu-oZv7gy4=MVQBI?xvcFFZOc;GqJy26t`Nq?N zT-$mB!jwPWCsT}62IT2~!u;!JI%si4LmnSLektigLX0r-x&!w&Z{(q0gZ}bWA8Sem zIUYxZ4TAptA3yPp_sxH3WJfOc$A#G3=#iQVLd(0M2a(hJK2?-krYg>%0d1}T%8VOP z&@27!_!2VS0t>jPV+y z(>?ryZ}~GTXJlFYwrHl)-ge!gmUehYaL%d+5HJ@Cx+UlV!ZG~^k8~N9FXvM4<&dgf zpmQ73zp=%lW1xgk%Tf`d?^=%O6HpZjbvimNVu!+nnzuUSbk)JG(;IKUzVmr92{k4y zlj~d(r!DMMAinl0?#Sa1W*!2@1U!G%@4*J9MLGrmjdNB1WiW8<^F&EAR&i1Y04vq( z9}?L>tZq){H;2P5ot&NP!WNf;z(!>aYpFo#Li*f@9>;X~*cs$Z`B#c(>6+LO4cC#4LQ=ErJDCu5mu9{&pptTOib5Wa;Qd7TdUft;|-fysJf zK<$)Mq~E|Wb$uvvsJ{VxnF{|rQ&La8PCS+hll;Ln^GqWpSZ-j|mDD3?Ap?clsI!k$ z#BL=%TSP>&CjbDGJ~U>hcs;ujrAP1oDyRM{(V2mW3(<;9k*@f2F#|wB8o`bP%dc}4 zI`>)rlkg*rCzbnkX?b|YY&w8kPW77) z5Sql{j{Ttr^-fL*t}|q{GG+tRzD}mr1uWN5CO!u&i%w?G9Fkhf=fK7A24rz|BnN{W z0Vjn=jRV_Bv3vKV3g#F@7jg? z=7E^(kSjT$ZS{t`j{<0y%6n)PS1@Kcc|LEX+z9VT;MaJjOMj8yNQMs!DOG8oi?N)% zr_oGXG;E6l5)9D74_Bwp8RDw^S_?1U z%$LZ$DLj3=tR)3u5g7O|HY}gv)s&kRmO6fA1|HG~3uLvq-$|c?r*FK0Pj8CuvLW~u zGH7vl6}lHqPnbHi+cznL&p|grS!xUWMP;WGo>DJak-vJ{L9(GUk^bpD+y10crvHQP zyZ*!^dw;1lO@AjZ)JP@V&n1+1iDG=2aY3$%22=L9;QG_II4Rl>SabK|IZOAoUOLR> zSDduq%lV?omwEC9(;&cCYR%l&;_Sl{<+K>I6>F6a&Lx}eh|XW>9^|_xsbS`OJqhiD zBo@Sfmx*6zU-LP2+9fiG)bVDUjqONMz}>MrXTAyz`bal%#;?z-QkQEGF%&|5!#eVE zzfFiV5F#j=)QZuQ=tpF?DR=lV3v^r#(?IPjC_@vze=I4BCltW_d(9h^aBTN$E&>OUgW$Hcv{~Ft(-X$M?RqE_IbOLrQ zY-^$Ze;yHhgBI2ol`>T*dIBH*QT@tx*rq3$oR@6J4O=*`)b=zjUv24eeNgT?cr!iK=x!0DDA0_o&7y>f3vt??JIG52qGrCXgD;J->9*Hiu>p0>;DwhQ)fY@X9Qi*L+g_xZHB(S(dF&%F zWn{U>09PGJvEiYtVj#b)q2OKTr|$1-#Nkct0j22-kB4|ey|n)+i=an{=%&GGwtxQp zD=4igZk(;}P}-dX61+=h2IxuMH1xf$q7j?mq7J-2bE7VJ88myy~LwP7mW)QmWBn1!uj0I7oH2e}6?8YdUodq;Q?BJB(U4nL3W9FFJk~NN9cUV5Pf{EY z>NCk`azdHe)9lBNd@T+~Q$u~6(P`>bQEQ$IUoc;rGCZ5!KsMiLrd}H$g_zQ*pk0m{>m7 z?lr`WrYmk0CYEyf42#Ouux3{*8O+67-LZs1YE`Ozo!7{%EJt1erQJ;Q9 zZD)Ae(Eabx=#hecf=hahLGaKI2ad;A`Qwy1J>Itb#V#1qOv^M^G^n6a%Jjq;dFgiu z?c~`KVA1bCXCCPYh|AXM^TKdThru)Pc@dGj(=&qPf5e z6HdIZyD+=2lcf%TCSRPq0k{)EW=g8X1pYe-eE2BNXk0UsT6>zI~P;HmGf z8$qat&~oAr9hUxE)&1>cljA2HLf<v16x!%CXnD>I zc|CR2W9f*{3rDPzR51oYJe%amVa*wbQD4($eS&D~B~GtUwLGsVD-UmNJCC3cWG!rO*dZt6&rpM#v_$Ok|*L$2Kn zdhMVeV<9ImEm7s5aeZZV{>evmGs$z1z1n+U&7>uJuA(rA|9@kPyq9+RgBSbrd~YAp zI3P$dJn1jhwNIRA?~j6Gb2)u0(PWKBba9b7n&_71XZZ0$+8XyeQmuUL!@|PN}`c{seN{!;M7WYV#45!VKgSn{b^$faLZ zzGAu8541<*P*6K}H{TQc@^+3cXpSH!XTOVVycQq)f&j+`Q8{JIy$u*pc#?;H3Am#o zP$*tb<0v<7`LvPOO#Hgyr+`asC$B1hEiPXfMW3Ms<_eb^2_6J-UZe7IRhlxb;1T(D znS(Y-j+6aU`7Zwu4EV4adiS8Ga40Ymd9L5sq)Z-gYeB$T4scI@sbbX|EZXJV?Nu81 zhxRw>jpwT1;4h=*xPZQX}t24VC<5aXn zhw;etnc%!${?B#gZ|lndB_3x}v#HeRSAT_g1u_j&?J`t^XVZS8(0YlHc1(WJ8Pf`F z6nB}_(U&nNNP!EF<57gxs%ALQ%W*q@KWln7|LWwfx`$V5iv8s?dR`W@-ZSA%dyO|K>6@?v^vM}ex{q#<%}HIi}_~Qb#KF;4+jokJdW6t z+$icu`U%^hrHonBf&R+6 z>d+^Im$MB5O!v;ANfd!F-T{sw~CiRLP$KU=si=!b711L0Af}SvT zqc<1aHD-hD2!$R$RixSTfna~kL%~GV-lmQz@B+i)*{wIQ5s+>XP?ff=!x4DghW+rV z7E&#ol0g7UbUA={jG2f1gQRHaxg5D%cK6QMdMiqr&naY3kt1N+{jIYnM4(}h9HzTb zL{3jxQr|G$KaJ&NLhT9fg}w{^bAEahGptmZBK$7(w0IAsi}9QrL7GTv|t-$A@+d=#PfVVNH+1u7+61~D{+5Co7i3aa2{oJF}>s&Fv9rpThJdCj}81h;y zyDQ)*G41l~9pypO8l!S<3#}gZ!hF*%$P7IHVOxQ?wndDtRCmF9YC z($PBOQyqMgx|7&rY)!s{=Mi7hiJ`sN9Nb{aTvWgQCe-SIFYJW^QYdm7vPy*+CnL%$ zG)#$-&&P3)RUK3K!Ug&f77BU!!N&|MFZ~p$`wBr?c|%Pin3IR-1ZP1NE_}?wiyO02 zmw5P*4bGNXrWK+xdMl}inf+jVOQOq$)a7nR$kdAXzse4?LR)H1xa?cR%QNN>o=1?C z_VC%JqSb$S*x!SNwxsrtI*VJX@#49>@pN(fpzI~K_(A(7tBzjSBD%lOctFQnm>xkO zq$7bqxciTfwE(fA zU!=4?Y;B`MtpaTUZP(gncH4H^s#u^tQRAnt)>&t&^seUZCe8L5Eavr#Fl%GHyU*Fi zmiPa7jz4Ee{1AkAj&|*^v#HFZcR=U$@2G1@;dxYMuSPsX9rH04=~y@{==|b}g|3GELwb}Qdf1|dSv@EjJPgl@*hl|S-m5`H zF!GVDnUiObtYi3psAmU*4}TQqE;@nw=935%Y)3{ZbZzQU3#Bd2B})xS7-2XdxBQjd zEvdfT-y~DF0jJfCH73K;;Kd$Z*@EB@d3IUO(no{xmof3M?Ox^cmtsM0t(Efu3E#Yp$Kzp?)LNO z)DazXra603#GFZa=l5ZSV-zyG;vwbMYu0o42}1ezfSG-A$2?;Tv7G0t(4;3Eowj7PfrxjlbAw39}WW{=qp9F&O<4seaJl}J#K3)Yx77D^!jsLbrPnr^19=jx!e#D;C94YTWM^jPP0Q=wqN!Km}eI*Lg@pcsHS=n)8tNb_Kv*`g7m0xs8!VigwSGVNFi4@G8 z)Z1%FezZUysL4ILYa)cj4XpJ{Rmf!k9_P7~(@yGpuTp(m3KxgkC;B{pnYd3>a6=DG zCgj;lAx9O|UEk!s$rjHvL4fGoZTAU{BMs8asqms9z`>6`P9Ige4|#9QNkafY5`i?e z4r%M?>gn$rXs8-vI8MXz#Z%&w5<(A{?QgjOzc?r`_*6((c!Uuo?+ctfcQzn#%Reeo zMQuVw2_Sb+y^g3RP?f(O*PsI#fP{!71(KGL^_EjoP#pJBrrSfVR0k(_=c9H%Y3Gw6 zQ%4KBrIqzDoAu*{QYme}J>1M)%yv)M9zJ41has7OF1H}hqB?N!p$g*gVtYykhDL{t z+rCgt&CD%UUEM83`wFefz?y5-RO>~Wjjg)s6+l%oL!+Q<8Mi=d@RUSJ0FXoG659X)z*z!>A$BnYGKE3p8jV2U zz1Lu)@TpSZ;!`;MMrCAhPwZe9<)cJIF%m#ae`H$H{yd4&$Z-EC7(X$Q~;>}~y$ zv?B+?ZEbCIj->15#>0aK(rxL<+UEz(*e1kj7Y{uPhi${{?z!H`^a;(r7k%S&eQ52& z2Zu7Rz{Ossp|>`hOi39mIO=(Ocxn(F{HP$Ty_|r*H~?qZzWAbJG)&Tm+v;d(s}HEy z%1UWV3=nLw7@hs$Lyd6T%3iw8-C@3Mw5?^nxXA*SC;Hy|8~n%x_*bq+x8W@muC^)o z&|3i4h(TyP7L8QU`6XKi|DljTR*SW}ry=wm^$yPhC8U3s;c-}9dpLR;)#d z1ma%8$vL)I$!EOpcp_kY_hG;j7>_s{fWMOtN2DsIZ+!eC88Xqhb( z288�+NGZR}LG#L0uus-NB|BkTr;2b1?|SeyW0ohT>c0lC#42EjYodjm|r+mByu2 zkS1Nqfap*Fu#$+T(-)k`KDFpu-;6Af`XA#s$sff9krNi)T(TS~NIv=nLEk`ca#h z1Xgqx5CV8e@^ZX;)&S3KtehGz&$vA*ZVHIw16pM`c(wKT-7)HPWq?yy=mJe%Inn1l z^1uU*0(Nn{wIciik2rPD=nDhHfX7@<1Z?6DY`y4{1Q_n4p=`Ya=DP@h!j0g8K0Q3HZbCH!u(h~_!m)hN{36n9IDkC1&mssY zK0(K3;oA8G`A(ov-K0^%h>8UX=g093md%1&mhvo$@NgVP3QKCDGkgWSI{qSz=#m7k zNy*>3`uu9qn|P8QBqJaw%EPBX+#|LZEjlQvE4;SWgz$KNeGf^l6i7fVUd{=tE4wNr zEXZBEfPgqfg~=R(2h_sNJ0$R-(WCFm>M3qA`{1I}B>@%wB4r4p3wVVoDPDv8Koa{o z0bJcb#KEPfY)Aag0ZxOm^l#u5$-mLC;=|zH>0;wA=0WOJZ$T+YAZbS-jA>oHdpTB$ zkwR`fR}KH17`4ZX!HCk^IOgxbMFk*JE*E7*eFA<%N{&qAU3RcP25D&!4q^1vFIbL1 zGSW)71f?&{>4P!~=8x%ynxf9~aw3qzc~ehYnNx@2Xbd`5081A#<3pA1Dha6<;px2K z3v*$KeNT}q+@jdRK^?zEx_GfUSib3{=U%c%|2{{zcJAxQuL diff --git a/bakapi.map b/bakapi.map index 14fb44b9..cb0614b9 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:50 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 13f8:0000 0000f070 +DGROUP 13fb:0000 0000f070 @@ -33,29 +33,29 @@ wcpu_TEXT CODE AUTO 0000:5410 00000058 kitten_TEXT CODE AUTO 0000:5c80 0000080a 16_hc_TEXT CODE AUTO 0000:6490 0000158b timer_TEXT CODE AUTO 0000:7a20 00000235 -_TEXT CODE AUTO 07c6:0000 0000907d -modex16_TEXT CODE AUTO 07c6:9080 00002406 -16text_TEXT CODE AUTO 07c6:b490 0000010d -bakapee_TEXT CODE AUTO 07c6:b5a0 00000bc2 -16_in13_DATA FAR_DATA AUTO 13dd:0000 000001a4 -FAR_DATA FAR_DATA AUTO 13f7:0004 00000000 -_NULL BEGDATA DGROUP 13f8:0000 00000020 -_AFTERNULL BEGDATA DGROUP 13fa:0000 00000002 -CONST DATA DGROUP 13fa:0002 00000076 -CONST2 DATA DGROUP 1401:0008 0000010a -_DATA DATA DGROUP 1413:0000 00000599 -XIB DATA DGROUP 146c:000a 00000000 -XI DATA DGROUP 146c:000a 00000036 -XIE DATA DGROUP 1470:0000 00000000 -YIB DATA DGROUP 1470:0000 00000000 -YI DATA DGROUP 1470:0000 00000018 -YIE DATA DGROUP 1471:0008 00000000 -STRINGS DATA DGROUP 1471:0008 00000000 -DATA DATA DGROUP 1471:0008 00000000 -_emu_init_start EMU DGROUP 1471:0008 00000000 -_emu_init_end EMU DGROUP 1471:0008 00000000 -_BSS BSS DGROUP 1472:0000 00000e02 -STACK STACK DGROUP 1553:0000 0000dac0 +_TEXT CODE AUTO 07c6:0000 000090ab +modex16_TEXT CODE AUTO 07c6:90b0 00002406 +16text_TEXT CODE AUTO 07c6:b4c0 0000010d +bakapee_TEXT CODE AUTO 07c6:b5d0 00000bc2 +16_in13_DATA FAR_DATA AUTO 13e0:0000 000001a4 +FAR_DATA FAR_DATA AUTO 13fa:0004 00000000 +_NULL BEGDATA DGROUP 13fb:0000 00000020 +_AFTERNULL BEGDATA DGROUP 13fd:0000 00000002 +CONST DATA DGROUP 13fd:0002 00000076 +CONST2 DATA DGROUP 1404:0008 0000010a +_DATA DATA DGROUP 1416:0000 00000599 +XIB DATA DGROUP 146f:000a 00000000 +XI DATA DGROUP 146f:000a 00000036 +XIE DATA DGROUP 1473:0000 00000000 +YIB DATA DGROUP 1473:0000 00000000 +YI DATA DGROUP 1473:0000 00000018 +YIE DATA DGROUP 1474:0008 00000000 +STRINGS DATA DGROUP 1474:0008 00000000 +DATA DATA DGROUP 1474:0008 00000000 +_emu_init_start EMU DGROUP 1474:0008 00000000 +_emu_init_end EMU DGROUP 1474:0008 00000000 +_BSS BSS DGROUP 1475:0000 00000e02 +STACK STACK DGROUP 1556:0000 0000dac0 +----------------+ @@ -71,7 +71,7 @@ Address Symbol Module: bakapi.o(/dos/z/16/src/bakapi.c) 0000:00de main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -13f8:07be+ _inpu +13fb:07be+ _inpu 0000:03c0+ INL_KeyService_ 0000:065a+ Mouse_ 0000:06b2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:498c+ MM_TotalFree_ 0000:4a22* MM_Report_ 0000:53da* MM_BombOnError_ -13f8:0920+ _beforesort -13f8:0924+ _aftersort -13f8:0928+ _XMSaddr +13fb:0920+ _beforesort +13fb:0924+ _aftersort +13fb:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5410 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b50* CAL_OptimizeNodes_ 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ -13f8:0930* _finishcachebox -13f8:0934* _drawcachebox -13f8:0938* _updatecachebox +13fb:0930* _finishcachebox +13fb:0934* _updatecachebox +13fb:0938* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6274+ get_line_ 0000:62e6+ db_fetch_ 0000:637c+ db_insert_ -13f8:04a4+ __kitten_catalog +13fb:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:663c+ LargestFreeBlock_ 0000:66ca+ _coreleft_ @@ -183,65 +183,65 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 07c6:00af __PIA 07c6:00a8* __PIS Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -07c6:9274 VGAmodeX_ -07c6:934a+ modex__320x240_256__Enter_ -07c6:93aa+ modex__256x192_256__Enter_ -07c6:940a* modexLeave_ -07c6:9422+ modexsetBaseXMode_ -07c6:9460 modexDefaultPage_ -07c6:94c6* modexNextPage_ -07c6:9596* modexNextPageFlexibleSize_ -07c6:966a modexShowPage_ -07c6:9766* modexPanPage_ -07c6:97b6* modexSelectPlane_ -07c6:97da modexClearRegion_ -07c6:98f2* oldDrawBmp_ -07c6:9a58* CDrawBmp_ -07c6:9bbc* modexDrawBmp_ -07c6:9c22+ modexDrawBmpRegion_ -07c6:9d8c* modex_sparky4_DrawBmpRegion_ -07c6:9ef6* modexDrawPlanarBuf_ -07c6:9f14* modexDrawSprite_ -07c6:9f7a+ modexDrawSpriteRegion_ -07c6:a0f2* modexCopyPageRegion_ -07c6:a25c* modexFadeOn_ -07c6:a28c* modexFadeOff_ -07c6:a2ba* modexFlashOn_ -07c6:a2e8* modexFlashOff_ -07c6:a3b8+ modexPalSave_ -07c6:a40e+ modexNewPal_ -07c6:a45e* modexLoadPalFile_ -07c6:a540* modexSavePalFile_ -07c6:a5b8* modexPalBlack_ -07c6:a5e2* modexPalWhite_ -07c6:a60c+ modexPalUpdate_ -07c6:ab7c+ modexPalUpdate1_ -07c6:abf6* modexPalUpdate0_ -07c6:ac42+ chkcolor_ -07c6:af5a modexputPixel_ -07c6:afe8* modexgetPixel_ -07c6:b06e* modexhlin_ -07c6:b0da modexprint_ -07c6:b274* modexprintbig_ -07c6:b3f0 cls_ -07c6:b45e+ modexWaitBorder_ -13f8:04d4 _VGA +07c6:92a4 VGAmodeX_ +07c6:937a+ modex__320x240_256__Enter_ +07c6:93da+ modex__192x144_256__Enter_ +07c6:943a* modexLeave_ +07c6:9452+ modexsetBaseXMode_ +07c6:9490 modexDefaultPage_ +07c6:94f6* modexNextPage_ +07c6:95c6* modexNextPageFlexibleSize_ +07c6:969a modexShowPage_ +07c6:9796* modexPanPage_ +07c6:97e6* modexSelectPlane_ +07c6:980a modexClearRegion_ +07c6:9922* oldDrawBmp_ +07c6:9a88* CDrawBmp_ +07c6:9bec* modexDrawBmp_ +07c6:9c52+ modexDrawBmpRegion_ +07c6:9dbc* modex_sparky4_DrawBmpRegion_ +07c6:9f26* modexDrawPlanarBuf_ +07c6:9f44* modexDrawSprite_ +07c6:9faa+ modexDrawSpriteRegion_ +07c6:a122* modexCopyPageRegion_ +07c6:a28c* modexFadeOn_ +07c6:a2bc* modexFadeOff_ +07c6:a2ea* modexFlashOn_ +07c6:a318* modexFlashOff_ +07c6:a3e8+ modexPalSave_ +07c6:a43e+ modexNewPal_ +07c6:a48e* modexLoadPalFile_ +07c6:a570* modexSavePalFile_ +07c6:a5e8* modexPalBlack_ +07c6:a612* modexPalWhite_ +07c6:a63c+ modexPalUpdate_ +07c6:abac+ modexPalUpdate1_ +07c6:ac26* modexPalUpdate0_ +07c6:ac72+ chkcolor_ +07c6:af8a modexputPixel_ +07c6:b018* modexgetPixel_ +07c6:b09e* modexhlin_ +07c6:b10a modexprint_ +07c6:b2a4* modexprintbig_ +07c6:b420 cls_ +07c6:b48e+ modexWaitBorder_ +13fb:04d4 _VGA Module: gfx.lib(/dos/z/16/src/lib/16text.c) -07c6:b506 textInit_ -13f8:1244 _romFonts +07c6:b536 textInit_ +13fb:1244 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 07c6:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -07c6:b5e4* pdump_ -07c6:b646+ colortest_ -07c6:b69a+ colorz_ -07c6:b700+ ssd_ -07c6:b81e+ dingpp_ -07c6:b890+ dingo_ -07c6:b926+ dingas_ -07c6:b9d4+ dingu_ -07c6:ba36+ dingq_ -07c6:bad6 ding_ +07c6:b614* pdump_ +07c6:b676+ colortest_ +07c6:b6ca+ colorz_ +07c6:b730+ ssd_ +07c6:b84e+ dingpp_ +07c6:b8c0+ dingo_ +07c6:b956+ dingas_ +07c6:ba04+ dingu_ +07c6:ba66+ dingq_ +07c6:bb06 ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 07c6:00dd getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -250,10 +250,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) 07c6:01d6+ vscanf_ 07c6:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -13f8:0000* __nullarea -13f8:04f4* __ovlflag -13f8:04f5* __intno -13f8:04f6* __ovlvec +13fb:0000* __nullarea +13fb:04f4* __ovlflag +13fb:04f5* __intno +13fb:04f6* __ovlvec 07c6:022c _cstart_ 07c6:02ff* _Not_Enough_Memory_ 07c6:0431 __exit_ @@ -263,10 +263,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 07c6:04b8 _big_code_ 07c6:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -13f8:125c __argv -13f8:1260 ___argv -13f8:1264 __argc -13f8:1266 ___argc +13fb:125c __argv +13fb:1260 ___argv +13fb:1264 __argc +13fb:1266 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 07c6:04b8+ int86x_ 07c6:063b int86_ @@ -288,28 +288,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 07c6:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 07c6:0829 _nmalloc_ -13f8:04fa ___nheapbeg -13f8:04fc ___MiniHeapRover -13f8:04fe ___LargestSizeB4MiniHeapRover +13fb:04fa ___nheapbeg +13fb:04fc ___MiniHeapRover +13fb:04fe ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 07c6:0903 _fmalloc_ 07c6:0903 malloc_ -13f8:0500 ___fheap -13f8:0502 ___fheapRover -13f8:0504 ___LargestSizeB4Rover +13fb:0500 ___fheap +13fb:0502 ___fheapRover +13fb:0504 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 07c6:0a78 _ffree_ 07c6:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 07c6:0ae5 _nfree_ -13f8:1268+ ___MiniHeapFreeRover +13fb:1268+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 07c6:0be0+ _null_exit_rtn_ 07c6:0be0+ __null_int23_exit_ 07c6:0be1 exit_ 07c6:0c02+ _exit_ -13f8:0506+ ___int23_exit -13f8:050a ___FPE_handler_exit +13fb:0506+ ___int23_exit +13fb:050a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 07c6:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -328,7 +328,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 07c6:15c5 __doclose_ 07c6:170f __shutdown_stream_ 07c6:1729 fclose_ -13f8:126a+ ___RmTmpFileFn +13fb:126a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 07c6:1794+ __ibm_bios_get_ticks_ 07c6:180b clock_ @@ -337,7 +337,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 07c6:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -13f8:0098 __IsTable +13fb:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 07c6:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -372,31 +372,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 07c6:240b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -13f8:0516 __8087 -13f8:0517 __real87 -13f8:0518 __dos87emucall -13f8:051a __dos87real +13fb:0516 __8087 +13fb:0517 __real87 +13fb:0518 __dos87emucall +13fb:051a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 07c6:241a* __exit_with_msg_ 07c6:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -13f8:051c __curbrk -13f8:0524 __STACKLOW -13f8:0526 __STACKTOP -13f8:0528 __cbyte -13f8:052a __child -13f8:052c __no87 -13f8:0539 ___FPE_handler -13f8:051e __psp -13f8:052d __get_ovl_stack -13f8:0531 __restore_ovl_stack -13f8:0535 __close_ovl_file -13f8:053d __LpCmdLine -13f8:0541 __LpPgmName -13f8:0520 __osmajor -13f8:0521 __osminor -13f8:0522 __osmode -13f8:0523 __HShift +13fb:051c __curbrk +13fb:0524 __STACKLOW +13fb:0526 __STACKTOP +13fb:0528 __cbyte +13fb:052a __child +13fb:052c __no87 +13fb:0539 ___FPE_handler +13fb:051e __psp +13fb:052d __get_ovl_stack +13fb:0531 __restore_ovl_stack +13fb:0535 __close_ovl_file +13fb:053d __LpCmdLine +13fb:0541 __LpPgmName +13fb:0520 __osmajor +13fb:0521 __osminor +13fb:0522 __osmode +13fb:0523 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 07c6:243e+ __fill_buffer_ 07c6:268d fgetc_ @@ -405,252 +405,252 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 07c6:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -13f8:0546 ___iob -13f8:1272 ___ClosedStreams -13f8:1276 ___OpenStreams +13fb:0546 ___iob +13fb:1272 ___OpenStreams +13fb:1276 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -07c6:2cc6 __fprtf_ +07c6:2cdf __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) -07c6:2e19 ungetc_ +07c6:2e32 ungetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scnf.c) -07c6:404e __scnf_ +07c6:406b __scnf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -07c6:4314 __CMain +07c6:4331 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -07c6:437a __InitRtns -07c6:437a* __FInitRtns -07c6:43d5 __FiniRtns -07c6:43d5* __FFiniRtns +07c6:4397 __InitRtns +07c6:4397* __FInitRtns +07c6:43f2 __FiniRtns +07c6:43f2* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -13f8:06ae ___uselfn +13fb:06ae ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -07c6:443a __DOSseg__ +07c6:4456 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -07c6:443b __Init_Argv_ -07c6:449e+ _getargv_ -07c6:47ce __Fini_Argv_ +07c6:4457 __Init_Argv_ +07c6:44ba+ _getargv_ +07c6:47ea __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -07c6:47f8 intr_ +07c6:4814 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -07c6:480c segread_ +07c6:4828 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -07c6:48f7 __LastFree_ -07c6:4971 __ExpandDGROUP_ +07c6:4913 __LastFree_ +07c6:498d __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -07c6:4a82 __nmemneed_ +07c6:4a9e __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -07c6:4a90 __MemAllocator -07c6:4b5a __MemFree +07c6:4ab0 __MemAllocator +07c6:4b7a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -07c6:4cb7 __GrowSeg_ +07c6:4cd7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -07c6:4e2d __AllocSeg_ +07c6:4e4d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -07c6:4f1c __fmemneed_ +07c6:4f3c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -13f8:0022 ___Alphabet +13fb:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -07c6:4f1f __lseek_ +07c6:4f3f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -07c6:4f59* __dosretax -07c6:4f5e* __dosret0 -07c6:4f65 __set_errno_dos_ -07c6:4fcd* __set_errno_dos_reterr_ +07c6:4f79* __dosretax +07c6:4f7e* __dosret0 +07c6:4f85 __set_errno_dos_ +07c6:4fed* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -07c6:4fd8* __get_errno_ptr_ -13f8:127e _errno +07c6:4ff8* __get_errno_ptr_ +13fb:127e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -07c6:4fdf __GetIOMode_ -07c6:5014 __SetIOMode_nogrow_ -13f8:06c4 ___NFiles -13f8:06c6 ___init_mode -13f8:06ee ___io_mode +07c6:4fff __GetIOMode_ +07c6:5034 __SetIOMode_nogrow_ +13fb:06c4 ___NFiles +13fb:06c6 ___init_mode +13fb:06ee ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -07c6:5049* __set_commode_ -13f8:06f2 __commode +07c6:5069* __set_commode_ +13fb:06f2 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -13f8:06f4 __fmode +13fb:06f4 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -07c6:5055 __chktty_ +07c6:5075 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -07c6:50b4 __freefp_ -07c6:5155 __purgefp_ +07c6:50d4 __freefp_ +07c6:5175 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -07c6:5196 __allocfp_ +07c6:51b6 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -07c6:5346 __close_ +07c6:5366 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -07c6:537c __flush_ +07c6:539c __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -07c6:55c5 fflush_ +07c6:55e5 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -07c6:55da tell_ +07c6:55fa tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -07c6:55eb lseek_ +07c6:560b lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -07c6:561c __doserror_ -07c6:562a __doserror1_ +07c6:563c __doserror_ +07c6:564a __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -07c6:5636 _dos_open_ +07c6:5656 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -07c6:565c isatty_ +07c6:567c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -07c6:5678* __get_doserrno_ptr_ -13f8:1280 __doserrno +07c6:5698* __get_doserrno_ptr_ +13fb:1280 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -13f8:06f6 ___umaskval +13fb:06f6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -07c6:567f _dos_creat_ -07c6:56a3* _dos_creatnew_ +07c6:569f _dos_creat_ +07c6:56c3* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -07c6:56c4+ __grow_iomode_ -07c6:57ab+ __shrink_iomode_ -07c6:57ec __SetIOMode_ +07c6:56e4+ __grow_iomode_ +07c6:57cb+ __shrink_iomode_ +07c6:580c __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -07c6:58ac vsprintf_ +07c6:58cc vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -13f8:1284 ___env_mask -13f8:1288 _environ -13f8:128c* __wenviron +13fb:1284 ___env_mask +13fb:1288 _environ +13fb:128c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -07c6:58ee _mbterm_ +07c6:590e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -07c6:5941 _mbsnextc_ +07c6:5961 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -07c6:599c _mbctoupper_ +07c6:59bc _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -07c6:59bf _mbsinc_ +07c6:59df _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -07c6:5a11 __ChkTTYIOMode_ -07c6:5a7f* __IOMode_ +07c6:5a31 __ChkTTYIOMode_ +07c6:5a9f* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -07c6:5b51 __HeapWalk_ +07c6:5b71 __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -07c6:5d91* _fpreset_ -07c6:5dbc __init_8087_ -07c6:5def+ __default_sigfpe_handler_ -07c6:5e44 __chk8087_ +07c6:5db1* _fpreset_ +07c6:5ddc __init_8087_ +07c6:5e0f+ __default_sigfpe_handler_ +07c6:5e64 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -07c6:5eb4 __EnterWVIDEO_ -13f8:0716+ ___WD_Present +07c6:5ed4 __EnterWVIDEO_ +13fb:0716+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -07c6:5ed8 __flushall_ -07c6:5f6c flushall_ +07c6:5ef8 __flushall_ +07c6:5f8c flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -07c6:5f72 getche_ +07c6:5f92 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -07c6:5f8c __ioalloc_ +07c6:5fac __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -07c6:611a __qread_ +07c6:613a __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -07c6:613a __qwrite_ +07c6:615a __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -07c6:619a fputc_ +07c6:61ba fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -07c6:636f __InitFiles_ +07c6:638f __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -07c6:65a3* fcloseall_ -07c6:65aa __full_io_exit_ +07c6:65c3* fcloseall_ +07c6:65ca __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -07c6:65b4 __prtf_ +07c6:65d4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -13f8:0718 ___IsDBCS +13fb:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -07c6:7716* _ismbblead_ -13f8:1290 ___MBCSIsTable +07c6:7744* _ismbblead_ +13fb:1290 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) -07c6:7732 mbtowc_ +07c6:7760 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -13f8:019a __Bits +13fb:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) -07c6:7850 __FDFS +07c6:787e __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -13f8:071a ___EFG_printf -13f8:071e ___EFG_scanf +13fb:071a ___EFG_printf +13fb:071e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) -07c6:78c7* __I8M -07c6:78c7 __U8M -07c6:78ac* __I8ME -07c6:78ac* __U8ME +07c6:78f5* __I8M +07c6:78f5 __U8M +07c6:78da* __I8ME +07c6:78da* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -13f8:1392 ____Argv -13f8:1396 ____Argc +13fb:1392 ____Argv +13fb:1396 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -13f8:0722 __amblksiz +13fb:0722 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -13f8:074a __Start_XI -13f8:0780 __End_XI -13f8:0780 __Start_YI -13f8:0798 __End_YI +13fb:074a __Start_XI +13fb:0780 __End_XI +13fb:0780 __Start_YI +13fb:0798 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -13f8:1398 ___historical_splitparms +13fb:1398 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -07c6:7a12 _DoINTR_ +07c6:7a40 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -07c6:7d8b* _heapenable_ -13f8:0724 ___heap_enabled +07c6:7db9* _heapenable_ +13fb:0724 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -07c6:7d9c* sbrk_ -07c6:7dac __brk_ +07c6:7dca* sbrk_ +07c6:7dda __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -07c6:7e14 fsync_ +07c6:7e42 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -07c6:7e19* _frealloc_ -07c6:7e19 realloc_ +07c6:7e47* _frealloc_ +07c6:7e47 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -07c6:7f13 __setenvp_ -07c6:809f __freeenvp_ +07c6:7f41 __setenvp_ +07c6:80cd __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -07c6:813b __mbinit_ -13f8:0726 ___MBCodePage +07c6:8169 __mbinit_ +13fb:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -07c6:8216 _mbdtoupper_ +07c6:8244 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -07c6:8224 toupper_ +07c6:8252 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -13f8:0728 __8087cw +13fb:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -13f8:072a ___Save8087 -13f8:072e ___Rest8087 +13fb:072a ___Save8087 +13fb:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -07c6:8233 __GrabFP87_ +07c6:8261 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -07c6:8266* __init_8087_emu -07c6:826c __x87id +07c6:8294* __init_8087_emu +07c6:829a __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -07c6:82c9 wctomb_ +07c6:82f7 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -07c6:8314+ utoa_ -07c6:83b5 itoa_ +07c6:8342+ utoa_ +07c6:83e3 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -07c6:83ff strupr_ +07c6:842d strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -07c6:8434 ulltoa_ -07c6:8571* lltoa_ +07c6:8462 ulltoa_ +07c6:859f* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -07c6:85e2* _dos_close_ -07c6:85f0 _dos_commit_ +07c6:8610* _dos_close_ +07c6:861e _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -07c6:85ff* _msize_ -07c6:861a _fmsize_ +07c6:862d* _msize_ +07c6:8648 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -07c6:862b __HeapManager_expand_ -07c6:8b34 _nexpand_ +07c6:8659 __HeapManager_expand_ +07c6:8b62 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -07c6:8b87 _fexpand_ -07c6:8b87* _expand_ +07c6:8bb5 _fexpand_ +07c6:8bb5* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -07c6:8bbc clearenv_ +07c6:8bea clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -07c6:8cf2 __Init_FPE_handler_ -07c6:8d2b __Fini_FPE_handler_ -07c6:8d62* __FPEHandler +07c6:8d20 __Init_FPE_handler_ +07c6:8d59 __Fini_FPE_handler_ +07c6:8d90* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -07c6:8ff4 _bfree_ +07c6:9022 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -07c6:9019 _bexpand_ +07c6:9047 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -13f8:0748 ___FPE_int +13fb:0748 ___FPE_int +--------------------+ @@ -666,6 +666,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022ff0 (143344.) +Memory size: 00023020 (143392.) Entry point address: 07c6:022c -Link time: 00:00.79 +Link time: 00:00.00 diff --git a/exmmtest.exe b/exmmtest.exe index 45966b55b9807b1166fde2df9067bc7914531dd6..7f0f2364066cb74c3b7e43280a6f68209baf1633 100755 GIT binary patch delta 25990 zcmW)mWmprA6UW!ZfQ=Zj(OnWscMT9}3277&C4~)Cz>SnKK(Jd7Q4Ex>DU8OZ#L;DJ z3=j|jsr`S?|6bg4_v)T|o_lfkz0Zw%ppttaii^K2z!3lhfB=aiJl<2m|APM;;XjWA zOe2~{h^@~HXq(c{>Z$pCP$-~~1s#GFGWY(J?;fB=3tRYg#!(CKAto*i&0vj>E3w1@SA;xOc&ArM|>{vfThpfN|C zy|p2OE4Tq4_H53U(OBK}u=(92FZ9`soN}~((@oY(Ci->-rZPlV=kqn=2s6K05@^EX4d8a-fg$5thVOEAU8k)tk z!`%G3bs(s>VyX<>sWxzg7s}6J7D?+<2Ub@r!3{4JtLu!GXr(xsrVDMCuFlDo&* z8TTXjzNnwAU4LD5D3fv(fQGZZzE#n??3?aIm$r^){dR+->DFc2eUA;w>l}^Q!r~93 z_`h!JZ(JsA07)$rhrhgUAf4sZFMJud#ksbVsX3m!Y5|)m0RU6sVag46-Y7xM9gyo% zu-ruf$&M6CyaOt{JL(Wzuq_;^$inMPh|Ne19u5BPM%-4=LSEeY=i>UZ>w$IdidFnM z_Ek40@Z1_hTyOPkiktZGl2zhfIXO$xO2jqeVvdgW%G#N;q*_8IxM|^V#d&SqkA%GP1kf5lF&;Atiqj% z4^zn;dC4K80!^{f!1_tBAUGiIQ#9GqE_G!{h>lzw( z3IQAlGVa_;6ryeB$EgOQ=|^V;Xj9iIP24%KsqdKD;|@35tLB=OdN()7;dDEtr9c6} zSSNe*QZy~7ov)kG9f&x6=_s3Fl+CRbyKMEg#0^x)$Wo^12Y`>n1R9QH#goVi`j zSf}8~u<9dg(TM^cHzzo(3BaVDk?A{U7sEz-LG3<)>~|ouTG<$UYnFN==RU=GmSJUe zhyR`=UGkY6&);@DcZIm4OoxJETr&N|rtd0u@zd(R@V|PG9TVtM8F`HVKd(2^C`h z)H<_iDYKmI{YhhyYBi@@lqlSh5zN=5fy0{y-IR`?sPVK7p0%pw&3d`Qz3Ds$SOv8e zT>&O*_}ptCIEeWV(Q~P{EB20lHn_2FN z2^~;HD@8kJcew`KvcPos3Y=rH4~l{M8)3XEG3;*)9@TtMJeG2GOLXcBGMZ}I7u zlju25n^~Io4Ldx}9Phanu!G6iH3a03r4tQp(fqZ~ZM+$;MsOlp%}$rY()W2BaO(J$ zCzUfa^KFy3;ZfK(6l8L6I2`0rlhD%OYCo?_X74aN1(p5JG8YU zlp*fWd)*;o%Mwoz%7h9seF`$mhRiP}twU!7WJKe4`0g!Rk*`}0oJ)rP2#s@{jTJk& z`j}Xx%-8;>9qagDhqisP!zV7UQ|DI;DRutsSR1DDN&1b!*2;uYiIFs$Ye!|&eO&r} zuWr+clob$7;I%_fnB@$uQtU=q65g)mR(?llKjRAh&|VeT(3CGS40|Z+!R0gu9o#t9 zFh-r$AsyAeCGq&giMDRLLdh8c-8!to>$F_rm3qrMy)(xx%PdMPra>PLvVkJNo0y=Tj@m{vE(_}US zfVrgck_Hgwzl3%DyTf7a9s^!TwEI{u^8SMkBU+-ElxZ0@FBgF+o3~y0XCEe2AGaw; zp_cohr5gAqJMj?mxJ#4Zm9;1x1m674`UAM+CReze&)jn>yf<0zdeo$Cud(=uQQ_fL z+WXAGPPZctp^p7S{lCENLsc{-voL${2(p-1>LdJ`6$ln0X8zhsat*hT4x-_hCAPes&9iY95P zyWdQyuzPgNV~%c^O{Ry4ws++BJ|o)^WL3Mva^5K}TJ!PjJnr>&OFfmL(J23lKKYrR zfs)hT(&))(bic{1i<9C|uxjKp*?8COW=-R%x+GwA_V%Q^+Lq~aZcMN~kfl!#sa9nL zv#pO4OBSROR6lw=Exluwp`X2yu3Ru1JRIW?GT|%mi^Vq=K36^V!Ms$)Sv^R&hV=m@ zpYu7M-dtW;7!^x_nigQYDJ0)4{rRi5PFT&aY^RwSZ-JmEE{L%sz0g=CK{};b@2$nf zpY#q{pAThou0PKX!psL75?)YcQE1B_R(RlZtum`wAP zTUdRM0E9i;71`p{?uke4mhW?z`+j#-%Ml<(JGg!2419&Nb!DHToM(4`nxVP_5cgT$ zGNUDJ8L&ZEf3ft^$Hh}2+s5xn({hFT#w;fJpZe>#hvr~F+Ow$kCAB}9i!#s>WcI!0 z@90YC6d0Fg+(G7}mtyo{eg=o?m)9koj_0ZOJ`d*c{ap#m%lg|P)4BKu)>zO5e?!Yu zr{XO*`&oN93`HT2%~Z1S(@1i+DSc2krSWFUX9BN<^t5Vv|5$miQryO4>wukV`@

})7DX>fU8OdZAxjm)b()y=R*OlfM=-TNn<^mg9z>c6Xq{{P0* zIs2KloXTQ1{E`Bd_4Sz=Cf>`$KWtXP_RZz1wykCGqm)loOA|qe)tismKDg0Y(xIGE zdgUuuu{(B0Pg}HT_@xU;(YV8Id)2Iv`B`uN zuD8FFmZzK}eO*lcs|a#vRfX37ZcFFfI+;1;zeZ+=egC2$xT;)cl`cZsK1Tm{dV>SK zY{R{xyh=R@+odcnA|0w=s<=@wR>}35rK1_0Vp)8i>KN{#RxkghVYqwr{o2^D^erF! zS<9-TVF+qn{4ru-hkLUcKC(<1J~OI+C!6&wO54~Rm>D4O$h|tJyA(9$3P2*uS0(|Z zi~k%NPx$=o&}njMx6tgzuSd7{H!+RcJ%nW3U>I|`qZxV-@Y-dI`ZcX1}9Z-c-`-L`7eh*nrGqXC2tR!6aUFOrPGmXWWLw& z`4oJ>1qLx7hp;~fTzNjr8%;xUWO=Id*&b((pF*DJpNLNjzE)_DfzIN9H_UoJ0@lARJJBs~}UktIc}_v!p0yMjp? z&43j+(5m16LBU!}tdR8ZLs;2|^2ccJ_2jS6k|Wo9DmB$nt^Jxd(X4(A zLYI*~Z2ow2do`eP73ZOGjOD+qSzI{ssfYjxdIx`pu;_pcMVqjhTrC@`&PJxrd#;+v@#@9U6 z2;w~>ID51W=rPw`xy7VBA3V<&i{g=&L%1DWtyY$)>L75QqwY$!0qP0fmeyWpX}Hg{ zmMMk;U$&dY@e*wFc9;dh6K7?2S|gNo_>Y+Msp)u*&gBodi!@OX1xckhtK{r5U$Kx? zH75iU)#S1@P98^`nm9QDZ}@Vm*;ZD;^tL5zRpoPl+}(ql1DBoR`)QvQcqY=FUjsBQ z2Ub2%0Z7uzyXQH+dnsUPY+tXD#E0l`W$A8pTco&+io1jC8DF&1yD~z)UF!|nP+?&1 z#m<$?&VIq?*MEvo2-~SJ@~jv!VKT8Y3vho#gR1Phq-@0>iKf>QH?j|=AGMw9{$Xt3 zM?x3Oj|nu3)(?E>-Sy`4fb4Fr8h0iiFz~#q;hb-~N^7an8nHU22@`sOy_R(Ty#h!A zqtMT`Eju{{dgG{=E$sl(n@$?FiiDoOuOZMfP;<>s#}h(nb#XxG40aCmowY(RjRkpy zk6BS=fM=vAO`C*FT~hwQr6Btbo1&)y$_VQ%DJdK2$cw=AxioikQ~s2;t;MtM#!C(@vS{z$jxB%QR_xNPjJM5(Yd7F^sKw#y{<6dA1igML$5up zB-2TnQTvfrh$P#6mhzUjWT{NQ!C)YOK$#y+&bs&gd2&7c%L9!zR5 zH44_@=_(bv($-6Z!5v`6umQ(N)>*VhzAWmDGcuWFaqk*8bAC{<>#j zi^nCplA=q$KfX(n26p;d-nXGOs%`mfU(8~g{5>IdCw$sc@G;|L#eqBKWH?Bpk(!+&+I)R#MU`K1P3rEG>H8(<3|9m?omj;Fi2ZD&D)$kJ# zuOzLDicuEFL_J+3+dlFP#!*Nxq7f-a5m!1yxXkI^KY9{8W2hs}(%#KwQ8#vq6OTgH zE+ty6Wh7b*rZegx2VR*U-3a@;}VoHkIl_AfwEqc!nv zVxuGEdg4u!Yowb-SIK(@tpNG_4}%`#K%>hLz$At<{}x&cNt(PvN6r}jq@OW*dE6a)_P7U z-6-JP_nW`&l;5geY+X}1CmRMu#b|?ejzL<#sge<``H40x2+hrePTn}%x}i+Q=zMBm zZGu+iKuJ>)g$X7}?B=2vJ<5c9mMt0+BWzLw3g0ROwf?qggeOJBMQ0_P7*9F@%kfED zZRMz?Tlm)}W}On`>T~BqIeF0+>j15OYD|OIt%`_!tJzjxGJ?<=5+)9AWkEGGt-kv! z%0_?AkzH)olwIpp#~2$aAqXXES^Vs*V^Z|28EJ^3&dYSx84XQ})%Of_*#t9XjIoRg zLh@_}5Z*c^PPU$PRai!ts%jz#iON|5`WiMcv5s1SD;=6p(W{x13{}%hv>qH+efX?G zx)N3Wv4<{Z;~4;eDAiDLQkCW1yXU9G6Le?XhXGa^%|T>DUJo9{!8Zc1{D9VY@n<72 z(a@k(C}0;(0o~7YPgku9*+C*@0kHs3+$|(v_hcD+TxE6ja7(55Qa`fK86%EY{SCAz z0=7?Jhl;f#dB#J@F!Fcf_VJ_{v3zyONp`1dsPiY0%kuK1feX)GSXNk6s}AdqJKqEG zf!9jiEmj>+|yoG z{mu4a)26+R--yZoA8MZ zg^_8c2D$AU#apXtz_$rK#MN(K<+QAN;O@+oNRe+p-hH*=OJ_lXq%0FX=Qo14zvCq> z*+|QxQ_d!n#O)L3YI(Cv-FJR6OXIw~B+Ib_z;oU&KQAb+b)sqjfc@~3$H}Lt7rs)6 z$bOF?oiJ&rY9(*B3Pz_fD#tpOITD>d^%kpM+nKUkaFi6!ky3N+;%J37Eqw4{ZyxM2W0x|g($?C*ZU2|!iY94 zU&~OPI6|YnOB1jLAUwKru39ehkFLktldjv0=5#N{DX+dt3t@!Cw#8Tq`lYYUSRE?n z-2k)rI-ObUz)6@)5-bc(dTOwh_1}g_m-2}E@`S(gd`PHwbaT>uizgrl`La*z zC;&0kAP~WGJ>unVebjLWaKzfx;lR&8+zUg(rMdeuU?JPDuz6=N^>2_nuAusprxc&L z=;3#)*XZYqncGm}?@sIoD}KL+v$Vx(TuCZhR8I8jJS}VSgP zi)&(;OgwK&wU#gD*xF*lXmNN;FTkhe{Gl)8W?k$}_lvZRC&$?z24c$S z-zf7RhJK!sVXWQZoUY}a2s=wHKZ)M|d@|hmYI?*8)kg9C?){6)RC6t|d58H%u99U6 zZO7VN-n4OI#hT&R1E*@$L#PfjiDS6;3_f(nb+3c(ApfPa7EA8~{}(9jCSm?kSLdR-5qu zYB+Pp?-z+XCW_kd5@%KYJF%s`#Pxx5Q;HHH*;BM%QR^{%^_}SGj<&ax>WBh zGM70yNO|-5C{ENUOAkM;Z#|xhg7}Y9peBan+)iXzs=x)FFSkyY3A1;QO{$H;L+%@) zkTZ6C50`C>)GVR6p^oLCGGR(XY;Me+Ss=+W&laJ9tXOt9n+pZ)7|jxrPntea1{WB5 ze<9dVQn+p8v$}&eNBnFHL=N!?kmC=38<@o=Vm8de5;ek>$!d|?+}oVbfVvrNl?@bk zztD`7h%!s4ubSrP=Tei=BskJ8j*sicSxo|%oqN$V8@u9t3Af%v@w^}!%Of;jUx6Q6 z4&Ogw7r8cGXk9%ADm#QF^9Yw;a&&o{W=UjS_ltZSWnMCT83E@=O$tYlcTs4LP({F1 zA=DcL2hNj6EW2`c_hk(`n!#Z07LuoW+W5|1e&kv$s~b$bAOG%UK;%l_e*U2ab#3F1 zxL<(z9}>p8>J&M?Ub~i$v~LLSB}0?Ji^}wtv^v-AnU&qjA4UhRT@+G&aE6Z96Ex#* zE6L_j#=2wCJrLE-KPzS^((={QZZSdD4=-(VhlQ>OCrVgZJ9f{=BE>dJ<&&Ws*-WU) z4P!v==8H!7rX-9Y8-(q$Bw?N$xbEg!bCaU+@6Qtwl(H@HE_0N}#}38l>4{b31@qi+yl_<&Z3I_kWBaQ(f7mjb*qm8M7O+eh`VTIkz1UWRdt*G zvhNrbQG%3LAi+ilc@+{@XRXKw;QF7%h{f?Gm;C72I3J)s7Se%%R$yfmXblEpWm7l~ zk@uL3MZqdpWWJ5uZ!&g=jrL832mF5eCg$s`$)ZPgdapkOoO2jH=w^ zo#=$yxA*jP^ZY@Kv;~aK#yxw&bm%$g8b%?!|*%x@0!62YFSPJ*zH9uy?V5*%;>z9uNN)LOk zdJUwmEdlCF;bsomE^mjd1a3@A4**AL&-)^PYFaWv@FWG14<-tjjhUc+2 zN@cH)H+gf}#nTw_7qCW_!rd>O_6K{vCUKi3)C2Xoe@;x&@E^pW2d_4s8{*dHr`!`3 zl|z1kt=(b>yB#+Y)eRsGqU5n#Ge78v1AMhcvDWfpW8?B`zwF$9_AC76z}KvZQ55Jr0GwRbh%0T{RgapBpI^(an0(+sW+{Ir;T_ zkO)DSX{4L^iRoOk#%Ho@CVhDslGvh3lsY4k$-hZHnt~v}Wrg_Av*60f+#BuC0Q*>X?r*68ey*XF`YJFx8tVTV@;6d9tvcY)smD96qPysQzF$zMbVpRv&kOeZyqJw(z z%OG%NZH!!iowYkS z$BfixzV*X0bYC4R<-QAD*1o^t%j1wz$Q$t%hcwZ{5hx>T<*yOo3A?y2h z&>O0oHl&G9KQU%`1cf-?X&FF#0Ug5Y= zhC(N}N|h+SehPQM_0)u3uf1L^pSHih>h({ZQIsXLd98Z?aaV&hf~05{b@DuM8E{t# z$;c00yI$l|+~AWR`K9;|)Gr_ixAo;W_IZywV&P*_>#2fo20fefgGCKCazPfjCeet> z(J@9Og!eX|Kpr0lCwYz3H(@j$sfA{-Tvnd9%Rv2vDg4*7j_K*@^#c0zoShCh+erNF zd>?&*cjPJiXEwgQm33su-cjz*ai4&NJ&f%t-7%?{=+Z3^TmLXZ)}gIx2W#;8<&J#c zoS4!a1FiU3;FW>F7x{-gp&}oxIw>~>3#<3Fto-PJ_rN2US1}||2QW34y}|11ny~R~ z_`poXQ}iAT0XYTLz;GIVBe@4~Fqr~u{^!@H%`l{W#wa=kp{{BcbOl#Qq~i=CIx?Zd zElo*P{5+Oq(M@w!A@iD+Gu7V$$JFnvYT(exaWd5ION5sxSys1J4KvZ_^Lu4>%P3#cy!T z_M82Fb1p(BxOdE^jF!2N{-K{pFLGaPS>g75yl9=~nvkknDArH#DJBW*RZJ8l`M}aH z<4Jga;>*E@#}{6M38eCg9^x3Oz2CyCRtL4GGYGw2Iv0n`0aitng1*}#zcfqsQKCWb z0VZ+W>Yt_9SSRB5(b7HwSi2AmKm6wt;YOcD#Bf>3*$=i2(K8qnKBplm)|Xhv~fx5B_#aH{3g9dvgq9dsQJ8T1g>ntOw-$YGr!=mNS?Sr|c(J8PR32s-t<(?$(FO0aUJZf@-(%y7`v#t2 zvL(PDCG=|I{Abl{?RSbslyh^ZeL(z%enC07z*)&z;6{G>5PO$$A70P;{D!MMNq>JDS-X`5`M^iLZwWOdfTiu1R!_cH3~!`8YYRU z$-?gUeUcFX02C;rD#jqifgUhtVO|`p{#EZ1wG-U+2@Hxo4$K|~PtG`io_%IITY~MJ z-iE4G3oxhUl}oE2P?_Uvamvbtu*@3Y%4`jHe%to=Ho#N|oc3YQjUk)wa5`ru!v5R+ zZ3#zApWB&;3*nPy^_KmpqO>;JyF9rSEwJ^?2+-7w0L2UxGCx|xQ1l2?>rp@wsmSRP zCE&!2$cqA%B@k2`$)+C#zth5|GDc-9P56O%J*$Wrldap0AmCn?;UBTWQKkl5DB>&Q zb%aEB5JK-^(^b!wCp!DY?Yq;l>vu63?Z?uM7y#R}DvVde3FM{m0I%s}R}Bb0>YBuF zq}Kxqd*OL^+_V?_?qu*v#$B;;v7$&#K$1S@Ju%nu*YsPrBEXw}K)JX@IK9b3xa}Cc z0Sq_^;N|1$ARkP-_7VviUDEDgBc9(T%M|t#GLnq=QV)MaAc17!cKn;JP}3xIk~HMK zGEY{^x?$TO5z%qUa&G~DKiw(JAY9Ugg;IEx0P~-`kk4$2UyChTC5C)PS$yrm)J0RkF8!+mioCnMfJmzyzxICUJawz;JH8oEao|6u zq^5KCX1!V|vsmgr@9vw+sk@n6P-JMppqBJqgJ8XU`?Li}FO2dWTqmjx0Dh?3L41vdk0(V;23

{Cfv0P1WTd61qqC%UKpn6r>*{^v zh-?EPQKLInIA|&Sq+{L*0g*A+IP@eYj{L|rT}sz#$^yWT+L6g^XY8LrXLbrw=b@T| zG-)TEa=!Eo1UzvHTj*IjIWNi%C>a_ZSOAuk=N5ja@GV=?1@`ut8hxe%3H*1Xn{H-O ziP?PfGO;4`G5)#;;LQ-mehbfPDtHXcg5HWbR`^DbRd-lBDSx_fx3?`FkmnLGvBcZ0 zlKu0lfXr)ZDGDru3B`%_+N#E6=-r*)szt z_!Uy5z2n+8-U8Br)@l5*vgXsXLg}d>qkTiN3N;mCp1yPO6OcB(mq1O13m;K~zFV~comqa4G@-aX7isx$G5*SM5L80^sdiSH{ zRfw!|{Hwm(+0B~UZJ}_DFJf2zJa-oTP2oq1Z#2EiC_}903#>Ng{ee0E-8B3MIk>mv zORf4rXAlb zpuOB@JoCQ<2ev$;j^oY$1&GUR`P0{SUos4L=&PSK-a82=m`Ybtff`Tw7X3VIYFliZ z;FJS2i|m^}P0Z#_B$gK3u4a1S6ti;}gO*m@SH17h@fA{D0SkgLC5$Z0pvp7mbGjfK z8++KILBCK-3o>ug*Tiw;S*wFGGaq=4q=!S$65@J5N3y`*m3(QO`_?WJo`+~+96cn3 zRKMiYpP}o<=h#adIvC~w_#}Yq&FG(r)VOyem9_%f3`?6BM8Cps-v#d*f z-1cthv9gmHKgjFWH*c_+X)7Wbjp|Je4*5X>)~8O2$|9B?daTU&DkNmdK5vaN?a}DK z_7GA!CA~vzWzMCrPa)L0{qShS2Kc77zR2>^kwU8G1PE{wmQ(G{U_#-T%jJ|0GDYVQ zy`T<6{J+K%U>;c)2-R|ve`tb3V+18gIYPK`236+A?0%EnX8d<_Ba?mLGbPw7@D5u2`Laoc@_gkQkVv5R>FP2v&9E9um48jsCPkjL}U zWFBIR6vBX5DfZ6JFPjclGeaG9)K^my51tHm2-z1UyZf7lBq<^I`c=oc=JL;}7QG ztxt%C`lCfMLxeLZI>g4nH>=pH|ITjSwP%H)J0A-buTsO><1p+e`@$nxSHukg!oAF= zOHC54+iAi+6#82i-yZnX8R{vZ)qfwrnOa;uCr<|3pu_uA7l}!XcBAVp11pT-(_ZQK?E<&HY{drp$PFub#`3S=(3b+>ypEUDGYR&W8T=%qGFKbH9r+3 z>VDu={C#;t2?S};mjO$8aA~pV(`ng{r>{%JECQ%|{TpJq`g2tIZMO4bkxi3d3_<(u zQa~)fgGZB?|Gk3Ic0HudgRZO2UwDVp)P)-#5pJF2lV7OVzs&UJ8-D3#x+ZG&+9>Wj zEBF}2N&ooM&ByzO;Ew)vi^qn7FSve*1r}JpV}?6^#P!iZtYM_>2AX+aO4=C)jlH_y z1yZgqjpo0#uxAPItXbrNl=0{ zW&TR-ha^bB3iXFI`uVSb8t_0dJKP8dLcy+GlS+rZ6$b>SPh*s!+^=5zD(sFMJY}8+ z%m9hU8MPqEp8te1D$9iK(EK%Cm}Oe{RAk<)M>yUB;!U)apJj9=MO*go zIpYOgz=<=8?mBJ1U+mrncJi-deM$0Rtx3LgpQ;;+9~5q@?Mn1>#}Ie~VCAQMDB}5Y zBB!upC7JW3u=Td_w^WS0snT}hb7bKWif1PShTx$&L~pr$$cfsUnVd5H%-$mqAJ>dR zr3RQY1^27@w{vnG19fcE_%w%tcFzAz*aJb@@E*rvAOa}GadfvXSq-kglkTO55;#+f zdQqjF66~~MhE;${gcb`zIYnV2>RAb)NA2m>b#?43C(LwZrB*~}Ju_vI_%GI+x;7Or zO81)lfqr}v;|05Hv|r$w0SMNTHCjcPtEjB_iUO8y_YHvH9cq7;DH7lG5$4rx!*Oic zTeA{uB{vA{6 zdqqdRcio>n$L8CJ={O_Jz1{8h-k#RvFQ6eq=CHJ{TLUPmadG|t3I`08T}zU_()Fmk zeM?T}4!h1T_QMs!d4$+lNNHxg>joqx%-p>SV}^Q?zXZSjv${yBn>+s=^Ea>F%u`D@ zUtwS?gD--kFivdFE@SpWz``l899RM;UIoZk39ci}fR4QqE-@adRMFAl`vvj$Um(cp zJ_2kEQSko5`~CR*AS)IyuUOJ)5d4FL1`3r<^3)Pr0ea*WtctQ@9`aRU-AY~D9cZ@{ z{?vW~60A`qtqL@&Z=w>5WkYP6V4H&taslJ2&CSdSf+yTq&}ni2ukk$mjY%z_TD0W& zCAED)(IQ1NT1PJ*6)#G;OZ_bSmm0A}(V;N;XJ@GI!H?|YY7sy;ASmHwgbCu2e!Qgf z%vvMz3{)*I8syk7lsHL%b31Ig1)H697AyIY5`19?P`88?(t*wz+!|4F>&`{nNMXOb z;Lcsh%Q8nxZar5uj4vY~bY%IGjM?hJs`ajCzh(BxT^t!6KpX3m8g`;BoW}{F@Vr2t z$A8s>dHY&4IZaNmh2Z${7R!!8Vk^(-+K~;}}^bkVOqL{mT z2(TqbUW~?sWjP|7>=fhJvqCMF-yR$kO8Kv&j!qD}1q9GEHEj%kwBL0(rt2T{0i#UF z`03a@_cP`?-&X)3oUbbXD8-4wqf5IZOFfZb11cdJe$B(JUmGU)%iat5{^AdsHXl6= zDy0l@wA@kaAlE9vj`cUk{OP%G>C&$?z*ytv;o)r*e2=;LWfqO!NSgrs zrFcM*yQZN6y5{V(EqYZ6BXn}$v(W4MD7*Km_NQC;|6mc}Kcvhm_JO1m6;|XhnEkNs z=YIR{snfyBb(Xr7_Nv#%b0d|jjC89$CY2IwPMdy>PdIi*3}M#~jI_h?=4M>_OSVR& z)b`7DI#*@mG6JqrUVTWn?Bfb?a}{mI=YC>_+eWxz+_<%Y!YPn;O**&7$*A&nkYl21_lNNq&87)5TVH;A?ldVk*zn0I#2OU6W{k6vW211I?!`pW7}& zII%n_PVQmQ>~CKO|5MJ&_adFM%@>q&;r+jmZ{(g8+Z>}j_bcUTT!LBWzdqYEeT#3w zPe}FW)jJ65*DHnNv|b>!ZG}7I*=c(GPq=_cgk{_HbPmmw=7nymJGR*;e?}w- z36qx7V^LUi^OSuLx1;RUv7kd+-UYlF;<~>jkYm-zbFFhabM55Q+?qul=>|+a-;a`a zLY@N^@W_i(ROzKyn1JoS$=;b5r~Vm(4yx;+Q~)I)I#}2Os<>YszG1wTbV@ZNLPC5Y zzvDA+`h`{Joebdm7!Kiwody+Ij@%j{+cNFQCI>xZw^MOkwvJavSernt@xXpk_@4n9 z0|{ISKbM@(1u?3*-+*45aoxcaLMe}$p911J%e`&%r0)V6^594_gSLdgULy5b8It3ev_> zLDv0eDy@W|E+}#y$XzDk=uJ6<9=F_F)svS{D)iu92fOQsSI+5 zc_54BWRQQ9-(efp47cV=aA;42@C#OdH~q+o4Uv*$R^{@w+uHf0zw|;8%8#QJfx+&; zp$)M!Xu&gc>%s*tAr%8YwG4tOaykq^kBH{)A?N4J`)8vvTWAv@gdMG9QcY*!m#V=&H39DOv z%bQ7yv>%O|e#{m->A(&^K{NhvTP~0CovBn&y?!}bDDtnJaO9@KesqiV3W&<#C zuGPCk+S0x0Jb*?rcDc}lx`V$eG48ycT3;h;x6eQHiyqhzVfHGK8NA|me(uY7wcG6l zA^t}KpNI#BnG{te+*9z=Cmoqwq4Wb$PBdy%a`UKR-%W9l`-cCPtUj_DO_6TK-G^k} z%IVA9>hboL*DpQVdhQ#~P9V3LF)XT8{Z)BD$!-Y(0oz0Cq5`8H+<|)lg?O{$MUuel z>#Czc@HU`FVJYW%eJWpZIdxx%8;R=bS56c&8vt}s%x9#|67aS#)FBaF9pnD>!Gk@$ z%fCBX&Uu@XNUHC*`z4DRO}n^Y%2DoN$(6F=;6Ia_w<;A2(v1yuS=(F-l|4*hIy?r0Ui zDLQWD+o*MfJ#gSSpJ3~mw;Ai9PSz>4qXzuXG1C)Nl`o3vI@^+4f=J8Qu=jlr?@Ir-^NWsf$!MU}Yybn6D=E@poY5yG}3v z_HD%Dj4^Gg%PS4LwF*qPaK2623b9`3n=M2m8iW2I_=|DDy1WwV)Ux9H~OsX{!7}-;Kur%)P^!>MZl30N%U%Gc2f=%W1KzJZ-Z~hp& zkQePP6MksX%j1}3?k6_o^v-zhK%0NiDVrN?f5-31&HfZ=lOw=HXqxY*%u~>Dws_Do zIb5v;_DiO7xC79sd}f94wn-7`o)6c|tzee}4Y1MzqDul$DBl(jQW}g5G}Zx#AXK|; zH1q8=JT)kEoHDZPKny5w5Tib!2opO~rWy(ICi&<(K66g5qDUo`1VcrvoO4}YtklG_ zagUx%#kwNgZ+voRw6ek0_=JUc!CNL+=PT^k)0*oB_<#Iz>zVB!Xw#8_S$#wc7}#FW z9(U2&hFUTkDb*)0bMW1ySBU#o!pfbTi)Yr01F1&at$OMg;?_xb5^_pB=8l}N5TA$2 zqSoJ|0Vir9l)SGFzyH(jR5KgzA-c|(>pfbhZOK~C1&oNVKy=6>E-o`&d>j5}1j^i9WUtX_1{4d}5 z0%safek~)ww8u;yNGu;qI;}9^UU?J>ee+G8>FmMF)dIxP_;ejvkqDfNbrcH zgM26|NoH^}q^+?Z@2vFFaPO08&ZDschZozaccB82_RmtROJW4|1qr(&)%CPHOh2s=j9SYo)C9wTM)S?%j_6q z$Rf=Jery?N4Ki&U zj#c2)lkA>-Dj9E*%i&=;oaKG(E@bDBU64{F2EMHtIpmK@D#F%@9vw~@e)ONhJKv-1 zD(*5HswPkzs;G*W&F>RU04hJmHVxe$dP!@s6k*5+^@8r3W)6vipE2)U`n3U}a^ZTW$d*3Pd7??-D&HGqxr?sf) z^J{*tgc}1mgN<#Aowa|E4W`R+JHAXinA#E-2L=5o6SzasHjgCZ5^1tgl?$&>x!Inn1pnIU1W^YI|u<1$If_w z#>9Cyvl28hLhS7B1~Gy(Y8?I3TPI3IX-xOm0etQat4~1wpo41b9o#{$`%m3(sn<2a z+xXf7Nc&x6lz61-itf>pol{c;yG5_9@qG<&gS4V48=Uy;AJz8-`yfT*@6Ta5bIf!7 z5P{C5R<)=~b#j3NwCPQ9O?m}^xGx*p&Ii54hAy-?3WT7iw%`S+V?iv#AhO$&%q8o< zV-o^!aO~M$Xnxu<3)6uHexhGH{vTiMbDG0*Xnqvqd;SZc{)c}Ga2Rr^?yju$w(&~h z!y8_iEL(ztX0(R=H45&-^YXHP4ThwyScb$GcQv=V6#K}>6hGu2|Q^65%~ zxtV+GNR0p&cGD+8ZNEmiDFK!{bg1^Af`(n9OrkY(+1)aea;t$6KxdPde{`t9!38q+ngWGw{!Fwcc3k?Rwd|GkrSAspE=mdq61b(}7S5 zuJSw(f@xgsy)w9WjC$etc#-pkOg`8ZvCIIi!@G#U#42;Hk2aE)khh0iL<@!PB5qm$ z_!R0;(noFHPgeFKi&wtzMSo6^9+q6xw93D&XZTh&uAEZL47bNdE1nu9DTL&IlT~0d zC8|OjgZ8jRSoeY@b&2jjf6$1bqR+Zh$+pQ94b_*`A3iCY+QO@)6JlVNv;0SI1UGFQ z+F}DwcMBB%6FLSY-lNTQPGE`l#n~fZmcvj8mm%$^Rr!R$7@8o1|7N|KeSa!Z$_i?u zQX~G}>0T{A5v76KI+c-rt7H1M#l)9=VFRF5pU_*M5h6VNQ|ez@o4H7hE7DHVpYfd_ zeoaJ9Vvx!AhQN)iQQ^^drCPmpzZqK7PJw0y{CoqAwR%f>Yu0hd1FJMKl;VJVGMGAG z>}}kT6t3HmA}st(z{tazr%)y2s0JZE!jLn_y7C2mawcH$-4XdqC!*1rv$sj>mm3w+ z%KX+GKZ3)bXv+*>HXiU-%}o;wbYGx(k{7Ri7kTIEE-N|yrgr_yW}GHm+c&F|@}@)} zE_y~(DB!`U{w1qbKyb3p?jAT;_{P(zp+F+bn}FPe`z_TMv~6rbm$|jv4&nogolGC= zJoo0@#I zd=$UhEnFdQ-&4IJsI02(CbM7WNHR9PDbq8ETr>R2keu0N_9^?Nvgu%|-NIUeI-fL8 zCt%$7u5ESbRnulI1G<*#-E<6fkJq>F2BI|eCyDADeYMW(c>LiM*C2%`G;=4VcYabk zM=5$oZOt<3k96H$+J^L_MRD}o5fY~D zlhu=){hM+nZ7V%F3Dq4k{U=hS#pJO`E3Es6qRq!@T@3zw3zPA#KE3AI#4~EQF@aC; z#kofv@npWqqzzo+r+$PWADN>5lt{cjH_7G7f&KAeLsb(_odaj6dcsZInQkG2bq+JG z>}gDDOF@5&P2w}Dv$9<2s9WpfW<^&g?U`O`LhjX^sQ1j$LorX57%!^NnlV1dmP>-y|E5Da6 ze)QMYmkm=5Jz;J>xd^OW+xzxYz_b5V&@kz~<&_WOHFauNKGo0>yX`ebtoUjU4(`Jg zQ4u?wta$Ii3B~O%%Z-*O2pjuVbNU1*AGJk6`3=7DKBJx1q>Q|+M6gjw8j6)EbUDI3f zdW}}Nn+{F+@KQI-hc+sm^o6FMA%4XhQVgBFj7P}wPq;C>l#uQ30Iz>vxV3w^Ys+BR z8r%k;S0L&B)>iIIuG}vps4=agQm<2mKi`EZG!57<`XhM+e-{XAZr80XFfT=L$yGs4 zcsMC}(uA!F^!;{}{<^xV;W;c=YxCLvHTyBM(IEs{H;QOH@-xF1JT3Mw#_)Xq9L(@b z?4KHWX)RS?RqeL%*S!1okh{$U;c8x%`C>m3yx;W<>Sqz`DW8H|4n%|lUqsYqlckIb z#4#!+@JrT%UR+QQ&W=*EBoXIY>d>L@YfJHn;LVy`;!xtcj5F5c%t-MYDHa(n&5 zq8qC`ix}39O#Ny}9hY7^i3T*Ey{PR~TwMKCJgfd3~8JhdU?PFySV8)GEaG-n$Oyadze4@v~NrbIn(y1fUSwwdxp6xem3TKT^k+ zmq`g*)otz7TJzOv>Rxpm#xx@FVkRlpP>()emcAeKZ zJ*OwlNGwet$&`VM>auDZ2MMK8anHz7i|j?tU<$65%Z#|q9fnn!FL-<_cZsQ^>&5kW zsXfYr()7-`WU-zu_3f3I^*6I0rH%7He8oldzvdo}eBW`HzTBCa{LE(YCFD*Cv3`H3 zsJ}PdmPlHT?SW#?XUUi))X4XxsZg8*|4vfVJbP}_)F>59!X-ac`2uM=_^qc=G+^6$ z+qI*q03My1ue6#o87VRNsHOwVLhK*@RC|yFao?i_qS@^Y?u$7ns80xrcBYSD^T&rqdS(muFBP&brQlJnM~>6cvFN-U8obuk*z`1R`UYv>IF$tJ8JJ~ zKXU|}UDGd{17A$KC(#HyPq_U)mm8Vy=@hk+_PG|UvkA$BwjZidBur&hW94F<-nZq0 z!;nKs|F)7t751mEBG$3r9|XPN!N5PmPs0!YoI|7DkY`nx4Ne`}ONTL{K^#eUi6T(;8m#hS52XoHnY z2V4rSWPc&=X)5I_M0JB4@@g!8a1d197B~6>stIyR@wXP3^TdpaUrkMKL}Zm#^16&! z8HI{ngF-{P@Ke{uT-IpgxtV)%x!dW!JS9TtgF1qBDp03kCAA!{v(5R!QFc8#c^xs;rF=bqi(;&UfQW_l-8`DN6jDQV4z|e z@F1|IWK60Oa8sJesE$m~@Afp;;+zvz2(SV=t-FNMjV~#NY29DcQ&a8Sh)VhBwYzs& zJ5da-3DsAJ&*s^ibHJ9dpcicUkzmUw(hi=y_(P%OSZQ7_PT@@{Y!5~0d=Ga(roDYE ztGUUb+pAxl(quonW<*W0N$mb!G#`)C5~3oS3&W2fZRVV244fdec&&bkxb^V^ z+iftBtY8}`juNPnNUtypCn&w+bvViX%5Kz&>(DIZJZ-)K3cpEZ9Y1L5dS;Z0S21{V z1m9w5A9#ptJ|NriZ)bu;&pvVf#uuvfSZEnez!x7us1{K>GY^9ZR9b(6Bdbo_Pe1dO zUgYdWlnufsU*`?Z1&t@C_l37Yg0>4q_Y0+RzT2~6gaoSc*mKh=H9hA+^DC26>hO~} z%lJM_iW2Dc`2PL(9=C({|KwbzXCv$iRfKLkwgfe!&8~1ND_=_gwHdSTmdBk^jTXEs z@p2A{H?|QGPeh1qOrohfgee%_SpWy|$O4`Og^KZK3b=g!pE~AxSm50da0G=OS`?;D zQC{jeATOy+ZVn>*8`hB$wyRN^V#Kf&Vw~yTY49A`)KzJr-}an}>3eHRf(EAgLKLyf zNQT*%j}qV~yvgMHn$GrSVKF@Ijx2*^1WPD*Hi?pBT0qUpE9s@4r~EPXVN!m(Q0X35 zzny??vKqzAFsC$T3K!I?B+i%>3lalum3EVm=|`*_7nw9ewxuJ#cZ3Qe&m*k$q>=@A zXRJ>yMBF=EwK9k+_s5fMU!lPN;S+Aee7+aYVPWzn8pXn{vNa+CQ*H)uzs~ITdrY!x zEdUA{A8IEPg<}3q$P~JK_pzTY2D4d7gstNwR+F)4%!6*?*_)Nt#^hz;_5ds(Yesv_ zkk1CxIF0W1DzKYDs)sHVQ(U!!^e?b+wWH+{5jV5Dj#h~i+C<}^rzd_A%%&fwc%SEN zux_y8I8ay4#lP4^Z0?iST+gwM5w%?|oS&&$t4o|Dr`aPXg z?I{d;r~Jf8mESQWwK!O+FX!T{5qw0yl%!ZAMuw;3P)h5|X&R?w$omT_s$jP4q#|r$ zKw3kK2(x7hAy&zJuzY~ed4#1D!8`?>xdMyeoC`FKJR6>R8Z9fm66*a@zW=Y+{|km9 ztGsS}8GLieVC>JbaKoNyRQB@F!Y=EX>8U{U=JImiJ}pKQad$Npdy}gJ$5xG9bk2Nl6Ix0o=>T>GY^pz3R*Lv{| z#ACS42}6gMyMfS*Qt$xwd|)DLIwV6T@^{Qd52}W28aXo5KnkQ3ca%jhKXHGq%FEsgI&s92Tt%`Nx{HW7j6tjq*PBESllGoD<{ni* zJ;^tms=&K1@Dc)C|7}T4%sQ5PysTgtACF9!rgh0rg$_aLzB6Fckp!|)ycE&6_2lm=x`H_?@TgJI)o$*o3f!6V1mS*OMJ=^p)o{gq?ki78 zrlHU13Zb;+YsAV|=2s3aZOw+WB*Df z|BW}XyZ>q>&$7Ept$QBTQSGrcF^4Rn~5^c??e&4wi;s4-AENU6S6|lIo zFIWEDO6JYt&;A?!)m&T0COP}EZUVv(+k{>vPf2%I?Id@`R2iDf7Zbr<#>4B2jo>T8 z@%suy2$tc6dMb5yRMoY)Us{m|Ku7=~j$m)?^#HEyK>9>_9)x zIN?ZkqB}EOPLf^eZVY!1@+rC}!^@lOL-%F)`I7_afsCMFatJ+?5f)B9O^;wiMvk+0MLW|WnaZ_sZtZdH(P)9*0u-XmAis~FWaUh%;Tn{>7?7)3Wi@Po%4vSD_-Avaf#Opt2q|VV9*{v}i#1c)?$SerAGS|9qLax8f-xVr z$aNIWipHm2FQC=8=Yben-pSqdK7V(X{V^*HE`t;nWJT6-w6iQvRAF33lU3$ZV`04& zo&AMbR{qbZJyC|9`HN5L=6TVxb09Aey&uOn*6pk*A`kUV`74pOXkQv1k$ zlJ_yo-26%%W;m+n1J6vg_l(DiDEaNk8umJ-dPZSLpKzK@0SeW z?7~&>Z{4OPS6oh#?xn33qTWf5bj)h@)roD`&BCdzKieeiN^?(m#rFMs?1*-7e%YFX z7Vq*5_(y5*5iLHf=fH9jTx^5DPz4X-ZNlE*no}vgC2k99A8INGkjAZqw}9V!<&WoS z*pS*A7bL^Do|NF=ISguDP5U<0(snVXa2A2XUO#NEar&rDaN&}T%@Z!7a$FAF$`6gI zYqb0~7uhC5hzXsayydh`gCblX2NNPCz^U2@``P*zZXjBaI03?Hfc&=eL(Cg(c9R9n zic5~?S=Wy8<3U?)xyuG(^YB~qIOdD0kw89DimS+7qYNM6k%N&|@pDWV2E@u&t z)XD$o+7j-WDtQj718F)=O>Ekc!pw&5<@X+KQ#ON_!6?q-WgyO;`#5BiHdFlB_VXiL zfj5NYmSU9@vndUwTiiGZs0NVPkRkgs0+9w-Ia z=G9+ii*9qwva-*j&2H6Z2c)S<1HAtW1up*Y0suykAW>hd2FBo*zrKOnpCW$E8rj?M z$S0NvEYoK(B{$T>;sg;PW90So%R$#VGBiLVuYNf`_Hq(*z2bA*A4DG$x`R5hmMkqyIk?aG`Htlzd%;@E|)O1dn&|)6K^h*e#y*y4AP6>z;3VUno7Q zk~Wej3(W>D-8EHmHCVioGFM4Eo$&&y`KoA2e`;3AFf<;tvs8!fUHJ&rdFOh;{Wdr) z#2wIwm$dlLZ31ou?$HY7m1yN>5S3Opjz5tM>&vm$Si2{O9lvKefp2tt7a1VK=z@oz$l}Js6@m^q0dARY^PvCND#W>_p zQ_)m7+-fKvb)1!AtC3@F8&I2V2flNMvVNync=q}Ehn5byYZu68ZI0MA03AlpiXK|y zxZQXxUpE^EW10BPS8-2u6CU5Hd)WHVbN;%*J^jb(g~INGI(tlg6a3k~$>hxeb1&U% zELiEoa_%rZC{s9BxH-;J2U4qq3a6Nb{aQNDM|ITVUmfbO#|r&bUd9S|gNAsTR{SnL zx(8M1|6mNy3p|bIs#DW?oVJ>2{hD^Vu^_LqS@=%TyyZ1T&w{(d5I9%LqAll+gn$3` z6!llW^#=0`hZ8+Gn4)~QgdD=tcFcC6n6(>p)aMongEb!8exuimyi0=Dh9L`a>I-WR zypAd)&W2(qL$K+WE=t5kdOwaf&CQ?r)m|Vkd)a-q!1b=~WqEdIGc~zN*VI)rtcEL1 zbgTn@Ni0{ksz1I-&Dlb$c{Kmaa@w6yN~edw9hqm^JY#FT(YxhXH`~}wHEFy*=MEth zgWk9ERhB58hoAPzEb6~@Jc|U@_36RP>m#9=6MR+^zi!*9NlYBN7`;q+p``>--kpD{ zjrozovvUnzs1ESW*d{WA@G@ziG@a7%kc>#WMRTG@t^vAD(+01YdsZ`lhN{~43fgXX z^)}|@T84Hk$)7ZCn{1KgetVG`!?l7_)$!jeG#_|U+7^C0Sl?z~$WAZNOwLnk>2^~I zzRW#DrvIWKN6*u?8c|3~&X`Tv9uBGm>nRE*8EY3(AHU6fgR-0fSCbhq!yU~!4_jvy-!0fsSAGc@nl@OK^1Bg&wVi_0AT^afnH1d@=9ze_n7R>nLU!JqX#zp<-4`r+1A zO7Xz4QHjAELHz`!0Nm-Uxq36EDc;=d{ZHkaykSjvKRXGRoLjQDs)7tc6TwhF^@R86 zyf82Ug(?yVYWz6%j-U3?!KR53?MRFb7YR^XY)9*hwxhh^;ezJ;*5KHJso-*)hhmC1 z6fqL-t7TN@q40{BoD#63FQI3E+Rah=Bw=Erj!cna8c_&=$CA^GP^TQP%%s+`ov+Oxy305k%_;Omns_BrrJ^x$mxl!WB^<~;%_2iX zw)MaMn=@q348Q0Bc})=KW{l#cM~vN=B(!0gG|KN8ROnX?8usiBuKZ0rEly9;?&MmK zt701tZFxk%K@dznU9SYC`g_NeIA2dC{_z^_%JK1adovPc0g6A z(K$Lg^Zl|_^k7X|;yY<4AH0g%MRLnAa`6W2X2~;Rv?~}xer6!!`0bl$^XkG4na=pg zZ?pDLS%$c{VmC=Uc3d2;9)AV(E%Ve zz~|0l{yYR{P~V0`CH65m-ovH6?S2RaTjQXM+U2_MIUuG`^J%z5>Bny7D1`k&#unh$ zFQVcesrFTH49*B^y@NYp^4F`E6X2?W!{7Rf9-SDxLE>yQrvM4L(46Sh{3oHEp2Wv*Er+mP%rx__~pJ^swZ81sIcsl({k>H|qo zGxkql0Z`8|Z&{9~Yo00&Qub6EI&zmpw&+!29?G_>2h*3C>P6V)Pc8B|th@4>0!wHZ zCDrrGCy;T&u&u{+R>Sl3UhHR+7eEE!pjOGP{w-=XX9%j3rd}*5Z`<~TVBcmK80f=T zP{sE6p|V;JVRhPGd%9I8QrR#N?pD#f4fW?U#103lHw@~=!F7`vu7&SQPfbYfqj3jb zz<;K+qo0e!Gc+gjA5`Y}tn4IC>0b4;2cX`$Jpe7Y@4TGg?m*{)d|$p-W)5WwW^+a8 zennHzMrn}FOFR*ZUAX`F5SK(Gyevo14H~z!Gf+G2&sRt(?-Yy31Q$|{bwpw~Ma-^9 zo}!t;l>?K{fm^_bOj7WJ`IGFecx-z2(j<^RH92vuEsvjj)_*n|046KkbR4d|%aeg} zSzO!BI|=?!Y6mFXAF}==D^-}ORRrIB?P*>g(u!$p5Lzz(T$dSn)b;(Kb161$>l?BA zH!eU=h5F^jcVO~iwPRs6Z~BklF1pZ)D{*a9)o&0}Xjiz($OEMEDwF{Lc|!QDY`lG43n#AF*Fltmm2Dkd9UGlB+5p!!X-$ENjL@*~(-C65F~q)vMDEEmoU`XB z)Rffo|9gJ{fHt~A)z4Vjad=4lf&HW;@>y!Q73xdaIbfcggfU-IgKFHh^y0xldqi9% zVj~()-yp((xf?+ko&n&S;Y}VR&_~n(@Rgdq0ldnG9!fxfOaQSO59fRK6BZBqG7ijL zg~Q&~RO#NEy5n4NQ@4ETZ|7^Zy3d%+a0B%i7R>iy)~%@U7`KO^xBiz96Zg>hmZN#U zK^F}c5tH)}yp^67llqW)>s)DEYaHYv?Br{=>oyGruv@`-F-Z^4+-j83H!?W1$g<&SYwG03x`7Y6n5BR8mMfBFRvIdjoRq z5&uWs>j-9T5BKUL#JRfpAGIGh;QFh|3kpy8m%4iq_?U_|DSe7Wk;4{;0E8*3Tf}lw zAYDRI(l{fIpeVmSPQsAD5tk(jLv9$ValWZTk}Oa-OAaUxi6TI*t8yg8L_JR7rTLg7 zVF1+Qki^xztMm#DJ81FoaqxzI(%gEYV%s9T{6shh zBQ8eb5{Y;Jhk1YVDbu7uR)dq_BL`VM^%r5Cj2Cd=@ahABu`0^td!Z2Fe>%$}H+9yy zxD7Vig}5Ne?QS#(x)%?%6@S%1y3h9MPt2$~0MIAxBG3T%I^>5gW>yjj@Il)Czf1+5 ec`fux4gT!Z(gF%8^}KI>MJ^Kn`sR;##r_YAqK=#Z delta 25941 zcmW(+c{mha7oL4H#*AewW8c^8`@WMf$i5e)tTCen%^)%c(Y|L%Q7Otk#n_df?6NOW z4TB^h+k8FW{o_36KF|5{ocEshJ?9oa1l@iJqB#5E0S*8l00cHZOF6fZX6$MrWA7z_p`0mpv;0~qR5 z&mK>rj}$i;jQoC>INYas{v;we4vuzpIg*B$)UKomJJq8FkStIbw|4 z98rmIFH*E=?2k((g&K!G)xEvk)F36wn52}y1qbiq@;<>tZRsitvjNU zc}2y%c5t3`h3%{hJRm2+i_^(2xJZng^afO{$Y2K zfqopR#^b^w)5@QgZQg4*^2CcZC(ziy5XH!@(7bj8;0w;!Yi8Bh#u^S_)`g2nzQHR> zrVuUb8Ht7=9x!;~+U0ZW!3o=G$J&o__g2r~=>79^P2O7_i5ovPjUn+}2r?X=?WFx= z0!_R*sQ`0MK`?YIb_$*!Q<~*L&?n95I}E0Y1>(IvEcWQtj~ULk^?Y*~kT8B6%Fo z+oGdlXn|bZ9UW5vh%@HL83s0LY{C22poOchpu8&+@-*E^@Uegx{j{2#%YEthpy~_? zo?Hq$M&C#{fytR_TABj1Ocxl8e{^zgtm9GYzJSU2C}8qHk`UFTEZ4mBh~mVsut408 z5}Bh9l%qJK)>*UbcJh;SD9HJ2`l#T81NnAtT3-eCr$ij4eN^FTErAx?ZZ6+dYCBdB zfF4^DA$oUq(9h75@>=EhA1PqMW?>9v2(jhF^*4WQB5Rw(w%Z`TX8Pk3#SfN|Jjj{;#{~?4!#U#hlOC8b& zfEFj^oK9s(ll+-xncmpM3oLD>Aij>O%b^Nh!(Je`H9QcyK}kGtlugq%I;Ef5yZ*!R z#f3XoG*8rR$uYd?g!{(S9(Eu19*{F(htd~9N58XjXH<;F;forg978Foha7P7uG{W{O1G?YZ4D9s9QrFN|Y4%0`~z4vGvT*roX z*+ZW+hx8jcJTXux56lY^ojyb`OOG|uXAF6Log9=99P81=?-5ttl?T22Urt2JlQ}wwfBXDRP*=xU2>@)F`IDO?9 znmAue;EwP~nP!m=S&?(In5=5r8_^Uyn}S0VmV*6B*9PbXiJ&}27-WVIE16Gwc)~;W z&!gl<27lXcz7q4(P(EuLOurDv^v`C?H;UdKYtqii1PEYgPV$QG{na=(HoBJl9}A!} zw61~5Ke_qRE;Z&1ef63X99e8KCV892@#C93yQZy&XkAyFgn*8VI?QK$cFb5|0I(`1 zuK*5wQ8;s#y``}i`#r$X1e=9%6rZ(?~GDb!MQ zhgdDwG(kvavcj3C?fQm>FM_age-pkfvd!mP%Efly>5^e+-I})c?fNWKZE*f5?NfT* zpsUC+qmX{P{<}ZKTOL+A%FTt^ww)>y{E&1b;BKP3G^Ek3*-qedg#7(gr3- zEw!V9pgOB|lVgt?akkKV)BH3+%6NLm-Z)b0D^25O(O66%5(TPUelHX8W5>%$tmyLt zNzNn*1wzE&2V`?^L`n&V&j3t^5h}^rei4}m%p!%o`A!>+0HH4$x~s_ukp}{5%I}DG zrpj!JyWHsd{Ho;OAOTDczTALpGcRcus`^n=dIjOfvB~M0>sWa%K|4nIo9Pp24E0E@ zpt0!Z(V_jLcP|SqLBSEWPLeJeyQ;m0Gl`|ZjJ#dqs?v@z+aoAO7pU7o56)8zVzpWF z7HTXn#s6pZJoi4@gkM*6U7ja5h-=tBCfFPE{Rdau*ROIDw4S162_NOa$8URDQ2g4T z=^4GHd6AJ664W>bN1}ME>MGo@7ILJGoM5@md164%)|?T?hPt3~WF9)DSIcbe@^`u< zZ%iY2Bbu~wkSpYUJ;T|LqgOZF7~3K z5irpE?=?k0o^^+Q>Ut_)u`FbD?MSDM;uCJf01qS& z{(2AL#3#AwwC)iGX-MKFzRHw44c7dHQ!j{6qDE`NO}6$FxsZoWwFL z9iK zNP;#)$DPeohI5#~vs>0rbjT`|LjD0ZTxFw){_Oww4Yke~$VDyW9C2rV7{ly1eiT_% zU|z-i7`9tmwSDYv>oQ;g;bIG3zi%>3jCXlpm17UQYQG=8J;ye~2omEMes2)k9Io~i zv>|0@b~g!_?p)f5=Fxd3&g)e^%V9~?io(7w zPYs9B_g4;9koGXDV&%9nPC35Z+;WjlF{k%X9h{|{r7QY|Ydgj_yoQIxnO@W9%=4+k zlEh8sQ~PE1o!Kh*C?#Qd-0jue=!VEATP5Bbi}0}fOIXLP6u2r{%c(4 z^}82G*cR38e?b zi-;sbXB(Kd0h1zNlAlW;IB_e-qjU9dNMc)eDkbgadY&yxwHVT`j|q6DoKD7t9GaS` z*ylvn&opA*su9XwO{!`5sD7r(e7i1F zd!Yeeg@FD#i>LYejSZ}Y6_7_0-#Hn}R0uIMw0v)ef_~fJr$XBK zwoId+04Hm~jQp#&cL{(dF@bGGvZ)&Ukf{blZBJVZSFC~W6 z*!m;Eyak60B4GPBrE78<&|cy?_jPa%6xrHWt!J6jw-swgfs>zw-kd%k5cmAL$|^^+ z)w{vupc1h0+O;m}#YIOh28z7K31+vl)tZbILk8hXxymlXR=`g@VdBl3V=Ba^l2ln> zT1%D52TnXsHghF6XzE=0O4BKM4P9*`CCL`2@f_L!zZHV=H8(NyPOFs7YxJ0WW%o&w z1f@&?m76D|rk;eu6KYSJG+0yfjKj_OXl zdRr0CEze#GzvyN%j68qDE`IuZ#TB6OsERz`;i^1UWB`qSm%GKKeH?7v(ecSJ+J}TD zEMRIH1R@8zZtuSkbc1Z~{4#7ieKp>B;)s_6`wer|>v2`&klZeaU)b^A@Q+|JfZ3dka@P6rU#^n2@ zn!mi7E-!UdbIo~)v@g(ff7j=F^;%?sy^DDS=E@_fvDHZxX zD1QVhrRXfOLI68`z-N3+u0&x|RJfYDH*$hDdBomtDSI7qSrFQCDw_4I(RD#2lPJ!H zL?3A-qLPSe`S3in`q(TgtCe#ebf~eFHAivRSVU+pOxY>H>Fy7zzDJDXXR*&d#SA)g z4jjnaf~9RM!013n<(K2VVn=mBfv{P8jWqkmUZooQb~X6Kqbt!L+_bH(ieN2Ce|1j0 zq3aA(N@-TIyfj8(J9)Ef7(XFbx;Dm1@wx60y!$z3E#sXYdb+G+86|FkDjWT6= zd}TzSXeH7zcKGX1+kxgp>cTpw9!eW~o1&k-*sGOCcCJXK5(KtD%16yMEYgG0vq(w}rlxdWGHAZ@1>Y8L4&?r#`fs`Xm14WXsM?HHUGGz83JM5IWC9 z;<1ZoOmfkjrqf6+K;zxhcchQn3NjQqfgYS=$&gv}h9xcch6O={cv7l~rk$T*+VLn$ zC3k#Jzy6dQM&S*Elkqs6Uvcr}Z$^rCzNA8jiZtLrAzAyrB$DG}SuL*CU+ob(enL2F zHL7UQ;lYwP(EF;nu@y}zX2)yy;0nuV`Q#CuCWxy*uk9<@Gl|;r@`~beyj>Ipy4~f- zpITJAzBVw)N9AI_oFV${cddZ7!HxJ$@jueeTMLaU;5#ZQ`ZE4EifJIhrlz~?QfAPQ z1LUnb85Mk;8dWO<-`(4Vnu%sbsr2WKYY=_5g57?pZ>NqUx0WXbhSbR%M zFe^=EoP`9wGP)A`+_1?N4p9lPxT!6PJHcsNx(!?+f|hEVK^eh60Ew2db`KI9Vj;H@ zjMQ(E4AgIs_4b+o(m6eDPbWcf*C0R!c4+~c^bV4EpHY}#3rTrIiPujYa(WVVT=|h? ziW_t(=`E=AXCKsQuQ=W$(G-^Slw=HvDOnm@m2t9|Y_MI31#!cc_Vi&*7vu~;br(L~ z>AQc|sj6vgL*ar&D-<=U4)WcCG<{Jd<5F@GtSTY2Cq{Jgxs|3Zd9q|^?^yIUXh8~; zDEuKW-YBuZA%BvhH6?A05W-IK8G*vX*7HD3Kd;nb5>L%WU5P)LSbGwdS&_2Pw4`JP zs)?e>$k82g-Q zIo6pP1$16L|!spLIJCL?g|jo zG^0UIZ*`Gb!zL=KVht1I5u&;(SGWbQyc4^AMHQuzu0TYmu*GDZ80I@i3KwOGY`74+Jlkp1* zfKzrrkFtvt!J)xhNTeiS3ILk9iv;ZVlnhKNeEoH+(O;;40@>{(sX=g?1)AjpTX6M5 z1^y9+oT2kD@}gl&V(pw@&T-voR;FT$(|!JHHa4t*Kjq%$Ze}MHHOnUdNrr;p4WhN# zoV^9zzhFn1?!qbq(yU-6dbwNEr{+mjY)ZSdj2yRsS&ZV z)%4al&kw(HgWd}Vx~uFY59TJm2UezOikQv?75oX&54rrFna2zZSWo{8Io{lf0X8Lh zuF)?yngc67o`>AJbHZ#Kv2}^FVy}4#u-Dy`G@?8$m#BRiz(D;K{is28@;P;p;>e3H zxgZJyC~)Vz?e=`W)cNx8FRZXrScn?`zL=+Os9Bt1fI^y$6`QswWW6Dqp_L z&x6gNPVR~G3BnRbL2BixiB$7|78yk{@|i3&QH234zp+~&pMO<-b%p&Wj{r2ahg;i?Hn4nmIx%}(uUS*B^7q`X#-#2R#otviKQ{`lWZ^%|Vt=s650w%~^i-3Pb{U7=dLC~% zU<&0VieT!qeFbzlvJ^KHXQpMQ^(;Z0{&-`7L(ii|(4qG^d5^a^)#klY{Spa;fE|li zk0k-Xq;V#J6pHx?10eWy=Xa>ql@!qm>HPQ*K)i)bXv&%z1z3sfv#m9E1Gj))E09~& z&7%zvrokIzv?kLbA>>J5viTe*5m6c)0mUoMLXT8S)KDPxc5e>V4Ac13)yBNdH3*`s zw$3Z{KmcFuUQm^k4u8&z~V-8K?nWtGk+A zlO9mhDoR|!DeOmFPO2a$!wC@G-02|_Lx+gA#LMs^=>6UlJ2n#bwHSoS8GCB7(>88UrD!sqC7QYmmCI`5T-cFj5FkK~l5pe*;N*jy&?w>&i?EAj2fZi&E;l5g z8R2rwgJ^kp+yQXR(#8Jok${Zcm!dmYA4yRAd0R#nu7eM#fkb?6RIj@|m#wM$$J#e& zWk&ig6j%8Z*Wbg9e_}vev8{Si$P$o(hAqs~R{lLNTEJnBgw-Sl3k10Cr<-NmOy^L@ zN2>zpwcihkh0fq}B%_u}OS|)?e^j=nRR*KX1)9c;>nj7ro!~--mRgUWEjBI_>{wY= zI5B}6`MHtx@9K&r@$$`+>_yxY<-p3tLx7I1Bz80@^K9MG8X%*QI#Ck)PhqXEDhp(m zn>c89uuk86=DGD2G;UxlsqLA%{+uGT1zMbjX^$^nxKL$W({Qt?P*5&$!}>_N^A)@C z9i@CyoOA@#tEdw1kej`h+r-ML5&1Ieoul5_($4fo#G3|lYAdS2XJ0`dTQon#G}Z*V zPv+(w!CQ6ryMZlPpNs`7b2Ben`~YXxY*+0;}p>z$nWIBm~zRoZy! z!@A{^LnmAf`U+wXC)Y#beGD`earexM%M&O4=I$QKe9*LTLDyhKa>#VzuV^b8nJ%$Fr#akjGBS?QJ^zM`s}tzWGLpxr5$f}wI)-`)>!ggWh6oB5fgQEPSWqm z8qUDMT(Nd&4Ig-gGL4AIYK}GuAemcL_k6<+j}?e) z=m}cxRDsIcbpkn}CtoccXmU&v$(pl7t|fWSc?KK-U#b)1J4L3T&`Z3^_#3=GQHUit z2Z3O?EbV64o`orLx z@PCGsqf%)DT}S?-eMu8obv7FOdRe}4HN|DuVBNgpn*rC&%QW7{_vvZoJSN<+g&7>m zQ-x3gX($1F_GzZn?g4x${Kj@9$@a|71AfkLlx_v@sSIt&!Ow0CW&J5)MTb zq?vzwFY}B1m^~6cv^*`;7EII<9vgEZBpl`7U!S}V^Otori4+koJHpM}6uEo*#N zcubUy6hyWmRt2A%xEn_4N)8i_Xcvk2=@YYoT?Tzebvat;}RZ4007lQ>a08bBF8vuy! zH1#+J7YZnbbol_NnKdB-O-ZQUhD=Y;eUpo;9CRu>BAx5hS`zXm5TLe8Fz@09!7x=a}HU@h7EmT@o|9zmiuDglH zK=8&YK&=SwYM;UPfj9)dT_-*OTpp)&-viQ>By1i{e%ciye-*0~Xlo1!5nz`2`>+Cs(#(4*fqFZ6R?Dc)T^~xoh-co|261J%z7XJN@x@{#Ib|*FWQ6` zWywv(c(Pftvo>OV;GfBn{*H26_>%JrF5;}Wv z2vV+f|6HnYupF5&;UJJV9<*UTM-wX#0mdpY`SZh!rYA0pM(~Gfs&}=qyP?7(7X$g> znoNwQWe<(wRXXU{2EcNN;yjAP#; zfG+E{+xL*_78EE3ht>_{@+*SNi?MIFwD`OE6WLdP`dhP!`lw%2?~ET4G-ElerLe2P z8@_yoP?J~4o76udni5t1I=)Y*_Ku^7C1nHA*QtP<=x7Jt$G~Db26OwB}v1U?+e2FdT{cb&Opo-MW(j(Y~uY{&562Y;JE_y*9oSs z#s@s^h$ic`4%_GB8rP!(Z_W~l`f~A&Hcy$D`divM`q8XdC;REX*K|-bFZR(XboQ31 z)qK%o;pOdx!y4UYZkx*)%O&^qRYe{uZr65!bw!Vgp@8x^e1sjk2|Xav7tg-0KgP>u z%b~a^B=!K`={l`0TlBlMQFXs;x!$bEf!F<#;|R$CR$Yxl3`M5NPy2z~=w@>advTR^^QGuX&Pn3fJ6Tx##@(Ro33yuh1Pi z2AcL6ocmfYtM55ygg3uJO^lDxK6Y%RY@}4jb0EU`W%MIZDXJ%z1s?|H(c z5VRDA8iBc07QQst^j0TeTAKoF82#I=enh{W_8I9Yhze8v$ABtfskXVN3S0j`kJKkt zrvK}p^Y8GCXXxQnDTb zc%NENKEL!_H8Zu;4l+Dwr)KaT_mXqG#ImCm4;5EEZS|a{lB#FELgAw;TV&b2WJ-2q z1R5S70SgHVmKE2%1jk8_3#A3NJ~!zasyJ9zU`?W{*-FSF8c z`4>lJVrC<7>UKAVA1}`wU7W~A+m^nh@7N)0hYi+M?e3suK8C&?1e9F)6dTq}D#wNj zTXg=Xd%+fKF<4acnIf#x_L^sK;PXx4#oIig{|y>$EPj2xm?I3I#LX`mZVw`B|1;R) z9SlT&=NjDSNL_q=5q2s4B6H#*UeLuKQbwsE(#9NiO(B5uTBttM=7s&Hqo?SXVb~E( z1SrF1qFt`i`h(q$z~k6|Q`wf+sW!#Ta@!ZUD<_(o^23>->J;|Fv8G?jSSnOq^&07#B21d=|4DyjAtxGnKD>3yW@3?0FdjZkR2;u7+ zu9u2VC-FT^Eps}_WPp&5G@F>R72l|0xJMD(z(?>3390Ni^Gr(!;*m$>BM|P9zF?LT z@X^~|2{0gw0{A%o(GaB5X|cPsAVmG6eC%qW2KZMm7;ra+O3nc6OxuH$<39764}f_; z_@Jz->X_2XX$)>}zarU02njhK}K zT$til{+Qdc3bI2Q(T@XqKH3B`#t{`5ZeVfMuX==$*}Xat;M@WCZ^8AvaTPeE<`g6H zl<==W#IYx@Z}68lYBbyJKA4T!yz(GT!zFqaC1)> z=|TCyda+y;d)|ZfV0tcS{Xs_QgkZiZKuq^7)~=ZMhyI5}SAhCoAR;yiPOs;;8EuBw zT8sk#lpK6Dq=#eNo*=5yE>5)I82D_D8N>d3hnz=L^uc3ANgxTrp5I*k^%R&!po(;% z$4a=Qt4%EAR8;KG^HP963HS3tM2j?AD9s@i0MqZB!}B{(FhKUO_<<4(u$lnvf=9!0 z%T~&-zZraY$Cd#SeyAo)Wl@0YKw3-u+d^y5A*>OS7)jT=ndSa?AR(?KcUGX{a(^5z zV9ZcD(*7`^#6#}t`8C;mbo*FG;*XU^mYP0j-T>4x7qo%_{Mo15qXPGLle{SPl#aw* zIten&F4c$xYFeL~=;4})s)dxyinH_Bx-CnY@NTJ*Xu0sh)}3BEVEV5Jd7hhog`DpP zIO8OECZ2zw39RmOxFBg(xd>|*1mu5Dq;pfDQ%v>^-^ZV7vug}Lz?S4V6>pgX-VlwW z{V!`#fb)}rI2O3D6m7V65vaRxWsaBNyBLPtcD>)0cIy#S zT4&|k{na~45ls7nN1RjdXRqz2vq2&u_(8Sr;(GrDmDoxwL%Jxiv)~E=E&%Ybry9{K zrLo8)o*mVX5lXGwRU!$uX-=AsN$Iv3Go#2Ddw!=|@RfY_#-%o+3~<^$%y31Dlb?LI zF~n~H%q!I8i#z0DH@*O<*%!LP{5jsCq?57HlGeY}tyNTr&ED?h7`5YH&qRlp|7UhY zlBiszTbKa7PFaq-82?hJSyItjNzx%wDVM13;=H|pwM*1sLTv*`3T9%|}> zDv2iE>?ofjJ_i{GPvM61>WUTwyjX=>Lj%je2&Jr(ltnIvIURG*%v9+%9*BR&9W_^f zhdOU(wJ<5cPfwqy_63#(uQ3ie7I$VQz^P+*{eAOdwZ^KCawX<)X7jq5Qvun|9FErN zex>B^*W0;dPGc@8qlsa5g=WU!&|tt^=rfMx@2ASl7cX2Vt2K1x+l#+WI?FG(Q2I>3 z@jjHi7vdGo>sucHKy^!QNlAw3JZ~x|8DyYOR-h*LLrm58dV%Z?QB%AoQv}aHg_a!S z!`{w-Od(BTV*gA1#-SZvi-5|EUV25|Ma8-_zOu6;!=Dy%pS}Jouo?_)rb40gDf(eY z@ft)@K2Ep0|6W70YI6u&rEgP<`TIpBMd0TwQmFoQT1hM-2lLA*`!@;p=iN5tFGPFQ zlfE)pUm?189&-Sxf5R!DzUFo+wfS2{Ja<^RV3zo{wShZ^Qx63Ge&a(j<|mk4;&ym{ zxQzB7y65yk16CW$se~HSzs*7te)Ns~v{!dx_UOMpt9)|gi#HappaNB%bFKKitF>-~ zQ=Br*N;AIi7@3?*C@Q%Z#q_W(lFf`6G-q3|yFRAJDZ@RymM3`%8KGDmh05|5(md@f z|D$HPwRuizkPAj@-T&$bt87u2KK!_F)G`b#4ATO*lfi#V*2SSs#C;?@8+3#rz%7PE z;e~2M0*4P7TKgcBP2_n*k;Tggvs6mie|T;Wm{<+b8dpqA{ZP0oBe!XSh6_Zbw{Iz1 zk3H~JoC|;SZIc*&d!3cC4wbCSeqD?El7q4IJuTn>GJfK=e!&|tACGvU9$jUua;3G? zK1oAl#M^pCBFX490_D{~03%Gn^gFs8#?MDfsH*?K`wlSk6_F7Kg+pXYDLpe1`3OzW zR4d}I+R14!M-yo2 zh#gXJKLdj7GFVt685ftOqR{&A#qInp60Ae?O$(dXiMb0{5mbq*TRU*tf`f7W$-8Na zm@lGh?L0aRpp)=oV2DvI5^|`hCzWW_x-W7~NBY+AgDbPOlRX|sal7hkT0sW0Mm9B_|+^UxUzy!OQD=JyKjS# zUZ!nz&GAf$2cjrCQOc^?Te<*t|H}SNPp7;+SYGH2S#S#%_5$nK;lokM>r8#XaTIhwI%#r? z#oICN_cT9VNf+9YOu0J0Qkk|x{2EuZmzHvlPJt9|4h%Dr7-$Ey*U-RXU1t#+N zN&w;BBV4mEg5=GBg(YoWUCBRl=0C8*EmrgjSwq~0iAPN$ym zqkj$(FQ%DSKHML`eG61c05;p;M-K@GyR~)$x58t_UiTY<6T=(9e?brMZS2;;?e65D9wq`<(Oc{WAQLjKxjM?Is|PCmeI%X=A`FI471gJrRd8??mq`375R;5;`Vb?ZQu% zgH<|F>V7mhT{>UQKz-cqK0{eL;gj=o?f@&2;0fuBnwKo`aq797H6Yl?mGP^TGJ%*f6tH3!)18HfD+&Il2!DywwKdIaj%5{P z0aKm_-lY|#L<@QxBdNpq-; zG%>SiUG;lUHSU{z0s&fjT6RUqeWlDAzhm=)B`MQ(I52yqe<__5h5le#^#hu0ha$I{ zR#}nUCih7E<}WB=Ox0Y0-RB1kz^3ol{J#EINp97hGgpdeDIB#!nRjgK5`VDl%41#=?VEgqw##CX_0= z>4_+pL$3=zSzOVTt!KB`_gs(I9zR+?fbo}DDj&O*WJv)e$5Q+N6fjiMX+yl`db@yn zi zoB`1tF1{r_8N2C*45m<*B!Lf>ISGqjQlJ6@E5M|}IRRfXpCPQGN`b23_XG09Pt;8^ zs~A2+sU`RwJ|m>%uq?*V1;l>GLBcn5A@Ft)XH_Wyu&qVLrOKN)AU(+m>m}9qFF-rQ zCQlzC^F>vt>x$ea^)INJ1t9B#df4`$RF(gv?dOImz?{2*;bq4G0$b(9d#RCkfLwtS z5OXCSf&4956Iv^m0hRFb0f+iol6q>VoI+tzW9O-#z);>;HN<@&FbVYPhLJ7;R~Glh zX#k2Ay)C-cAr*gR`yKg1K$g@6FajB6_%vpiwP+LaC@g6}G^2AMX1amz2 z+y!e4L0nE4w=oi&IQE(dSS&Y(pwincxn>b@T;L)r#h2<2sQyJgP^1;82$6pin*Jc- zz!JQ(BrQl|YF)87S}zr=Fwbi{Z2sl9Ht#I=d@Bqj(Fg)qh8j=Tg&pFr$+SoORb~7t z;r;x4p`ZPkdMgMZ`jSg7Er(LdxG$pP} z^c2v$SbFk;jn#!`_m2`^=?i`R7I-#FI}dhG+;TxycXWD=9kX6#8{u}~Nw!MY2ExMj zSU#fp<6l4B-}yBlrUkJ#S85$2zmwDRZAkwe)oH%wtd=pbF~-g&m{FPurlxw=Xf51K zby4IGDcN)E4Ic&mVjsudS8{i;x5GbY-FovPQa;)Jj2d_UZ=Cfv$)6@=K+?(eG7EC3 zo~`ERXSSIgXMP2(Rhws5DBZHlnvRe+$k6;RBTO z8jMxbuDzSce;g>pX_=S)jF1pB5%GHZLa<%l-omfN@cNiEQ-!eUve6;j!pmaeT9C7= z=GNYjxTP4*%FK_l@<|qZ$4FXPF&-ZM~l$q2Z;EQ)k|NmlkNs zLjFsdJGR*K{zY6sS@vWP4hZpo$xZk#(F1*+^@ihWv4AQT*=*oH3aGz5`E{6F?^*5O ztkd$a{soh}96$*z?%(v-o=cgNof?2gD~H>{h`I60hy<9RP1}&jTt+r^yS!OSVCpj> zp4XL>S|5Y5(QdH4)X8qmeH|7!u;aziofF=SI0PZ${vya3QlOYjgj*WPfWL;E0j7Q%o`o&t|oGph7vAcZP?Fwl{v$ z?2xO#^{~0~Ic(HQ75fX+dAs9%(A3eS?8WE6wxupcGd&TLUm*=HG|9OeVA>cCg;9yG zh8dL2Eok*An7l!reQT}Qz|ggBRaMZ1I-|F7>5KU`Hbr!t?3l&c#O6@xd{ z7e!p;QCR;vALQ%Khv+FQX;GQ$SI_=M%o&q#Eg;oKX#LwKHSCTLj-w5~t%TdhLjJ-M zTEPvn9K)9LN9mr3VR~Sbz(ArK4Maq$Q6k~v{LVDn4-Fh!v_1W}Z;;llo@0m?!8dql z$)HHfvsM<>yaIYCGDu0nvgi2mtBxjQgI#Cm8gKrYcV&kCi3*1M!4SjW$#q?c{s#vj0Sf+OfPX{`B(|C)SAfnsP{g==_G?DDDA8 z#0$Wot)R2y7%+GK1ix|HsO(XF(3xmjASe#;rRGFKjdR~WqTH~%Z#YUe<@XW4RN>s@ ztwC`^<7X6H(Z+ZD6A)X*_J~A*HG2ea6bkj#U#dF;KNz9wUKFYRb!PRP(D^5y zzhfHiYE@pus0~|mC$8TtH?7a4q_l6xO1B!0btTBsl9a{5n#@S0;7l&jv)J2!EWqv4jmVIwm&Qr_ z?9M;ywwS~@T7ZZrNbK2%(j^g2SbsZzNbY*N*+lIu`B^$Wa;F9@aGml~&vvDtZ^U&9 zY!t`EwSsgSVvaU*q!u|$`JyS9{Dff__BO1m=2)c|&)NG$dH&*n zLTsi>`~J{-@vc-3;LUklW1ia{Ao_;z%fy2`?4oH zU6;d-a%UO#5{@v5g%mlz=in#L(%C3p=#kfsG~k=_4X5?*NQNM{EF*TPqeyf+(W2uQcE50JFw#F50`6)fUGj4FHjruv+E$?YbwK2W`=V|TC(h)R zd=c2@@uG1!xfy6zWVG~RIQd9H=^?<8U4rVz-c1NL8335hQLvbs1OxnnMb7dH@uxt90JR`EtVaY&`#yWl9^d=x-&@MWL$waxMpbUc3*g{10gEdN_3COk1;Ezh9owg&%cF302lP| zxtu`4^F3{V`vCT)t)82QTh6A050Z{4@!Po&fb3dz2S~axhWzwQtHS54Er&f;J?tq0 zBTr%G2L5g0s~kZIt11sXwp)pQ!ooVX4N35Xt_n&0l;=oD3$;rI|NE}cF*)CC1uwQb zcW{{1ox1lPm4K&WMJaVTFm~&@kxFNL#_CKqY>WLf^^mYh63i{;f_ZWfEGnlH0%9L@ zi;&tzVHv1u1z0B&MwmB%h^-XHjsnfldM>tbQZ z0)IN{(*PQf_YN{bQTi#$ZUhvkPd`Momznky_tSRLE&a1bL)NivWU_zr$M}x>Cho;I zpP-BNJ8VJF6watOEzv!vWz<9!U;*_pY2V`C0o!e+T~FQT9;I~xC;rk67t6k%kgV6C=*#4p<$phT^}hOOu_T#mW@5Sm>SUx)&pHJ%avI;(B_J zFNn8=mLdgQ9ABxTeoI$E!`jkwfGXa6gf}ARNK?~m3}6}Y>XmejdtIiKZRNF132{3v zPp>RA_rcCgJ}H#$rHrGNgiZ*6aw6Qf3nx#jhv#2NE;2mJ^F126> z`TibZY!a|-#RGmmGMqmjryv$3f3zQOWBXqd@43qlr%4hst-INxQqPj@_GvrVtIt^V z(T04qN@r2uVHxA4mb62}N*v8O!7}P)o8m^{Bt?DvM6@||`O#7+_(dqR>NP6w>b2l8 zGHp^6m$yV3wds9c7*j9BA>fwQo*$}X720f$1>TIX5Zx^rD)dvzpTG?WcqF+Gv$K#3 zg`Ia@PCC11Dq(D?vV~ESIo+P!z`IZFhQ2-;dP`%O6B)_8U5H03=|e&o=Cg+qKOkc{ zlzs`VlSVZ2HiHiy7ZVWAwk9>}%U`BOJ92ZUB5U(9?rGLzyEsvEu<{Y?l3)s3xa2b;Fld(m|*Rh1$b_9V8qx=AlWtj z-YPV~7jq5{Zamio)lOlIVbMTTuiDKllpNUq4Ck4B11TJe9}Bs&&_iYWB76 zdAw<>32}7yErtyX_KH_}%ePY>56c?rf7dIcg6ow?fCv&B&F^9;g{yYR`c*!Mih#O! z9VnYKK;7j_{Eyv@^|*MBJ?}2qWm9nU{%y_rFG^N6JwD6`aW(h=T{@#oV|=%$5*B#z zEllQ&XK}ygoe}mFczXPcUJ5igC{-tgCH23O&ch$-$B*N;ojc=jXOFYjnb~Bo$ew3M zS2l5nZ{%}`oE524X&4P7vS;PYk_wfwNy~Le3t9K;@%a4}@7L?`dcI!oiBSE!{gFCy zo%?MFFbGEH#Q(t{jg5be6EKgIx2(ZvF{E1#p!!Xk3uW=QZCutmGQT@CD{R8JA?4 zLItBT*9Q6qb$2-_-;RxIIenw@LiI!gKqCk6{{nj}jX162B~3UEbU0(p6bd%bv;YXu zVsAx(yxi+oCisbG?h%nOsnWxeni+^2`1b~~dQs%SqPaplo=U}0*9?VBS=r)pmPBmj z2}aPaL4lF`ic*in-|v5s@Pb9mD$-4ZuppeFy9N%hrHGE>uXQX68ecv|4lQU5w12DwoUaP6TBQ> z8X`v?oHKbLNI+EAXz5u@S-d=UEvI^c459vvf5B-{^D^tG-oXkNl)7dh#Wn?GP@wvk z#!*rjOULhIA)#Xa&mKHnYATtB1@QQhu}k_Kg%^-6{sFJ4hb?r)smjACG(6XD$xFN%*v zRf`aDiFXzB_&7kV0(Q2LbAv$s3oq?PB}ztspztPNuvU;a3j`fi62qwKf9YDor`MAqBXO{KX& zUyWWv=GKOqWf`?&oa+ld%^b+v`oyasT*<;uJjJQ%V(l^i=r_MP2gzbSH~o|PCL^`E zl`6K|*TJ{`O7GTw|1N!>DlR*slgSzsMH?tFGR1(mlpr^+&srtTnDGw#Hq>K%hL=xo_=ENImBr}?C}D~Jy4+e zti{8u@A-1Y9d(a+Vsg9k9bj0U)AAB=%(r(Av|G>D-qip5EY!xw`q_FtSLkTr_X_MR z5p(zS0T=ZJl{SFn`!)~ex}U=Fx7hf3B4@QL^zg4Q3!*ybnMeT|bcMpuZlL}_d#?sp zRvl`iEw8^VcmAPNf-UDB$MxB^rT9RiX!A83B%4|(+9fi&)s~2gq(L&m40rmw?DKEi z%g(f!*!s&V7yI8}&03P35w3FmQoXr|f~=Vum}o0Xm>oB%L;DrN*US4&)*@HCy5Xb8 z=*SVO=GkR<3-CFla*^#TO2bN6K|}p^DnIKZGrDp#~CuC+17Yi)10QK z?!lzqTMzaiW@}Y$v{*sisJ*hg;gwL7hWQUowlqCz1khiS4m*>;i%v?P3+RS{>z=7) zw>FB-8JmhFUU0;}B|8M$eCz_3#1PE+RyK!tK=vYNfS3E3jm+Sx9PB|v@na;bx=;W4 zI&DYr9Bl3VQ=OqE+Nf3B08MXSywC?+1aHd0qGV;=D#jitamT#|c|Tco>-4^(ZH;@U zf!2Qj1X>*Xv6B5%AbVhh<5gIRuy^fG!PmnIp#w$Bod_<0JeH8=*1A{U+<6kG9N5y~ z-f`DDnuY~7+Hc!U{^E>=SDr#`?qcV2)|^|2eLuvj6n+@umx|3RBKnJ?L_R+bru!}Q zzZogAlFG|+vst_}>%KK~*Sb>hf>eg-G9v2^@Q@7gOAoe_Uqh_Apu)hygKACjriOXq zxNj{n7o^Bay_sN)S=^ZuO9KjQ{)t{lnFKL+L_qB|YwftC>(MfJ8s{+%-D@9=Hw@o1 zs+k$;VndzW$>vWf7Ul7*ih|}-`81w$Xa5v? z`6;WaHS0a~yO;st5}8?P9xiBGF>7yg%jbymQ{X4*{Plt&Gr=uT%T^C3$>x z79aDYs-v??Yqm;F-Mfx$G`zvm7kwo`hR>;{<4gZUTm+l8W=D=ff#IA^7*YgZw(oX<6D|iu9>iH0M+5mnPY^FGK_3n2*^91)sM|>bto?%MWQ!8PJ<}{f4~) zT7MW|BZglMfSl#o%&<<4k+)@RtB`F3RF>2<6QWIa43mNI9+U`gkNEaCs_iwbaY3&4 z{olEcJb$oO-NRjc1C0%e2S2mEX@cL>Sm~D{S#?h~9J4qeaAKyA?v@(qkl|U#tUyX$ z%d9cSrN(p>-&~YJ7OwGiEt#m}nl4Ep?|{5su;pjPXKs7&*heH9qEMf>!DT3gZLp>1 zA=L?n1eghW&(#h*}EG;OGsXSh59vnG?`>U_w!Z4+n8#3{6^pM@H%j-`g#GO?xf`B}l&V619c zVVK_Q+j|nw6mZOqY+U7zM7R&l_}k*WGqu(URCKM{Z?c4mY(s!t{qY+2PNf3&HqcS5 z@}0FHEN1fAOU;#Q3k8GyJ_$Rh8B_DC=V|u0f;miV3)10-Llnf5CTlTP_iror;=BDSw!UyU>@5~1)3k;w@biQKLHM5OznLS zzPuGrLL8I|h(&vegT-b^gn&u}<>+Irwv~cWUZa|>X)E-~b$Dl1_A39&EE$ed<6UTqG@*HdGx{Cfoz_hUN4PtA7BosZaqBM;6dA*GA8CZ5iOaa&gk zhcMh4J|Kmdfr3!14*vuOrHO5L@@wo*1g3Lv z6T#EpwSl16tliKQjSl@57iDrXag|`=THOlR0EsFlR%EvhY1CO7_l=&fGELFB9Q@XP zPeAfhKUh9Yp2))`N2WfUS&4g|9!JhHp{OAKvpmgCA^$b;CI5+arg)59EOtOMm`&jt z%F-VBeoN}vyhBHeoVaZ$N;^*w=MI_9+%jthEOSSj0G}G%f6kt7U8>BI8FFCpi~uj7 z;nG>of5!HKqZcI#+j%wO_StAQ6D2tyIuLr4rEw*2`dWbcbBNzY4c_)$9;iv>njAyS zCn9Eo>Rl{asSu7*y~H5=*)S(B#v342E;qy`T(hFCO0EWU2JnE2G-zWLSIA&Be3QGO z3N$}R^}v~If~hi+{@k?I)plMC^G@K~22v%8V-tyicu)Kk)R?MCI#xPQ7EvA>ILsE- z(>(&lY;hju+kE=?&f`*N*UH_;Rrws3SfM~n=)@TMaRMsy+@*TBGuYoCWWgF_q>>o{%%|pnasoK$BAmAHtGP%EAcWeB_>X zUD9|S3_<94n_L~#e38TW^LJHf_k~I3&g#<6&4(%`wB5&>tBgH91%FWM;+te1PJIMZ zPfY&zA29O^SOozEf+OCDgvGmeeBURhz!5Q){IGSdz7FJl%wGXW8v?dahlGJ%iCh+F ze)`ug&+s#*+2iS2fCVl(lfqZGHK*P~=nRI`f^XQ76i!1zW_Ir9j$a@)n&*gZ;(EA^ zdO=c47L6by-Fn&1Rr=&1HBHo&f`(XSOyA}C(Koxg-VAL2*ydrP*_u$4ZVx3nLF(HG zM@qwnIJ^eN=fGpHwX+lmDFLYan~fC{zEkPQYhQ0icu+KusWXHVg;Hy%)!dUG_$MbP zrYkgrtWoKFO$3yyx;0Z?&|!EQa?H;u1tO6-s9g8~tlg6Us!7vr0*68QWw~S#Mmj6z zs84FZx&CVwnl;lV#|O`f->I2qH?-m}YMvH;g?#pM7uQf+JpX<~ZIJr|M&0wExpCL& zfGM;$CETlMa=N^ROWMS$x794SuW)^0#=F8UV*p@Ft|6FXqRWsg`|bL^u+AqQ#{Q3I z=6ZJd)jNq{lpKYi(*jOGgjEaT^$Iwh5cNVTuAsTP?lXfqe*pZ72 zy*ey4a+t-tCYy9|Wcn;O7y=kA)T5e_8DF=A+3V)d_X~i9 zj3{PC?+)h?%!a32) z+voSn$}G;m2>2;{m9N=}GsSY1y;tIv&kwGOSyJ+nba(C4b|0H$e}v9t3<^yZ)Q@V} zIKKACl`QPF@J`yganUX!S6bB~$)A?I@DeL$5F}fq#_-YvnHJe)xj}sJ67ve=WrreKX$GQ9 zQlYC7h-xHtx`rkZ&?0HmkLVC}NqTgB1EL|xh;D2`JW4X9o0$_WNS1UfYoZOwmTqTH zJVtV$J02%Gk(}u+u0%JIJKe*R=tc6T`}h)xBtN=;05OmhL=O%jo*;$N!%h-Oq*L_M z;lv11Bt0sc7(L{Cm3{s)lG(o@rj=Sb;vfJ)3DWzw_G6SGM< z^b5H}8Yz#SUqCD*711vi6H7>!=%ts5S4ebv*;V2-(sg?I4dPAGE&A;WVkN1HUVVpn zmvoPQzlK;#s-xFGAU2R1=?|NT&7>B3Ya6kh)Ism;B6gE{=)I4Kk4aDHeGFnh2^gRc z4iSe*BlM@wh@+(E^syJjm!wzp@d@H2={5b$TjCVy9sT_@afUQYpPMHxkQV7n%ft_) z75c|d#LuKJ^slQ#Cg~fUwMJYgZP34O5`U0>(trIX{vmDAw|9ttNxSsDec}P>u#7FA zI0wBce3K%naDmeuY%&J*tO1^75F$ZGOKtA~#)7M`gzBTMZvOm(%e-$`XY#-*m+ydv z1ybEGkq=~iw`OubO;mZ)Jl}FVi8V6f>iCPj#Ni-|3z3zvr)h!?Jz5mG=_3E z*mJo;v5ilSm2dSm-1hM3T}xK_VI$eM7LE~~Tba2#2sE0REYf!F$%IVvJz$GWYHkY} z@uGz>Zz!2h*(i3{RL0LP&sls*bIlXzoyoa_)^+nT?2q zHkWtz=Vw^>KcoPUPaAmUzOKJ9%Z;2K;|7JVNAXVfcU4Oz)3zpP>HF4rFW;E`B#Z3` z2EkAA4l4YBPpMmDR_)f^dMR-2n4&AM5P7|twSjVL$e050E`Nah(&+Br;v!x=GbBLV zqOl%bOXQ-WZ)fzDv1+vpXke$l0WQ{c4;pO%jCplt3P69DHIH=5i_%9m*xC;KC=C!i z&FAjb+dj6W-CWnym+BDaX%|;yP|b$Ms+c$pB$rI-NeUo zSDi5zNC;^+NN}kCel+hk`ZTrjW4Nos1)1n@rnyEj!_7y?#ph{iddAa}oXY)o;X@XH zooGFN`c$y)Rk$c1k7bx2lcx2#kzBX}>S;HSk0hpd8a?dOgj!mK8a9z13u zR-bD4bc2rO?c&} zT4&9j+A@tQzN#h~B>)e3MOaQ*jV|p=*8o(#`{j5R$_8dO*2@?F!aEp{dDA=|Vt_5&|MzZZA>_zwtfcjQUhTo^DY#VaCgmyB&5sIHxSldrnZ__G#&k#bZbKJ}Z|lGbM_-EszliO#Atl#JjI((-PB0Y#Tx9h7SvrtZA59V}gu1DT$n2F=7%ira$QP0kIKO8(Vr)P{!xJz){9N_iV=_!Sl zM3iNQE@5Tz_h<6Qnd%^kO59fdoBV#Q??*{c__0ur8L!&a2=?*F|- z`8ARIeP@o%jt^fL)W21Hl>n{5blMa#-|rkYxry*mCz`ejU-Vhz7K6)$5mjT5-leZ_6L@?ld8E##N%)=esS{PtmeKuO)k(vhaG$Pny{|yaVa2vm0xG#puw0%>L3<*v zx<0@V$+?@A{>^FvX3<)sxjU=0n}MU<9Tx+jJd;iNIa8ne)aj%)@b%k!4oLt zo&ix=qG%R&(t%pgTI!mC2W~KQp_&b+Ak+!oBduV6KHc<*182jhNl&ztz{)$b542HV zC|uj6uzYn8?=zd&mqA#W)N#$@X;^UjDOUpfh7JDifIf0g(;6#~-K}XIby_v#8Ms#b z<_);wQhK{KUJXCqWn-41Tog}6+dRbR(=cmS}PbX~+2UP&K$qL5l>t~bXxMR)J*FNL^5#6P7nQ{OX>tHu+ zGz{_lgUd=w572^hT6>F}fLJ&CZDL|`vj!?C&-l0ZkjxY)v$yD0p86x@r{F;|-`M3r;nOMV@j<8IQX@L2IpTJ@y*NI^N$UOtBFMx2nTd5BNz2V7C3xh1p=lv058MjoWN>>hvJ+M z1RgC+95M8$x!MyGpA^46EulNlv6EFTK{ht-MI=cX#*hUmmhtO`9ByjByQNy@12D5a z8q!1&PN_6NCI{{7R|GhKm(F=SZxK8>=8QB$=6f9=jJ;ziQkAHjh9UlF3Huh`ap568 z!O!jR29)f`@DqT0+Zdi`=pQX@B<6;XK^m+}bA&%cdVfY1L7%+9yan&GhK1Y{- zvV(-liW9_dj8exU#Z6#c(S?$qe!r5vYf~r9{TtHPY!*`;_+BoO5FG@P{gir zDbc5X%5o(HqIYKBO+{DbP$$lNQ9RRvyPu=pQ&$DQBNrAd_dPRm81E5{6NSMxf+$`( z^XK#d@Xqv;2!3@PMUDHuvegfsBJ+qCRTzA8xYH<#d#rI~xOhqF3w0FC%6!80(@h{f zl7dN7EoVc|#0zP?ws^K*ba`bp2KFe>wPw|oX+6smL`0gqc^13mO7BRpLFV6{5d^mK z(|#<&Xd-iq>*p)Tv3Wd~YUfOPM=Ou(*Exp+VX>XvWOI!DtvN}%{92x5c4k}g)GV8E|=uvt5;})77KM|&7ukP=I=0Hm*G+P)jE}?RLV7a!mCFw!MDb=7@PnE zyutbj0_~}1nciD%3DvygdPdnRZpd8{@uy9<0;Maf-WPmlRlNWai*J+vhT$nw7G}oc zIHc5mt@#Fy84PzkcGVd4+Lc{&n|=Z+Z{K%NDtsBoku?MfJEA^UBq^^R_0-PaAW+?R z?7J$@V?U1Bj05PDw(72qYp(+f3Il4&XSN^>yap>gf$HxEb#!4}I%BRjZ|h3JCHG*M zbZ^l1>kfIpBIk!}B=su?b$nVjcM@CT7HLBjs^1y_I~sO({WaMK*aQFEZF2x0mV0JX8L@UfOOF$_j@XYprXTww8p8T?IZjyN%5N_FZij69m z>p8_$@a|9kzVV{^598g;&gPxOb(I1^fjyc+I9HKS%u2)h7g#mKvg`+2a_?69MZ_FW zk@UU%m?tZaOweEgTl55nREC6aIh#4&odL?&*xQ|nqvysgp>}4-uo(EC#~29f1p0FZ za|Hv1`9mo&qaGcSt{C757+i4{zcAe{@|TwZ@`L6TnhKh2Z4V-mvk>9Ew{l{CG{KL` zG$|q)+OWJAj&y^$3FbeX@p;w?9QPW|)4Mt7k>gX8jk@3+G&t95b|pBFoxh#&l?`Nk ze|u=wXOQ*O9L-)%M^DaX%;AH}W?fi6qIu5su1tc?y_uY_D}8bSgMRKmoe2U>7P=iV zDxJ9?1CiD$J-FZkOe>ZBB%|MF{Eb&C*Dx**zV_V9^mc4Js;L6ETDDk6J$2agdB1xl zGWEwN>*iyB-~)>H-w;1jxvz>kDm9Vce|h_7Tj!bTssB1EKJor8=ush$ARa42#z5ym zY%wkEBRaZzY<&YmO|^f>f5n-MA~N|ujVE#O{+of^pc8Qmr-0Mp?8vBSW5p0q0Q+o8 zSW;+g4B=3CRqy~rqQf7mBcqLQ} zjg03^j!psQ`xcf~);>fBnrNXN4s3%KvDvbBb#&4Yd=3(n9?+a{IT0E*c#_m-6~Q`FxGz1s4JtuT`QLIjkklkw)XQ<$61i8uc_ z#YE{iy>aw4)z_y%ok&?az?*YUsmVH&H;GOq?IBSb&}%LZmz1t3zJUfiU5L{;^QPIU zp&mGSgaEBrd(0uUJ(oaPQ;o(#JqA$S2<>|s z*9r0wGWVpeW4I!ajfY2iI-u1NJ$HH0Ad356~XpcF% zPpjU+klQXA0}FX*5ThLRRRK!*sA}C~1~@sT&^4be>G5KsK}WYPltV#~5H3p#`OADW z5CInm2y&9|-)J)Qvg|vU708kSQVQV=euPHA;Bg=bl+EVhkV7EBY^<>EMg10t$%R~m zV9NPB{THn83YkS>74h}02TK1)u|gTlMJ<5;eft9ZFLZiI55!FsQ)h>Pz5_`d0I;Ej z4>`9EH{d@&gG=x4@#$QKm)AZ%hrV9~zcHIuKED7{TH8}rsOQ^W>4PU?BRXQGlq6^c zKcoafp^9vEv&bZH4^E0LjWHAu;nTygB@6_`WyOTR?5hT9pVYx|W^4|OIUo-{EyxC6 zR+SVL@$lTkO8+xzAOO(Ci>vv_9V&Ayo&A8ov5pNqb^&o*q=13i?_ zcy-GD?-IxRe^N0H-YCi$;>jCz9?VY26;vlHcoNvhRJinNfTA_`P8Hm+JzI;H7i-`r zjXu;B5f$d<6I+6z#6|JnIEADAKi}C*ePG-u3uvVK%7NDoJTD0nu>4E*+jv^W{&^%UAN9K@glR+fXM>`X2bMHC#|K~o>eQxVL2;&}v;ud5Jb_9Vz5Kz1@02K56ulWCv{$J(+ z4Wk-Q5n4Xy)3#+_)Kc?%;c!sl1bhHd*!J)D)BQu#I6?lDpV!}3;&3>G98&ZnAE@~k z)vw>rGfO8U+9kzNdgI zAmEz!YA|V@|8)+0CE)*c%lw95Xs{jgy{zCL1ceHpTNlW)2d`yyL$LJ@h#p8HKWRA} z24v-r&^ik0b2OMcTshH#Tgb28joES<8w;Mciwz2bUp(kJgad$n%N}UPp#`KZdv!~+|N9$#$o7GX;AD25phSh zV2vkqmPa}_S1I^omzRU4RIK9tmOt+N9Ta(T1?cQlPe4c9CR4ztNM?tA*`o!Pu2*9( zveX=!*AXAJV;|>?F&Nt&=MpWsU<%QZ{Re=A>t1XcMc)TGO64f;-+A{PJ!@W8aI;wE2#P^%ZBY53Y9BKozN9anU^{0d#=x2d^cZP`S0Fe zH?!A|1P~ngB=7>0*Bh$3*_>%>>Fe-U}{d@e)BoJvFp9VB(&rt-1lSpHmNMrwje@ZEIIF@DFthVgR* zn(PEBe+@B(vaes>U21-R2pc;?^CZzk44-TXH7Y-z@n?#pXiFoN$Ta^Q5olEa}e27+z0#+x&9!76Ob~0)}z`PI*?i;Kp$$DPYB?4T}nL3 zHG?Hz?jb>kkS>9VMxHn7R1tG-sAdD}^lS2W2Gx5nWv*G#cjlYTtzjRe5Q$I0$Jgfs ztY`2e(?WR=T^(H^e;pCN+-4K&tE_A87m#$1X-MH^m0Fr%9n>{s z#`scxY)00jyO{81iYN);;<_oC(uI@wp3eFX%9=4zw2N?Ss^?ShO~YmPiJ0HVOU1Ql zD7Ui2+$qi0)~I`NlJoT87Z{*cyFQmg;HuE!kSVvUTCvvqDxJKv!C2l;p#r$tPSwgM zQnzWHRxFhshlvCbTxcEPSsl=Ik4_IOWT^byS3QQC6OU8uG(LQUCl%JY60#{~e95?n zYmcCUW+5gOI)BwWXgn8KjNOBo6yX!avy)J0WJ!O=(~Guno#EtU~*Bv;Du zGfE;-20xMY)G2EiY<)txE7$dUu#Y7~Jiul;jaexM3aY<*m@0OaKTXQ3@xFL5IhEq7 zbm{Pslg60?oJiM~X}4`@ewbVM2~mqF@6GRf{QCkQK>1SyjIlU2{=KbtbLDu7Xk^r3 zAoBo#UYvH`xlJ9N_xMKm!1W^cx^F}Lfo!B>m37Vu+sDWa7YVQa03@#-5}v`8f_}ah zL7Ey+v~upDP55(P#o(f|U4AWcDYR|^eBf3K75U&(qfyhEFHxKC(^;rA>&fL)SZE?o+js>LDy)H8g zM_Uwl{p` zH2mFqe{Jm22^UaXABp+qFedoKrX{d{OBz13V5GlD$5_+8MhCJ>qN= zN9Q!!r>v0$k8;-Bi!wpBz~zJ zcxX&}GCaj_YCnqoAXI2aSd_`$qJRB60^RKN`WpCk?ooIfjbMrH%3d<_bS&Xsjyc9ql%s+y$PW8j?-(9{OZmwY`Qyj+sm}g`QaP2vP}O%; z{TK)Amj!yt)54(G84BD?N`OcYFhn=-_E2=c+!|vl&2#*4hn~5i)?9nw#>#wjN{Iff zWyUgn0k7F#e%5{2WF*TxG=)HCKCLtJ5BS$^${~Hs^0$@%vuYi@!Qx<(QU{@8C+8!y zfTES1Pbg5;iv!^u*86|hn2e_fthNKK`@-HR4s!*X#IZSZz+qiKP|34bol8)o?19F_ zTh`5Kj_WolF=n5!3_CmY*?}*iQxfrzk zgM=k)EPU2_PSlh^;-Saz2kS3~1sbZ?gq}{y1FBy#!hk;$oV>_Cx>a(k?Z4~GJCTXB zEDSZra)EX5PZWmA<1ioFi&8XYJS%MDAI)m&%=&+q;z4_R9{Th|xnc*fUe3cV+N(ZB ztTFjuJ;*nEFb#HErn8H&*o@KD12GK*5D}C2{#2KtJ!)1Qo3qr1sn|qeK-lH?QiR_EkVg*S zft{Z4w;#t+U z2kh%A)M&)M&MJ2m?TAo=v`t0{ytuBY0(X{v;`GiEhEFkW9v3 zfr)zmab1VknvVrRzpc!QhS-8r3V6kLEcv%hK4SoZ8~3l^--mR!kg}q)Orv9WH{Vwlaxq`+N@qVIa&V}=lH5=PN z93prKUh!ypGeNLY_OW$b`P0@Xa#X?wmyg=br(u#hrtJ!=?AD6W@Ndz&BpNr=rn%5* z*miiaQM4Kb-#8;mI~F|g6?E;`*!aYI7qk4z<831P(YL5|=~n6$SjEv@C@PJ9%jDO? zOEZ*?oFl&)%mT%}pUv3Sz9RJwzB4N#yscmnQz&-yafC1xCL_&fb@Y4g_mh=M`Q{~& zgA%79O*veHH+*TMTu_UGvU!u?uW<`~o2f1@ran~y)Ofl|1^KJ+UEAU8l8yUZN~}<$ zF^e1}>hKDE92$PD*%P!q{kvxyMC|mqI6p!Of$%cOgGScZ1`D`^EeetpIejBFB>vNYUDwqSj}yRtf46B?c? zE#-=9Ge?RF%mHRHC9ka@?0eK%xt5d4k-9IA+*LAMf*x2&LWPmm@)732C!3c*R*}$(5 z*5B-D9aimMSh3zKxnwExRUYggq<>6D|{CcUbKJ)p7}lPpI|Biu$Vks9#YPmXabI_k_dfwODV zL>u{>M3+0CJMXQS;K}DoXjG#h#k`HHF-~?1zWf89 zM&{fACsNt=?$gy5eU(QwUQG_j=ommk5p$xo^6Z~`Rod)ZHPGV^FUNoMI&6DIlHZ1y zVto89-C(#%UayKB@G|@xveDqc_to8pH>>YJQ~n!s_|*C|YOD?1bWNbACfxC?rhYx$ zUSno*bxfpmHP$AP^7Y4P$3MNP%ta2+0H;m7OE=D18qmM`%Sd7TBDFvyldGXDw`pzD z9(Fhew{i#*<=&bG?h)|kM%==F^Y(vtORCc@=SHn|?v2}{yP1tYZ^XCn+`92(5^1at z%72A*H0OInb;mj9&%2NcK(6oA-^?C?Gq=B8&1wB;Z&Q`fvmFA*-Ij+zqbvGqVP*y8rE{Ek?-~KHrjyU8eW!XsK%EY z=_qmBEUt9P`~DGGaAd$2E8A(B+Kzwg?q#Oj`4e=5w=j?QR~=%NPRkrt&Qn1u&u#0e z(pw3!rwy$YDT5v0*F;V8A*FjS?!NBVL|B~OG~MF+Bd@Zz_*fHMC6GR&SR7YI!-(y* zq|5W~z$5;t+?-X^5S`JE*2@wd_#dLq8rC*x7EU5OdAQ-2--f5=1DVxEz{-#>ay|1CTqbXwZK7$7xmB4fQ6!kK#k<-ZB@c6r8uya<>TF zE-+fE-fC$νLpEt$5H=f$F{>}CR)YH=A}O!7;X9z#)_jg!?{Wefs8v^0rSMv}dwL6AU=|Xlf@eiC zOA@cw=p^~_d@Se;`w$)KRJp?$DIkCFtJ=_$w3rXO_9wzPBI83_VOvxPC+jcFx3wDw zZn@yQPS0qv0$28>!fxI^ZaInCId2&(uXi3~+1qmA(^$Q-E4eM>5T@tvnl4^&GVt7W zL5GT-Gz19EOIgkD^?R|x>ON@un{1(sDSRprv`D|_J7JI~mz!A4y>b^6Z*KHXg!y$P zF9XTnmOY&=E0iThj)iFZR%KEJquLeKNa$xuaI$7I_(kz9k9yG+owZf=1D(8eGp0Xt zj5g=4fZCB*Q6~6m)u{>gm@x}^15I}F;Q3RRhKIH(!+lZ^bJGSqOSrajsUm zMt-;c+KzfIZ}NIKVPmZ6zIHdr(vt%fCj8gZXI&APIe~C!wDR6t4BK7eeJsh;wt8RW zvVMfHdtx#TSeV!A(~>(o4cP3Y9vp(F`G2Wexxb0Qf%-waQPIaqr@&)Og7T09NjDN! zJxw9nH}uCwmU;C#e6&waJo#Bd!S`7I`B#eei?Hv#4b(ufIsbCLy#{%(C+{oVqP68h zyY>8>G`4m-u$BEv^*3%8It>k{1RwXH6(BM@)#1%wnG1IQk+vGEe%gxm4<`{C&;PS2 zv)~~gNa7mu0yRzoGMB!e(QC8Gv73{UrBfblE&}vIY78BI4=8-Z1!}AY{AdwE+wgs@n^zmd6kLR+H4G);DMRG;8_%9kzs=LfwKT_g7GC9N)M(aj`3#I!G!}jCipFM(lo?*WbE3zm z4sKCBpK?-Opg13*0|W(wrYNo5&m?E)FzMt=iYm@ind@k^&zWF_1r0xBiSWOt|0xkZ ztTw}}#DOfG?O~P!3!wIF_}j4Be%Mc#0NG4|t0;LRyw#5xwAE^@lIfd@@TkQF+Uoz1MIZbXkh7h}@q%sncaWaRS_On(5=ZelcP0;6ik;M5N=u_uo7FbnXekj>CU4pu%5uAJ zr;E5dPfVi0%lt1_1vwe#6|^)l^DV!93kc5FX_x8@0O6Cl;XqwYEwtjb$Ro%T0M}F- z;$2V}e@K+4*9)6BGq7$3srprrV?FT`G_amOI!J2N6S(b}LE}C<&g>tKFQ>piT zkZ<0+$D*8m51fcROMM!RJ@^ux>r$L~@`PHw_(9h}#yZtPn`FVT;N~h@U7+pRSP;zW zzjJJwow|`!?OGVsah}vr80e@67Z9>Ec;P<%J?PE>?Busv(V;`~S+`kvnN_BaZc)Fa z4PM=A{nq?LJ6r9(=MqJKPYVDugrJDh=Oah+p;hpPUD_&y5s)M&?cEXiCKh$2J^%WSwlqRWuU*A$H~U9lhW!k25A@|$ zJ)HtC6g^vVbARCPeK6nt!J6(xBN$*x(aT!bH6t%kDXTzEzcrVHcY35>qhd z$=|AY(|Os{RO~PkEzrut_F$1g-R5Zxl?zQAKT+8&@A>n7O@M5pFGucJR3qiURiJ#c zFwv$u50Y=-Fb>GRwqof4=HwZYo+r;9O9e7_QVVy1^~WC*G}ilxinmo%^EEy)JfC{tb7 zu5Ot4?+kMcab56qyhrFnv&@x^UG`Vyn@cGYFyZ_zj>w0nTcw*`6UVaK)Nh%X!nPd( z>rKwe=t)Ba*qv7VrO$4XJluYe7B~7Ji z&`mqzAi6209*{1wmQSMIUHj(3zevD7j5O4%_63RT`03%@v@esIj;^ceHT+?=m=uMo zaA093hk=tcCPk1^YTvX&7t6o4P!h;T7sdEPVg+FQmoXIzR*E#Ec(L-2qAUV%?`f5A ztA`6-+c&;C%4>K%CJvPp3W=m9XTN+E4LN>tO4`D|i3jOk7cB(>-I62>Az+^x-W;Wf zI(U13_wXiE+JU4N68%h`p_aSd|J3-!0?rM?S+ko76SYQsi^T z%ooZPqu^WPMgNAuleFGR^-1X|IgCj5sLUrO=?AeYpoupkOQZsPCUB-tx~L%VM=N%F zLVif^DdR`(eqUqnRS|qvgF^+w_e4qBE@i{R%Tq`$6_O%t8Y&QO9CI$ev|6;W{v4Yr zIH!OcdS|%6>0Ud_m~42-+0!d$So5 z=P@cx2tG7&86yxr|DQlKYMjR;-X>GlqGHnbDClKC*w0z;musir-LzPMDIeKkPg8y? zZaEY;Vp~6VLHk=-wGa&em zv3X>0T~={@9hRa-xF;h~@{gC~%ea6NLQc)t7R`va z)&+m`_^K(d4W6v;`NpZIX}CyTr-Dwz#|sJ?ol=`0W;(7AEkmfPgTF?=90TOgaT^1- z)=3+^X$hk<#9>`~#y64cbKx+<;RG(KZi+#EHdm)tze0^VYxl#b%`l(x5uPg`pH*YP z*6Le_A3O{ISj4th$)ksnpKr^&qvdcOCdzcPpS;?i(P@6PD z-fPXVXJo9D4;X9lK2W<**OOUjm>>fO3+1Dw_UY#I5W{0f2H3`Nf^3rVp(Y;oF#6QA zeov0Wdz)CDAP2#LhFD5EMYqmEV<^s@%*4OS7Afg8K1W!$R#9F@=Z9@B-4v<3=6^4L zQ0cdgf3PS>uJV_FNT9|H>N7du)Kr1dLHFCkMa(*R$57FRF_Gxz$>tbX_{XX}Y0@;5 z>_Z-J;D1^cr+!(=jUy5$hkqpmuDW?F$B)osE#Z%jKHBSICqcoezqTQt2V8xaPcgB! zs20~z2Pb)hBtNS`e07rJt!4f0BWOzi3DzS4rU|tBP)4 zm?&&BS0lHCopi>=KoUET^Q>jPlRQ2dE_9^mQH;I|) zXb(~S`&q(N6>-SJ=i4RSR^1VJbZJ^5x)l66x)k)`qX6~XjO1D`g!&1Y7+Sr2yj1(> zG+~KS+N-E~O{Wiv3>!ejA32HF5G_BQ=BeAJo^nAl+EaVl(v`kee=TlwD*7ZYKH6@z zc_~^w%PUsmolQbpLC%mCtUSu&Pso3wI(wYvk5NLVTNeQG$~S4-|NKX|&8yMkDa+qB zky9Fat7Gxe)OQc~s-v8s;AfGpukVH#p3)nmM1^N;ONkYodU15av8*UcJvHAme>gr5 z;rB7|nrKmGo=;Cv^ov|pJP9ruk#4`;!iiRT8T+d$4hJHL2WDqpzUth>t2o`A(FcRa6znRYjiu%T- z=Fa8|TqJybu(CNDFs1Y=og`GG^=c=O;P$oJgcC(*#7gzOL5;wrKPe{mDh`zMOJES6 z4;wO0^N+xJzeq@gjqu5ie94!1-o&R!`HUVJ-29my9; za`?!;eA=6XG{m{Aw5c@EJ26r)%&r#jq)j+kz(7N(`u-ToR%(lL^gKNSk)v0E63kZV z98=D=V-j{=C;mQ+>S|BURBj^+1PD~P9A9lIii(8%V?Suw$kafALh&tMGbx(V_Kkuk zA#&nC&^d18IodfmlXR~1nyL&GR61uOfkx_|vp-r@`Y-5x=^UvVO32m{lK8sxQ2R}m zP!Y`#eIl(H0)D8{VxlAvHzot3KjgJWJv1Gb!}?_`G$TN^4=DuwNccM|~sJoA1qu05$0G|NEJ`u}+};|m=Z;V50r5#ce%kRxRl z6(bUTl6TM7N14|WGf_oyt+eF4i}KIm{Lv@3A%i$`>g~nJqOZ*qr2ut3#cNrYs4;WO zptSG88hr&dr=)~4&~#s_e&4WIGh?z6%3A?`y^(-A!gp6M?Y37V?{6_q;iM)K_VHvh z6S^Z2ihTAspcv!ZG^b10y+4=0*t&m7Tr*R(8H7qF^ZW44z=}{;j3_=cbMBf`FsU0a zw;g;2nJa$B6Ms>BnD=MG+Bx@mo{H5JX@SfP`J)N;N&|q4Fd8-Yhe9mo&Qp#H9KWJp(a4!_JkQ?88)7Z8mvWrkS0Rp68C}{u2V0H>p~tg z6@1L1@g4$Fu#^Ad5>w?t{r31jJEgNQc-XQMr+Vfig)xfo+$Z9wmP=}rVi66XHGb0} z^}3)7{l@0=FhFnGrN((cK7VFH6RXZco|w+u!;^Ps*c0kQNJe(`hk8+GYu$P7=seA@ za>&hVE>aOZq>Z|m=>ba2LZXYlv#U}i1PN*z1^)acbj2hxPIP^GAG^QZAPM(AO3wE` zpr|j9m84QvL(k_f>x9dTq|uE&K-kB$aWVz2trj7|rv1cfVcZa1%==n>>H&Q!9CkJ< z!HQ0Vu_p(^>?i$GDwG|@2>XYd5^Phc=^xi1ssmj+Vf$HZNLZfIf%dZOg^4huf6WI# zBj7pI2NCUwlMR!E$Shyi?c|52+!vsb3nzq}%L3IsUjDKOh$Nl>665i9I(C|x!ojBA z2c!gT-_!BY8i(KfIE43nAts*ka+a8MF8QU|E?#ZNP95)at}OA17XD~L{Ru@qQ^3ST zTVGdK|4_XK2=C7Ib9Kc_|BtLB2+LVT)kQm#EaQ$ zEKamOMcHZ11m(HiZduzusKWn#Q$PZw9}C2nSk7FgSpwf_2rxW&$p-lSM5FEHOOKj* zT|)QU`5y(yIyf5xmv1=#nzW1hrQ7tHKp-KXl=65w#rkFCVu7 zEB`%$_%pEm7l0jJ^P{geT#c9Bo5lTuH2{_yu^=&Ruwr+SCtYzq!ZQ8_qfv))x&aK{ z`TaJKXjT%44@q?T(1i8*NX6L7)(!Q&* zS2MtGX*|_|UOW%#+@A6Ro+b6L8s#q0nFg4+r>GKrCj5dkS23{0mH)M*OhP1T-9MT!5JxFF_+@m0W38-aN-&3mhYjv|sd45r80atrFC#K_Cw3Q{8c;JejPt( zps@QP&Cl%o``c#}g8M(k#Cn&RqJHd{S$$B>EfP!G@#a@cryaXIekE}A&7D}SuC!v$ z>$!6%$o7wG$%u-uL<+Pwa0NE}J-fzG<4^X_O2$QwH*HF`yi3wPxF`td=NU;8=jl6_ z?+E92JjW}LHKm`FH5H3O!bfCFnUA%kcQ@@{ocn^IY zI=v^y(OY!(w%L^%;6?HzHz}dyIme*p>D2F-NS@*?ov? zayf2~-NLp!X5t!f-zVPm?-$>CZ-!fY((p${@T&d^yjeX?I~8+M6L)PUhsMYL#bJx6 zGfzkt$qAnaQqO}~KF$!{5384aye4F&X+1qMm7r;g#q#tHet?rQ1@A!)BWl%Gg}-W# zDB39^Ce+%E<0P0Fc6|F2wDv|fzsQFRh;C?nEaOTil$z3LNjU#uoD-5>t5>RsgBo%yHoh)Xu| z)|4!rPmDQPb|Krala+%wVX~$YtJ;06lmPwnMHQtp9|Yj;Py`eYijL<@3AbplKOHQ> zkuGI#&UTvaJ$z3u;mu4v%Ptl*jXwn`t9be~5y4UhV&Ao$4mefCrA>D*L8%udrbPtRADs>eX_F_8*9oK89j^zR4M4)z*Ay^DT=Gk);0> zZ;@LW{RMC*sqOru%TQ`}0i~xo3^|(xd;^(%BSFkMq_X;*Tnx0tPAEs^eGTXS?~;+f zKT31oFPx6+!NduToUt=_9j{i<3OU?oWF`hABd=c>Hrh6Bx&}3RDb_aJ?1^;;J&W*^ z^BWR4!&?z?jK@2#^Ra>pIX73jwfO0dec)tClR^c`>Umi+$axYpLlLJLkNb(Dl*`D1l9HGtPvdjKvAFqdJvWFmtWil&0z4&8z zpk3x3N+L5eS-Sv5BLlp&(*OYcU~WfK)*d})|DQTiS&5W51gOKa_5dO~dpv9*PKyoqZIq-M~^^Xb?-K5eKX<0Vq1$_p|9?erL@l+Q2_3N7A)z+d$ zI%~oXn9tFSE9lpdxHNRArm@4yKw_i|?rbc=O-zGlPft@Itp8~%<-;hmI%|=^#+4K^ z(WLaXV0A@k^9lA+T2b{K(A<=$D4IQk$~M7yp0Yk`J@-|4_iEb(P(>NUTmZ&%QF4vj ziKn>bR`F`paBd&cuCbjX4v!3fg7E~N^lg()v_0Sw_RgrjUt36?Xaq+DwiB zX1d>e1OK3)B{B)F%oN`zo@w;3tsZ#eAJ|5pRdseE{L@RGEQ|6#C-%C3AbA1$c;$}C zkA2dal{QheC6mFg%-fL++Nn;{Bn3x1bs$b@E3?C#mtm2_Utd8cZ_}aAFbdQ2OZw+ zT+U>lFz1H=@S;t{%(BMCPLLwtrf;>C2QyFAHl?a98$U?|B?;uHV7{qMzeNb${5T(C z9$ARK#6~yq?<}A}Rc~VY{qlqXkS;3>WX>j%P&6)4S)CdPQj+&QaAHTAYgTpy-k&jd zfF}IU>^2*QWSgp;-S>9&U{3zNRwUe1X_+0qe8l`jN?xha$F?4y_Z`l8U@)fG-SsFK zSA56S9*liICy2-<2maKl zQXVbh{eFQ6Fn#a@@8>h*1T6C{!1P#zF4=9_Hab&{C#HW$l5YFeIH3(19vC*X*;EV^ zePV8DN^On)I2K)oyXN=lG%ryq8MT&zs9s@oj3Owdc@f(hPKyqyUr@<{Ld5mV1dL5c z`m94YoAF}gSg8JvK1t)fxFeX$vIgAOYL4CjCzChcjp@pkY(Bjm)Ccy~mPF=cRLqKS z;yxu{aaaB}^~}c?549V806h%6Xv;GhCiDlcbf6PuxYIf<|} ziCJ|l@KL~uVm`$GJrI?xhz;nJ^ZOvYOo0X2CtUkD4?hXIr~KkYgv@qRDepP(o@&WF z&qt7tf500C;d~e^C(Nap#cRr(O-73@%%Nruvww;98eXjVFGT7iG3YrGJ3+D$nJ=&p z50cL679Huae>x3(-jB;kB~sjy5`I4JQy@B~~^MEUB}EG&KuJKs!v;yO%y%)`i{F+wRn4on#-@G}x7 zY+ieSV>*k2Jm` z9*1XHCK3-w7{5y^!>J{uJgr0ule(bQZX@;~_&^g{C#z(}gr>+(-^3-t>&2LiKDRCl z$ln8oX$QGQeY{emtDxns=|t+gawloR0Y0LQ$NJ7|cAgWiJY35JIc(l~b;7iVjwI&PT)uB3 zXUa)=T+ZGMwv)E0`>g5UlzBCV!*m1XAf9ydh&@#F@LRk4h4+MXz%R40aT#GLW&3$- z*s%^o1?Gv17t=5^5!p5C4Ir`Rvk2ug=UsW;GQQ%#P~Z|>PUY%=;+hdZA>hUO`9URJ z0%_-}-^$t9qF!G=iqzp*Pt)z1t+O7LUM%yBthhL~3x90qhA(p9#_qpwS9Hh(#%I=yIfPolKD&hG8@Qr=aC^s$ zidn%|P)zzXKOhAzejeI~zJzQag8Mw?u(up0ynMW>5-AyKXgW?qJEdI&eMFq-=H-XQtH_Sz2~B;~=*;b8i-tB1(n4kwrju5NytoK+WXa_h<9YAVN1Y4;rM1 zV)Mr-0fLUGz0=*W=VyAtc9@00gr^)2l+VX2@)(dizSFL6+giBdNl^;NwwfJ{YwWao@h|}cq9scYTU!}p^ zMwXNYxdO3N2x?Ms=NM}-MKMp|%v$$Y4Gr+)^P5a4WSW14uh;-0uN%)i&3MP1{`NQj zbUWls)$oGmb0`tq9%MF`VPi{O5E#1MYkhGzK6xN#WQb@y3d(TUDi}8jG#S>X*zvY+ z{Sf{SBwRvm{OzEd32CpOej0w2p$(psKP|&SU!tiW@TYhR z=K#7eCTo-fZU2U_bY9x}49ItuIA2ZOZe%?NX+ihT2pS-eCgE>zAQI}e?Snw|#+WHf z^wyI*kp#a8|9H9Od6%1!*>sH<0n|gFL`0zrp~ii>(7+Sz!_B4K`oov=GXd@ax!fB1 zMHi-_YI+L+e_7jgm5ek;gU8T@4z?L%J0a!8Y7Uhb zOmCB10I$zRD6)CT_GLa~)?{hX3PbfsoQklsw!Oo>mMV6-!aPy#17kN&S`fcO*r)BF z@qEmqNv23>1jWt7aMIC?b%}aVbaI8ZwMy& za8~DEM4eV++JR|%sx#fM&LULXW?`7@W$RG(b9xmi{LYQGuigtdV6BIa9^;?akGv88 zj8Zd5;XABi6MV)#0YZYU+#!9R-~$WlQayDK?~#U?;{)nd0m3|2D@5zs$w?wN-n+Dt zvP$sVei+qPJ!gO{%hXgzkYQkj^Gpr(N4=lqhRrnvu%n?Oy7I8!g-p61}ZkhCUW#1V=9}0?<(UG*o zSL)P*4ntBKA1{By-O)EXhciN2FOXf6L@YvX7&UH}A9#M$nn+P{i&kHo82*8&BvXnV zwcs%`72xrRQoy$>*x-Nk$eF~p(+O$Phc3$Kze9XKJC?r1y2CT!v=^8q7)at*{~nP` zKjlZzUS+>ByCBT&{=;#=Ie#b|a2(BfmiUw<2}s;96m~kTHi7&eJV$z#_KmjF;lm&0 zTj20&^_6tr7)T;8v!$85N~@>pQw+{8$;vaRzU7V1F|8gS+f*Fso+_0y{K5F1>1vn^ zA9wUuHlV)M3gY*gaNi#loA1di0SryH1q!_!_CggT%BSVX7vJHjI=s81Kj|TYwQ@!p zVH~yYb4Pb647H7Ta_A<#-1;SCf#2033ddo;Js8Xh~lJ^d{AR*6RI`$XLOln zD4RYBgZ)N`hNIBf3>Xd^%a8sgDBdCr6EB&DAQ91$ZSds=7e~?MjT38hx#$#jvW=G3 zuBu1-yU{p%xA0j(y;T4><9S^(hr{`;*VcbbP_LjVD|ZO-l>#@w8ELvglKddvB1za| zsN?z3X?NM1QsJn6`;dxx2ZnLaVs6JjT_Bf1lZEi+W-o2IP%VG7^d(!Edm4|u!?m#y zty9VB?}Qq-$%x$1s+{mMny6*qg;^fbs^U(9k|?_2+l$9p^YO_B0GvHc-4!B#?qv50 zPuyoO$p4~POXt>{;i+wiRktlVMpTp4M2^$HYh>;5l~x7oi?+Q(6s}c{Le++}#`{UaBL zvwl>)AA`eRj_iUuem3okq{)-5LEp6w*sY2kyPl6yN{7o`_~UUX$L+ECNW;VOEyUt-`NuM;Xg(DmdtTV zQQ>sABW_6bWuNtnc7ab00}1sqrIEOoVQ&tN=lwWj)%^D_3f`GNYcQPTkaSB&eg4U} z6!BJ1$>E%}m(b_s^sht%`zsR`tKeqApGl2Fymqvy@kSitbbDd9^^Y^UNNL(8{xahR62G0AR>cx-apR zgY>PAh$Gplnth;AY4eES>T?;l>T$K&~Y&G`=F%5SDA$lBe`QNrPo zye5kDW3=jDRfk;@NXtACC|P3kZEjw)7^o$2x6L+gWk~T220s@>*jm zySAxylYb(_pwl9gbCKhVsfe?yto-+5AMUSh3SI`R5>(g?AM$azVUxu|{)g{@D@;s+ zoSEAQP#5&tM>({XXY|hwBAx zA)vVY(`m8Us7c|l9F^r!t7Vz-`#GNFdre!(fEa;bqy=o4Q}8>^v5>d{?~P1 zA@}>dLQ$3vS_pT6=QqHg>oR1=8$zcqFNBYA^pQ%$#T4Qux?V%{m3Fem`EcUbWcQw| zcgjAI0CE@~+1&2{T2azebDj82pQ39Ye{MyGg5^U!>-2;{Jw08Jr2pdiol`Hm5Q^= z`@}c|KWe8lsDrh@VDv!W^Eh6)+0Mw(vL%ayPsd&8gQ=3ldBF=>%dgy%8GqZFTYY5hO7*wvk|ev{{v@S zxBJ;7+{sMy6thL-Lu3sD>T?+2p*V$P_+di?|IJhnj7~JlmQed1aX!t3g*nX=Yo9hlCh2vQ=)EmWbuvEatPpE#L1DL-O8F!B5ra$Kg!ji9S z+bvLIEYIdj1IM$F(RNVeLp_dca`uk+OwQK=lsh9K%jWI)V zG49fWW1w)Z)6AN)RdaU6#?&2c17hWVT10TPFmg%v&zZwGs* z9wNw2SAA$2WGl9Pndxx)&`8{ZTSnyaVfd3@q|Gn=aR-PfOxo9@CK%dy9va7Yy1z_! z)Md(;^jO`tsw}Xzfo9_>AZOV;{_d6cew2{VwJo!r#&^O?e4uvl2-JBLv6TeJ?V2+G zVc)}+n`V+jXL45aVaLvadMRN)AU1$NewO@gTh+4Lpu@pPfXlP@9+vp})!5@}6J%~U ztWaL7M8PLp>c`qt(Y(QSk+knHx5TkUyK5A)imCa_kl{Mi9FCifE}C5_n%2l&CeNA8 z@E)_BVdFT!KGl2EJl*=yZ-zC0ts=de+Yw)p!vMgTN1o^UuFsnOUjS3;pTwMzRzA2| zz=_h_zZ`5~8&a=Bm6{dseOH0cWRoKf3a>yvTI4ck*8_aZhjNR<@TeY-m3)G@zH6dS z<6>StSy17O)IR(JE8%dP-m2Tu`19-h2L?l?X$vfCo-NZZ3srCPWLL2e8wStB-ba%V zmXkFyp0J*N){7chjYvtKVZg?IR0R2k(jMmOJo}cl>A88z+U9JxxQetpM}lgjqL2O* z%m)%NON7lMKSx31a@}0|HaQ z&2C5^3X>Ji67MEO3Lq#Sz9WEBUmHs=R>#9$u{J3GZnfYMF2o;;rhPJp56}q5d%HH@ z(+!^@(`2Mc4Dsx~S|S4n@q@!4*Z;Y5@ks~e)q&t905>e2q31)d6a-g(m%Q!yN_?>o z$eBbjda`;QBA`Xyiu~QBoT1N<|ALewTBdeL|DH!~npC;OABu8W9oHP#tEo^gNtKwu zTRUt&5V3){JID2DolTWLDgd%^bi>*gDU)Zw#T%+;3> zkfjRy)=(RRiA5$pl^y?w5zUlk7hK@(u7jPMK-PqcyH~^RZH%tG?oH_f!_u-SW!PuF znxxl#ByXHVJLpn-h=WRiqQTjopRnPfAd_o7jG*xC_20 zl(8Ke$8+9U-4~x3VU5wVF|^6>yZN)Nn^%crMv}r4@$Jh`8ZWO)7e5N6WblI27M=B| zx};y=6LX;I5M&IlcQWcs?V}2T!B;63vRmSv3WZN?c`MYfH=))o z6bl~l9h^~sZZOg8VBrUWn@Z6(-JK*W#K@{y1G{7KCdF~%R@dOOjz1V{trK!5oOd6H zGCi)dX(HE}o^d|y${2*BTszn;ke3>ojKo_yctoc4}CIf6HK%v|(BR|2nKt;Ben zH&^CBE)zxD!19Ektn~F<3%J`4S&vS(KQHU$!a8@Z|NG}S5}?tu>DEThmG!von9nHH zEWJ}Ptf-f_sog_`BmRxg)*Z+?IW|2-Tw=dm%CMhf_&k01`wCk?YStYy#v>~h@0SLL z@4qs6<#)iJ{ILQSTA5iowKf-`UXz1*;@#XO0Z zs}Lf*eaPfzv&3AQ1eW;|>i7gp#$fqHP~;Eh@1p@MDSpk_ubI{W=TcSFFU2jrQ}(U; zD)MVTgMmdWTu(Ay+ZoC z*;33(Tt8cq*HBc?e*owG#{3NAMbeYA ztnWgfH8Jg4GCH3#^hZr%pBF~@-joTKTddQNesqu+Ax*3C#vd)R{_0^EkxF~hnQbn0 z)b~+F#pT20&M5VF*QmfXy_P)hj}toy3(zv<5EiCG@8kc6twkAGKM z?^1kUsQ)5Kn#fm&DO5U`yS_5Ynb|jP$yeQB&5Pt(Rehn4bMJG);n&W?58bD6pSO9H zwyi$GKX>53K04wG8L8Q6Ezas_wGt}B){W&-ok|z*pwS3vo%&y@?T@)H7OXA)TWbpf z0jkaLeKuzX$0#MfQH0tTP;!7d-3^0t@>QccMzsme8-QLf?~4 z@IT1buJzn!d~LqC5a-#tyT`3FCkEGoM?8erX4)mO8;kurClv<*Qe-{ttHiPoRTmdM zIjY&XLbJOsNy?iYZr(s%$HY)$NR1~L-=jgY3mGz+AxBx@qy~B-fhq~jV_$i=xxQ7JfjJFym?=%!c1O@0=oR5gI z9~TT#CBW^bWvO6XW%s+ULBz4gvsT{UP6L<<0R2u(>|^z5{@a|=FKE&YXnv)tS<0rDQ~Vs*jayDpoYkHwpmIY06} zw24(Uu_D<%nc=*qBp%x)S6rJ_hZ2B_fwHrlBGa*+P z$#Rje9+Li;c$0p&JCWUPXq>jtWY$8;OnKBCX$xT*!jh(WSTfFLQh67-n{>oWydq`GB2mFox#Nav2s{kpLP~9!qOJXV}p*;xj}KgTP<<;*WnzRobYK!jWXJlMT!;yYzkXgGQ~TZPe}jwv zd-)~+gd#^gH}CfKz7>D}hw({x&*XUONksoHv&O{TFL{%}=-#Knv@rLB<9Q1?T98cr z1;t+w=GRIH)><4jc1AQ>()GuS15zIXc``}>&K=%`cIE06ghn9Y232S{5G#87`c~Z^ zh8=Rl8vki9;hZJHH=it|8r5XdwvG>gc7v5J{|*r^QkwF1p9CMjGon^2Tl!K+ljlS` zBH*kbrB<@tWA+JyKFX#fiaC?HAVH|KNbjw#8OD0u&ejeulZ&kd`P_4=%ZpHPH#v_@G?rHBLs>`6Yo-aCBs$ zL{r$BGnv2k1gEpc8dDK>`qdQll#k<8sHtk7O70Rwr!5AkNYtx^gun#kx#f{&BsUqJ z99+_3q2=AC@Fa(zYk3b5;chhqnL(|KIq%`;-z9x}o^SvC@&LUrW2pe+e(3%D(D9Hd zydNeqf6V0Kco8?IbhUlj{8d*ZxH9dTZU+~)W$#L@A(_J?i$c{Dg9>l;3vF)39rM$( zdlLd0F9{)JC4+qd2q1miVv-zr5{WyN?fuF*Ts(prYJz)M$fQ8Qv4gn(nb?hz^4@uz zY}U-k-?J}TyLM!ZN9I%{YF$5a>&*6l#{B0r?IFTQTY|j(lvTsrOz`@ z;VkBFH{m}7tFt961scO{3iE) zk2#;R%dtB{s5|PGoRkolwv51}m)0wpG5wBek2$->K2wD|va1oE0;=lkC2OKzZBtgb z@+pSiL*Hi@R)RX;x1iwfyXotRiNwCA!3?3P(q#R)B+kdqz35k_m0Ss0B-RHJjL-1? z0EPeUTfN<1BGGHCwwtnJ#m~s)yrV~#QeH5lC$CH-Ja0YU zFoqv5P%j7$V}eCGj2}Z;dbYl~ODbb8>Zb7glo}^n@6KsgKbUS;( zDdK7R83%$R(TRT6nczZnrMtNkJcyojFK>`QAo|dK&k_8H{`7!ALJ%>S9&(;QBwnCj z3?*D5hS4vF6C#L_^r&dU6=DoMmP8;EpAo8w&*?R_ggRn9{Y3+zk=R6UZXvW1+vx2t2_3{vdRI50huBN+ z>nFS-4$uc*6NZRy=)-Rb?}+c|A4UkH#EK z>@2KUq?jzFl)+Ik{MF;Ch??N9iHW!-Y^~z`tpDIYHC0~$e0Bc;rx^1C0>a})yQc)Q z_@8*lY*2EavM2Ic|1nF^)YR_$TS89Hp;vkZ{*2S~lWdQ`G~@AODL=nICwXfZLGnW6 zEAXfj<`MnW-Q~whUxj>H--!z5s`sYy5lbK=PpMWKJ=L|Xd)O@);{;D$`PTg@)*LjL z^NG4|I&z>=w zr<>K4@2^a7EzP*Ac4S9fBv)C7r*A3Vs_J)wXljEroJ<*qW1XkR=`82*lkrj;_{@}v zn~&`sW@hGOSr%N79B~emv(LFDC9MUenyskE`Tg+V^imHSNvZEH%bz&@*<7Q=_=r1A zX=Qhp^HtX+`cY8!-l}-N+2BRB2S{j^Ige#K9e5~8Gj2Y5wvf2UGVX_vw12{+BdG>CHqmL& zyO!_@ws-u`YB3wFHGKIm-$#JllvMw$5@^QXM3^~qfqt#&O1KjPS76d<-`$1Xp z*{m4-PcSZsX_0@m+r{hNr$HdVCS_2UV^pQ5CD+m}t<_qb6o8Z|DcAB7X`O*UKs8|U zp43SB%M;~`NXs9^GU5__B39J*`}U?s@M~74hr(Boj7NiBTdjcU!O8Wqlv|{>2R6zZ zz8?zE#DjvxJhr#0`WZ`n9a8A^pf0~&H`4txIt`FIj?Rr4X$XP&N5?{sbB&U5MvFVr z)j?T%r84YoQUK#3o0CC7UaAGTPDxWI^FG7<9$}WVy&#A3DDbfu zQP7Q|&rN?2-LufezuBT_UT(bF=fwVf60{a3|NL%GR-e|j?%pB%Z4ulf&WJ!P)+tdp z3!81b7~^@bdwUmj%`(X;PU z!a-XL4PY;K4to5f^EKyx{e-xZyRlNH3~veG9=Jypm{F!mU-2umyleMVD!4n%Qq!jF z@6uSA#R#@G;^T#La>H#9L#X)5>$L7Y&fR|!1thmZO{w6oKm%&M1Z&tiTz|pt=PO9y zP1-RFt4n*VF*g@(y%9{>uoxR3WFi_5hgBY|d4t+J1C}GLz!Wy}EsT zgKqY@>SQZ|n*+Abffl2hoV;NS*I90hH^yS$cxSPjuPxgFr;2+PRkp;{7J#-FUZFwL zbnQDn3;xnK#>Rv-cyIP5dFtL8gWYr}zy)L3a*IT@_cVI_;J84IAyz9HQ@ATHuyt=_V>Tqki>SbM2ulGsf5h;h|PHOSxjzLmgjm zc%LV9msf!xxSq0RwwSh_nAC7BS92%r+fl#Kf+jy4(na3&uM&_i$#)g55YxRd106{* z*ouGPo^ed*sb$9>qrtdNZDokc?i59*>g#9jom+64hO+cqZb-O3)_S{6%V8G_Nxty4 z);!X!$zV=v${Jftc%vnM*;nngO-MB;NO|zEYdcv-CihqK2OIMgc;qhmQc3?JC+f(T zX7*CGedpW#kO1rOUR#?neucQP`}tTM*Zx3nXPIg}%6*kv={VoTS69wpK zw{`Wg6BVy?|9Av``IL+Z^}3(^R#F*C*VvTf$>~n(wL&+8uILTsRTy(4?|!z%rph3s zkL?{vyPpL_SjjwF-d_{`ux{9P|HRurA7IGS(^v-vMvX0Aj1{%G=-j2OGF^P^WH?rL zyI)18FU}qN!BfQD-?vAl$s5GlC!keC3yqzy7teRXRnje+qOqie0~OII;~4D4tDU5nOtUnr->kA z((Tx~7Zi~bP6#n_v#{IaiGCh8EH0@hj@rGQ7Aa|LT!4&|jxZuU$DQnmHmX9UziFSW zWIkK}yA=%0s}*UuYN&zsKiD$xeGIv=;MVj8cb?#M5zR)^Jdnnok>mzxPQ8r&Y5_^Q zy*EK*xnFLQiD(2lTjXDLe&#Vo#IlUSWkXK5M982!3}vfPH7=K#XggTITg+RHaO$L< zRPNbXf9GSE2(-l^4g*0n@#%WbDp#L0S7x6bpvIXE;s#7waCgvJ zSVFIwkYixzsCxw?b+py??A%A$yWYyGzKQsgm+(I%Gr=O68vRh`kNBEMIr{4A@fXt} zMRGCW?^f;Vpz?GH2`6(4V%U8N__^?0zLsAX<;CSDWRa68x4g}p%KcahVfZG0K>nYd zdm4Z>SFj&B{K8Lp?wB#I-I>XW@UjfbNR|iLJ24>l4d*9H*zS+grRb9fK+0P8r<2%` z+<;>^PLPkz{5f?vY1Set!|>vyM15G^?t%1>c%j!qdEW|Lt=vf0ql1^46HV12#}X zt`hj2Kug?;hEus~N;cRfq{wVudgUypeF!X-FsPCWHOMolBUxbVlV_#uXqCKY*kuj* zqkE$rQ!v5iT6~XZ;YgwVs)1rK0IO6$KD4jGu?vA;p8SnlEIWV`R7}0k`f#yEiga26 z>ch)j%8bFK3lJFhTg=B+{vDzArPZ0k?R{G5-hHWf&ETQbx6g&V!N1OTYneY-3Vb-G z-3_5k?y!CWK$1q9S=G0?dM#HSLluwayRK4u$OgR<&J#Nt3jr11G;*4eG7XAk46pd1 zL^DGOC5;>0_Vyb#44%E|tQq97ceA2??SDX#&q(fpZD}(qt-lU>d|e|?O0iL6u-eYB zz|VU)UX8cIXC0Mt2n*A>^B%0w^^;=3#^FWbZ=jQWhFiRT8kY-n@4@GEhn?ld$8VB< z?h{rIJb|5$O=oMazO_Wlff42MMf{eXMn_Wf-Ax`sdZ1%Z%iREz$W%}yOa<{YZ1o+W**eT!G zV9Jjpb60M5+Mop&JDKa(C}VWiva@LBENg3;Hz{uU)(K>GbOJkw#A0m0$yK9(jah!GBI8 zk*Fn3;CmQ!_Ge$>2{rv8#H7`iJ(TNdE>9b|{T8>O zq0r)N(K*n%bDYGL@~1W0dWPS(Xs_^1Wy)q)rw4^nQ(&9?n{wf3;>*GCa)Q??g?c>r zHy0}1$@Ik+h|h}d8J8gUny;0=(LJy)k>oD5l2yL(oHkX`z+cG}3Mp6;XKU*m*VWTE zFg!BSQa43&TuDXbjgbE7YKw~Y_2cOQg1V+JTm(bIF0;dpj|Bqfu3cq^#05u2;59V& zfxoId0Kmv*>Yr@X9OAS<0KkCavKI0RY(;-1Rb`a`wJ6ZV%ZBaxw@jZp>zq)KWa-AX zwzacAea7KLuAJ_p#E)z%&y!A$CT8Y=phZ1^Oa>2$n8!FEhyh5?S~B9tIk&jA2UJ@v78@`1#ByXm3Kd?qdW2-_6N$GJ7`fE z6`1#39@rXiw}z8D=r5|}!2Pdx4rouoORwDp&SycAB_TjJ0NoCU^LhOgR0&^#@@?OM z!D7oDOCRVKj}|P5n$%`I<*T5n& zl)p78!d4JOYuLwQ^c<}PFfE7=*#}IEWx{F!C2qK-9D&~vdRuTC3PAa-V1IRbc}IAl z6&w#hGdK`0x4DpT1i*&E*t{qOP8=u#q7*}1@vSriDmx7>=(7MFfI{on9xJRHx`{L%k} ye?GAbfqh47ly38u%vlYN61i2Cy$Jbyi$*&J@w@ecmIA@w(#z3|>W*A!Uu3QHj?eG6vCJTF|DXD7)-?QuZv_ z(p$qIq)EtpKfmAo9_}Jy$1oD{mj93ATS65iV@^+uJQh_`2QjC zzl;J6qZ-1AEq%H4KTvO65osg zHMP>b2E0hVGCWWymsy^_mK53VqD-|?qQEt9+P4YEy;DA zx&SvFIi=NB5n88j63+~E_3hSzp__%3oA9SwQzAUjzINCAOUW?IHri%9Md-&h`5EMyE1y9tGA_yO)z(VJX@j z)i&Sj0&_Z}V|KIi9J0{k_x9MmWHxvfAlv=sL_xIvT>8vyc^AWynQh5(=Tu>3=8&K^ zT=Sz_Bu@U+V|S2^GjZb^0O6ak6Ac5)e)oLb6SOB#Egvne6)U_TdB#(--$iZ@cUhx# z|F5&stCJ52U7Lh>6DE(lJalWjNknwZ;I~`u7}X;2-w5S$o-429ra6g`U$_Ze+t#{r zjht@}a7%8U*Sa9NDX)C#xCGUEH%8}*jJV8ZC7iW0C7q{WNCFv>Oxh$yNI@^0Ee!dp zrksvDpAs_=`+Vg$$t(R+&2!CpO%qxN8F3if2_Bxtq)nvb^hJ`K6-WJ3%uev3mjA{y z5$RI@CQ-SFX;iJv@*ewp6Xr-%GMz*zr9XR8x)avW^vIhj^fa+pVnd$pz1t>qE|7#W z+pp$p!#uqpv1B%o@&_UfkCcqq<-6MO+4oc&vpB87BJZ;z`X-KbSUIPasHj#(70$$V~i>Jv^gXT-BFyH>Xsgt4^2*+!z-*DAioIymQvh*!VqDVEIqy$*B@kE}mBJq4IG8Kc zaAfl5bL6smdq#K(U2=Lb3LgV zaFWLr8R;M69SqHMI`3I;MfY;Mg~0cix=(EHFYq1YffjP7i6s3_%)7HzH=Cj+@I|3V zmD2VOInYZpp1X~-(S?*RMD~(fU!}_*eokekshveemX*jOgDuBTZa%)qNNuDVn=KA4 zJVika4$B=n^wPcAo#htfa7WFbY&y^*LOk*&I@Oaxlq})S3RS#vUo!ox4qZqK%~F8q zD*=wD>=}82Hj>)xL>Z~wE(ygXSwyC+AFK>;1wq95DO8=zB-J1#*%*wSF{Sdb+ee-OBeYI&Gw;B{o5H;zNpH zvFDzucU<4kJq9s*laC$^sDy5q)6v3Xx4a|icgVRN?L*g+7{~sFK=rBIk)60>Tvtv0 z*oWe4ar!f=bg9c<+IK~d&-S!-)5Rn{VNX`e+Pz63;^YrqnI-ljuI&v$(!%*oVRbM8 zK0;}M?|l#YZ>39(v4Wkym;&bQr)`F^hFKCeg3FiJ%XD8Uv6Ccu@ zF2PyXrw=Plw>wSU(KOBI1b9U@f&2rhd^)D7ois9^QXy(E&#uJKt`QIIZ}s>=vg zSEdFrEkz28udF6aGtWdFyQgJB(yiQ7G|3Cn7_(~%_QC!r;{JyDc58Y-sbou9F+b4s z?LazO`Sd3S_tSg%6Hx#YZu}KT_VLwq=4tN*K3%RFXDTgtrD2~XIisA;^uiSyc^Sa6 zj@h5oWd?z3!2hueeR-ry%w#Q*$P9dHT<7i6Zn(BdY4#Se_zbSGJ?@Tb2e*~j-n(w; zFh=*evihML1-kM=aC3L%-M>?jPqz+N+73gCN^hf>s~OPE^s~I1E7!IT6?1Hi=as3z zK1ifuW7C9A-Hm2KWc@VLte?mQH}4sKAh*io9~w?lXn#H5%s(=Pf>NS9+GkXLts$hj zxh%Qwe^11~{pJw(eZvk4H-pbgXL>oPUDu&{?Myt!EB|E>2mgDsGl%PUrgYZYFP^$C zaU}hGh9^zmY~}DD3Qw@hVLqbSR03-2BrEHOXXe!A&U=3q_v3O;`#^c3V8`jT$kE5x zD2Y7MU*{#lkOr^!Wmq0*9S-`w4YQS351UjGoJg40!_&Gp%9eBCYES+yNi|n5ncx45 zy64FzIIeQ6?Pc26y8EuMY2&IkDiR)8zxo+D($f3uL(G<{Tgnx7XEjG- zcjf!@)&<%+u|HOc8&uneFKiVZ2j88|TfSyeLy~ZLX4RH!7iu?k@aH_s3@Us>q6z;7 zmCNbq>RdB4o8OZL|FiO11X_8so~1W{%R6&zI`6_d(*out!G+%#F>@}Po#IL7^4mV? zEERdB+H1JW9B1qZ%Z>|jjczH?hx&K5&hJp#=KQuPO~T(l%kpkPjB=4PI{5`{7 zfnT*^T~pkmg(D7twKXogN`x|g3W`&3k}K4Klm2^hyukUH?8N6WV5WO&TW##DRGc?c z->e{H3?;au^#r&2hjqIOIq?-38=H!{H_7cD6=Y}%^+#e!wx_a67$Yv#Lnwi#3$q|* z>fijj9`EIjNchoo%l4u7JJFK$ZP4~ie%zKT0xC1Vt{s^K|^G; zGU^kKiBY-d+Y$iV`k7-;a@Yki95jeJ`)q;wFb4_Xa~RHf+Q z4xwfv`PDDUhxsY69a9{AT}pp{#f`I)`K9C4pH*^_Jz)5i$9*-Di&PN(Aq^3H$V*jg z0Bu6S#X}koiQBWW{8DEhK_7=dZ(>P)#`k;(L-v; zF03wi=}tpsm4(_C-qYd4dxvkC%mxitLG_7`M6% zer}|90_#~uKaG2Qh0iUf?p=uyhu_*2`XeaYWSTE*^~g~W1|mp)<285sN{skkAs=o6 z{fz26BQ%`FE`AN19|g6@)+m@v=>9Z)tAp+S;-+Ik8w8!{t5h`oncsHfsKyp-k_|-N zZKz+NhSk{mb3yqF51UA!Kfiis{(!n3yDoei0Tv)p9iOZ9EOI}y9ElE}29tl4pzYVLb;&O;*mF0bDI1(%RtHO?#aJn11i6x@>M~{p{=ge1)w(mO zN@{srE;n0iRA8ddNhrzr*OnLbwcuPzON2s})-$6p6%m!GbGgIHf&r*JDrxezt&Qbt z;PPbU)G1_QfpUl1+mn}%ehuG1)<&Gpiwij|XMEQjv8D9MUuNKF+n?TH`*MZOzi*B|vX z6|?R>V(8%;Nyf}BVH?VYh68$Q4oIzTus>Udot;TX+{21g>#h5?ir#8GnSd9lhnCBT zQtdtz$jV&f(=FZ_zMeK0bX?BrsvSghUU?!e5`N)?G0ZocUX(F9ZGAY6BzEh zX@P1pz(mO?T``}5d# zXL9BOR{mzr1g+Hk<Pcdng2*PEkZsOqa%3eb0?qS$BedEH&B^ZIBtf*;*=-B%#MokKC!#WtfIQ+JTZKNJ zwfKVXL(zzHE9W@nkL~ZLYaadn_Nr6fgGQRiV1YcX_!=43k4m-%>sB?i`vF(XMYp4u zuN)&-G86T?-v}RjEEB5D3iS#0dW~#|JbZE2=YiUtlhEb=#u=?Q9mCqn!G#oDZ)9-( zIUk)khK*|D)Y`b(npIRfFhBNfv{QS3I&Eo_13yQf3`>d2=pWRP`l)AIafv1_#D=Ik zm~C5R1f?Gx#*Q69_q&`=1^42-*=@H(zC85~yQSY{&2uA4;|5N1bT92k!i|~s-5VOm zr?C1u;Bpa6zKQfh7m%dGf{5d49_Y?H_jg9tdIEAe3jg7T?MG|PfNjgJyyELTVi}|~ z1D!hs9^I3|YT5Hs`t=d=jaa3oeNM(1Tl9C;jbDFs3;GFt-KsQmrBIy+Lb^XkmoP1b zAF6&4x@ITZMU5``{-lU31@81Qe_%zgQ{MI7OTEmL{(VaLSTM}>YJ}~C{2Ak;3W|cf z3V&L)l^Dd-s581WfcUu+O7>N|FeI<*ao2Z14P!d8ovIT*C~1}e?T(118&D>U<+4aP(JF)68z6Q81){tIMEii{~$r1 z>iF!@B;cv?z+&GGzLE?He>(pe?pVrBFrk_v*iXpDu-V5e>y@&OX|)ZuOY;yO#EL!} z(Q;ni03LV#`t67J?%b|w*{)PPpOy(n*J(mdz}8y6C{bkha}%v#p>!j22IXAKBwK-E z(J?qV^9Lf+3!#c8=O-9Z8%hdvYQM@@^J((|wE{+vfw25VNXySUlq5BxHTrVGDe9>h zM3#R_OV5h38T{--;%Po}mM$w~B`+s>zZ%rytE>lk-y$z_2yMCSLm`}N3BD}C+X7b& zRfqZ&$fZ?&%F3v0P|xV=Rk8SPAWujrR_4=Vp5UW07WmG?CA+BAiHqo8`S(CaJWAly$LP`pUZ z3&b5E;Vn1_Ktdkm2ojW|gUtXgF2W251Sz`1H3d3&d~rs}WXrv=TqJpjtIyE}A&wUB zGAsBEZpV#Us{m$(qe%$LceY_WbwT(;W!7vZQ+d+ysNnId*$;-LUO5~?uOM#zHFETrt%PafwAaL%eI0j@k2q;z+M!7)7tt27Bc?A zUi1K1a7(f$<7;Z8Ikev|iR-p%SIQJ>^P*X;jP^xPN?%Lz&GA@;yHr|gAUgl=x|W5) zJKqcP{0z7J^9Yatz%!NG=jE|7-g8L%``uJQNaCq%%@Y3Ji(^$e(tLAO>??OcdRO$O zgqUYnZBwCq3z;)!QUdM5HB`t;jleXTd1Zi{0|jFL3>>J|^b(wUom-`#M00Y!b&&?GhnGa#92R`@U;j%BeA*<~_O-ct>Qc~N=l9cM z%ry=30>^zL;@+v+^PI)=+Wp+p5;Gi9YSQ5m@OiISpH>yOJJD}Jpu@26la$l6i{nJD zA-6!SP)l`ShU|7^ASzV(M!#E}O+s8mqNuD8ejun^u5byg-&arbg^$laZsDz$0h3mF zutQ6{i|zFm^GJ-wAMaM9a;45+w7s^OFTkX~YpG>kRhkMoc8s|=Ze0+X)8wTghf|tD zI6QB#j5JN>P{pwIIXS*}ImME7XSLh-Lapn>r2xk6!)*>Df11Vs-vja=vN_e}JA*RP ziHLxqU2%^Uwh+iTOMH@yO-6uF-8_rCA=c^uvO-o~!j>jsQ7Dp}q5;sNxjy4!%-t%X zkSHZerH6Tb49Zk^n}Qk9Zc7wV3fwI==TK29F@A8ka@zcn80i}D5#pOeZo?1(2B5)C zHrGPzOPp~|t_OnzG;Z%#Wy>7>kJK%> zBhtI>0XMC$N{m2-;o5Q;oC$v#px4^-LadGflL$$WqdU~5ZE)orO#>ZY%(;n-6f}SO zpS)Ys`zDw%PyR0ZFoo%ZFJ=X!R<(iotv>ziTw9C z(}H}j6Y>RaZ@36@C?(AT`R%Kw4zV1Cup{#jP=G?>?IWO5&by&y(ZUvCzy;6LK{P14 zCnR7V<>KT);#hhf2RT`~*j+jj(9u&aad72W|{-YmBO5y_@ZFCzcH8YZe2WI$&LnG+)LH9}O&Uu$W}m6wUKCB^SDXl`pPQ-jIoJZ{eO+c7Hz%NE=$TN}kR-3knu0v?KQIZy*5$L+t|Xh1iho*2hIcCRmQIxTAqR$6{y=1 zMjgs|Qom_FD*hd$ASPRwKZGE;Igm-OG;#VG^!O9D1 zk0Lj04G89?lcO8{ftOL#*zA~pCIMvg9BUMy##OdqcP<+a**EZ)P6{`ErU=c`_p+C^ z0+Ly+lyll6R!+Xwd4k6{(y)_{e(IUTCi1aOLKD?OHz+ERd#t-FpFq_Mno4Xm>oDJh zoQO6_sHvRi;bGB|Fk~c`O&mANb!CeRGC5yfzZkpebp^ju?|xAzmLt`0a}#-D0|+~G zvWeWD5w@)Q26=o8N8w0aeYK*^`C~$*Xp>U8R}?u($PE;7MRHakf^vYytniolU*|`^ zN39?^vZxK0m4icqen$fos@X_(S52AO|CbxN{cf@wN_>!FEmiO^vhNUgEOS-U?xSqm z4~hRoBMcQ}EF*hwf24mA(-!;>0KH#KRcs7Qae2PCX(26a$bBP~&j0WpV_8OQ-Grxj zKa&GYcVo`=z=*%3P0-}a8)u4K1F21tS4{R;WA-;MB#MIJa^0KoxTiZM+k(^+nQds7 zTZZ62IPW^_IZ2F!MI)}uoXPhr@TRLv*lmv5-(SzjaM7Lqj42MD*~SNiX=!!81Y3&5 zhbwy*iZ{6~$Zb&`vc|(lS7${!gGoA~lansui4Gk6>r>Yd{_^%F|4E3J9pP!)l(}>3 z`qf@BLpo?^dqSUKm|npdk|;pMhbXR79QlTqFn1m}=|+2kY*W3o2?83Slq2>z8<_eO zW{W|AkD}$Kut6?oiVigL1In>ByA0vSg$Bjez8ws_H|-t{w#Wx5%f2c%L)R029EV>a zaw49-64Z2c94BaqSA}_!HzNH}@xqXh&;*&6XTv8U5w#MgHyhKq*ImNJX&?o$Y9a!x zQ(tcX2ygqx-QCrlsAg?}SR9XYc?L1;DRPnES>-v54GWC{%4YP!L@cb_PX0^>d3o5j z*?_E0$9sZ5;y_yPH92&fb&Zx#a56fgq05f|~&_PzhCJKNS4qye&;Fyit@ zgT}>sxQ0zlAw^>4J%R278!o}-m4#RdQ3IF-*jKL<5pzn%Cc=Cu%^#`%x zSsHw%rm;X=aWeR5x)C+;Ad}#gEUqU8a_k+K#D~5qmCzG!rw!4Ep#2K^&wD%=!`ln% z@RAouyM-5L6-GgnTdf7d;3;|^Rb@(oDxIF0NtXPuNBJgMDb(2*5+c-A=I2}2FHvyH z_gft1&!o)CkaownlLtBt30H+sgyH(L9YUv+&jV&#t~W?Fv(k`6i7$q@U_bo}awY1v z6%7}a6LMkUdD%=k|{|@RLtxqHmhk!(0@0 z8-bZ${}d?GbH|19gSJ1L(0=r~y zw%p}nYQn*|_FP@=V}3|EMOOW-c2$2hfE3UA$@D+V(g$z3p>OX0pc~ti@yWR=y%FGV zg0`SThTh2i*0!!<0mq&g?|T-hoJTumU7MtVV!C4T_)pg>4>NJAU&v-OuFv};;LT(0 zlS7uruD4PxwP%QWe&lK`H?1$3*OG!^y2Bl@>{`7J>Wldb?YiPs(Q|x*-`XAny3OE| zI8;o=F&20K1{iqY!&#{$mrINuP5bYo1o>kV9gMrzOR{jSV%rM)|>V1ja z!&S4T!{wUR5}V4@)pw8et<~=bDc3Iap3;p?lLXszbO3H;IyLc`P5jVILnz(X<8n$o3 zqYAwva_OIc@p)zX(~uS2KXKlw`lydyRQBn-|AD7BM;i-jWpIJN@%2LCu~SWvUtrCL zl@gR0D0S9jqW%S6slUo)gmd?%w=_z%O(6Q_37JB#f?kBT)d~9qM?RoKRHfWf@*?Mk z(+U$EMS6pBDjao};W;VP=>LzeVC&oQWh(DCMQmNoqi{aC*2?VtqED~(abe%M6}Fl% z{GX7o#q~bpTseZ}1sZ;mN=@05cgNjcK8^JH?N;IwV+82{wPZ7sC;Lu*m2vkUXfrd{ zaUBJd^QvfX={!yB9uRP}2>>_zebuKKs87%S#Bcw<4~P1N?r6zLp_&vNqV}yHSLmqU9C2B}!O>7)&f&`wV+c zl9wR-D9VoF;{|Ku7XC;$cV2Q!TjOmkjNDAEpj6d zair(bs_GW>13BO;aXOkjB;c{|MeB{$)CjyJD2_`x3LIVn(rm^Nq-MpE`ye47z;R!y ze3wfY_EaM9y`-=2yOL(Y|77sNB9u|YaaDNP+!>Nq8#*XaES$vFF#G1T{=LqBbXqjR zI;J3ezv+9p3SrDobm*;rTV8dRI#@aEpKHK>wk?{QP0eAS1a^Wr)YaZER{se#k2I}? z-xpc_z0F3dYB!C?gcH>7XN**ZK|yCiufI|Zaz3pMP{M+P|A;>kKCSA$<@UWa3>}zD zn#mo`L3qW*72*p*ay-BHhO3@j5vRcMz9~4ZS>dC}LMS1j3mswT zyBga+1z`czB58tUGq8~>Ga0J3(Q&7pgQ=G*@#y>N5t*(PYI;!fNdbmu8WHE+X2}Rd zCnNzFaL;X}jB9@0N2ZwV3Izkd@I+7K(D_IFmBgHHW4$j-`56U7NY=#h1LiYa z!S)34>wGRQm^h}iYBG1Te_C}mMWDd3d>2m?7>hR8JV88yA@+B?9s%2ZK*ja-F$eiN zMALFV>TaIlOB=ENL=^QIxxzd0_>-tgUn9WV@o^+^yZ>9@cM(xu4sNBx@Xv_A$7ln# z{755aS$O0?hI9V)dBlZ`^V78R>cS8FVI)osi9UJUbO#1X(h+)u-brfNbqHsqB4o5* zqF|Zynl6P<>x;x)wK$WbsIB&ZGx0pSX>d|{PVjIdVzkS5l*?FY+u+W300ma8gN+->s;WkjP(BZt}JlA3hKtl6hMq! zc)%T%A@GFGV|6O12?DZzkl!K?^B&&H?)sGBHArMn+RASvAPQbpy?ezX6gp*RUoB{1lu5fZYX=cB_ymSVBIwQP zaG9!^Hd)1ml1kX)lk3}xn~M2}w^SbvoZwxA{o39N((gdhTL)a52&e3p(%~4jV>NAG-%ItZ&f#Yc4G`XS!D?Ftf3ybOyoMKT!m ziM3A=wH8w#5Ri+nh7E9xyAmbTx}-=J90T7!lg5bO%djL=#b;!k1Q{YNzVEkCf9(=N z3)J{X)&m>fMQ|m;I1$ltNiv`TH`H&lk~tV$cv*h4t_o=G7`1FAk+C$h@8~Ib$bLPD z&v58}Ts=8&z=X2^)1Gmxb{PC4lRK$~u~MP3C)4%`htyftq{>iQ$70p{7`(%-g%*2s zK{m>3$k{U~R1Q2euYpQ)1&L=M|8NzsDw7cWZts=zy!j#-sM&ZdzINjP^Y5Tu4DLQ_ zpX&`A)~itE1I5<@zg;xc%nl9`V(7gGHJ;Gu#pXH1nFa_Nt__d{v1Ep@U`1gP`;ZX~ zJ6HTUmO*C2Sf7JzsNRWZxoj!K1Ehu;9+;rK?VyVoFjkOpdnS89HeK` zbjZP6Ec5M$aQEs-X^Mfd%l%p>`5+BNKoc&1Qb55?UB%Ta$$w> z3^a7Kv~*^UsDcRlE?!Pf1c{hLwVYU9^O>;l@sCiv#RlzLk7o81fox5)7j2&O+5`O_{zI0pI@CE6vJsZi_e zAebd#D(EO61`=mu5(xq!d^4wET!2X|1)26A(9SumJ_qM(u75?@gC%J-z9Kdfkxzzr z=0~^adQf;A4Gw2;zB0N?H(&(Cr}3HOyA7J^tszK`+Gm}%UoSJvvVL;yi_{m;9;1L< z>=x|tFEZlyyFb8R*wJ+_#@bB%Rf+v1>_1rjRn8VI3a+sQmMb7-GbR_z#BX#oI09$t z55eGHZ+ywd%6LM6`0@9R|1cgT_uS9XW{nPNGvcOy{Y9jA{TSN^ujEL;KI41rpwN-;|3K&$be zsXsFr|E56D&WvI1pHo+*u$-wJ0Q(oL7)2}9U^2$T4wyhAtwQMg)g{VuBD)bHd_VO=yS2Mzhb5vIMlSIKYZ zseB2tdXxj{ITv(u)2kB6uR|y!_ADz?o6Fn0j~HH3CL*QB8+i{%h z!^~JOEWNvCO8D=g;;IXRxafF&tq^ss|NG{5bXZk46kd8+(i|%fZfeB5Klm$i6?-6G zYXQyI>!DLTH!i*lI7=Uh`4IWaz4Qkvdw0Y9y+Q#YAb!`~qBKSEguoR)-?fH273$sJ zu9L3Gp;91!z7>8zl*9qa&^}*S`EX`@weHK`_#Yj0m)z+c(^B1eVz{^hzX9&Uk@F(l z{TJ-C;Fb~>^l;NWI_(+LuTV%~spEo?qzh5y+;F=KL|#*I9iA6zrvXPY-r9KD>Mn=T z$LLTS`7`~i5OVu3W4K+dzxnou1TnYPCzDASwN9~*6MT~CdWXQkPvp$?D<4({yu5n> z#s+jopnoF)XRG?(?<5$9`g2!+?R=iV9-bPWId&-e;djC&bb}W&yyDjSR$w@Bi`6`j ztZ`vz-s(9z(jF9;Eizc`O)L$Lw>MqoY$n;jq0t`>k6kj=98R$65tHOj^deq4cc6)H zgHioI>SeUp2m#_@7I;RTG}(andF`xxfmPIbEqxvBHm)0K%N4zPvBz0;H8RCU2Pv$6 z(af30Sk_t#%k0FWQ&FIYVa?x9?(Xv)&hf}*+m4tuoqU2Zc2*+^eMil>FpHkV%i3hM z3aOluC@x45yvUio2ww3}hUmXf_o#H6OqQVcN=jFN0Mq5@nca*{{X}?g6cRyH8C&zv zAdTc&%ZW@r)`wS#GWV@{59lY`|8w@b@&J+91Fenf=#qjaLjE%oyI3xov`gnVwyu?P z`nQl6ImpeB98{RbOm^p|&#pCU=);^wX`}$pO76+8;S4*p(3jhL-VBxGZtC8`!qY4S z$}$VE;MMFxxRRdrn*-LdQNS$!Yr0IEHur;p7er7+HdmE#)6x z9WDt>rlvFE> zV}gwfiyu5m^=)jry>fh=v+_%U(I$IjA`1$vi=^#&bdW{Gn_{BnxO%c=x+&On(Xq#+ z=92^4@0`vTEhu|^)qS*AaKc5uvB@M%F0nT{;qVHpr_rPF^5n@$%pWV+MG)83Ml-`6 z;TDGA0eIW6BgqezgZFfQ{av&GBW|H%nglBlo>X>_n`2W57@qgr3U?~3tZ=RyEK7i~ zqU3A9qmy}7M+EwsEd25zwY2Pi`cN!Iwg6&V{iW6TymumI#ReU*lO$MTDu2TCox{%H zN(yI3_eXV|yXXDxMn?tCf>a+&bA@*AhAZf?);52LkBz2K_|NWqbi07=NKaj5}j81_+Kr>H(*2g^j7O zfpPkL?kIiB_Bh+jO*?-rc?&3~Pr88yA2nWwgo50FbP(XxApOWmq zl5IScBSFiT8zu5&XBLlTOFnpTrr1wG>dis^807=vrHZ-8hY9~?41}bL1>Y$>%ukC8 zM58G@*DqxV3wH@5LW5}HtAaHggJ!}mtFzrp^5UPlKSm>Sidg*L9Q;Z6*EH4;>L@jh zRPAP2%#G>Zbd~p+C1>mboU&Bp_l0^6#~M7-*_gpt%R2a{BB>z%C2mNC1JBs!kS7c| z699rDYB*2L7J6zGRnlgGFaL@us;xj2>o+#CioNxF@>)6$|HUn84e5FDVO43mI?^qqtnbBcJrB>E0U)s}+8wtaql}3b z(?XyBTOBmUx2LQ}tH|**vGTv4x4P2{n!bd`bFpR#nTuGG#e;M6PzCqBHnU_<861 z4^3!hM8ly(-lAlS?4AYLRdz_wbN~4oUh1;H(CP+Li6aZ;He1%fJphS>WR=hKA|AsK zPuo^Ov*og^r8N8iVsv~Z!VVnSD;RBHS}9>DI}1o}6{Ng@fSaeZAc^%Y#UQ4og}%Sq zLN}w!Ke31W`U?`sRuqMcJ0+HS7Lt}2K|64XhTj7HJ?5ERal$W^)iZuS{(W`72g#Ge zIWkeVYj8keoNX(^KQ_2NX2uK+?4UpBGHjQ%mQ>-qmES>{@bZ{)r%>tzQr%0^Dj&S2 z0UXeZFNY<%aBhND*(MmQe2u!XidsGy3lBTgQagkB+3ouFfZL@t z2mJhy*PV@=YU}s-)`@%ZuT9a|tXka~;J4M+G0%YwnIu&k(u$X7pTvPWa3YGzUmm}S zMF@B=umem&xeU@-@{QJu-?%{SuS*7UJUu`ht(72<37PT+MV}@ssDMEs@>e|fj5!IVF0{ZN2Z>92j6Q z_PUdkJs5rcmXi&b@7;{kHv}LY?57=78eS}W2Ibd;Lw)B8lqx#}lTVddP(l&5W7?mF zY`f>q1Z`BCYggDRH_T*5Dpne3SAL|HNLZaQ9*<8raZea!vjdKd#B;tcI1d%?PDrX8 zKGo{nBE+TpUw=K2X5Po*XJyM<&3s$t8*80l3A5t1hx6wkns3usJ%Dp@6Hob5zN7DI zsSwZ0dGTD|kAF%>T8^8Dy?m0%98$Ey|CfJ_D%+-p*x7Q~7Hzj}#NgT?`%qJBudlF` zSdQ_E10Uaz0DCKG$@spbji#w$Hq!eC&Hg>+MUHSveg{0PeAqJojpmP|+>*Zhwi0#i z_fT)l@@MG1Agv`S7O>zhVjXwA!yz+$XzpyAU+0kzQLu0x^@nr3?+!7xYS}4VlVs!( zTfU^)5^e@rabOY$mYw{}GyRcTgkipE@Z}{-YjKZ4WM3*`JkUt7WjenNF6@b8n; zV$lUYDf+fOtd||$BY{r4xs;q&BE$c{D;At4mumYP76H`vZz)<*k=rV_z58j7?nGos z>dQI!rvHanC|2h0M(@Itn4wL*_ZCXNmq@kTK>{go`9sGr!}VS2X=T`;sK|J3$9>Ks zXff?&+9f={;$shtS}6A4JHtaNaom|M&IPX9 z3`@$TvDC&ZSzm;x;@v@8UsaRU1_}gtu)Q0t3@X-2wiarVuKhm2lo<{er4gs!HI1<0 z5krW32F7-I9wzFh2k%Uf7(r5MiOH@Y45%q-kSk$pwp4 zrQ(I3+#nBcpQsMv!=O^1EXUU?dpw4730&~S^m+ZGte>`deV1bX3qUkake{bv*c3}4 zgFG8yKZ%T;9(=D&?Nbo!^ETVyHKfjF$>wl9gN27XHcNDXf9+M*MRGjlV(!v?G*2{n z=K;lt$}W$hk&8tof_7mR!Q%gcdnVyR^sCf4SWFG(e3Rr8fnkCY_qUFWj^My=hlU-~ ziEz<;7S!ev$8ab?9q0E-FIjk6LQ3P+yJfGrX@tTT?IWh*#P-g?3WKBE&aB}P54j!E zY1OaK5y3MVX5_fhidCkDfvcYXd)_n#yT#o&UTa8 zU*&9S(fYT;u#?(Sqf$|&niqH1a5AavZSQg7Au2?Rn@{nTQO&7Xarg)XIT$q~Rtr@eylc+j>YM=A+s*TY}%!O(SQJ4B|x(H@xq`xNGv=Pu8crBtHEd@1BrE zDD2u`f=*~5R?#Ysf){lOmT_at6KDU=X zK^vdtwF)VZcfIz`%@w@$6e1o4#^xZ`pgd45ORkJ1c(JsFP_(X+*F|bWhjI`WS2$4+1rAJS>U4M7mQJJiZ4$ZS6cvC`gGqsC*~q7Q&&-=B;n86ZsRYV`Fb% z3)%+aJ7?{UACFmxrRec-+Qh@2f9Vh5LRJo0dLBGt?bzzMdAO;^NhJgZDSk0y;iL!qlU+7b8u9*JT#FP(xK`TAqYICGBFh5?xnj96;z9$M z>vJ-S9O>V(qEi*f5~<2dv#nN0<xXSpRJhc zeue!>34VK0MowU#+dh+nr%mBZk-ET*Fn<-qkBBhlsZM7F>@DHC9aI$wS$H5AVEyzT zw-NLf9DZ9)kFyCYIl^UGX$-PC)x*zMi4d%CQ}c%Gvr)j~LrAPT-})Y^#3m9Ec;@kL z316ZY*Js%yD@4^*D#9=ybVSi*Gf%yPiGP~LjB$x_P^ASu+Gav&wS24JdE1U<`)e`J z#J^?N%>13sZHGjk9bQB~pM`?k@y2zjmR4P~Me^-FKI!2YiNTVQYO?+@Q0nl`sR;vb zgJU|RO@L?TykG;!R+)C>mzz@>sIn~N!?E&U4RH3n-5FM+Tk*eHJgTeIbU>n=zMIjc zpFR_E7S<_1_HXTv?{2JhFU6Y@LDBKH5W9x60yD-?_uQ)Xi3N3#g)(uPP0%dqBJS|r zmR?zyruTqPs3Y2k-EZ;AB-&hkw=xeRRbu@CJJ}EuS=kwD>KV&ON8L}waD6vf3e6GT zhf`L=_%vUiwzj27jY}=+RYJd5UNdXhIm(gI{vX6o`q!}X9Mq;heZZfG0Dm!fjhzLd zUXgCyNBcEQ^K!k|xg$dK_3{>%US4p&xfse&^}|7wIFUlADue@DWiggJT<{xP;Wtpi z`=bc%S^{Ym{$#0zR?5F+`4V2yeTs@~GvT7Vz{fSI#8lQZVD2g56& z-p4!PRsVBWUBw1h91EFAUY{h2lWqJ4A3UnBm*K?XRyGdrYkJ<3^$d9t7-{L%cjun7 zA4Kv<1x|=+-ZOh^)m<~#r!Utz-bK9+%l8l`c`rA;@9ur56gZ>m!lWn^xMZF?DUItT z?T-gfia<-+@K=7YVYx%n81sC(`K$FRZy{C3%d_otqalQ_9_hrmbZQJa@`j&Ki-}=h zLU2~NL&(!5e*dkEzX%QWhSu|j?MV)d3NKSepyl~ts+*ft zEj2($;T?bL0;TvL!3({rG~LT7o;6E?4Ep(=bRM0vS3l*jd));rbl6Q!O0B5G@fsh5%o6VE)H5KI( zP@x+K2Zj?AQ;Z{*cQV}AN9#X;G`Ov_>54Ht7*o7j&4Ab9xYR_cLsGXunySJfnHhCiAH?AKOVfZ62Yx^d>@~| zkEigVeZOYQj-PgG(qNSvO9`@i4u0EW`QJYtELa7M zeBK0hZxu}PAMfbTOir^(D~L~!>T)m&Utsp8ad-?;aQVY-`#!RfqBFD7m);f=xOomo ze=q|0E2N!OgK{>tFSOVL9XTd3fq~m)48=j>!^e#=`_byT1f(wqUT9@^C6&SV)Y1((`7d&itLAsanoHq^+hiQ9vqZ*EX0>HqTM96GQa0S>D2|1?Rf+^4 z_K(5`150MmTsBfPjNyJU*Q}#r?kqPw0FpHZ?{XXwk@uNf1i-x%hv|Nh-V!E@($hU0Mo zx42bypL|I~vwlsq~2kY}%R1{2r3Q*<2)& zzKyzVbae=70JHj*9lz?C_dBV>(zeg@q8YvYrW|iDa8gssGxfPtWBq+|ZgY#uReW)! z#xdKbX1cI2Vq5j!7~Slx$p1BT-v3m8aU8$znt?pkMnxJp3hT4(Xib18pbOuWe>;@ zZKUP!7(|H@yN>sTy|VXba`j3`5GLi<+lTxsN)o-kjR)*d!&dHNx1=V~pv%ME{^BU_ zQzZUh{M;htMeJi$uMQnEzk37D8S$>Kjy@n*96cYd1Epc3_8MgIVvh;Q1MK4|Dkr zC?g^aOEIZ;Jm-ekzmQi(Tn0vqN+!d2{Wcw1VVA)_8h%1B=9>EnmI0Z>4zv3UvH+`O zUFAPBE9dCV zpFxqmXy%Pm!jt??wCM`$X{ESsBD^4ehlQa){0?4ub1=;P&N1SX#IcwigSGYTv8QkX@h#}yh-kX*jyqzM_a#5Z&#}>3?W7NCmWh5G_sjeeK**HG9gcm6q8sLdW@ z0IxwV6)mtzgxJJ*G;@k$*Ov1XgU)6I;watf&edgXrKIa<%t{L8r`GnWfo0e9- zfBLM+7-i{L_HNTJmn!XH_wE!$e`&Hv$#7XlbV&_7c&EhhJ0pmt@(n+SSRbq%)0=Q+ zbz`^ahwroG)Q;5^HC2r8UsX5#slk$@UG39flwJvs;IjP}KXo2~y$d_VpDo5SBfwVyevDo5BgoGQtC5#G$m_FOxDbS!yzBf?2XaV6La`sKl|& zs1NC+ruq%u3QkVd+Y!ld2WMh#`0?I-?ml5Q>>($#_yOeB7CBb$=HXKO>}(yozP~s6 z?2q|DMBeqyKBU};W_Fre_Ga)0xl^l!b0!G(IG!|q4dHNL>WEgoq01%%PCk9+Owlc$ z1_imCv~8K{mRqixmSe*U_yIa|?na0JM5#tT=+KjkH|=Vsmvj>Pi@tA-=Uk_9^`J$E zc$z|F%bi)L-K`!L36HzAlVGxhc%okk@wD;Xu#rV{(9afLc<{hTH4OUMFiqsUSMV*# z9g;!|Sn@c492~$;g|O({Ef8DLp6UTMWd?KY441=kTln=SY{>k)O```JAD0{%xe1Xm zu1UB?Q}X*g$+IYLJ`n$lp7w*K-=WOFRLO{4soqBihlN^;5?a)Xf zsDf-uSc8!+8E&!l=R+eThuQ6R*HfAm)t`0d3~cP96}9}#>JD)$4U1995Bztgk#AzU z#{B8YlJK%ETgw3ny;}KW4KFS$kN}}5+AS3(bMK;4O z(jOEm0r3-)soUM(B{A*okF&2ne}pi4B>`A?hXe0c|M$zEC-BGp)2|Yzd>ofDL0=B+ z@;t4i@4DjL;Y_ls&y z`5wjP+Jh?|%QWgFJqxxjc5Z@Gi>A&Rz-=%oEj+fuwyDTLY#G=JgB2y{d-UzsW2rGV?l{5ieR(UCh6;c4WAW*`grHFqDA-B|8$ z?+tyS3nQc!xN^LNpPO+f^Yv-TEj7_4&tcd}Zvlh|r980J$>A-tebeofrPWz>VaPpk z(>t-{vaS_+P>QE$a4QjtSojzU$p~_GlsaLAr^Ch~ju$F5RCRNWk29+&30fZ4^;hqj zVii0o9(QLTYw7^F8x(`R$?O;I2r=dMc+Uw3J^5NtblEfd6O_5(@qnvQ1@@kuTzr}!s zLQVAP-QE!4ZV;+tDu&+KbtAY#wjl8wu80%yk`nOX5J0`Yh40bb^+=i(6EXM)DW+mX zfvzrfvzqx-5fw|9p)CupvGZMb7|&pQ7tFC2MF%Vz1?=)#s@U;Sbk&@IV_Lft{?teF z!hEU@cI}M%)i>bT&nKw?cbz9=mKZ85`G3)Lh9rya(slM~D7<6xQl)Tmg{evY*vi^K zLbpO7V3305RqFEECk>E1wDHN7mZRP~TJOO{ym~(iI?Ht@9x#j%{)IfRdy+a+p6mW_ zf0~$U11n8H$y#tVi?g7Kadg~xmW%p7W*##|G3jKr{gzXK20HX#1ihuxAFlo4l-}1= zBZv6U%ueVIOgyDg=p>$#^-SJDU4>Eo!)X{OSp=6Zn&MsB)< zo3}#S!}OB_Jnuodt51NfC6Og}I>fR&vLG_25u6H*PaV?Q8oiDqKng~BoMfK@lu+DN@h|x;lYlM7Eaeh@0}1<2Ebnp zcNUI^Bf__kWX@!dn&82J-R1$ zM(#TGUe&{Pdp^{qttiUmMTpn5N^rO()XK%c!~4oF;SSD1x$M~~3dgs_FH}y6&yMX# zY^2=lXi{?u+Owhu{86p%aT&cIm|n}$;V@BQwVMh4T_a0P!%b^h?J3@LN@+~%cm5Ul z%_@-LgU@XdLxufbyVNs7D11p5#sXKJ_R%RirnN1hJK@pd`m^Wbm^n_zjbefH!IsF4WPfqT98>?@H4R+f-6D-C_U*m?W2Q8+YF6 zD(mIaxf{rJe^bv~E|>i_83+T#`s^R|cvB8(#omUrF!f$F!LVBGvr({(nf7D-t)2g1 z7RMmc4li~DcNnfLGb;7k%RqEvPe{!N&>C!FV0so5Vla~GMmhLqzdNKIc4*r=>*jt9 z1Szsnfg~8L*SOcaVITLQbRA0)M-93;;~cA?|j6CRcwm}V!>fn&p1*yZ#X17SVD@nq$d6J$9{0O_|-}k@g?RGt2+w& zg6+8Q7RIU-IY!U7J6I0Z*16#}vFtVPJWcul*bOYX&4{mF6q0L0nO-3Tq57MzeBYJcsJ5h-2OaFQQ`a>AD+>y@a!aC=)+%0-`6$3;}({0Feqjl z0Q+QAFppHpb_ zoot23<1FW|CvzgR(3R^=vJvPdxorjC4T>EM)yIe!npUmgM>|yY_;A}oiwc`I31=iH zuFOWe9Uk7MHf3$fI9#H?E~$;?QE~^0h5+M$NEzcZ`;pGZr^t>x=Qs7pO1Q|AC_sl~ z&bS1+{F}LyAB}G@4j>nvzYBuBdU&6Q3!@ldoiGwFW*kI5LpM66HzhhKnnB_D!#vBp zXpT6HLK$Bp5z4&WglZG@y`&TLf`2sPcBeMea{@TdM>_|SH_j{TC!CD|Gl8+F#WHsi zpYeu0dGr$Aq1Ma`#&q#2GhVYMw|m}%XLMARNc+L&K0Fp(gT}z?9wb9Tl0}Q%<(GQ-3E~{}}mV%KVAT zSy<$DRLb4jW1(-dbyK3B3#4}Y-r2{Vt^xlI;1xbWS}6w;yi^7dK2hC@;v$CsssPoz zTZW4jbHWwzUoh2gafun3|CKb)mBzPZ+wv9lY*`WXOzczNCofU}ndGgwLPF=RW7;K< zhgSpW-37i!^Ji1L#J`H$;GmG8E<6XWn$^HR3WEP@;KJLdBy;6Vr*7)of2;3vw_otk zVBS*kWe=<^zn!2qe%%z*pq2#GqetBHoJAg_CT6R?zFoMY@Yh)6LW;qxwN5Pko}+su zs$BV{noSIId3zM7!cN_vMXnzvp}`!vF!kX2tjpqsxPVP&eDNhcy?Iv?%_f%MD>*aJ zx$=3wxMSwonwoAW#p!<j~Gde|+SQYNe3$EZ!VqR$t?Q(@+! zbN#@zZK$cLSYKkumoEdB zu6sz9N8N?0Ltzt^UtOVDu}?Z`>{#p1PfW+CH!5;ptj0u;k~uS1k5^v^R^X61xyM{# zYG1`rG_JDh?!)KbGEVJpR98NfAL4zgvwsLYc`B+nwn8a@aFweFT;;T~GAD$H zrE&8T@IZh7^&kog<}TH+>V(}$A1WYn?#)Y@eHYf3RKptk5xhZSt@_kg;`}aA#HZj2^jXwk7 z^lZ%sb$o?Y7|@T}7rU~@Cz(r+I&$0f_nXaR>T_5M-0}lE#nz&{8F&(ucbwZ4>)OGg zH2q!34+m?g|4%Ii&d#6Z>(_@&K=mK9$?$Fbg|IftF^Uv6v^%hhR5G?8S?A57ugP&l zHcLIMN~uP2g9AK34WUf;UIu6Rp=~@vAI{)Q9@+^dB^i@T4S6t^b8biSol)lywWBTu zs_}Cj&*UYetfmxp5Ib>)410Yk17@|UEnMqo;Utfd%UP!rsU4qW5F$7&$GSUoHqYs; zYFpQ-72f;vR~`q^SqyY+SI%anAn-sKe8o4IVu?};Ony*|Myh}s|89VUG{Qtse4aM? z5qTC^okqG^!#Pfn&GXb@2T#tViolxeYKPOZ+sgKIl5uhsP83*6ZoE+_oOz>q1LchL z*hEqABflv1gQex24KXZ6%zegH7Qe&wI|s~kCT4pv>SoennI7>Fo7@Rh>1uOf*=i1{ z>fP0ndlHQK17%seGh;;M4XTggojgC~v~z3GzER>y*Aa%Du)gYS34@YR6^S^?i97Tw z5$Z*E`4>MaDdVN(Q%ufD;?z@w+qC&+;A?(d0@pzCbqobPqRS`96f~3=>217oDdIx( zMKfv4cXzgawL6$0-**56;l_2poBge1N9#X2|8Aslq6vL*N51m3aq*9^O?tChnb8c8Hd%HhM_uw}V;|-H{<%wTod^G#GKVz`9MM==5oh~^*fut6R)yveroCq z=)Uq9OLjT9{mSluF~z?pP$55We7S(#3_T^<^58_f+ay4radWUSYd2tttsxj0!%JY# zh*^D)#5oUVql(s<`MF(gH7~-2ZnE57I5!w{aMzR^;%9#T6frHiHwawsn`)f!BqWcL z3mM-(h`M8JL&_9!oikUzNy^&IEVy>qWz-QEe@m+O#-Tyg(?_2f{~boFDa)c-lQl=w zbPzA5zXCc*uk!T@r{AO5pmWB!<^v#YLIfxG`~{M)t=s3T2I~bA0~?u?veAIUTeWa{JsJK{w8bAUB6B&zvVy1S5*`r^eK+d&K#B8 zd)x`Lr9oo8*TB{d?`2SM_umHY{Lu`XW;SPAls|t-w&5(fa&R;e4XcQ`Qm-7Ex_+i& z&n_G8_4I2Xk`8A(x3s+{ll#U@>h036q5G;osBGr_EX%!gM{aL$Fka!nRm{mjtNrLH zGqwVqNl3VHZ6bZJP7~ZX;w+Xn5oxN9eQ?_*;7THIFTYoCYV@}`fE|kpf^ZgXT9wY_ zPKtYfA4WJIwGyJS7G+O|f)uDV)MaYuRG1V6>RTW4}eIZd3q7f5xr?X zz63wwd7A$P!bKvHcIh%9fOv%#c$E-D45o#I62ge#w1`LoiAbhJMH6C(|Ix0+60Q^D zXz>7nLQJ3~CJ}BBlW8|^5mJb$v@|LqotQz(yiLd=X4CHE5bhH1(P+7Z`@}q2egWYD z@geQeV?rSjD54dY5S|d9(w;phloDUi%E}2Zi50Y0m4qr{HLd0~p_W)jt8XAQ5}Rnv zErd73R$5y-p@Y~->*^--5PNBT{e%JHAZ=)v@Rs@R& zBjZ7pB#)Aeqk{3WW@$I_*@>Qo)SYKie$%cmS%PC)z;qXvT%m*L!&I2DM1^qEE?E38 zorhz7$NlB2Yeul#1As#QXysTFsG{OnB)^ z5gi*Yt5hd%t(P%3&<*aMFDY5bg@n(s{7p+k>@ROO_M{T_Qkie^4B0ynhI7st%-1h8 z=TnEZX+PPS`OdC84_jVb4xfa7E}P}6w9+Fal@;qn|K!e@^fv|NN>c&+m;{?#Nx6-z zr9z+N6|M~xQ>gajvy&#Xv!5iHu0g-kgV~T~SMB9)bSxHB#y#uF>cOQ&C)@CnvEOY5 zQ{=Bw*6#h{vY+nN=y05ZTElwD71u;-t+SO*(|-Md@NS&G!7)o=C5-gCcI~C-83Y-9 z-#48bTLMD^k$MPLp(S80@p^;Qo>jc)Z7-(wa%$M7iA`#;c~B@a zEuwQzU7Np>P32c$9s>%L=+f64B_dj%@nUR&0=HUtS@vc7PmYAfPx)?-EisKKJ!;aF zU%}$3`UB~&$RKBJ(7m6S=wCf4);6rf_a#@JnnH#odzRk1fjrN$l5al}zK9ipoJkJWU#22A-yD zbt$ye$ErViDJx~08DDUE0Sr_AtPaOi_m74BaQ%aXejPT&PT>r*6dRp#v#LwJff8t4 zsd<4WFt|_|;7AV^E5RHq=~+UE-To<2Ez+H0@p$Cq@rFZ~#qpwp@M{Qqzpr}}==eUb z(xL)?a`R(Lr={fg^|`2h!V)=?m8djf%U#lm@NQyIsNdxfCA)8uKw{cB;fu9}7Z46h zkq~YC7+KY@b6ecYc^7BFeYi#PrC(<8(Lo$u7(d{YN$OPQ0;(k!n|5TfKnEzO#vRgS zSQZvchWZJ3JRvdS z59)Y+V(6->Bq)^QJm|XmH4va8QK&MxQ#1lQck?YQ(5A>EE@AiMx8gEH?AlFq)_uHC z9l%osKT0el8GZ{N-=)VZcR0+g%w&ER7SY=4sD&C_ngYxcE*%0aR>4fjVZq$z0+Min z%FS)l3T3xM8L@f#B4ndr;a@PkqyDH5u{~2TFZIIH>)4}h5~K<$?R~$q8+$$|Vep2> zO-=l1^sFDR!h?{26h#BRj);o%ge|9^K#H-z!&I%P)IMo32ndbM+*EK_IPwe4q$VKl zKz1|+(#NOArwczjRsq(oEx&d%AmQ2{%)Xn4dAq2DbvVSTdlZU50>gLZxdRm{9DA=8 zBMkj3uxTCa+HahTvkY~Mp{7p0ogeO>qrOxDFZ~H!8A#eiL;qX4&Q1PVd|dV`kf<;7 zB%C>HR;{oo{tL{Pls!i&y5;qUIqvKzs9Px6iWE{|oi`SYhQZ8IQjmA%*2~oqCTUFt zu=E}$_=nJ_cw&dAS9kU;CoNvv@V?L1uRw<3mFXhG5_mKA1f#pUGo1Cjn*&LpDErd< z1Z$UtRi85Iu5hUu730)>pgjlZ8SzF4)zUV*#NBjqNYL!@T)2NbuM~A=hx(qO1~Mw* zqppurJ2e-y2et7*1Bcqo5$e;Lx_)kD1Kyr`%^hByR_L9Irzs<^Hfvw9p${kH*4HSU zLvk0p(r&$`oRdwTb*r>*DO615#mc8yQCNSUhMw9Y|F%o{!8OOa#R392x^K@EW~op# zH8570ag3eVLr3uaD;!l@sJD~tC|(LqIt`%$YFT_*?{+8}9Ffd9mcV)A?{(2K_acYl zWa$;p1_c_AlhCw-QjFY98uRrRa!1jav1yl6^4^BvNFxjz5Pe$_UTvtVcS3XUZHjHf zW6WSvp>y_azIYk0Vc>uxVCN2}3eQ%;n#pF;`gN1qYZ+sq&h`4AG^Qhq&4UM;5{KM!-MRA;|O=<~@| zjdt8pinC$iYH{V73q27bh4;{@FzS zxoN-WUQY3CfQ+#WsJ6yYS@2k3F9(y)NOV{BCD3NnG_$E)CFJP1@@d! zj2I}RCG%05+8f1waQ6w15bC436p)3))#W`S+4n{U&6kh0y?u`}t3S&o0w-fpih|FL?0GL=Y=bH0nAL~z zl4AE21>=k&crVAa?I>O`TaL=?x^dgcl?Sf&$?Y6PW5iL&Yvj1`46n(L3B@esV1M2; zPwtg)zK0xJJ{bKF4B&}Tn+QVr8v)25BsbCL=BE!N+^5qbvX4^&=Ar`y1kVL8p<49> zTafNBfJeY2O|0H{kB9E;CGTDjfd^?4G7O!;B5QhKQH{evqPii-og~L*Q6nR7M3i{F zAqn4X9=2tOEW^K<`tgGC63nQtgH#<8h#56#LHX?K_l7(JFPbN^4JEHDSitJhI7s7xG4lxn6ngEXE}RC&ZkwJVsU`2 zLllvWT89uthQDe@@><|}molGSQhis-%n106fS4 z#9WED%&S0^86S}J0Gu6^g7^1k23?X~W&is*Ke71h&Qx7cz|BIPYkc0Pue)b-4Sg&W z`u?Nz+_?EZNMa%EBeoWi!7tc_2e_Ux>VF+faW$q09y^7W5bj*w+Ux|AI8F0|j%0de zG3PgIsTby(vlPDWPzJ%wHi_OIa8wK#dz;l!z|yti_xyn}9Kn4Gpa;X8o6`8+pj%N! zOFjg%lW_DMX&g*?gH;L=ePH?M8}<69pRiPDB}#a&kW_qBWh$Es!43jNBH#7J^7S8Z zRQHffxx@6tY`#-D0o$tDtg#=vW7AN}wFVVE3wmXq%#^UKKLvcJ0QyAEgl3or`s0SH zTVY!KLIbi{kC^W-SBjBNi$FX%*^3wvc*+qn{HT#@{Ljw^sofIQohP`@sL|ZIC8&}D zgN3gP;cnraqIs{1kD^qHwtR zc_EXgdm!3dYMElPvugcQHU`7q9xcPloUL1)$bb49pEWiv>AgFM%P2e^C)T&SQK&kI!1jcUFjE7Z8>2xM^wEN)z0IW=j5aX9<3sC7%W%G@aL(w>ZfAFn zOf>pdh1Siv7T}(*HiUX6($*w|x7ZH;u!?wNN7YbV|yMd;I-pceZeP`BC)lV9L`Ky2F|#7B!;!E|u> zUW6OukOp&m8}P7;TDTukWQyDr>z#Hwwc@W zAqy&=BOF_9@{*gea`Sv1F>*6}91n38BY;9~9|`j@;a`|S0rX0NZ{CorNn}hLx+;Q? zp1TlFxpdh4Wxr`TFm`hlry~2;Oyl1R^{Fp@%9GZ%X)4ybf4}}UKuH9DOlqG{UIqW` zYtB^aL(miT%R4TexX+Jn29F#?Y`GFK-UedHs)5^2mL36*ORjFbLWP^ILg06yNCh3Cv5k*MtJ_iD=uCbz z;`cUy>gs5XtJ|r_>PU@?+hN&tP#+Jqz3ZVRI`2O|7OXJ!O%~d*Qc9#;?D|R5$O0CFxFn`Qu|l_?dLUQ_ z`wcT&oMH`x=Me-18NkItK~QPMmoN)ZG6m$&!PfQ$gMh&oK@cd5^AIV6K!I7jn!4ip z5TY?>3PLr4?Madar-U6`6cc9VUb3g4p`j>MgsnpY+}r;?fk4pJ-Gyn84kcKDl>z!O zhXeqZoQO0L@wS=Yt#i>ZF{b*Dp69sv>+NvfchoxZ=F_6-tNx+*oQkw1@TZ(isyx^$ Ur=FSs7Rxb6*9FJrgrraW56NzxYXATM diff --git a/fmemtest.map b/fmemtest.map index fb40c43a..75880729 100755 --- a/fmemtest.map +++ b/fmemtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:51 Executable Image: fmemtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0ece:0000 0000e700 +DGROUP 0ecf:0000 0000e700 @@ -33,26 +33,26 @@ wcpu_TEXT CODE AUTO 0000:5410 00000058 kitten_TEXT CODE AUTO 0000:5c80 0000080a 16_hc_TEXT CODE AUTO 0000:6490 0000158b timer_TEXT CODE AUTO 0000:7a20 00000235 -_TEXT CODE AUTO 0000:7c60 00006ec9 -16_in13_DATA FAR_DATA AUTO 0eb3:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0ecd:0004 00000000 -_NULL BEGDATA DGROUP 0ece:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0ed0:0000 00000002 -CONST DATA DGROUP 0ed0:0002 00000076 -CONST2 DATA DGROUP 0ed7:0008 00000102 -_DATA DATA DGROUP 0ee8:0000 0000056b -XIB DATA DGROUP 0f3e:000c 00000000 -XI DATA DGROUP 0f3e:000c 00000036 -XIE DATA DGROUP 0f42:0002 00000000 -YIB DATA DGROUP 0f42:0002 00000000 -YI DATA DGROUP 0f42:0002 00000018 -YIE DATA DGROUP 0f43:000a 00000000 -STRINGS DATA DGROUP 0f43:000a 00000000 -DATA DATA DGROUP 0f43:000a 00000000 -_emu_init_start EMU DGROUP 0f43:000a 00000000 -_emu_init_end EMU DGROUP 0f43:000a 00000000 -_BSS BSS DGROUP 0f44:0000 000004da -STACK STACK DGROUP 0f92:0000 0000dac0 +_TEXT CODE AUTO 0000:7c60 00006ed7 +16_in13_DATA FAR_DATA AUTO 0eb4:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0ece:0004 00000000 +_NULL BEGDATA DGROUP 0ecf:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0ed1:0000 00000002 +CONST DATA DGROUP 0ed1:0002 00000076 +CONST2 DATA DGROUP 0ed8:0008 00000102 +_DATA DATA DGROUP 0ee9:0000 0000056b +XIB DATA DGROUP 0f3f:000c 00000000 +XI DATA DGROUP 0f3f:000c 00000036 +XIE DATA DGROUP 0f43:0002 00000000 +YIB DATA DGROUP 0f43:0002 00000000 +YI DATA DGROUP 0f43:0002 00000018 +YIE DATA DGROUP 0f44:000a 00000000 +STRINGS DATA DGROUP 0f44:000a 00000000 +DATA DATA DGROUP 0f44:000a 00000000 +_emu_init_start EMU DGROUP 0f44:000a 00000000 +_emu_init_end EMU DGROUP 0f44:000a 00000000 +_BSS BSS DGROUP 0f45:0000 000004da +STACK STACK DGROUP 0f93:0000 0000dac0 +----------------+ @@ -68,7 +68,7 @@ Address Symbol Module: fmemtest.o(/dos/z/16/src/fmemtest.c) 0000:00e2 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0ece:076e+ _inpu +0ecf:076e+ _inpu 0000:03c0+ INL_KeyService_ 0000:065a+ Mouse_ 0000:06b2+ IN_GetJoyAbs_ @@ -116,9 +116,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:498c+ MM_TotalFree_ 0000:4a22* MM_Report_ 0000:53da* MM_BombOnError_ -0ece:08d0+ _beforesort -0ece:08d4+ _aftersort -0ece:08d8+ _XMSaddr +0ecf:08d0+ _beforesort +0ecf:08d4+ _aftersort +0ecf:08d8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5410 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -136,9 +136,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b50* CAL_OptimizeNodes_ 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ -0ece:08e0* _finishcachebox -0ece:08e4* _drawcachebox -0ece:08e8* _updatecachebox +0ecf:08e0* _finishcachebox +0ecf:08e4* _updatecachebox +0ecf:08e8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -149,7 +149,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6274+ get_line_ 0000:62e6+ db_fetch_ 0000:637c+ db_insert_ -0ece:0494+ __kitten_catalog +0ecf:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:663c+ LargestFreeBlock_ 0000:66ca+ _coreleft_ @@ -189,10 +189,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmsize.c) 0000:7df2 _nmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0ece:0000* __nullarea -0ece:04b6* __ovlflag -0ece:04b7* __intno -0ece:04b8* __ovlvec +0ecf:0000* __nullarea +0ecf:04b6* __ovlflag +0ecf:04b7* __intno +0ecf:04b8* __ovlvec 0000:7e06 _cstart_ 0000:7ed9* _Not_Enough_Memory_ 0000:800b __exit_ @@ -202,10 +202,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:8092 _big_code_ 0000:8092* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0ece:08f4 __argv -0ece:08f8 ___argv -0ece:08fc __argc -0ece:08fe ___argc +0ecf:08f4 __argv +0ecf:08f8 ___argv +0ecf:08fc __argc +0ecf:08fe ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:8099 __PIA 0000:8092* __PIS @@ -224,28 +224,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) 0000:82df __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8363 _nmalloc_ -0ece:04bc ___nheapbeg -0ece:04be ___MiniHeapRover -0ece:04c0 ___LargestSizeB4MiniHeapRover +0ecf:04bc ___nheapbeg +0ecf:04be ___MiniHeapRover +0ecf:04c0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:843d _fmalloc_ 0000:843d malloc_ -0ece:04c2 ___fheap -0ece:04c4 ___fheapRover -0ece:04c6 ___LargestSizeB4Rover +0ecf:04c2 ___fheap +0ecf:04c4 ___fheapRover +0ecf:04c6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:85b2 _ffree_ 0000:85b2 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:861f _nfree_ -0ece:0900+ ___MiniHeapFreeRover +0ecf:0900+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:871a+ _null_exit_rtn_ 0000:871a+ __null_int23_exit_ 0000:871b exit_ 0000:873c+ _exit_ -0ece:04c8+ ___int23_exit -0ece:04cc ___FPE_handler_exit +0ecf:04c8+ ___int23_exit +0ecf:04cc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:8758 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -264,7 +264,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:90ff __doclose_ 0000:9249 __shutdown_stream_ 0000:9263 fclose_ -0ece:0902+ ___RmTmpFileFn +0ecf:0902+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:92ce+ __ibm_bios_get_ticks_ 0000:9345 clock_ @@ -275,7 +275,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:9569 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0ece:0098 __IsTable +0ecf:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9761 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -310,38 +310,38 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9f5b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0ece:04d8 __8087 -0ece:04d9 __real87 -0ece:04da __dos87emucall -0ece:04dc __dos87real +0ecf:04d8 __8087 +0ecf:04d9 __real87 +0ecf:04da __dos87emucall +0ecf:04dc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9f6a* __exit_with_msg_ 0000:9f6f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0ece:04de __curbrk -0ece:04e6 __STACKLOW -0ece:04e8 __STACKTOP -0ece:04ea __cbyte -0ece:04ec __child -0ece:04ee __no87 -0ece:04fb ___FPE_handler -0ece:04e0 __psp -0ece:04ef __get_ovl_stack -0ece:04f3 __restore_ovl_stack -0ece:04f7 __close_ovl_file -0ece:04ff __LpCmdLine -0ece:0503 __LpPgmName -0ece:04e2 __osmajor -0ece:04e3 __osminor -0ece:04e4 __osmode -0ece:04e5 __HShift +0ecf:04de __curbrk +0ecf:04e6 __STACKLOW +0ecf:04e8 __STACKTOP +0ecf:04ea __cbyte +0ecf:04ec __child +0ecf:04ee __no87 +0ecf:04fb ___FPE_handler +0ecf:04e0 __psp +0ecf:04ef __get_ovl_stack +0ecf:04f3 __restore_ovl_stack +0ecf:04f7 __close_ovl_file +0ecf:04ff __LpCmdLine +0ecf:0503 __LpPgmName +0ecf:04e2 __osmajor +0ecf:04e3 __osminor +0ecf:04e4 __osmode +0ecf:04e5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) 0000:a013 __LastFree_ 0000:a08d __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0ece:0508 ___iob -0ece:090a ___ClosedStreams -0ece:090e ___OpenStreams +0ecf:0508 ___iob +0ecf:090a ___OpenStreams +0ecf:090e ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a1b8 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -352,7 +352,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a3cc __FiniRtns 0000:a3cc* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0ece:0670 ___uselfn +0ecf:0670 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a430 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -375,7 +375,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:acfc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0ece:0022 ___Alphabet +0ecf:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:acff __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -385,18 +385,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:adad* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:adb8* __get_errno_ptr_ -0ece:0916 _errno +0ecf:0916 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:adbf __GetIOMode_ 0000:adf4 __SetIOMode_nogrow_ -0ece:0686 ___NFiles -0ece:0688 ___init_mode -0ece:06b0 ___io_mode +0ecf:0686 ___NFiles +0ecf:0688 ___init_mode +0ecf:06b0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:ae29* __set_commode_ -0ece:06b4 __commode +0ecf:06b4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0ece:06b6 __fmode +0ecf:06b6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:ae35 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -423,9 +423,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b43c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b458* __get_doserrno_ptr_ -0ece:0918 __doserrno +0ecf:0918 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0ece:06b8 ___umaskval +0ecf:06b8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b45f _dos_creat_ 0000:b483* _dos_creatnew_ @@ -436,9 +436,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b68c vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0ece:091c ___env_mask -0ece:0920 _environ -0ece:0924* __wenviron +0ecf:091c ___env_mask +0ecf:0920 _environ +0ecf:0924* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b6ce _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -459,12 +459,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bc24 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:bc94 __EnterWVIDEO_ -0ece:06d8+ ___WD_Present +0ecf:06d8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0ece:06da __amblksiz +0ecf:06da __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:bcb8* _heapenable_ -0ece:06dc ___heap_enabled +0ecf:06dc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 0000:bcc9* sbrk_ 0000:bcd9 __brk_ @@ -480,86 +480,86 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c2e9 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0ece:0928 ____Argv -0ece:092c ____Argc +0ecf:0928 ____Argv +0ecf:092c ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0ece:070c __Start_XI -0ece:0742 __End_XI -0ece:0742 __Start_YI -0ece:075a __End_YI +0ecf:070c __Start_XI +0ecf:0742 __End_XI +0ecf:0742 __Start_YI +0ecf:075a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0ece:092e ___historical_splitparms +0ecf:092e ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d44c _DoINTR_ +0000:d45a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d7c5 __qwrite_ +0000:d7d3 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d825 fsync_ +0000:d833 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d82a+ __flushall_ -0000:d8be flushall_ +0000:d838+ __flushall_ +0000:d8cc flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d8c4* _frealloc_ -0000:d8c4 realloc_ +0000:d8d2* _frealloc_ +0000:d8d2 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:d9be __setenvp_ -0000:db4a __freeenvp_ +0000:d9cc __setenvp_ +0000:db58 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0ece:06de ___IsDBCS +0ecf:06de ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:dba8* _ismbblead_ -0ece:0934 ___MBCSIsTable +0000:dbb6* _ismbblead_ +0ecf:0934 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:dc02 __mbinit_ -0ece:06e0 ___MBCodePage +0000:dc10 __mbinit_ +0ecf:06e0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:dcdd _mbdtoupper_ +0000:dceb _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:dceb toupper_ +0000:dcf9 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0ece:06e2 __8087cw +0ecf:06e2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0ece:06e4 ___Save8087 -0ece:06e8 ___Rest8087 +0ecf:06e4 ___Save8087 +0ecf:06e8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:dcfa __GrabFP87_ +0000:dd08 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:dd2c* __init_8087_emu -0000:dd32 __x87id +0000:dd3a* __init_8087_emu +0000:dd40 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:dd8f wctomb_ +0000:dd9d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:ddda+ utoa_ -0000:de7b itoa_ +0000:dde8+ utoa_ +0000:de89 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:dec5 strupr_ +0000:ded3 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0ece:06ec ___EFG_printf -0ece:06f0* ___EFG_scanf +0ecf:06ec ___EFG_printf +0ecf:06f0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:df0d ulltoa_ -0000:e04a* lltoa_ +0000:df1b ulltoa_ +0000:e058* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e0bb* _dos_close_ -0000:e0c9 _dos_commit_ +0000:e0c9* _dos_close_ +0000:e0d7 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e0d8 __HeapManager_expand_ -0000:e5e1 _nexpand_ +0000:e0e6 __HeapManager_expand_ +0000:e5ef _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e634 _fexpand_ -0000:e634* _expand_ +0000:e642 _fexpand_ +0000:e642* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e669 clearenv_ +0000:e677 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:e79e __Init_FPE_handler_ -0000:e7d7 __Fini_FPE_handler_ -0000:e80e* __FPEHandler +0000:e7ac __Init_FPE_handler_ +0000:e7e5 __Fini_FPE_handler_ +0000:e81c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:eaa0 _bfree_ +0000:eaae _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:eac5 _bexpand_ +0000:ead3 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0ece:070a ___FPE_int +0ecf:070a ___FPE_int +--------------------+ @@ -574,6 +574,6 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d3e0 (119776.) +Memory size: 0001d3f0 (119792.) Entry point address: 0000:7e06 -Link time: 00:00.66 +Link time: 00:00.00 diff --git a/fontgfx.exe b/fontgfx.exe index 9a25cc7d24e49e714c9ea324cd0d559d97066fbe..666987f7fe96535511a70eac22f6a8c06dd017d9 100755 GIT binary patch delta 36573 zcmW)mcRUo1|Hp4{#~EjwarPE6GqOjrg|au9afecl%yZ~8Xh+hgr6~KdGfHKZa8{^} zLr6!~{l1Ui`;YhI{dm9se?Q)@=j%Pv59;j)Q5?_M0NepU00?j$&*iEL{IB@`5dUB1 z1(>6nmt5P1DyiF&PwO)Z`=L-k@i_D^qPXMWPu0FgMhrh+(yyzpsE-vT4{7|H_t5Y3Lb09;%qRuL+EB2t_cX>7A60@y#+i{^0Nxa`=$_> z3IZm)fkM84feqKD;hB^)K!&RLk-DGc!UtOh-{U^AW|OYA`U>1EN-I@-+n2;Z{44I7 z6crVEsw{OdsX*hc)^hu#%1ga6pjdX5AsFbPZZb|y^6-W2v69T)hgv))&Eu2I1G)Z0Xj$qfFEr;ED9SSJY|lm~K?g-)VFzq45KFYr$lXDNxI0}VL1wpmDb4umIx z)teHZlb2(m(&cs~n|e2aw2&1vMg=z9u-_|ckJlA6 zZK~|1Hd+fa2z#oHPJ|KaPXG3w;U%H>N+Dv54^wwI%6f^w(bW(BI~BTL?)ex-RC&ho z3BQ6Ut$;l_6gfi`xxv zhtaCfDef96jnmL_qbVHfIl3Hza$16{2a*nlvNk)2 zPQ<XKQu`RQ3)P~wM2`uNXmAYczjiC;?LCQgW~h4+p9j$U{b)7MigGWh(>J!CZ|=yusI8{fMA=dJvvu_v(-OReMPXhCtL&*4+*xkIT7JhIP8|t}yGZer`CXdbYXDXJy(MdX zH!gC+e64!Y^!dLzo)=yo5XA+A-oRM}trmtX6Z;GHVHzZV7$jYP;a|nmvoE|piSq!9 zr(<7UKLO(NB0wFQ`eNx4o)kL1QTgBk5<>75%u1CT5~B<8zxKV4a`wZIH%oWU$J(Ye zkDq!iI}sy&gbKGqd?(u{ZC;_ps|G0Q4~XT{H|-;^nHTx-Jh$e z9$q9x7q0%Z%q|=$61Q%b{KHM&qv5W?Kr`^4$azCi*UMtcyb{%srwzX= zxk#I9sN0M)L6dzQYVtNb2}5xMHY1Z?z7WcW!Zp;LurJ4LqZy_m zT+Qg>|KKLmDk;cvQ~*VT`(N8D{*!T_NobR*vmC4Vq&XE!kwb5?&PCJJE&oPDGeBYS z)s`yv-Iqb=u^o*qCN(ea-%vv z_NHXmPsI@0^H1C|xfPZBaajN1l}K)s#jWp93B~v*#4Yej(8r1Odj_`u>+y<4NiXt7 zVL9Px{(mC*Zh_x_iX=wg{Yh(JM1JJwlaQ#0yz#N0@-un!o@Cnp=Cpo?$TaiFk6^+3 zXA2vy^ku!&WY01A3HKY+{z=(kJ|4lvVaBl{ zZiGFhN}pk+lOZ<$t4WNvt=Owq&SH?2_rE}7Pe4+soJmCuHxl9S$ut*=< zl&Rv3j&O!wQ!uQBI63VPy>>Zhu&sM3Dn}ZmlkH4s<5Ri)4A3b1eUrpDTr2^%Zt6g{^}f zZbC*2+Xn~V_(ce9+FI&3XllC2eyg9uZn}u*k}RG+-f&R2uyvd+%3XTr$IVz>Fbb;% zml(SBAwO8|r`H^&`d&@QtD%tIdHhRhd3s#mY{(-d+>0Az zTHy}E61vENUWS!=r#luschyy6+l|U>t#3-|a&NoFy8Lg$qy_VTB`F3{q$`F%M?%{& zfJ5yGjMIFGf2)0;RuwW#aj{gLC+O0WW7AS(ULKlP1;||eSzLn2w?dn5PO`-nF}lVY z6(0+}Xq+Z!wjkOY5@FfbQvL;BtyJ+SLSoV-9t6mWT+cvku)YRog=K&3APrjsL_r+K zfqh-ysEmHh`v<}z#z$F{p6ep^sQcXD#S0-P)}Up!j*-uAz{M{K#i9;(#)Bc(?vX9T zC@<7*Zehgf(cGMh-kv)eqf|uKx=J~59*4L9|Q9Ph)n2(h{W^kdvh`VS1B)o6w`vj%sxK)HDn3s zjHpHC--6ghNN`5#U%|-cAJOiWC#$b$z6FQ(3jcts(Q9$Q(@+sjQ4yTO)NwnK{j4#+|Ye$l;twokBl5 z5MCVF$6StI8cF$1-rF=BNMz!8Kqmg}zK(8=%A(J>e5I1CUjfvA@aX{Px)-Emw855N zmV47Ss)n%ThzPxP_ewzOD7Fc$*#Ulj1r7Pg4RfPZ?ra@{kmUFwK_`Q+rX+KHQuv=+ zDW)4FS{0rJPp|}X^pLTdTvBS%C*BT(@0eIYn^Gv@vKx8v=T&n}a z8xt1CjUYu562+`e?K`?? zXz59-6UdB&u<&%>J3~tGjZwkm9G9cah_FG6luOaXxSV*g_Hc$Y0C7|OLu}yrPra~K@_YlHd`2_8$ySXRE+@50 zOdufMZU&c7iCIa58lROd(o_OMNCsV*;N~FE$q_>u#U17Ej{uH7JN*zsF_~n0-+u%;t zyrV@sxA^kp5A?-Qh|-&ng(3OjvDRU0LHsF9f{$iiEVji)$YqFj<9nWk=wyh>wO7XI zNQs}1vYRT74%cIn`d-AP9^;7$%MPW@oc?6o9<8HqbX31B7NL7uHz7Xe^Wzh-M-p$! zbnF;Ss*TgleYNkvgDnG-%kFPRY`kpyUKYfx<1v_NWX5|K9I zU1+n0w+$optvi!zNnIk~hPBgQ{?^a|SXtiPH& zw57HnrWBO$6DS5B@+7*hog<$};(vNZ6<-kZD?=p5E9EgcF-$a{M@9NaTvw@h=a|DtKbYAOBg$yvx_192%|7jNkeII>ZWyq8A2mro&+t6v`5{o zLCJD;5+<1dw4IwnVDbgmV~NBrTul~-%rE4w0`e7z%8ol79n%TTAJi&CUJPmlSwvWj zQ^L<(td!C8y@&URxJn;$lS+!Z5d2?H+SUOkZTBSF zg(t}9oo1bfbF~_}=$Id0+@ILkVb8NAKL^*c>|o(HPh(z)P$lIte)6AyXF=8Z&8qb% zK*&*kQ?hGe-tm?WL=(Z})1GvqGHo0|3Ab7&X3l5CKM`w!r(*4INls_99X0 z%sxe+7Rf6CbvAH{7d3a2YrLnO=v-&cD``u;Uv+f6&H{a4L@izCw(~A?(G(_W)i|q7 zaoa4B#|WE}&P#(*mJoofJxKax@apjWmDfitwc!mo?hCj02nV8&p8=g+!xdNoSF?ISm_}<@A1I!W{4)T;%_XA4JX1Rp0*n^aL16TP$NA{lm@EJw{AEAZ_5X8(qjpQ1f+1bys7Y z@f<72xuz!&BG{^-#K3=UFZt*$SZm8U`AYXxnQ>j6b%fHHi*EL>7{)xxi9Mx66R z*2VQhxH92%w0PV2U#DCOLHbDp;9TFBSBw-(-i=OQ*~#{EhOA%GsBSZKJyOH5&xjng&Q)_nBj_Z z#5Ju^K-psy+=QsKw4sO6hW~E0fEUZjkzsz4!kd$6wsf*IGv; z@Uo;`kznIZhKS9EBl1dh)^W3jqyzHx>`3SH66^aewBq%`&64b^#w7a$ijTy)X1B!q zb?J*1;+CGZJF#7NTnK=j8Yp`!Myguwu1kiv%l!_Dc$CYL6OKf&i$W78-5f@^lRwAKXVW42luGE+TT6(3%WIbwX|GZ@Skkw8+)8NFw*9kxFR}s zsp5nOugYW3{+-{uG@JEID$L|_(D6BHwQy-=GQq0lW?@(05aTLM=b#3xZ-kBv!s%q+ zzk;UNYvP$W{a6)hmt=J3j_8{WyWaL5s+c$p7hES}`|6SnPVUf|Rc|Na+}Z3eC0xv? z^Si}2Or)#bb+@|q_u{h_rrsZc%Ht7G0ZS|FkO_RRW+L^`GacB|_Srp{3G6TS;D)|B+C!mLua@t$^I=&wUWG7kGYq}38buv2svqgw0bu3tfOE1`3&gI#5 zOGL->Za6u*3pGnLprZ){+ww~PAlB7QF-^oE;r3PpT&!hVplT59wsX2qLVNNCW!my{ zp9s0q;KW<%hpdqV=i!qhfkQe&9ly3Z=WCqhf7`&qC4>|C{)#jSnt?O}S_f<{3ic`_ zU@p6U=IW17hbKv$4P(NfMvHgGC-1l5tf9B21*yUlSv`9bNbPy5X3d<-%Q$2vs)jrz zt@|szx?aNavp$hasz-rZ&opgNWW=tGNsE361zh-o@Vt1mK9c94GgJOM=cJ`(v&bt= zUFJoEn2^4sl1oIHMziI^Vpp2Jk~cZvt&n)3+EX`|VxnMhQ1^TO6=DweiS_5c9_)Yb zq^SM>!o7{^p~o_3sI(MJ?vTXI^f(bH_s z6y6p4ab6Fg5EY;A(ln}~i=%xg&`KlRIg(e9uD*01>@)w@cdYw!r?Ak+(~gLfeUG8? zY+f2g`;KY))K3~wejtug>GV@^gfH4Ssn&*-TxH}r>(yzvPOfS73^4h9iwD!+ve_g0*T~uuX*YlZyKiB8)dI3h^FJ5Xz z0xySd6oqGI)gTC;z-jzdP6&N=#vfxcbkG&;gMoqQu?mx-o z)`jEO{#`?y;AA@x1RiLnTdnk9^K3I}zq%b8eYoN8gm!`)eq=z|J!%^8ZwxUZGZlQEwf_QwjtsFH5^ zg`h&WWOggIYv;Y)@TzeK*7TRn+JDw(?_ae}2(VB@3;SLjGj^$Tx;}1EXp6Bm+TT9H zHU%UKXE83n8b;jYdcRwVFr4k)1y17}dzFHC%OAf4p6wnk)V#G0naccQP6w4}(e!4V z*1r>)Qi>@ZzqA(|Pq~!ZyV31>Xj?MNg4v@xiqxUSowu4>*P$aV{_QS+K9}C}f1e_S;uhkmI&6CG-UFD(*jhb2)L*>xRj8p^nP+GJ_3Y zdL}+>PJS`HV+!;h2ikjMd~&p!6g(zuepE-Vqbt_vvFFTtOY=f$SxF1@{8 zY>h%cgy`sleV?k7lgDv~yrw6Lho21}7H>hewsBO+M*St%-`u5~Z|E1xQ=}C8#VK94 z&wWqd&5I>KOLv5}1!XeLS;F=Y0x5zS z5D9TvQ~Rm>sqjy-g~sJUgQ8KOmRyd`OWxE`4xr6K&bUSQ_n3*c`DBkPOFKgp&>S@+ z5C2{Ky5ne0`Nka%C0d|aeZ~Yt@3=Sw^xB#}9fBLooq<792=SF-%JYBdizm69U za^h_$aPs4z>(eQ|34K?USGnRRC=Nx#d)ghFFP+oko}IsjPC=2^0uI?-Z1pxDg^m&4 zB^82`#Zw^f_4krnlpST4wr&}8&fK^m-FSwZm{;&WD(*jZ&YaVhE2k-T>lj@*uxjF6 z;c$f@;#^U(Wm%B0)l1m8Kuu_fh*y?owno~=DLe~`KKG=L z>TeI*OC?atKihDPOuC=>cwO;5b6*kV@#jd|lGlMqng|EiXWnZV_{GlXrLIiir z#fa#IaeMD5E>sQET@vH83Ww=_J4O~1y2F1#3W(zp0v6!N zj&$S9OD9Z#KITo-;X%Z{9w~ru9h^UYyxN-bV)} zj0L5p_cc8q&e$TKnyHxSymB)Wt9vQ_7VLTBq?xij-P!(Dv%Vs(qfao>HVg676g#|u z%_vxYA=OlRGPJscVsXxdNiwgI{@L$;7Ak$+k!OVfoN>VCyuUb(;xko6&-QN?`ftG{ zW;iOUhtMLk?yIr(#Lkh5{X zliT5naG9TOwUbj5(AlSaAMT7ewsY;s+tz=pR^WL)_9~Qro}Z2l9fkPuwBx@L*}rNy z>g>`waL+wt>;>1O*?Brdb5@>S&nsb_O6ediwnsI$s~OY$@UZHZ@4f1qH1LnxUp3lK zX@qtFt4qG(`W^-tp7YbDwOOf5uvWfSub`sQUnujV-CC2Gs|)K~Xb*L~J@#MWdz- zo95N>*8s6%F2&MT+6r_*PLHapjQ)gv(^{@9R*}-i;K~h0Su7SX{-AYV(}{^cl!?5G z6CiD3c_~+iLzK)JdiLc~5KAjxv^eHV#bWUtN#ISdq~jJ1PZf85d+uicVRdNHJH|mb z^eU}m$0CPF~p;;_M81%=Y^#87skz0 zc_{L^m)Npz9tKjqgxe-0D{`Tofd_gwER{KU^%a;FUi6cQ%GwNTQ&X1aqUqwz32^=M zGD3P63=!}J6;%Yodm-*C>i17799VK?0`6Xc0OI(T7G|Jt zAjxaD%ag2UA@>sXlSvK_m?Wip2q{oP*m&@(B5fC+qME3(N>sbF%F?R zAES{u=i2b{WIoML4ddIO4QWvFjA(J=Jyv_4m~DySixoKb8Weg^3~J+5&VI`i z-X4>k7?K=)Pq2jI<$K}n&N`QO@ZHHZC-Z*K*n)$ebn%UwtIbz}|Wj;NM{u{pJ*J^x9FS71Z zlyKvD)ZSXQ=edeZ@i(eaY1jO`FEs#wHB!~kUI~8p?t!;Fm)GUqGz_qA@)kr!^tw!= zmI#1W91kFYBk~mXMhLX=8w!BWgYFe%EAiw7Ael%a0FVT_nT7=HR~}(1+HMq_YKa#a zLiR^GWONcvQQB)w>A+6hlhHf1riR?n6c~BYurvADoNne5;pl0W5>J+cpw5+i>hSQ| zQ^Vm{(-0-CC(P2jAYt&Pi>2wDi5bnOWJiVOg#P-%R^F(CsD&@;Fypo5xK-L9x%JA5 ziachWE^hp32gNo`)EQLfP`rJeFg?e;b-u{|t+pTKk>}3NNKx`zrSWYo9+L!ryT3Jr z!1TGd#U0wdsagLZDgnJ%VB4K-cUpSOalqL7l*=c|YNaL+sTYv1Vze#*_WIlId{vp2 zFFl$LV4(hqw`*oNc!%Gz=f_v3io*bkJcY0OPyM&l`~2`9u-`;p#jxsxAF=f3+GCw} z1yYu^vT2;WTL9x&J)u(8@}qPYQ@$J~SaL-`n2-!Qqh6Jnkx?4@W<>otm?Ft3fUi|x zG#3X_duokdG`Cd&TI7>A#WlnoXDva>bkgMn1!#dMt|roMrl6>e#V(vb@8?w*bEGro zofjZ0F<_G0P&WpCQSNac{Rn%|^3}(!sJKo8uh#E+wBQpdNfKRC)Sheh3lQ?Duz7Uw zOS6`Zi+?&t{xOX;tln5jp)CXqjQ+h%++4f86vh1%l6wwxJ?!XVm?4iwrsK_!hqEGw zJ?U$E87Asv6BG1AzWnhO>Yh1gKw@fU-F(fi7p`7`@wUZ~W8nVQLkQ|aT)62|DwBEU zX2xORw^jQL-NZ#Pc03S5k$w6qHp-QPJZqz;d4 z4})rxgEQKA4`fL{Ymf2#YB-A$vt{zVhM3ptObSiiQzX5`r4jS~^g?WU+8>twPf2CI z{B+t8o4E^SmuYo$sG99UdkoQL;79vGR<_FXM&jTa*kXwxz}pKeC5}4Tt@@JSl?T2m zvU5fprl@sU;m$54=Y3DQ6@#<~D81JR#TToeGgsOF$-R@2x6e7$yr>7^8d9{f)-;XQ zleaF}&a7_7Z2_TqXC0KYdKe>=w;p%#Dhb(PghpNSi;XDA8A>Dcm_ECq8yWNud*RTF zUH3m5$GVuf@+O*qxR-$9pUcNTSj#qWJC0Hx%~|ua6`;h%<;U(C`;v|qY0yhOd zjesvnFa*NMsDGFxewW5;{HRpK5}a#|m~vX$KQxQ$Y6ioPkVtMGd(6FqNYTxR@d9w+ zy*)1pdZcmxp{&j_>PYcN>x^FpKSVzoo}fry9`4ilNd2axrSt>%JvCjSg>lJg*J+)% zx~tmY`g}Tn_qggMtF`mOq}S4dv~0~_gLeGv(xJ`it4{Vk0~VR zuIT%$2`-uy$fvC-(sK$(6l)3-R4pO+IltbKCEsU%eLebjS|ljoiKuAIxD!v(2^#mM zR@PM?etXG(1k95%p1>~Ylcn}o7TOG`8IhaO`ZPn=I3j_bVVM9ty6W(fZ=n!#uS++? zBN(81Y8_0xqfSPW?AKgEnpn%h6a#vT!aCxuK{)plb(o)GX+_?l35%9=m3vFl@PeL@ zZ#W1aLhy-Ix-3`d=J3A27pG?+^s_KeP)~TB zxXFtaG_O-w3;_UC7qcmcA)9Xa+H>*NZf?%aZZ>Bu0eau#pCvrTeWdg{jf=2iaA_tgeHb`F?ML0pqbW<7 zeYTW%@PRyUS@W=|B_>cv{4ByQ-33($`|UszFVL(6PE$7adQ5|;{2l+pj$dfa6LdE`@gU6{7P`f|`^y!2+{h0n_ z>X|V?5iN(l?oM{U%~yXvLGx~{4y}CSPmr3|n{SS-^-J!pL6Veg9!oqCJuf`1%!Y@aaKVu~W7Nxjwr-w<9`11>(%8II$~ zHc-0GCf}abnrvJKo-4u3Pj={Pw&HObTC8Lpw)gur^t}Tw?h+~E`C`gZ-un_qUQ^dG z_huz}*^l-;r~TXc`R;{d3OFVf-z)BmE^jX!*6X(OSf%GISKiiF6T7Rl-OvZt6*rSW z0Tl`b2s<=mns4;!8TM`caeg*iegsWoQ-%d18nyeZF>fx99XV4V|0yAQj{-7@Q5hO{ zT4!yFe-U~IJI}INgUC-K3#a@yZ(Ob~dE;4VHJJZLrOW3xez4~^&QnDn@$pnLC!$EN zt=IiqPJ@NCpDDgcH?(?Ul93PLwq6z|GnwFI_p$mWKGlcH7qhX{^;3aTmtP3LC^x!J zi~rN!>;v>GIoNABSP)t(yFbKS;GX=CHN_uWfe1J`D)UHMBv{3deZXh8an3F&nfIIm z)~CKbKNQ#gu4d2i^Nakw*YnUFc?O318C>*IFMseq?g^f1^+<{PjB%N;uQpXrPgV9g zTKmSbKwY4J`K;CP{`{#Nx0r#B5Q?5w#K33^uxQxqG!-_@=><$5r2w19{`DWx(0@n$ zjIm_ZR1vhHTJ_z%wv-k_R9@3{G6w>i>+FD z=}i>jr)-!A2@qJ?iPI+4*!<}ukcxQ5Y(^evEqj5BNp4dMHj|{lp$G11n$UF35$K1C zjd-Lb&^xpOcz&1<@Q3nBjM)$R0Kmq#)c$-|;bU*puvpQL{7cZN5C_9={QQI%ITNM= zJgXn&qItQaEa_NitBX3Wwq!N2QGK*5$I?TmIbS<-TtgKZGhqG-AR;e*Jv439nbtn)pg73I&GVl^hPCgTW_* zZoSaHtj?5|>$jnGyWsipiVZ%_6 z{=Ekex^gm1+TE3sp^7~^{#1bGk!geT>@cVKp%Q6mU~iOS*3~nf8WXH*^7Mf}cpISY z2>*iiQ0h7U8k>UoFMUsW`Dt_;Q0|!BJ}VVR-yE}|_E z`3~Mj;7g3b`e_>tjLrM5mm@&i4~Y2we%1rNF44@wkGhm~BfiWL%g;8VUXSv4M=C#y zD)$%ic6}U4+I&Cnw1+i-;lGZ1m5ZOappykw=is2zr&h;T2YW~MTqrb2H#OwBI2 zD**=0W*dYeCEQYR3N<+g*KxquZLljXM*#ZrjsV@XA*)3|#q5iG$Q%O%xp#ABQ4Uyh zO#*aJod-a?w^%8qb!zAPAQX5Hl@twncvQcZQl$*gyVoscb`Ag#oiH>6DfH$`Elr5H zNUA)|yAA_O_}sAB1|U5?5MKLVn?#Ti@t*qxv=)?Y z_*Dth%hiCoOg0h$xteqLY zHq*zwx5tfOf4z|@i0VOjyf_IYMc6wt*Yr9KrWx;*DdTQF9!7M|pM``|V&YStNvmBw z@J05)o`H1Nf+_$$nP%>G^z9qHDhmsaR` z=vqIe?DOhObeRUYRFLm9KO`27u^F7jYOof?ROv$IwI%W-Sfb?-OI1~+ zGDkP^ew?~TRBV`sVi!fDhv;IXs+Hu?nxAk!(IW**RI=PqCc2+DpKVej+Q)^&%W4@K za1GS8H8r(0RN?_dr&FsZPZGr&l2qfAq})TpV(db!lTl9bV;H{Gc(NtVULgOm&JKVF zwbvXE?qqC=?*5ORW-R#1P$iEXS16R^xFNw)I8w2C*}|+43K;~W*1gzg1%&Hp@7>3H_c znOUc)t3Y8s^uXsc6;3$~9vFiYy^AG1;_ErBfGVK=HV=_#@}0t;c@bowPu@|?xCk++ z+SvI7WQ&`Wk1L7+m?#YKjsPDhjR`>-AI6d4nLoIP(3}xy#;2_px^eQT6 z5rxPc zF(Ohju=^tP-X*kmzN^n&rK=PYk4w2S@}N$>2d{!07ID!qXyN6i-BR|ht3Sy{)L7~s zaHeceY%{Yab^+k-tYKmBgrpR9f?I&~LQ0J;fQLp0A_?!t)lAZbYUdAa^OPTF#?1Z1 z=#)zcHo13mLE-DXbA^+Ej1zWm~wx9~~C$clEF0LsH#vb>m^DvU~d z$!`nA(=!xo%eitn?(r(61pa0n*O1AdxU}KtXFXROKdPbFW6vczv68g~cnB1VQ1a3F2rEsZ8; zb^B>usw1o0e@Z|O|7df=s_(s@fA~6T(X>CFf>G^`{>XPm0>R`TfVVTR<$V}9Rpr^o zrLBRP0`C)X3~QCYDP@r4-`pJmj$@78ngD!;LxP7`syfc+ ziq;s@p;*1-fA+n9;dtiWEHQbSJwBp5beg1RPRV z=TCInA8c2)MadqrM(aCa(ub|-oNiYOUdnlZvA8&U^3#f-! zcnT)a-acm!`is~rCqg|%vP#gruyQlXFEbUcf z^6`WcP1jmIvuHo5b0mX}Fz=Vj7Ol~mP5xRPpD1o)p?!LdcI?J8v)_(AU17hkU9H4& z*lldn)8|gUJni0P_^o%3oXDUch3$M=d!!&IIlRhFGQkx6=3p{N#6-yUrmDc!6RXlzgF^>xg$8Ct*|`? z1r&;G5M@08y6(kLJAI{|)x@vE3>v`EUEO-%jwD~c%9E!w*gMoYey@5jRfbWLI~5q> z7tK(|jQ6-QJyu%O%k`;Mbd&~4Z+e^%Mzg()YKhw2@N8A+N#0$bs}dfEm|L2`kKE8{ zmt+^Sb(ivUF}evfjci(XSM{tC;~us48C69jIq z8+N6ffw5HiJRi1*V$5R9v`7BD7A7d2fTYimiJcfb-^rWuP=LLO=^ER7N&QElfu8nR z!F~~*mm|W@E+juJPoQk`%Tw=|+2yvh8+}iW%xpM@dJvu>c)~><9RtC=p5 zu9?$jqGcnif0=y&StGmL^m3_&CT=Dd$lKi#pGC{vub~_$r*x~v2Mj4gxmkN$(wN~G z|HJnWrlDQ#RUC{yXxr9l)Gg%GGm?>;wduGhuENVMEK*ww54C#4@%%PR8I<0AE zZf=8;RomrL?jIgRR;QYM4J#stT!Mc3X5FYPBidU>PLdU8GoRAn?AlQ!*E8FoOPxnl zx3AEfDSNafOYR)sC)J{y0a2GTfM!+RP0`Mg9yeqT$0zlF439|xEiGwvRgw@!v4=u0 z2bXDGI3N6_C#z6rue5Vr?8V{KmdLE%llaegcJ_3RIMzke3^13eE59q-k;Vc%0p%?K zK~8&OoOeXW;2Pjz?0Okmy{^%MG(6Hd{DA^e`4XP6MzA>KOxo-zK6`r(U69f7lj7N4 zA3Kd=g&%$t{~jwLv$1PNa_0Ye`M>j1D|ktuaO`qf6ITX<-ubC+bq^4%O?ci1i>w5c zvX{%_?y0aBI`BVWTcbrgNN+XFDY(RHt);MstE|(s^jkI@fLxreOC5J>uA%;;Qj_|J ziF|m~Ecg39JUvE$`#i7yK(0~3l^aIx-^4CWiCtg*hX}p{4J|xW6~mnS?VjDW?=;^I zd^*(a*2!6)crUnKhvPo&P%tu8nMafPl_71%3n0cRIonkkKVgs7;sH1cv~%a1H6at0 zA^>FjjvEIdS(n)-8x6ke{PBLNGjP@}pF5(t9#`89{tHiZxH4$?*2D2x_LiYUrf;e+$R@oBp>%%~e2IS@+$> zIqE>vjE}9%?7=gHZY3ViA^R&-G_B zKDwxZ-FYNj&RWXaScBh~?b;Gp#uzO6Yu3AE4BFQFw91?<<=&4im$1Thme3}YSY7b) z1+M=xp_021DinxVy|J`0=Or7NO)PJV9qm=^!u5)$b&H(}Fl@}b0tjmwC=FWfrDieD|VG2;@=F{3V3hJ0_8>>I=B-bK4rJc=5Et7FaKlx`%PDlj}F`>p>&z1h5IS&W=qsoc@6Hc>pKO> zT=l{r?YVaE;M-4b71E0i2bvjp?XNvp%3dbBf z&0k>XbNM!3&BbCSwGR-OXUv{+)3y|j>^jkzGZb&!ai&}Nt}Y}utTbWfcu?FTaMpYX zIZlE*2=i5^Bt1mGw`mx_0TB&JJbIs!hiu}!UvXK^3rLdXmPPZ4jIv1PyjXIXVx1jQ zr|GV4QwPQT$vOI6)|PVnmgW3u{fAiHQy>2Eh!BzxU;dAw^ZtjzkK_35$vK>}IWyy| zgoLtH8aCM@k{x%baA)Qm(vs3J8WM$UU)igxkP*&Kb>|QgvhLe2pa04V%=y;d}{BHt}7_*uK<-kXSM#;}x%}G4k zfcLU-cn&L5LG!H-=FdC*gJ{v0M`-Fy;Og|nm`o1f&DB=UR|jTGm*-?>$=NsI#uAkV zJuUw%1dQv(1lSPK<;^e(D1iD??8M6;e&;ryLjww7s3Xy$S@!%qXq39{-vipJgN|}% zskG-07o@AKo{riq=;-S$sHJL~{7Pn_*j3=)E$l4X7?9}Punh72F~yzDK|Vt$aEoR$ zt6u_vrnlf~mvk~L5uGK?Z+J>z8fWSO1mLK&yaF7qbj`g-pmA0~*od+=Q=HSP3^e5q z&rt1>_5lz@$Prm+xEpmrUi^wY{6=6F!2B_xVfNKbj>z@sCJ3Hab;|==5i>ZeUyOVu zZ*H*~$UEuYWtdL%8$TK$`25myp9n1wf9F_&im>FVC#UG}vP*XZEc8z0us7BZ*m2%P z-mk8>WW5qit?xY35w*(>ti$w`eiQHVicP$aqj z(z5;QY$vYh66Tg<-So{@bR$kh!SzQ^rwt5RlU`@c*kRd6xg;3Db3<2{n;~(;xHo*( z-9~d;W#hDLg)nuOsgCpH>O;^y;vr9@<#3k!0x9R}tDs-3c*r zg(xlG_Jql2%ArUul?O}PW&;j*wb;Wu`;Q7LETxw?jBrI6Gv!oW!vyzH{gRZSYXEOisL@b%ZKib5%i8M{10sn=0RL@L>~T@=0wtLY4GWNi=ui zhtPh(%Nx~! z&Y|4%dqxMgUlh7kca_Em%C8#$LP|nwo6$_-q@>RePp8%~+TU}wOl*v+2xw3%^fn+x zvQN96E);vlab$kR7yklny;4yTNyd=NkRh5!=e+EAfU$#>Q0jPW+5M0EAuu zO9pib)fRYynCD8O!}5%>k$go13_u7E4~5Xs(8e1-(U3#L9bG*uJMjSsf7RaNxlTO~ z#CqeBLkd^aqe*-s!I>RpK7S6rVRDmP^?yDPt6`dddLi1)0cZ(3=S=AHR9H;-OQvXUBO-IMc|Hb zE%nULqHMk`0k*_}K>i58))XHq;uGx; z2H&oipr4Vp{VMIDY`)0jdBH5G6h*W*(GLF0H#jCA+cKs}Grn(vDFMEOC!#tI<&Pm> zsbSsXsB4G%o!7BisD;CDB_O_QaX1RDeW*%R-fVXDZ(9xUq ztcNbJzODuM@HXA>Y72noOS#2R zsSUJ2OdS$Uxq_T6{DIeP1E7d1fgg19J_^~rQjLgzgDl# zfc9zd+1I$Mrk>Oq0wg@++-lZ0Cl(&)P+xKmp`??HYX+~gz>;qkBlx`6Z36Ft+^?>$ zs*U{Bs7P)Dy@n={2j^5Tfg^xz+S3W~CZ^OmVP%R*uh51LvsGE~Vk=26q&1;pLpt{; z_RojIz&}?ujt)ax9b6ZZQ|}3X2l4QTe8>|7F9(K?Ywf<`ya+m12#iK_8YMC{Xxo-; zn4iNb8xC-U+eAxWL>F(gt0SVCgc}1{fcCOFh9Oh;>@wSKjuHXJ5P{=bo7e=X<%TRz zGNt9gFs&OX+U#3vZ-?wx5P(t>qS!M4z*vDBt-8Wc)>!Rjbjf9*Dc9^lsP#A5Rg17j_qkS46!#ke@QArcMS4XW?&jHl@O*JcN14DMwfl%7^z1vKSPhXZy*E3SJYR7#~Oj94T zeTwj9P_arBEBj`=7nzpGTzdS)GL&Q<^eMWaeoltV-^gA$xT0ZMN&4955$L!GZk%yN z7~L-C7m7Y{WGt6sS9ZuekVfe_Td&TGVc0F0WiIwMf%={gDt}S9w|XLT46_J|zr^oRY$+#zV!8B_@Es zDGGXkR)9+stvJ7|$?03nNJNc&zWlW`n?YRYfETcl4>-mm8sNT%3P6f@@|-Xy`BZ@4 z@Q^F*V7s7M{dOk_QL2q?i$Eh+e;_iQD7R?Z#T1s1;#U&Mtnx1WdPt#0t6yClM^yWS zw`$T>ZfA48*uSJ0*r*(}do*ih76eVACXtC7bx2gCTI(3NRx~jmN61)(QDW5h<;zAT;8aXWtHLnKBmedyV~*q>+OxsIJ0OfKXnr0 z1)Gk8XT^nkjOq8V$>)=ob6AzE%ix(SJy0&!{3+&uhXO=@>glvXSwhP%4{@y;Vtht( z`a$>C^nYWMFk;pDSQCo=YSdE>yEfTOg5Y*k)j}S`M+HBRU;zXp&ASz!FF)oyDEKpI zeac3sP4f8wo}y(_;V-R=_$=68Cprod=lK;ZSJR4?G^xI-ibLox< zx(AG+KbRU_ZmyKfl!D``&eHajd$|@ojsrh0Sj-oB7uJqZ7JB$xbWCc4%t0$;NS5h` zqH!+|et}eBw z-q2qjBwn<@QfkT^Ky5umhFurxd zpkq}2v>xbkD9r;DfpE6;ZK!cNH47FJPSPLUARB?9k{i$&17}T&l1xtiq4RS*1~IsJ zgn<>pBhsn?A@B}`YDXQfObz%#82uQ0L*sOW?DMB$t5fe|o__v;X~;WVc@JAR+mquU(pd zYqc^2rq5)BC8rMnd?KyNOm)xHfgC}&OYeBhbBEJ=+8%Id>%lb$2K2Lt1&?0lFG_lc zCO5r(geDdEy2Q)DntI$}4+)MmGPDUFslsq*upg92?5 z;)%R`&Ce8kP5rC+r_80uBNQlSkh&wd7X6XaE2N9&%z+=6ODI+c!EV(k51TS9kBhzS ztAW$gCjpwl+l7kgI;0X>;O|k}4=LMMIFnw-zWVEKLcKhXK-XB3AAEasz7-six8GAi z0|6$vh*_^+5>eVs%lWwjfouLltFUbQkj8I&CQ6-J-Sk)o_$rO-gPiFylxhAF~f z_$2ug^38YrV=o)Kmh^V53}B?AIIT+u7-0LH(?+^-vL6%GmuWe_!;@;TCsa8x0I8hY zf~T0abZG8eu${H&OJSQ-W58&fyGdpq)a3UA4~xQbvU#Ueuf&64qerKrF zXQB8G(OnMi)G%~N0IPV^KRRJ{1-R&guP{IT1vKoYiV=pbXY5DPj#r5kr(@^0+!%P! zQH{g$5AB&!AMtotu?^^p(lKri>Wq$VF$u(>u79MOk8pbc`ThI8Jh_qEfC0+bwk!&u zdfDKTG(Z05FOsluj=<{qo(!Ja#sgXY`|X7cz0=vq8;J8D^!%3tVtfO%;w*Q2mDYyz zhiR1vTGe7`1QV`nBe!00wKTU87OsdLO5x!pN* zwvp4)eWAj`KDx#Rw*|F4Yba}6bzRTZB7Q-rW|hw>w3&1X_zPy&h}OdGq0O`HKLWt# zH0`(}-RVq_)@X(hL~!?Or)PNRsBmfKOFLU0JAijROn7YFeQks0iTKv`_V}S!U9-Z1 zu}Itd!WK6?47Pzs-YPp6Q6Jm~J;^r2&R@|EADrph4A^d+P za4?;fa-Gk+khCf1N;u-F-i17bklNHbfqb^)rX15PWM~7~K@xXvca3g&6Aw^Z-@=cS zyo+g***Q;_iF+vl6?J*W#e3;a#1rdW#Cu^MltC}=A__~+YYBA)WS2gE9!gw*d3P($ zP!mh20xP9L1QR*RyI&cd74scO(qEWjeLikBVE|_cO0nF|XYj!a=_#DgFTHY;q!wub zh4(qN%>WoTqaHa4fex%8W5U_b_92?*Wh@Tq{f+d^-Itb+dbSsoQq_0PHF8wgitTallH_+bX6NHSkdDxPC-EoVDv*Qh*-D?Xm+CvB zZE^z-#Z%z!h2q@ejxTt@`8z$z!PJu<`(;X^II?sqt5}H%-7eNAu|q@~DwuVBm+>El zYwfvMX_j|yWsV~GSYdg?=U`zCFJny_Hy3@Oo6;~N{w=bAZ`vopy;q7^_et*blNt7o(`P5RYNp(4x?kRSntAyKnt=3rgl4B*5 zE$ZQJ3rp@;o5?ZqG#X9jF!7Z7U`HpOGqYGbHrJ4bq}g z6Y$;luD;f!>nmJ=CXk#qrgBi&DU1@!S?XBpwlOOG7KbcTeDG-Y(4DVGaoO_s#-bdl z1|`Pi8~xqY=Mg4q*Z27RTK;jYerJ!VyU1{TT21f@8w5^)pA-G?e&Qcj$NT3nVkciX z+4Y^-*+gFU4az?8;JVG+pU`~8b>nkJse!&zgzpyN7xcGDN%D_#H5o+_;l{@)uSpUJ z%!_4nh=I-k8M>eUr5+@oGt!Z(FUKuwIr9Kg@)g-Ce5QAsv56HDG|>rKKU1$7Ur+^! zziU=)vMFVwAdHI}kQVy%7xoV+A%Y)8imAMsq6(_G3}3s>lIw99qunW8d1G2ImeLl| zf(u$IbDp0}WGuZ`?zHJ2z*SOam0Q2PH)lZ#araJd0p9#YC6wAC#WrOXo0BcfD}K;R z{wY~#@WM#YY+Y8uDwXa%*OY#48o!n>kJYyL>3|_9yrJT!v0oJphS4z+RDc2V+&of$ zuAQ`j5ZZo?&VCr!Ge-yo`TG6#@f&knPNra?RGZ?-<;6?w!+4`kO6DkP)bs0bF(6qW z@hr;K!SAah&R&d7KF1xfzG9Zd%@K60``H(5JR>?|%-qD5mT2UH+0igND zZ3y1Lh<%ltheJ^2j!%;(GOa+FsT=XlC&j0M79if|{HCw6R2%}^9~N3lrFyJ7T01XD zXs<2_->`)oJ}ovP57l@hb&ETeKc$y1?Z)uo`Fsc>9Y;NW8mVpoWK6)%AmL2U5Psl_Zp&&3?_G|@r6jx>uF?zTLiA#yGn1VK2SmJ>d~1^DxI?L!7<=l}RK z?}*#^$GuK0aq3F z#`|9#QtUI80VZsbe9JLrUKCt)I^gOJDZOjnDe&NDRYbS-r}|e zN6`0y0`-;ZE~c-gQc5&ZAEjBAW*i7k@3AyHa!4-NM9R0qCS}-(jOuoYzz4OS=$l+$ z(QIMyb@E$22_Z>i8t9E?IgDIzyqk5iyl$J~d~G9ic@l9W$ov_${!ai`*0@s)D5EN4 z-YTZN6|t6MSLSg%gxe(a#EC46X!TlMYm^-&_!}6eE#lsx)2j4C`(=tOA6a8Pp201Q zd|FQZO@8S8wJde*b)%VyY)(WA>t17yXm96cq##XkX-^tMwzZJ6Z8ssz)`t4b-Bh96 z$k})wm_44gQh>Pqahqk(2sTk3Jk)q{Dp3BTjSE={*=Q!PCs`7D4_>`&TvNgqxT{wI zVoxHtzKs>DybDgb)KM=ORgbp`o8ZQ1m~AvC;Hp|;YZ`K7tGrE&2cowHVG(RcuHYr# zUfLR!_rVFFOW#1*o;_={l^joG3&l5c!1ghB#jz;~SMfIJcUhc$nGft zVf}3Z&7hBWY?EHRfroi-TJhi`|FoqhEP{+sgcCxCSL z+uQa3<=10H?0GRj%{OhzBaZuC4G=i!3W@}V)tUME>TMHXiRTNvGS8a0QaWEv`@$8E zVEy?P_3EX2wEB?WbQNgxO&Iw(I2X#_zhy6;0)_c+!pWFaUNKe4`(oVv2-eii+a_`$}!jW7kvu z9keh%nz>o~LOjd9*5NiqG{ijX4)%tmjv_~-Tlz{9&mB=o-#f>_JA=NmWxG>wwR>l= z>>CRoTkB8SH-KjRM{?N23~o#rD}pVH>6|^ zLBjJ*NptzPby|85!V;VZT^wcXMDV%ILZcJ)|3$L)o5qm;kljyDmHd}89Mzj}m(wQq z8Y-Pz@Aj3|s>ThR0FA}7^)As`y(b2)H94cY2l6S0zcc|L!@Wl)fO?qEG$)&DBgm7k z(6_Gu6|4!%m}3Y)1;enR8ff%n!>!gZU16kxsF*G-ofT-T^5Cr`fV<|2;rs{F`E4QffIyDBXh3!2U6v{q*Tn^4x7^! z3YmZ+T)AX3;&YX(%$6|;gEoI4-Njzz=W@h!;^&|6SMsq0hX_9sK%FqL%8hO-e~Tpx zW(*yIHdT0aCJg_{?q$J?vG9#F7OtLxkhh}GEHPFhJ`~-T0bABigJv(x`!6K_I9{U6 z?A&~9x-g(wtDANv5fV2ENH7tb64%X;#2gjT0bhZ--27m>=lCv%dv4N(m&Mr`p(d~i zxFPFg&eW8h3h{m z*(R5uK{J7a3)!9}|u}1E9#(yM^xkIs7rG#1nck3wXUF0}^ANZZ&mzFyMRzv(i-! zb;FstuJ#;Av7>n)+BkK*rO9n@P_-~z@JE={f!l;4%@*{2k&bA!-Fi^@>_2It(`S|6 z#2c!UFW;6%J@c{E<|^PNYG1gTJv2LU`JdMt%B{Tjf`Q`9>ML%gdr{s~&q5G3rzcvg ztIeBE#CcYN2Yyyus{@E;+4!<~nywF4NN$>{-J9@fn6|hb;?)A4wUmgpkh| z(2nJYNJ{2WXJk0%Ih2bI8lY%|;p{ci1!cQ1v1;V;Fgc4+6P-%J%@Ugcj}`kM@=CjE zSmOCLAZIYTrQVq&WO#0pJP?p76lhZ0#{sCtZW>yWTU^bcOAt=6oCqZM{?lDaa4az7 zQ7V8Klny-8#=1U@3jAgceF)XyQzJ=!F_a6clRpD_2JDKWWKL||e4C^4i!&u6ZuT8$ z-Odw_f;2-eLiSb0=^w9S6gSN-L#93PbGh|UGOUhlE08{@7oN+8NpJbp3TaGfQEW!_KE(fU)v zsq(3tgYg##E+w6vw#48!*Z{B6{_*oPAL%H6KC&2JD}Ds_we5|BHv<2MZyJ9tolp1< zZPiClSIs&4oXe^v=LQ0f7^oI%rPpP~8AIGuk||6I>a%l6qivpwmEx>LEx+`)BstV@ zWE*v^L}b~BS)LQoT=ej-dXE)nK5MH2%6oSr41SP2fU@~=2Qu;Q&}Zoa#2|Gvp(r3! zx5@Fi7B@;%(cJ{m@Ul@T{#eSdK&`UKh@(_)ME>-S9R{m<=HdTfu)=^IBoSY49<)2S%S^Z3Y~rkvWVTzVT?rf31WuXzAjV;|nLcM*I}+$GCW zDdLXa5-Khts!kXX11mtpRpEz4@Qh_JPyire;$6_U>zHLB-0P_53zKW}lt{?lOQjqe z9@#as_VwJ9^V+3LCx5qMUsmHUyuleCQF%p*r5w*198!mzPiEm-RiZPUN}o{<1|%(a zA$;7|JV}Ji?+!s1>w-NImyEdL1wAHaOY@g^Rc-mA5e@;MV?E_GzZSE_u zV-`!FHN|v&7xjV-FaC)l8d7Xi2@Q!gB7W}?%Q zX8>8+DF>;VAv)S-^-IVDI{h{>p1_{kzpeVhwQ5jxi|(m>ai-*`s>=Pw7%xfbccD_6$>K9>G`)iLB3x$Q6 z3Q!=+JT0||m)O68plTRo>fi7f;Irsm!OEV$Rs{_&Y$|{EEV-Kqh2)SeO5K@;jl?=H z&@130D}TjHORT=_m#rXLEahd9*{N6|Pu^oq(3bO;UIJp#sO5XCT<#z>PRxc(MOQd) zHuJ7&Of0dMx7Ok@Y&5r3^AjqJ920qivN+uhFE@~YmZU&Vo1M(uj`0B9ny2hNa#9+= zk0c<}&tJN1-%i%Ju1oaslfI5(rC&TMKpG^?6rhF8TTuxiUs1;BGIpxM>Dn&PDg4>k zq#KJ7%G+7Mu)~pnlBYTqKoM}SKrc@}jFfJle8!?a{(;FG8}axvBG>$$r4YH47Z(UU znwK&^LoR-bH+YeAaxu6&wmV!cUJ(ES_U{^#!@Ny> zPWfk~c7*_ILurPI&%z#1?}LUYd;8J14XsGo5{^t$jWkluPImsagLZ?~pv)W0wv>Zd zH?>o9?5hVc>Z%He=2WfE>PHr`EPt4MdZX(o9)SWH_!!3U?^9eeB+}){BeJb^9iBf% zG`R|`-DB5yfTrcgz|UnXBNBgZig1^WKkQ{rB?hw-o3@eL7iuaG5+%zh5(@kw=btGQ zhA7@-dz2jzv%?6}D| z|JTQTg|Z5h6DED*QGr+lYUHK{T9d@_>&K!QyDrpm{*P(f#TyZloW_(}$JUBTH;DF?<)W{`|QDf){Z9Lb-V13nKoaxnklM zB>W|FrKB$q{AF@w<=o{vu;Nzi&3m9vhtNzVkTOxFN|ixWBdS+vFf_G@hpV(1M|6m~ zReFr0`ov?DDg%b05%GA{35Kx=(X`5pVQxXRtg>R9JViWRWz9HqmUyn}Jj2G8Xjf&= zaBw6#RXHBQBnpP(@)-6NyPxlw?LqDlx6<1|ywD%&4L>GP8);RW}(q zw}`i^?lA7&Bj#4!XXHH~KCH@TJbFxgQuUNkP)IDQDrP);PJB`Il2P)CSX%X(QC3cT zQ}vcnQAw<-ddH})A=XyaG3pzLja5yI|C)*Kt6CVXZN&Df4n}7evAe2=(c4G-P{p7y zK7Jy8uIgtD3=+Ro4KapCh@(|s8Drzbi7F;za*8-zHN%*lBhFWSV=R0p{;2xNV6lmd zRUF3BGI6Eq7h`pexL);}vGIqvS+&L3{!84c+GXtR6A!Amy9!a@$0A{mr79GWUHB9f zx;>hP2e-NkKYM<%2rP8MnD`t(fi7vtN*mx^k%N&&MV;m1s~vnpoTK^aHM~xyfiedr z*ncuJG<+OzSjXWKmh~0p^i{l-YAoOc14GjRIkwA%mx5!!@thSl!g{Y2D5^qGU_uX- zM3{v92(nRO5Zv9`63@Eucabm6GF53fGtp{Z$cN@M9%^u+KC9TMjRI|^&=U4C%tyjQ z1)~95v8_Z`sefv9k)QhD&3|eu&vKxI(W4BGE!?KIz|U-%vx34(a-Tu?^5#G&-EGm; zZJr#bIQLHTeM=hWzef*vW)pws#w>AOX>}H46gUC3S2+*u&C;2t_Uro*+JNO$<(=u8ELs zLdW5@s;?DO+QXin(0-EMSvV|&%2x{7UpxfHNpCJC(%Gr4Q(&SEcGTMv(nT&uN>*Fm zQaPQQxPii5wH9QxnQ;LuRn(k|Wtv2YA9hd@V$&_$!86e&nkXmv|X7pBy z$6`M_&3!7MTbPIbrWI5OcSng7jzb0Nk z{MP&NQ)|jPm9LWt|AcU3O<2F5En?p}D7mj~g-*#yOB0)&$bX1+dtVsb&G&{NJS^eL zcWXkosHj*MT6?&dzm0P?D*K|~2iEY>RvXn9h8a)Y5~%cV6kAt_Y=|S-;dF zCqFTeN#`V zK%bJPjb={+JovEl8O}gxg^<7mmnF|NGvlxYKPP=na;)|CS8q;jSTPShoTYAvhbPs2 zw%m{O_bmGEAq^6(r6v^#EW2Af9 zab%FyE4#SFox~M@<^-T|AJvu@Oc4`wg$~G@DJkl;$xXlU$l6zJwPAi6m$;%UdAP0| ze6%j$t4Sg^;DEx-9}hd&%4g2yi<{=(=-fh)#+>El6qi|`&6WJ=zffi?Xf_-%o zR%fy0*b@?{0!)_68*J}@)rf$b&0R=Zc(I2Q-Z-k^$3U8LqEKs8`N(U^=Hgnqp@`aU z@< z_}mJJX>tjY$ZUv%7ybKU$jKBugmiUTAG8`HTdpD>5+XSdU`bic5SM!D?N{DC@~0f9 z6MK_)Q1ELbaRPsCwoyDf^?)ZWSR4kh+IQx75>QnJe(M30Pl!VuD*KDW$%u2sh(3m* zF_1Ng$v;uv=iyVY!I^wb%Q;y!Vn-^CW`X^$*Sosi!q`b128Q=^TfRvJ=y$%&jBv{s z`^58xVKW+o!7*2R%iMHILLa`sm9zQhO6fZ#73%aByAEv%Q`cY+7x~J3&8OXI>&PAO z*<1HD-F$JfT>3b@pRJw@D0(kMAELKSX=(S(X@`XOYAqSS z9=o8N-8seq@e_)J;fYJ(LCMQ;;5p8AR!kFY%SE=U-Ns{8O zLBh3Yd0sc;gvKSI1O?V;JmjCSoTKnYaA8yEt%H`9VfNYqTNqk<*ZXCiU4nePp}bAW z0eH~uSeLB|6xDX~O@h|ouX_%84{Sk1w^bW)L~?1nk!1_T4#AEE$FQ@XWW=Z|_W*Bn zxa@|}pQHoKLJ9MicHdUsYs@`*?E1(4_56F$r*Zvfuzvlimz0e|a5h^?%9P$Kx~91P zBb|QAZigH~a86qy%Dq;)F1Y@a2tQ@5L;qmw7q=kFy^^{SxPH=}pECSVWC$*HYg>8Y z_ucL2?Dl`uW!8+hAX8V#-CQJ%@SIzD7nf^z{Q9u+LXEgD&et{51!u|aynCd|lc*O) zq`0c74_6?3mnrZ{xInHaWm5FZApfM&soal&a@rw5BL42Rvk2WyQTUQGM9}wm`gLPN z!DW_x*db@o7&N5&g1(NuW5>~m;OMP1$!;C^_w8hHNrUGGFlMy0J}DS)`Zhv82wpfE zHu`FpT?S;=fcz>%%43hB5uX3;={fm+1J2klf57KnJa+-XMbI57FaxLMFtRj?H?1>R zK+^wYu8T-)r_$&dXbq}fuIv3YuK_fQqaPyUcg!}FfM`A{Qx1P?8~g`h1@`)g{iq&7 z8`Y7@I?wd9IrLBK8t>z=Kxi%B5htcnx#<@wvFX0c zK1)H@+f{|mdQ$=isU@tUK^@E5$uBZ_E=nqHaYWP0#9xxqcL;BF18u$#--gNNE-Yxj z8{fSx8yWIx!KxA@mn$K0?yIqX&=U!Wdq@`F!p0@Jcd{cgu=&ImmlL(c9vt}~Vy!1Y zF7hTAAPdcI^au&~E61ZB44vJk>*c0pBty(`Bg1iVjg${6U%_6bzfzZ5P51B+_*bAc zG0P-Q8?VCW#$Mm|9^GCLP5w}XO1#=Kzu5*PVF04_1$o-1pS=;sHCqX{<;*Dkp!NYd z>F;?;ZG|4Ie`m!|6PxHk}*w5T*~S?9Wx== z@}VqeaA&Z23@jK`L2Pxb=H9|v^D4CZ68aQy-t?nFx4;5}miZ$b2_Ct*{eMN%{>N`e z2Tl(oZW!5RkJgbgCE{=b)Rp%kw{z9R58fw)SMf!e?2f$5`Ov@m z8T2jiRf-xwS9l%p3!t8S+_HA1N>jz^fwCjMlWQjhtJW!?3R$nIhkKc?s53KRgz82G zEatfiv;K|;O#^6tCyQo7se_dE6Wmod+xf!;8q%0{Cz1X@*T3WcTvm`^Z4&Z`CxV2~ z(+4)xrtlnc!{W0Mshn!C9@9yFWy^T{TDRW&6m3%Yl=bW``jg|Pzv%@^i3FMDqDuG{XS^k zlMRUEii^Re5sANC^4Z+}hD1Q(?#?(VJiRs?wYxn|fl)^i^bdMqXUA9C$xn6VuFwWPHrM zesqBS?|B{ikUS5a5P~{mRwFv>od>c}r8mYrnU|XGalJg~TZuopJCwv<2Pf_yP43|u zqqzy_LDpaiQvtj=ah|h=VZ_hZ4FZM+rv|Jrn>$zGTZ3D|!vC-nUawDwx+Qf3AWsXx z|5h?YcYNyKKjHnKmV}xdAf;(31fXuj5zZA6rUbqZsz!g+y}<%by&hli*h2-&_;%}Y zr(h&B2QvO07Kd&}0Ty>b1zP~e9mQ5W;_S=+CPe;7~pHQ=D}`(DF5 zCFuH}1(;sfAHiAFWLOyJ5=LK@0!@ zY~z%8dL#hot=^U{$baBiugRbBJ_-5)-@emIpYlND`_Y~ht@=E2w(WW#RC6lZeE(kk zUET}N7oTR*o79XioL!`6Dw*_;(BJ|@3U3Ea)Z&^PE!i^1iMIBI6=mKFOif|3f)F6{ z!)Ina%7xJPz~3SshHx)G(2>;Ydx)Kg#H34Kmd>om!8am+9g^_OTlguw+FBA_`%V!y z`6Z7YUyLtc40Q!Spt^mG#Mtt)q?Ij=f`CJyCZM@=ZEin|2D zl?a$Pfv-#r9fH!o8R0V+;twt79Iw3$Kla0lFdhRy^G6>h04#QuRIVu-lwDK?EL;}X zvIjlT0zHwDA+!Px`MB*WXdb@kaYR?ba#&C({Jmdz2S??ljbasQqv zyfvn>6R=mWbEBT{>*BBEU8yhRfAu}S#!m1r^U8#AV)x$p6=Q*AH3HPmZIv3i0+2@& zy$WyH-X4`3uZMZQ71}&Fpe_AFraYX|7X(b4W6kR!Ah7OV^-%7wif@hW;FVA$c+0;c z=U}CR(l`QUxg1cUEsPFJA*i7(v~?t|x)R&;un-R|U&#{^CmSaL!LpL|e6T3i=IU!m zjz#s*7C=)4l#690?)r{FKZu(?zX+J12@~+~t^(o4zcE3>8K5ONH~OF$p%$BIQ@5Mdi=9*c^5$V zA^>Qmme$YyMCdwqqkYJ|a-kidoiOV9>C*^xV99c0&|HXl#A$Q*-%Qcjhqs1_gBL!R zT$5YWr}SsBmfj2oMp^fnOH`paB=|7kzXLC0mn=kIOOZ2AxHzO=9t0!EnV0gYm`GP{ zi<z_6LrK!n7T0h3~bvnv46*OHi|U0DcQ^XA}o6T*k<6TP@zxT)*xO4a;=KaVoG z3o_YB@2mg?P@XWmp3R=TDlQiy9|aSWtt92G%S6eRTCAH}k5uk~hUUfFhb${Hn3OLt z46t-j?lABDBf{B(;&q#lt@%`Xa68!2@wsP*(~^HJP<2f6WMaf^_7Rb(Z}Wv>Q_zJ% ziLjT^>joZ$QftWMAK+=f4Ac2^D&JZuST!+hS}+XzBb~|F%nSsp3I%m|$U?enc=>9) z3an$^PcCu4&n&K(W~AZ5-Le<|;Zrllkg2n~D;XW7r_|hdDw_CEzf0qUwzI&tZY*w6 zc5T2HS%_WEBVi(SN46^gmLyfbf|Cf@`fTj-I%Lt|JZ0YI`c0uPE|~R<;f`v)^!=cr z*~)e!RlU1z{|%8XHs(f0$<;uOeDIfrfJ*)c#+Fw~=|lGfZX}1=o5D1(6hV&>MWNY< zk=PF65Dqa6Tav39z@iJ>P;$|{%brtQgt4a$`8}JXus`4QtsXD2xHr# zKvTZ6VCM02D}D~9ZAmv(ZFJl=M-#bj%wz(#jt)}NTB+^d;meM#GrVdd#4)jqit#XhJ>Xcac#eR`^3@>c6j&Yrw-O|;$df%$K$q}cmN`N zuB)#C*yV$(5J2XHjG&s>i}?bN1c>b6i%yY8=oVowX=ycyp?4Q5!L``P*IpN%C9}c1 z$=%@jEs1y*7rb2sUE-{AU(}K3wD$*Q<@wSF;9T@L<$#&JE%Xj2YD9qk*M6RR8E4xI zQxjks8{LTmNPwwj;?-1VK1KIJ2oybM|VZxagDQ%{S50o<7 zd}c^Uz{wl6{=#$JaV(y>tNhMuL6$4lCM6Sm>48#i7Ap{kedATS)9y*y_9m~xK$OJ# zdqg-zx){=)`Slnhf7{ljZl zi82!0sUyT=v=q_neAn z_crHImutP?Fr1)Gu~oYI%CG6;o<#u?XK7@8%%}0LV{P9`?qXx##_MCwi5*5x8!qR0 zGb)8GgWp_TiyMXduw~?V0l`}m*C`J*k~Job=udSDL{p=1mYn|^Iun1W^DvHofAgEm z7-kH^VB+UKXT~tD8Rd#}jHW^&XQg$w&bBnaaTcOf+o5(zWl@SQMWslNR;4z{5s4_X zvf9{?-_HN=yq?$ddY(cuwlQJ2 z_$|0`%X62c#%r=j_Lo9!uXlUmFc5V#(EuIOnJr}&1N=Xi-ayFHa+B7E>HQmk!7TaC za-vJ+Lg;GGUuEM6Q=w9zyZ}dt=p2dOmTDQAd*RdG((~oWgv8FAuM}xj!Af`E9w@g+ z={-{FU!ulnW@Xl#Jy+UxF()`x?2#Q{Uh<{j4Lq*b1VC?Bvrl%vs%6c|$h*v7KVg8O z=E?t>B&Cz1=K-L;2#oXH8t`_KVbwBqznVdrxG!EK@fdU?Y86bb@?V2~^9Xvi`Jn=- ztG76+aYD{|+NfvF{)1RX)Vt}Jbwrm)dfW%T{V^(xq`W4TAC~p`s0N99E81^X;MOC= zEgp(cNnzON1dG2f(^UqY&7S~q>`Aqn10U;VANM1dkjWfk)uvG>@~=Pb2v#EkT=vIRk#gPNE>OS6#1F!7&iACx zEaVLC*mrv~*>~qMfZN&sM~i9X!qnsV?})P#ZfA=@sso`L75tu~zmS%e_;`h=sV^xK zzjr!0gzFDBL^+^z+37L73VU(Ks>d}_n&4*RC-0qELz}cL8g{*KRq{(9dX!5HxRV=QK1I z7q`%TWU7s52Wj=>r*%K#oL)BBoRCPb?$d~8II1@~tXfI+ zMSlHRN}MUD{r9vavbx?bk>hA`xRN?NYYfi--?yFV3r$OHp|kr-3>XfSA_>!4II7}9 zqtX~EGsl(=yIN<4guf4>AZ+s`XvFoMAFfB>1N7Z zc)@{>zkNNnog#5m)Y#M;P6ye)e*HtOshA8MD?|M>Ms~{p#55JfrK1U7zluz1UnfmJ zL=9!V7Ug@kg0zGy3v?~NH!7rf?)M?K!f2fK>r|$p^$|6b*1sh1wH=-01N=SPfT#5R zT>e6?vd)4@Q}D)&igzlx`Q)~_1BO;!;{a9*=QHynSZAV|XufXK}<#y$p^e%vM>gL^c9PrPbmlqXW+L}wPV$uE9QihqB`NoLF z0fSe7eUYK90hJPe*qX)@seSRlTX&_bYID33{He+~^HePfpT#hFT<}l+J39Cgk zSMmI{B=$1C{$HFZGNewi=2#;a;heYG7INQ{L_b5``#cC|GG~HRMydHFsDA!T{sJyY zYX31&X0O~atIxw(M3<`7E2~_&tN*~kT{sf0OZl+_i znzp3=NdDsIZl1A;!CUlSzIqHBv*>q{n;cu}iIVpOOsoGM9IEPDG40_S*rNjXP$`0N zQWV0}>(!O8_4zMhCA&PE3ugbAk+a=vE>!g$foqQQW0j~s{|j$?e)``ZpMsWihYnRo z5Ex%6#we}8m;H-UtmoFqo6wDCTH2Jho||UVff0ekg-i2Kndpmxg|$=sKKzLLu7qc;mAJ1$P>lUm`4xuGJwkl)=f8FCHm@`QBMi z&cRxZZN$j@!UlsnR;~_C6w&iOvv4UG&nMJ;3pMOavm4k+*q5@>HPMWa-F^$ttm`B{ z{C4Q?zvm>w$I<-n66oteNkYYwTKCVa3DNcqN!{9x(xoHFyT74vgfWqZkJZnVvo7GD zwNv*Nog7;<8Bhz`w^F$;Ju9jjPeR%~>*1L9XK43M$N-=pOFVB4SA10RpThwS^)WSb zakgodA>;mbU&79zscS?!uj&qb11Mp?7v_yF7M?^$&Mz**o2aA__i~N`TqVy@Qtite z3i&fo-XN%ou6j4F+5|o2U8cSesV0rJ-=hEHGCP>}sPKV@H+FjrE6)3fJ+d5gY%DCE ze1gqKRYAv_^9kN-)}})1oR1Vurv(&7Lf)-N0X8xFK!Iz*dG8iA%o^n_1=%(=j^i_E zN~=TR;b`AE@z4Vdgy{9Wo0dHLOWCi{i}C~Zjd^)#c3_PtAW2q#fuyF$Sqbz4_nYku zoBE(u!*yrIH11Abl%G)|$qmRZ-P43UM7Im7lWxwRT~eG4qHVpX)7M7`2?v3$JhdJ> z`T0{k=2T{#`1$4JhH07Y^kQs1T!_Y$#B^2(Laky{ZU@W?b60QgKjF#U{OV)*mF85a zH{?wA!O8sz+9|8(84)KB|JRc+bMBFCmgUUi%@2!Jw3*ktS6tV^&?`)v%h_A{_>yz~qk;&Kc|-~I(kvq4 zT15bH8A<()_byRNcnbik%eS=?VD=7o`ot1cMLIq$E$vdNV z{}dC8#fi+q!S;Q7u^nlV3Gvok-XgFBh+;>Rx{CA0%W6ZK4XUULg=5yu$QUyTHMKOi z2(wB=H*NL8Hv4V!UyE*(>_2tDeM|1@Crdu-)^89R^2ixMftY82hp*UWm1{Wab{!B^ zT39Q2KnAuzm?~|BrL$1v(y;QioBOhd=jyH7yxFXxgnJt|ovQHikxSN#d7Kk~V~}I> zqAVhE$IiT6&3VxeTjCRX6rUQ&DGlA}dq;lR2Wq<3DY7ge^h!D(ZV5)LlU}Fp&`@Zg zfp?8o#oi=N)e5d8@EmgOa*}rWuNP#e-@%~k^c;l>w497PsU3kGKDcN4r=NgBZdxnk z5Ku%O$Km7QwPgT7JPf)Ku?uoeJV!Cx>QU#R8z(lsZFY-XWP%i$6FJAsADTL(dy3^^ zL~M#yhg-&_WbW*`-pV;>jYLc-pH(R&QE3!Vhk*PkAr~o5<5Fzt`?+TJ@lyPvFpO(W zu_vF3w@{Jj~0C6NlfA;&8YFWkTV3G8@U@d4vg9 z#sf}{K$VBIoV;(%d7i7l-@?MI(LOWlz%Ax|(r}Y6hy|Q#&OPMf@%%w}T6piZh+J`O z_{X!Pu)V;GU(&SFB7@gpBRvr(m7bcGl9NdSBrS{=F&HLjrR_Ks7QZ_q(=O4nPRert z#15?AtgWDyh&_<%gpJUD@TF2|whkq-zms`|62@cT;Dl{Bn*16Lg+I2IK5G8yKG3is5pF(3XV`h3Mf?jI@e&#yAYXNp$a?Sm`hOJhSHIm)W@$A5z_ z2+CglCO&&g@awDN;`-O&u{^jmF+KWHC0hE&k?4}jp3?589d_Gb_r4(#Z$9UZ;;iKc z$GwduYr+z?&nsals!B7(H~(DY z1b?~OMr+iDqkg(P@2VcMpEM;9yfJ}8mXsf)!r?v2!-dDGLBv|oVf-~xk(tVb@om7g z9NBOF;rEX=HqZISu5mP(w4A0&B}1NxB+_Zx+B2clylxcE1Oh5jjq6a+0&j8Z8*z$B zO--Q*g-;l@;bnK}b%3Iy-#=za-c2-})llylmp1kp%_aC8XY@y{a9=Ze~Vb0;BLL-*bu{r2~^R<}3M93Y~r zu8x-OBY0j{A1IHYP%%^AfbNW`YpXfXS2$^6Y$IJQ=(H0D8{@kGRLAM&4YHQ8;T5U9B&#kuT2G}kCXsoCYjHo zd>Xzhjx__pYJ{tOI!br zhi2@QlpW)znN3R2=s^!U2Hnu$(r4?JMUW+#%tnVbK3{~{n5MgOfR%+4{Xd$aDZ-4+ z+hmS5+uG3W$d0dh8O<+@jVym-^&1`QyH%EcNnOat*#2gwy5%?3tV@`GATjNgyAB;m2aZGP#ELxCZ`?UsYN_rm}qt@b`q(bV3KnDeoECbJZ zG%nG*m~kG&MNMF2k6R=F0>h}$30~1gSOiv9_-gA|LpcI#UZW}$GwO0VUh$eqxyl;E bN%8RO z`(Di$2?-&e|L^?oi+k?5_uM!4#dFScpQq;?Z1^1vaPjwqctM~L7^F-D1l0upSNwlS z{V#Jvthri$d9+VeQMYBDHf9#SLn0x?W60l_V#dGUkM^}P;|2Ireq4E3!^_Kyl0}QW zfkEi9nLhnK?tXGSa5&aXo$qJ5w=e;(0+p+RlxRaCzMv8r;$-at;R2OXxFFC{K*k9a zU4$NmSt5>YA#b6$rH@YFZwmk)1qr?VA_zOshx*OEMGe9WvUJi*{5*Ygz!ec*3)c5_ zIylJPT7YkXLck)*_gkA#tAn2T zd7clf;n|co&Vpq{eAiPC^`_A8VTzfi;x@ttsz+rflxdB8I<21Se09|RjYC&f=tAB| zx;Zt)oBJM$m2%oDb!LQ{1%IK`V8?WG*)-7IcK9-|99l`<%O&)YA}73yul;!Y{C ze9dARD2ZHrx;P6Y_Z#AS(3Z!$LUOZ`T3D%xgSo6+KQ&MH%VpH0+obrMF9fRLHK(Dy znhu@$qT~|W)vv;WOqxN$#_4vU@|3UQe9cu|R1v#HQTiT5-Bl7CroP+$J;a8+e-sX< z2lQ2J<*6o5Lr4088g>lyMgyLkJo@R&-zbXsrvmrg$^sNGS2Bf@WhIqgtOesvONXjE zi14o3^Cl4;&J@y6neSIAJ7MFiG@jkBQ7GC{&6yv&=_)knImL`r>Y0*GMZS}wY?ksr z(GtemmoHbTt%oHO#1?Z;!E!o#T3&977&5#jQCsFjcc0T|eN;LW%rgX2aVb{ZkC7u3 zZWHEC6+le;xivJGnpp2Xv?wd%{P4g&bf*(4xYiumNOW}6=L(@RF?YU@o-g-9{)97z z5?y;uQB5q76gU6zo2LVZq?ZQNP^Yzm0xN4pipDcv#kbZcX%wN3jI?Y@qG{9KFhSm5 zj^tbt3qgMP-0)u0$kYr!k_?C! zs!E^)x8KzzQre}bQHI}YA%qy--mj&JxNW!|@`>0iZx33EKFtR;NtG|rniMhi&wCub z5}H^dqUCt)_lBmEjq^vkpq}D+7dn1J65}EH5fJ|EPPc$KA{VJfXHoqh^wp33x5rK> zdB@Yy_3uDui~ZC{kQXt)?o9|K0DBAUr2|P6#i|LLzf zbOozP#*fK9n9}nDIm2@ul}}F_oXGjeQwse&O?-b9W_<#VOm*%hmcV^q0U{!r3onT$ z3^jY0tA9=u%yp>$t`>a%d*oSgnr-m)^vPl_^)=Je3P)h*S1)Gwwz{BXZG=5}%CL9$ z)UK2j&m}0s7E?^~BDx`<+UFaXFw`l-UOY`Qrn?_E$5JI~Us&V3BGgP18sF1m^=3&J z*vrgr+juZO#DG>d)vF2govMFRp?TJ!Id#m(P+{C9SB_0ZW2DGVgv0YNNHe-R-t}SQ zAI1Neo6X5v#zpZ67byb=vzBo)$slDA8C6wO@<;Udo8`jcq8O=Wncv_pWrOCrcJpAi zgvC7ITnR+>5}kU|J{lfzf7}Zf4fGV+eev6cM$bBTb9-+-<`bZSNjK@O;C81|rB_+a z*+*#>&4SRsVuww{J+$p46!>bYV@%9c+*Rb1G$-xHU=wM*NTn?Mq?*D0N&Df^QJeDg zp{U^@3~@n->H9%8m#b+waun^b7)Q4NQE~YHCeh|iTeksvqR|nK7E;P%NqxuNPN1 zDKbNRvRvQymn3zFP-7y$XfW4=ovHh6kJ=EEiMEfPdP{z{F&H5<^ed`sbgE3FG zVM3bkmq{hBYdWUy6#V(+gxZ$qM|8la(T@OS`ghyRoCW{ppU#TVW=joTG|#LeOwYb; z%1uiV^wTq%a+uj+v1AnkOtrtgm^zu5xENIuA}@=f>&g{_ucG9KUOcG(Vq^L1A9Rca zbW3S{Uk&+9V#E?c$>rf+|KSnyXx3j)8z!>E1Fu@LB=7gr;^-;iV z=SK8FllYdX@nb06{fuKMw=pW7Z}ZiHsd1>+^tuI3Vj_Ux|;Lmw**a?L6yJ``xtB$eBs9U{qtsN%A}VVhS@tCeD3;-uf+ z3r-d*!N{;ahiONiyT~B5*+CRw7B2n!{RSnm;yCep@;}7x=!pSWtDK--;8fVT!eb$; zuN;25R12W1E@Trc4}!-}Ok)#zMT!R`<@V@xYf(rBgl4{$6=*X`9sBVG zxq2%H?son93X9Z;2`!q**yhZ0cnhCic-T!@8#_ZPwiczl2@R0DY#rE)`vy(G_8{Pi z(CU2WvUcMr4b zB;IH&gl^<2ueolVFAFK-^{NeomXfSJLW9KmL+XLjOM5&&NVnyrIoA#;a8ZQdf!7pN zn1oN{&S)JK4ni3{8lzXoYBh z(I+Xlio8)Eh9(s`r3~lzy0^lyXKw z`W! z6X|JK$V6BuZn7$wJ5?Ld=LzrqSQnBv@Zqiz6f?HcLd&=j9+bkTrcF zt!I0hQRIat5ps`zl&FIS0jD@EOGv7etmp6iF_b+hX0^ffZfZ3wQa>(H1C^{H8Ed4L z0KxwBianPca?B9Y*@TM{!G#afYt;Y5^?LfJ2rJfSEpaxdNT#H`RoVkTeV@hxFxdVg-pfu^6lT zL&UnI`CY+$dGrL)!LQOzFyIAYT1)FNiJTTc>6#3AzpCk-pY((aKbe;bw^0cu#ihi& zPK${2bqMML2wX_+*Q6gQL7<3N54i%RbB4Thyz|@nkcixR@xsDO#3;Krk)fXiCf6hb zw29li_QL+|G=cfrMe7NTWVPs*+(pt-55HclL=A;D7WWdLr*$5Qt&NPRpq(5yYmU=5 z+BVfQB4YXt473vC#UFn>cDO!SUW`v&5E&}Z)T!(OtoM-}?D*J-OH4mOkyg)MOG(H{<(f9QYI}%vWajc z>H4JD+0W2}@iNs7rYsyyB!eWRM{6&}V@@hKd4TyiNg z!b#@{kPyM_54!#7Uka;-LfN=dJ2<0pTOwX1iSS(k?eB~5kUm5HbW9-bsk(K+RD9-| z*oza77s<(ym*gI*ebXFf>h$Rd9aEFEa*ObIn3Cn>W(X0%@ITbxlOaSjJa-j4{w*TY zMXTVtzJ5TIZT{bYu#nieqeZuBrA03oCpA_@R|9cOVyZ-5d=>7s#jM;{K8WHN(WXSg zrTb)ai0gn>%ejZH(q!HyT#us zA_1@22ka4=QRj#937(rfGFPc`totwce1^Qqf7j|JXca;&;92oB@Ky~ z*i3~4Um0Xtcn&}wUT(@{hLyuaVTs9(3?NnmE@Wuvlfc@F(4%0l4u>vF*kQloB1Sac z#cH@qkX=V3YZppG4HFDwrstgB%RS)o>MAZ$sAkX!p1%}8T`T1>&3`~nT@KG*Vl{OZS0c>Xo zSqqswPku?XpmC#uTyOs4Yp|HbVtey8L3^uhHVT4ShCr-$MsouD9KMB!dzA9*XRXvi z+&yhjKC2h5ta$F-9~I-89!D;pUj9XDu>2VPk86n>++cqheS(??Zh&UG$!-l+;d~CG z)Vp-O25W9vQ|k4#W{__g{KpMUlFV}2+YebL^O&ykX7JH|A=wy6&e|R#10FbZw`oPs z#vbkabd=p5bm{RHIN7lJX0ka4%vgnz0}9`@cN?fkh7Rr)wL5~vxm$k_%9oI-i}(WD zEoe>;3^!?q>dk~rJ^damm~&7#OzkSln^j}&$cjuBT}OL*=KeUU3Up_?OW^0^ojx6< z<=KO@o$IzBomUZWeL7oj0WPnF#kcbQQWthiR#owx>h)miEgp zK4w7;c7xHC{&DL733WrJ=qGpJ47b#iNdC;Z|w zSW2EHHg!7}Q{503beIjcOU;1=UGc=7OGU@>xIW+7-RdQ6L8nO$f514H_V)P!ZmXL( zj@)#6o+ntxD>s9NFz|2gU3UkI$D2JMwl4Oo3jmD&ivu7YAt$%wUDXq`E7+hIFR7g% zIwu|LefYGi!Y=-zX2;%NmqX9P?h@Xv5t7VUavqBC^$oh1__`ss#vp7^BspY!bDHM2|-s^Xw4lQe+~`4V!` z$Uaq2nG|qg;AA$x$=?@5dyp!V##4wo$3wLJ{$_WHj=LLo$Bjj%K7IIP^Jc5oJzo}E zI6p?pRFUdiyCW=h#?9KoqJh5+{0eaexEW-=Okl5ef-Ha^;3Z-FuQpyF7OphPP$VWM zP!txp3UDSMbqF$lu|Vz)Wp`vzR0ArtL;a0+fKffI!Ar-AHj!>Q-K**fbs+jRFD^Mx z&L&mjN9Z+Y8(y6Bw}B?&qh4~@mnk#fHQ`GqY(pSJ4zA4j(tF3OMClY0oEPL1dbPe% zQV0$tno~BBT?KgI`J}zuF{`}S=tt91VU&QJz1A$fvb_+8gM_SpV&=$jPV zs79{Helfc7e-2Oyq}U?HHcDl2-zMKdOq!UO!RP9tmMSc5$Q{=uNph&-4rO&++0Z_T zkzBd8iQbmj7CiZgo8!6Dt3cPi229(W?ENIxV4Ql4+Lu#2>?U#iNXVf5;Ef+LowsY9 zl;(cfcSXGr`9_V;<(~P*u!J@)B zg}DV!J=~du!cf2WVYVy$ji8-)Z)f+|f3nn@e{p_aOp*U(1yE^&skpAe8#NhX!$^2c zK{>&7ms`W6Vuk{B&fS%E2UI6^OgWE(rVXG5G+MBVbPy}gO7VW^a`I4(L!87dF*6kd zzcpoxl?~&NbS)lo5_CQ%~3|FZ5v`T~Bv}cG&g*bYgZM z9k3Y(wG|%D7*^*pymRd|dX2qv_RcI_We+0eySigWrR*58V0eGgtjdR_Gr_wi zeWY2r;scX01{wIP_A>FFIUI7~XTUeg zDel&lcN=EZU(fhXNory}EnE8DD(=_ZwM;3%orzG+xd#-J_z>af7ukEl9Jiy#uts6u zr!O-P)K*Yn4Bj_7&B>;626~FEmo8iTyf0Hq>Tkbi|f>HPQY&%!F-{o&*epa(p zmGa{A@3t<%yuMs!(_V%4{#g5SDiE`N?V$>>`~pdzCy&Nc<4O{>9r1S2S06281kY~S z+|wtk*8*Yzr);^Oe4zwzJ_woU#u_WdFBWQfa^8&b?l`@d_<4wt&--K4e)Xr_T~9mL zU~2?7NA$`qvr+eC*9z-G2WY;-e&qHX$AVYXJ&ErB5~Bxlzg@wZ?9A?_L-8(;dT@OD zkA*)%yZj54Z^|GfvwmA;me`lllxL<~*CdTnivfm|E_>1EmAlihr=wWi<^_2n_j@CU zsDn~F<=UI%B8LC`2ARH;^h<7c{kersmcy>&$)>+sC2T)ykaI;jGZM8gy0W)|bwH^= zL&kOS-b+SSIakEaig2Y*eQeZPw{b6q;!EJFro zHsg6)vKXCAflE7Xs4Te^Z(aUFaOpBjfzjt)cW99FkKx*KVmYUhB_t@w*;a<#sYwYq z$=@{)PT4B->iPLMBrgsOJKE_b06Q!Oh=RdKqnQ}voPSfFl+mOi$_Oce9Q=tI@a!-s zllX&80FN!odH9Fj#CDyXI(0$xYO!4w*MlfTrV;s+z8YB)e*k4$6#G9ra!_o6*u0CU zZpj$^V|#$?-1)E1)0au9PPeD>JR6p=DkX+}S@2+hkD}fRS%VHj2Sx5yZ2$=g0*x~F zps%VPfe&Rf?brD-$9nkG=2Aa592e+m)TmTz_t(G##1E>&^WPdkJ}kES)e(f(pNS}) zj2m0ED=q!}Wvt%Ww6G?OA*>sDJ=+kpPf8EKR?#esztHsn$bm~mT zu4caEE9B0Mu+W;kxs@pPo(3Sq56O{Mpju4nNJf1uRk&(~8&HTPoXE4^dXbfOFal|} zR{Uya;Q!0~ovvxON1$#d9AXqZs6^QMRm{+HZ&(-H3IpPzTG}oxGZQD(O|PY9QDm1)OLNHmikfyl8Su zf5tw`ZNvqTSA#iBj^;{xfbuY8ovqg9Y7M=~cU-1?b4PW}y}e8RP41jYNs$@IN(KrT zML|;$XJp&+6hpP29=WNC;yN{6ICM-%6jMYIGAtLh<$n=6R%;k;wN!RU`?-2pC2ndj z65Vt&dg-MNBE|G5K$tmX5-={O$4J{Aa{8A<{R9JbOn-I-cwTNhb$9TP=kLn&H1>&q zjPzdot6p9c-S}~3^tTcfrkFd`eQ1Jk0(a$ojMPx!;p^0q;!CGOn-ZpSx(4~4UHdL1 zwR;{#o)@)Go=&N`2)VPRnyKu{qh!ewgQL~kZ{_MSV$6nYfbL|I3O^Fge(@)7tFZsj zx87&_xgH0I?RAr`R4qwQx~fu-&ARRME6pu}hDHvy8q-HHTb9eh@t5Xo+g4qkfxV8A z&y{h2>CRFHEMt&o->CAo4ZXVRr|pSocX7aI4|ff{i?+ltO!&a!hhuS7kf)?L4eR6_ z9a7;?exND9v@ZECKpA7TBQ0nx6O#eWTDahD&K1C8*PRQ_oMQjYoeW|({>ADXs@4}N zk`-{LW`FGr7@0O2f6%^F2=YBNr!W3gX?fqB-8blP>?zdJ6-6`BAIMx!%+OzoX(z9QDwX%dfOT=NsC;RC%K>DW}85 zc?1%fxR0#|!fH3a-HE>eKlN?sb8^Si2r>hjP>S!Z3C8!G`Ke2@-Buf4vHKje;vN?; zx&V%J=}crTu7L?i>X^obqQ5yGbrs6sapyAudtt`b6}8J7_bumw8uWO{T3ouW!D-N5 zyl?H+b<|f?-;>vQ-gens_1AoR)p=xhD(lD9rp}%ItDfUu{@tIhyFxvc zbIwPKAdl;XitA4pTvS+4YQ>YBMu-+0byhVhWpIgjceyjRy4ofGb=Rq@<2%NUTJjWl z0rDaG7N{6oAhhH&{hQmMq>S*bR27nO2&VgyfM^Ew2@NuugQH%dD=ZyxTS|Q8(!&ap z47BUS(L2^pn-A^y?gAHC44u0rV-Wnc`YO9P#aL-C#R6rtQr(S1vo!m-3-|T$1DRc4 zNa`|c3a+@=c<3#U4cASZ_rh}RiyM%|Ua`c_DO@R_ZP z5a4Nejwb=0#xo^ntT6n*oZWrkQU1SMX@`t;>|Ywo+$)_-@kZqLr&wn9r&s`4$LOPo zw&zDK&irT6p2&;vJ(Z=WVyO_;1+uS0+3qlQM?5UI{Rf0%HDh0q;y8o2mU1NMD#?E}$Hm z21jyp9ZrOuM6{1>E0gWE3RA2ROzIJUMn2OnQmaJH%KbRjybY6s6;s5wi<6H~T5U>n zc06fW{I z4q2lyigNJk&^feXq5N|Kr9f4K-{isn#-|i7@*Y*PMwQk=vwGSO$oy#ua@MQ7%1jM( z+5a|tN>qWYTBG`$+E*G`b`d#qfJ$+GH?^mL(|87xHCL~$>VdO0ABAK?RGie`IjJ1I zecMk7T;+4WgZc?sGlwmZF+F))KiQHH{4X9zl33$Y)D2{p$eJY=@+*;XxScvxN7SDS(HFK@guggbrvQgsi=SCC6i9d zoJUQTsLiw=OC{b}TPbnru3z~|w&ZKSaZCD$8!(G8&ULUSfvmieWZhPN&rL}Fq@gfNbJ;QD{(1h~NwmzEpr|1Q z)~M;6mDzOkRDGLfH5?Gzf-Oy>^v0Lc(&|hbTd%g?7geHc*l6XrRM^1QR7*%nwnIo? z>sn1m$%3Nkc2?m++}RT~g@=ne-)5fRPfia8n;QJ#qtChSW<3OW+dc<)7Bv-XiR8Y^ z^C(F8m?D!^n_84-x$*<@F|}}H;7F^^rGEFf8C!LaS&>6cRWyLJ*gx|74qk@dY@GvE)*bk0Cs1rW}0h~%|p%8FDV^0r|#Xg>X)9JTC={LC zs^c7>o#YlNzhWIOys|~i(>s$b{x=RVpT0sX;4TDAxiLGd+5|yy`6Xp@?ADu(4Ehff z_4V!m!Sj=`ZGd3W&fT4Z!l8S^L$K7ku*~*EJ_XYE!MY<~el&4aI#f=+g4jyxPv8UZ z9O@*!7A17#_aOAUPj{$VhC{O^J}#Y{<;{X~%5|PI)De8h?)xUSmPht&Lv1ruO{ECh zwXsVk{K(7`bFxwoyO=K;C6n@XuH^1WJwT=DD68(i&IR6Q*andHS|Qhmnvr3}qh|#6 zUw|T3>rJMgF^pk_<3N zw>r;-NcRVjN79WrUD(7>-h1axaON6x81L*>3H6LP$^1K9P?fo?doina#)P8}#zs~H z0xx1HK*A;BKeJP$qXjk?b?lQ>hclOuus!2Mnbb(r$I9>`BcDJSYapG|K|Z51Y<=9% zrbtL1ltF~u`(>s>$NrX$<>I1$opJ4w!o8sG6AmF7&aP|$E>=t_YVk0 z%vL!3a2v@}?ZV{VzrvV}`mt`f{hbRZq)YC`ygR__=Pn<1_#n^tA@xl>%0x*Hu!`v| z|3LjJp(FGS@_If)xh?R5>wmjz=$iQ&r?gU&9LZ$2X zni&^qwJg>xA#gydH-DEy9ldrqMVvr**qv0u!@X5Wzk=M#&1RHc&or^!_Q`3^&5@Gg z*TTO&+Q$D_^qQON$?~J>e}6wF0soNV?{mgMWG#zdTpQP|1}%{|70D%B`a;re|CIvy zF6Z;fk#o~xwZWq}@uo3XR7&?T@WzYIE7SldzQ2;FC(b;zOgZK2ikH{hjTuz^4FehZHFdWSUP{-kB=t8|r)e3dEITJL! ziFFYkL#r|In4~~hXl8Qmv+77#Sk#1+xo;ac+O;WC5(2p{VLymM^tHTj0z~XQJ*V6} z?Q3ixlJki^#*Z=IdjQvXDI=chgx!cxVwE8=LJW%apk;SJe7x*zAy8~I!yAp)hA>1G z>ban}#!lf2>0A}9J~*U-+1UxN#HgpQg%hVe?d--Onh@?IC$aHdaPNd3toh_%#AURHFZL z$Vy*-G6KEM=-?WHj#J+ukLP!*-j|KGk#_4NS1!~JooX`-5ym}1w)?RzNR`+x%;L7k z zbe5ky09U`LP%+SDr`P+L!f6#(J7vK69X?H!>=#Aql`+#sIQ50;w*`geumh-#8+1Xp zut!ol0ibn(kBjZ9$&+ z1Oa=xB2{vvVj`{Pix5snsdui6^RDXi;Xon6ybeR>t;|Q5->Z$2 zM^=|)F03L_R7UKjy~SmD$SvOKmh)(PsAXcWG-nQL!|Juw9Jf7;fx52YFWkfq9!A3P zK#qYJcZ@&UL78(ssna{a*L|MzD`0SxV+32T3cl0vyMbzYj6Yk~?aWI-0$sbkCgdgT zkG_sYoq~S-!PyEm=u>;9b)q`-=V-iN zMIPKa0kTPq>f}^C^xbmlSJb?(}z0*Fn9EDc3U#mYn6p}m-iMA8gF&v z*)lVht3DcO@Z45OZ|cn|Hn5aNLIn%4lKV6>+9`vRGaord@d6yO(r_C$X9&PXPwMvO z+0|Rc9rm{q_}CJ60f04`s}07xrm;4pP7A+8(_;$bx ze8l6c*&yvkAH(Z@u6pD>x6h{4oaH73Z(?}}{s?Eug?}-{@or z!z{l&BO>ZPBS!h{dYEm~ONp?6VEJVKNJ(Wvm%=(ZqBUEBCsHhSuU(wvD<QL6Ac0l{6Ge&LWq5l3>1ZFbP=_luo@oZ{Rvn=xMH zJot-yEVIISv12K+=F4B@t}*C*gNx_8LSZBcqV3#?7bp2C{0^5S_3&`)hwq6kSHpWr zs#9m|Cu&K9ZeHox+8x@%$jHjHL~JGWc|;}T^$>sN*_VLC&v&rQK6GMW-S@CcO{Yow zrOJ1RqCZ;2m2mX2-ybot{*h{sM#)(BPHl#B%8{7}cg;&-Ch{~OS0ggqPJMguKf#AS9Ud*Xc^ITDhkvy$_%=A)q!lTa^8M=u zdO}TS<#Q1bk@@O2-%z+C9Qru)`16}V2GKg7BZ4!we~OeuKXqDnV3vfdrWU#v4iO7b zK5r7kMM|;?ynd8KJiWvwlD`UvT(I44=Z{o;7Wb>R03!ywbV|=fm>}%4v=FWqt@*A~ z2%j_>lI2;2NF2`hc-Ac^nPwtXveum?;&8kq@+rduP)F;hPlYpxGG#KYP0~qv22ax&=zI>}|gM&u*B8FFE@~ zAz?NMf7rfk^zQP^v89PStVa??kE&@q_SmLT<24QYa-72Z&=8& z+D^PxsT z$-0qw5*?LPt%Y?*V3#@U8UjBS!-imEU#zUrLtPmJ(>DA`cW$=e*9t*L zi-4R!K{di@f$%jt40h-EOtKt`2i#(_l`*%Y)IT_>=B@v zDS4+$mS7Bl6pr6EftB^x^0CLCi1Dd;_vORkya6Ab9tc5mN8!_R4zQ=6CasP>Kq|he z70Rz;B+4sSLb9=!!QnizBlfDgWVc^JhNt*2dcgFwMhu3VXt2#pR z^wny+Sud9PvJWlMtAkZB9kf<~(0U|VGN=LhX~2KYp1<@*#~U|@Tv|TdCUFc+YoVvK zA|sl3AmxxkPy~VPH+`ETwXFVDrfOQ&Z+pyi7v+ad#e5k+H%pOVMY4Nq{w=T4;o3$g zvgGf3twa*sY!eYt@j&9|R5_6=8KQ}FB;BI1MQ}<8R{(WPjEyyQwYRi&wbUR71XrKq#|e{CDe7SfSzcQak&bV} zPjC@k6LAUriR2+0p&Nn)3HlHoF61vBcqd5T5YOr2%UpDpr{>BWJy0%`@x;QXKH-aV z%jt{4{s4B1HZrsfjgiX;^*x+HK1$<-iC$!=@4Pb|O6ExjYpFTkVrJPiD<{OEO*}gZ z{YQq=55Qqd_st3TSWWRs=j-b8V-3fmfWq10-i|B~Qs4sW{@kxUApCw&LzcEk-9(cbIxuopV;W}UjPxkvU~q0IA$0`uVYk5G4fQ?zdIK?s(h{GE$Rx(T!% z*60}I2Gs|28NZ+9SmcFbJH=X>DyuLDg}mX|sz^2}Iw}^7!|MI?p{=wubx9F_@g`zh zK-v7ZOx0W)mTHOn?MC&=yT%Ni;=1AIuE#hT_i~*bHv$Qz?BGGfw_B{ZJJd!|(TKkXHyxZjX# z@W-xkkJ*0SlR6yVASd`%KR0l@6{awZ`CXymYrkeCq2 z)zQh_*00W|Z9b+;RCkVc-X)3Hm`)@byrVJIw}!WWm%<+XU84=Dzt^blJ3Ug_k};Nw zQ|~hPP{S{cAPV%)q9@Dp`}&7{e0xFKDtuD#eeyKkPVG;BDuM$3+7aAxNh1vX93Pt0 z|0mw}CwUEkPx0Y~*j29p!J(9O&TKl`HHJ3(tVeG+XgRRIpW272Y)VF!`zo##)zyAx zjwC3Nc_}7zOp(cL|eKZxB8!o&J7~l3WUBXXO zUd{1TE_M2wJZdT*XN}V#tU91pQ1b8lIdJ+MlA)2j zyPM`aqufo|-I;sDJ(g`HXPI-jPk&a1Q_L}V%g#d?7}K=k4)vU@w1@uz;{V6T=KMk4 z(*R!Kpd>7BLa1GhbNgTsiI)Dl1di_B@uJ=5$#OcwDHGu)Mx#Qi9uh@RKiNv)E26>) ziqaNU@_!B#Vyb8@o`vHnN<_-Lcel-!vmHV9RzLt_(aXd4IOr^z=D- zuTCl&WAO+pI?vwTe&pe4L>)Ur`%5?vERX!!8b8SFk*@>?|{$L*}@U(y6b-NWL+;;o#1e_%~N}o$s(8@_}e7n3g_fFg^V%uoNwKG8!? z!At$*Jf)$>I3p@gYPO*korl%;E|;_d|7dI*@X}nAdJ(f<{D~&ylzRTgocM6}Q>^t? zP1+tZcM^cHNjl87DvYV&f$-OXWjkgKUS#ybPncVEdJB`Y#7b*x$Vr_L`6R;ROy~0Q zx{FytlCmU}c1szX>5wNdo5jp5*9#I`ZwYlSwUDNRwenoe$p&lE(A@A)ACRSXI?8yJ z$NJ#smi^u#pP)0yg1OA^fO>~Nag~b|b?{wk-cVY8XV;SCM*VK(b#`?{Lf?f7g`11JTjtsqSq+RY9CN%kD;Z)HsVFBFU)T5q1>RVn8TwtJA!eVN(8eqa^qx z%rdcB81(a9y|%Dt(Rpdi?|kbXnr zbhXKP^EzZ_-yWk%_mjk7epk#)7^vQv{*o!J0c(Mj^W?dQ(~+5IxeA%+LnZ5&Vi*&% z^f$o{4le6JxdQCuk64J{_+`aO0z4uBy*X3%+X1shZhL+S$4Fpl4RcmxdCwopYvG=# z$6V9Kq?ertnVBbPNbE?Rq}55t`U`iSZsOu!uwp#miYLpfcm=?jwoZ~c3)bB)8asF0 zD_1TyX#eo)OW$Gf9Vm#1=F|XVoK9bztxR9|TsYX`apjlVSk_lq{jHuJkwSnlK*sEB zl7i_7*c;dMHEC0oC--e2Jm@9V>>df#E%h>lL$wOog`D9*6oP9+i1;CC#|`kZqDd zfJI@#KBslwCg}ck{DIg!U}Zjeq6x>)m=u{%o~(Qar=DLFvbSpBwml4Chk{lLlf$r& zA@UQ|-Zru>Qe01H{KgX}@TKru*85jgnjY-oikW4O%2gu#>#WBI#$T+iWEw%=m>z8< z(_9sf`Zm*CPV~^xr!%9wpq6!~-0NY})djMKcx~{@$Czy1Fp3kF^F*}?A_6XK)f>8K zp@F$#=5x1ORytWdfj@BJC!YvZ1>CqLSBoy5WKeri`SAY%zNd{`%z5Uq+(8kub%uXe z%58*SWNcFSOz2v|lC;&}CU%U3ZWHBCok-!PyfqbMY!k zf3sEP7&hk2T<;+TD?>!F5ibDh6L*g9L zx`z5?G$e|WnZ0S);q2C(vyu>Uzy5pve*Sts@6Ypoz4CP*q}4jLhy&+o4{#f)kDARF zEbki-zv+4XDHs%Oj77yFthVRdhY@xaUR%Nn8FCE;s2G}n)mBO*2Ew^G9}vfB^9bnm zzP>&BZJu0GIK0a?<(`SJI&tgeVYe%}+RM_qXim9PK8T@^K4EU>G=sTS{UEY#j<3Hv zZZ6`$pnj32H7qSL5@5&qd=i8!Jm1$(GTe?2DNr`#)QV=efhxJwdC85#_lZ*P3J5vY z)Oi&oZ$wyJ_!A2xkLe+-Tq29WVYMq_{JFR{hWqjMH=ohWn9Ja`pt*!>4rb{h=QI3t zbLTsssgtL(zD9xDE4v$->G8Pq@(pN#akihANqsOJ;b0F`m%+{)*@SxpBLp$lV*WXf zJoq;l&Coylx7W;7JUV2ad|z-wd&uiWYs{%0uGn%4S5uJAo-Zu8DDwwDN5O(nt`f_6 zR^K(a19N$Kk5fIkbIN^H6fl^0JzhhPk`<0Bv3bceRDw9QRtq9Z$td_K#vn7i3cKqy z6~QK#egd<32V3%lG`O?J9Z*`J0hp4xoE6;jC-tjB#d(GJj2zIFhh}xS=d);0WPC#f zj4-rS>5WYo#2I3apcQUe_=fWGdUduLX9l)UM5jE_f0E#@1Cs5KN>)t~nK*G`k&f&Q zv9b(20pzjQ_t}Y7MF`C8^I17}#XLJsU2Psb2SmKcvr-}sT&P{lp4T|7E*0am8@0*T-vSp6}94I0cu{?T==RYFm_FlsjzU*@w9Z zOX8Oekx*`-ZU;|Pt`{7-4L*8B?!C%eCEpKG2~&Kzv*5n2*1{3%nS;4@Kg@3%;aLX3 zzyS!N12LRxV4D$!!fj2l&b!rRpa=foW7rwyw1Ua+dg(_X z*f-Nhn^a!nmu4>4725J@I`}$o`kYT1*R9jYwA#sqTX`as5YCr)()hO|?0D7H)WvSv zxQ@wm#Iz{w^60V4;&Ea$bd~)v$pfoE43!5@Tia%X_NR5&JAY3UbW}vjaQfS#f@Bd2 zW|DuWGT0M(+!G&y*`vx_#jp{Y=S57oBo8F#a_`hPI5t%+LFESu+_WMHF)YSvcNhDtk*rvrfspZcmc zVUil3N7Rr%%*1G4{lEY9ILj+#yTCJ&?c?b0$2WD z+~d5t77zUWIM!1P57scx1$eAYmkg4Gto@MtNSCPH14a3 z#<0co*($m)K3p6iB8Ka=mI#5`)p=ZDa|!vK@wj%nPyfX6wYrJ4i(`1GM&u-DGSA8^ zA9rWs(3>bhY7n?#M}&GR?1%Cyp8#8O|AK($$I1V^gyaZIT#QAJkbz6>B{WEK;wm}I zJz1``B@6R$bDi%CG?vKNcV2U~%1ptVWX6`7tI%t}B2Z0!q=E?l5i}hLy3(#R?3yFER7S8v z236TXpF#8&r3W?|j1th;IW+7QteB->;K-tL+wuVO9HdF8uFEbe_d0C zfQL;MS3ra)V1+{kM@|b>T~zkL678(A_Rp;4xUdL%V4IRNsi?FxdmHHbAPf5W`T7pp$(-MC9HBb5SeAS>Ot+8zMr`Gw^$Ak-m!l@qb|pFW@PcdJ!l;e{ z6C36rZ9A>?N8!kZFnA0#**w+T35|DgPSv2m^&ys!V>#_3V1wHZ*{y+N>a8GCSoYCP z3mLdo=0;kY);(aPh1O-&=vMBS>Tu$dMFCtUmOV=a=@)p)g;yEN;jz!+!_LB|U2?17 z4qw*f;%tOU)Zv$f?y${MMjY{uAyd-&`moQK8%3nPD_E|(>;mVF7J93W{S2fo+vO*q zcvt9i-%A|r(_EQ?W|a+h*l90+Lx<^x_7nPo4WYF819a6YempL4K-g41jK^*=wqaP+ z@()RqkH&|7<_Wh=g(LtUEwsh!ur7|TKshRb@yJeNbettO<$T}8y{EHmi6b4L7r7Fi za9pV6{af1*L!^=lXpGmeKhB2Az1NeAGWHtSqVi20%0QQz9ncF`4>r-Tu)N!po%AO;1_g?brWuM#tmdu`V@j>d$JKOC}}q*#o6ST3k-m*w(P*em-BTG^HC_ue-` zUqDAGvmW@%H~2k-myhX=Yj7Og4rC6}a!&)Ln&PI0&cvXrb8}{u z$NC>;Ue~Qo%v@7T0!mo7Q2Q(bkb>7HO>(4OajT z0ZwW(l?4AP0_+TUK=9Zs+L8Q*k1h!Yqi-G23bmIa?1Tsd1HKY`;Fsg-DMsI{1SVlT zDlXKy<7=wY1Or$bR9G|2unjI5Dh9X53e<0N4F_V>Q*{?LIz=iar3FMYyIvRa9=NQi z5rPP`M0cVLuM@*oBZVl;bs*Jrpt{X}N^l zVeYFztECl;7NN1S@bqL-uJ$vGx2y@t)1YnFusyy>rQB0Br^Nb6Q%AyUx2k!oeLn;i z^?0#EL*e)U&NpNR*_D&%y+3Z)9cP<%Zy8sado~Apexn=C<(|N;?el9bsGgF64Qyy#CVKhGQfLg8eq3iC-w!?oSmM;vyVd4MGR@M|?oQ)NDo!4nn;tQ*s1 z_9XJ*Bs2d$G^rMi%P%e@D0qLA=^ZScCD0-hA`?8P{C!-WdZN;EZuW zke4e75RY?iC;by)eyrv_h$$SZgn}}?d`}DP+@Q?U^o_M0)>;NH{eFD>)Jr7 zSYFcsTOOkX5h0Y(s5OciV~rBX$6$;xYDd!vLjk<+L(fsPilSBRAC<2)O(i_~&cWS1 zn12x`;b|HJ#6QJhOw|0GH#fHTavX|cXUld}#s{+&u)L(_k9<-?S4zNR-G1Dwok*>i z_LFgy9P=cvg9Fen%LfrRr>>1EyG0(UuUW>-UJI z!`<}st6t6-kf%{k*y3FG0&O7&B*w|Gfdqf+cWXUNrsJttEx>pXWKuA9pCXCWO~>l+80v259_p%GSzB!? zm$f5p#4zW(WbJG1tTs>F2U$W37S2#;od2$v0 z)RR7GnW9lgoIZ$*`_PA;|3-eeZRgP3m6nAUah9c3bm|f8!@M|@^Fq{5tk~XarCGjx2mYVEWiQHtErElYRtb5D-tBh+G%v{Oaq~Dp?n7s*in@nn=4Gkyh|98_(%M%Ln#!MhBU!5edisY7 zRS~S3cpprqjqsU(|55yY%z5|Zzo3m()!}&0=B9SNm>eMj!-r|>q&p*rdPHxj3cob3 z&U{R!Nc4!kSicoyQ^M0D3(W0q-0 zMQ;_GW-NHt_7nJ9wG?g*I5OAX6J8^Vsr*Bv0dBX0o_}!-1w!>&e-BaOmUP2DG5_)i zRm5x~#xnr9Rt(7f?0ASwX3Y5wKZA#vlsdgPXlSM1pr#*GpT^qSnpqUUaY6CJX1keBZ7N->{b zJEzSb!%^gpbmh6Tmt2;F>k4n`W572i1hcl@asirtoX;9QQ&8n~+8RoO!U_Se>NyNx zbqe-8EOPI@2Z^0YWpKO7n5O*MW5RX^1V1x7e4@r8M6#WaIAr=cKx;wzBJ15=8?;Ox zt1qw62ezJfih%B3IAvcilA+2n+D5-BQh8Sd>$xNJU$k+?dH(;RW;?G1S8undjCiPN zB>*L}f+zF#BR7XcaPA$I(oZ^xXK1dhN+jt~t@~Zmmm2HK#JJPfNaD=d@L_a2rAVT_ zEq=5)ItjcUy7hL{^1lSx9n59A?6WyZ>=}Hc*~z>U%1!4Ouuc1(tcwnnV7{TkeZRgA8U#f83Ti5H+Xz*f>34Oa!ZA zFX-|jJVZzoyxDE3eGdH|mKfb0@)8#z*HjxhIFIgu zQlI&iAw9LGAP1TH@@j%7(MNv{&LOp2ch*7a+^n{D{+41x5|S^AOFeUn83S( zMmWVY?wn=Xwt5nJVz^b8M)T`c(;qx_Xfi?RCe13O--)szm?feeMHo}c+P<<(PyoMO zweE`2Y4H+9me>JXh_2%$Ol~U)88<_U#B!KLt(BP&6=S9S7LPTdtTLQuE`}#-#+Q0S zc2})6&s4!yqI_iQ=d+cN%#;5GG{PGck_mCof_4JfqbfRIF zq}sKJpp0V_;gDTKc($V3`!HxVr9Db=I3r2 zer_quy{#16;S8QCF^&6V(q&tOLSGKuyAas?DE(LN>v7FiEVl(ks!=3ziVIxC=yy^U ze|wajsl|;RKj?C!H)Q7x`mA8C)3LtG8NYcVCY9D96ce@3X?~=ontP(*Hbp^*&FD`T zj7kN+SOX}MrU_3iU`CO8aqx_M_E0S(PpQ4kuu_WK9CYdf?6c|dmZnqNR-114l6$0A zVW+OwdMCXGDI^t%S8bwx+9`_^56sED{1b_=h7h|5=t^q?M4kQW9o~ZtkfWU8lRx-jC zOEytKMrRgg(S~i54HW9tiwVyIu||q2dz27P4zl&&J{`(EdNp88$SzLo>}-i627rd`PLD? z2YI5nnf84jieef;;-B-KbvFB6B#}&c3aj80IjX|xR7?H}rwneRRfvS}ppUMCQTQ7X zA3l(s76P1l;6?$g(rAf&kt@_2 z2hP~oqPY3YFZmOiZdjYSQ_;9%94_G;S`}w=j#nSt(%0W~&jXv?iqca&2jIGr5I)#@ z!&twwoC#k|={py*2U_d+2$cFGIl}8wN43+i0S>fM4K8;o&_(tV)=Gpudx_B@K4ECB5)Y*67nCLG#k z7<#a}5i8B@6q6J&SdZiLkyJfmN`t-hE9Z?fP3mIFly6760+%)>m#6R$ zSsu0_);~sOUGi_f`3G^o^|O2W!i)N=Bum-oWY+EayhA;io8JTlmB#mEB;$&*tnAxT zNpleq{%zNsGXU9*q|n?6i4_z{@%F}nV$!rqY*=56Hi zS<{j*zJcAS3J4oj#|@xVtciauXMoFn5uixk2K-;o{<@a>Op;Jg^V-|GJj)=z)Rg#w zZ6(AU+nK8r(%C~>po-r+ruyj%#7C-|wy=`tBhcIlhz=BUkF2~%jTfqTHTugf(MPpY zb;sxzG{&e)pkBmqTS0sD?#<$-a4+PvFXxx&1)dpDa7;z-8n{m2llkh6T0^I<1A@Jo zwfy`t3dgR1>3pwh6))u1615%0aS;EPX)4a8f1B!HILHEq0_CZ>8rW&@lZb%Yl6*x^ zr@rj~9A-L@r*w(^d?N<6N4s@;1-?Wm(mERcL2Gebxct_Cj`~;NyyPWh94=j4+*Rt1 zTjb?4KWehNpgSEDv&K@-td0w})$(~CTmb*zYphAhtDU{96r89*g9&iEYkIT9JfFvZ z+uft6M0mY1!GP8d6rqJP z1XyiaHGpw*GWtR_)S|mKvqPUB#Z|X8^@bBH+^EFHDzb0406IFNJ}-BF4TL=_WzZmW zslL)HT*|^jM`E`fWa{Ul{0~P^4e3xk^HepZP}#1iQrvbQ^|*92Ct=4dR9{*$*BHRR zaAxnEug;6}I6$WHM$)cXn4`b`W;s?n0LRJU0yp*XQj&W4qUBvOT@5^9r=>D)?m~A0 zEa+v^D@YAzeLVZC%=_Bo;8S&2a`I>%Tb-*?HqBzU$#R2zc`Bj8F-~HQ^%CHAI%jL} zOOJm_dzj;-ten&FG8vXaBNB@M2%+hPJ|)}aX~MeN=pOxT14iHhim*xH6MebP&3da{ zLy~Tx&UV2{P?QV;A4P_1tvA=)P4t!I+~cSSnV$nVbUC(x6ufAg&Lt zgY!>89JFA0kzM9^VajN+41b%YNmpQYX#Z`!!ZLZIdq02%sHQvXU@UzU$7)M{n|GDluu zeEp6)TCCC$vH1e~RohH|^6Du#Y;6jZObVS2*UyqVeArKq=RCo!@0h*wo1b~yGgGDp zEY2vEmCPpE|3?~so!pUW*Fq1~$d?&oau$VWwD1ku#Dgv%!wagtZ z+3Z%#X<1RFSPH_l(zRp4cZ>}aLhJ3WlJo)S)}maOn2=F+rpvR94jbHWne+2mmCsSv zJ?ZPaX^Yz6VRL&ePZXyKW>B1l{CB4#BB68o-}k>cNerXxjQ^&>h!;%?EAv(#%D^40 zvb>%dYl?^T{yTdp(;D0r-%7rIq8&V0>yX*3tMx zoV^Iontq%rDHesgq)Rc=F93b|#kt>_KuGuz8s~2px+43>>#kClXP1>7HdlJfI6Ur@ zk;Ref94V<&)F?rYEvF=?W+NU2tQlXTxC_--h*!Z3YUJfOhs-PS*7r*e*(NxK#jW_O z8Kl@=0CNW8XG>iv!p19S;s%1vkX0nl1`dc2wrgw^*X$v?35Rit<#}K>v`0x&Q0j&1 z2k9UoFAXVIlJV^sxbCP0Bs=vEScAfrGM4x9R5&#R1J}qyk3M{r_ZLv*On(tI_WPz% z6~%+8fx&`cPyY7XM>XM;HY5IRdIktn43Ygf1R-SCLV{CgK6-K^MOY~EXznb$VZ(5M zvaJ5s%KYMmZ>F4|k2UYlUgtR1TJ3V3uU@cMa^Q&4+HWlE(PHc(bcCSSk|VYyI3{rwBc^!Zw*P>0@4;hPR;mT8~T zsXGekn@R2pCxu0;v&J93Bz~}W{;%Jb)+X~k7Woni32!6tcynLEq8*5yKllh2&Sdg= z-=wWwF_^* z)TCJ68IJoCn3Aa&?+mxdM z63#7>P8ArnavX$#7jPFM6G}ui8YiJ(0g(2gR?>~-7X}iF&UvStc!g7a45Ou10PK;` zlHIE&9X^}Z_M3E>v~RiWVGaI5KF*s_Rfpi8z|ow7;pt7=v;mOi-(ea)+N1d9264Uy66wSqWe zx%5$6Tt8sZ;GA*L?^tzXJE3M?%UD%o^AZZcWMeK_eB`Z<4mE$vLV0)${%AlO3m*xagO9`JtyHTcE$XA!o{cb>RC-# z>Y82bm~#0xVl8Q(eI`ooFvm2&1~W$jDGw{HgxT63Gip(wwn<(spHbqX)5ocsKr{q; z$W!R<*|e1h+|Oj@FZ zA*>5=y@U9rSlu)=3G{b?)!3pXn<_FprK(1dKTvQ~QkGvUOXBD?;Ryh~QRG-}z=R-@Ja*9)-K}{8+vocMf^gxx+s^YI>RtZl)5{&>;8cxb z+V-mSh%48D8)^02xNWN)u2pkl5i{wv~URty90HQZEr~Obzo2Bc-uhA zs9$pGchcEJ$2cwd%j&`Y1~M4do6MjLfkEqW(}H&*7MNs2ZwCfc`yX4;^JFK)|HSFg z3(5&oDttLt!3Wtfpc1{G72s^BKsHY{f;G5+4?S9wbdMz5Zk7~y>Jm@PW6Zei(>KIx z+99GJQ9ZziofA*<@}@p_X|(gs<29ZBR=(Uh{g*AWJ1W|6z&Iqogr|^U-tgvFR&#ml zz=TVYHB<@UN(W%s{o-?&w|;L8+$?KE9gRF2Cz$m+0-81MfpJ%rcE1RKLAQ2H<04zk z+D`Ombz;Jyz7aHI$m8jIREXB_Ui{7tV{1yTp)*izrgfE_x05e*X+PbFI-1P);Y#ZM z+G$TCcH71M1TQrkRQhEd&4;=}__=%*?d%6*)Ay#tK(ULr;7=Dg~{=26eP-CSf<4Syjoeu4Z>*I_0m zl3!#53<_5iCWlWYkxoNUV2_k1*d=WW=aWCa|J*KBg8#kt$o58HG^e8edIq=nOx!h` z_1WFR7wqT@o@dn}qG*$+%6IQyhgU!OK8gee;ON@9t=$uME|4tWx31q!kI+F`NNzHzD8gc%~EB&`w=CM;iKFHOI+CPzSqk1emb2V740EMcLiknfe)be z4>e#2q@DRb4-N~5z+WT!ktlWaYfL|uN1gXIuAdLD&i`7VUr3$iay6kJYetCt|cBo-8`!?jm0VY-%LRMj@s=2F^H5i&&?%HG>#=%4Gx@0|u zzJdE;vLWM$k-IV3gmLtkyD2~>G0e=}Ey$J(D{J@TdiR2_kGT=@nr!Z2}+^>++8CNshGsysrkwtgUCg(76ues-u zuQP7kbiYNu&0ySdze~QyxS#L-fc%j0-y`<|av`Ir*!?m23FGNA_Y(4RM(GRpGIBZN z+GR8i;kCP`DlT7z1@-$;+)_smV&sg~4{+0ZV@%@K8i_B&$ za@?25%Z!y(_ciiQ#`-V!4f1cs=9c?5`43}f*L{!tm$A?FRg8r`j1YY|Car`PEozM? zMOM)W<4_OC2BYdY)J&%YeuoNblueT}+97zLV*nG~4(BG`cD`W_GM`_7Tlzs4rniQN zc$8@P7fc`yoD4~o>IF?6muO*81u&-D=iwj$bE(4ekc22GXNCP7wddC&FR1`4`0)5X zD_UbbD-7Offj!+yOL6nmjiZM!TU;(HXJA8l(XVcK@1L`rG<;jk_l6X8o|e29VDUBz z@Dz+UH3@4OQe8t2_TL!MUXH;se|&T}1F7D>4L*P%JieaEYpT86duo zl=b_OCAS~8Dfvf=SpFpa_YaQMRhVPRyShkP_?^aDTcT^TYo|eB(hzY6t8rM|TXeOQ z)%Em~4k@i0X6I;v7g*+8qLdWa>!fn~g|zUCjeDkpbJbud@;6JCE1)6!21x;$|*j3L*To#e^ zF#VM_8CFrGt?YTQIFg?;z!rR<#Bw?O)DG-^cOmQ1R5JC82asjIDQNA_HqEo*cDRlN zcaNu}bWbByS|ub&nbx{lqzX%4)mL)D=ch&Mz~E9fz1m9Y;;7zY7XXXD97*hw>}ofc zOZGl)vOfbeH~E_mT12qAeLvNKO!kCSn^dbu>LG+XDTGgVCQy6ixt3fmn(wU*tRfZR z`pb_^7k`V$TE(V;qz}Q(-mt0yQ|Ps^kV9}kT3k=`mW)eI=MJ3fze(|Bg`{&?rmm%K z*b{uTv0UnmCK&jM&vFGrin3_$0hR*S+?+#We{4OM>df>bi!_?mtrY1iA8rMQOpEgZWI#Xln58qEUh}Dp-0rC2S?j&9UF#w{S8DChJEvK##g6%9!umX~RQbX3 zW$5AH&s_=*tBL$JDE?I%>g*CbBESjI-js&$8L?k#2-TWK7< zexC+(waa%vKxRDB%61a-Iq{tnv>1jjRJ$j8SYl+{l;@K!6|C$~BD_-%IWz>Kv|L!G zR=2cJrOGGWB(q;el9r2D~kV+qs`^P2!+)F7aenldb5*70AcB74i z6Hrnl-O9O^xk9^Tw@i1YC|-7KP8;H2TcQ13s= zK_fLTrt;}W=ds8#bX%#;=aS_r>fyd}gv;IZH?D63vL|03I}hAFE>`ej(%6!V)O$X0 zX*2FuC~Y;7$>kmnjcj+koA+LwKNIkQZfFxK|fqldZJXQ8>qe@T~*KDgGtrD?jSyHrwSmh?sMM6#LX3jnv~8Bz#3nO zeXMPR@xI2-)iHWCluRdddNbEpPwv$B);Xf1_+4RFKgRQhZujF#?S&--0K?4m^Qm_P zg|r|0hO_W@A7J2SJq4dqfnwYP zy@GQGgCSu9NWC{wp8R@s1AosLcE>mAD1%kDC(90EzF*?mx(TCeDogd*)tdMS(J{-~ zr+x~7lYrs0lS;r7QKudmmkf=fYRBL%G1#KP| zcNQ^2bk+6TpdZx~X5P$U_)1u`1z2f@WhyiP7a8&n;X;0vH=hTFIll<86WE$d9$((- z^s(piQIw35FHOcP@J^d1FAWMOYqFAHH7D5fSYGZ`1a^6Azj*}MX8+u0i)h?>>-Vg| zAz8tAQ6YWJRJ?uiNN3uXol6RDa=gyp+gXq4Z4jDgz#7$iC#}tX`7p5SfMQ>OvKw;$ z%hG(O(hY7u<`zl+kC_QFC!Sl_HEFz^U4Qt^k=gg3p5MG(eNyPuW&9_Jn<_@8LLqid z$|?Y<+Du6Ia)*i-aMs94D8!{nRK=N8?Iv{mGD=14n9&)bkf0`*iW;dpT8MIaPen}F zC`KscLesVin6wa|+jeDBnzelEqoDqzvKO)S0@zdPR-llv`q8a_wZ?Y+0HIC~(UWpd zk}_|FzV>l5h;#!yJT*s9{s90Ji3}9*QJ*?A#9uUJe?t8|5NdU3JWRCTYc2w%zbu9v zcZCUd9>s4RG!~p>IZhvNg;c`Bv)v8D9ABtjjs6_J#VeJghwR%dA?p0*-q6|@wloA-^4q1IVY~w_mzCc*_W=0 z%>L7-fR1lUeuisE=fKw#3D5c15$k``#=b6y;ofCo5a;$5He10I94NRn)n8Hdajk@d z^_F65o^tYcYA=}6MAUg;;U!mK$A_3*$mint7DRN0pii$$QCOW3u)}f1@^(dw(Ap{= zcYErq=XSFHv=B$Qpp=r46Tg<}i9cIl0PWxuXoY)^5?O$QJ!hIU^cId7+%ABs!AZ5# z6w@Lrap@UrI&N}RaJ(#UaCK*J9BQ!?tFG-_#l222;Z-)6!5%MMUdAtTlAH5D*1!<-oJzTx=T3gkcuHsDS;5tYns`Lt|!Zu$t zqkQ#OG@025VpY8&9`{6*S$gvfO$)4lGnr-wJcpIG61}80+xR14byt}V$I)c48K)Y3 z)bn5FmWsZki=yP9441)mS9ll)@Ek%qnk;o6mgX)<@{HRmXnSHF!ABpsQx`^t76WFr zDWd>~_)})x^4XvE>ry}|yE_x?sLY5c%)jC!1qNsS#zO`; z{!QOf7Vyy9uxe;rFVj)lE)Wma7AYW3zZe(uIDI=$c!I$#AalVSZ}3&Gq%BZ>9X; z0>4vM_m62EPU|-1V!6rKLDnGCPU83EvMuK)?oQIeU=(P0aG=2&w|ViOZ(wjsOJsuU zE9_#-^h^ciz#f?*%e1qX+dusG_gKrjBuSPI=t{#hS3^*XNrZin6?4~q96&~!fICzpa&Qk7_5GrAiG+VHp%lR|UX$9)iqM334*tu4le;}*Lw1_d=(n6p1CJ8!HX#cI9y05xJ zx_6(DTk@0k991UraCfN>HBJ_L?(F(rm3%|QK#EP|x z`6)|@XN92#Aw0$no-ChmEc8{h#9eOC*&fR!onHJ{^a#%Mue(-uUOHg_g?h6jl1<3Q z|MTAjYfR$s%G!6@`H~U>K$!EXAQb%F+ZY_bG+*kqKnrX9pdzd!RoUq#DdrhQcR~21 z?Z{yvEr38Ip0A8X)D!tMy0DlY!@tq~;bgoAlX>(kGV+LZ1Ti5GRKtIe7zFZFR=rfO zVieS(f;&s9&~a4B(@|NHl_i28fWN1r_Q9Yx-nv1O5rQO_murDh;e}^3r2|9Hb@1T5 zUncwElvTG;$);xpg;J4thege-7CQRN{q)NK$XR%eE@|_#&-Apnw{3`yecL${Y242G z+4dYO8e~9S1qtwtPQdCDvtR|cGc|QrY7HXphafzPo;-_{<+){%Q?)Xt%qOCkr5rR6 zMQH4VZMyg>^^}2@?t(7p;+1ZFcx-okUo$TDsKqPC+<>D+kI8rt@pOguq6-*VF#-kb z5*F$DLU7Mjg2g+YO3Fks!imCKu5>k&m~hi&H&L_ z%Z3`W;_SYQ^;HhC4;stdtVQ^o6aKruPg}Yvf>Nq66a>YeVJ+k!e!>u|waxI=iZAtT zOy~+4nnbSP=B-o!^`rGrw|yx~AlpPbl_>Fwwr(a6Q3=z$TS(x*SMFL`k>^ao)NX-V zK2rVp%{A4a4gQGsEf9}5=n1HR<@RShrN_!_;t5EfCXxw{xZ)vEr>Zy^D(Ql{D*`&E zeE&l-sjZ}x5{!MRWT|H}SnVW`p4E#UUe;}TBOsE*XQ)$=+;)xs^`|xJeZ@|cEV~`&nui&ydK5`&c zFe_-pW{~hPOj>p^_d_7SS~@ov`oLze7g+(Rk3qJKXzlyr2}zKH&7rPoz6e5w%rBMcr_Bd;=DEwE)h~ZSm$C?rXs`JpjQcn zdbfgOs~So&m7o$qJh?m)Gg-lC-dCNI&{AaTfUu3qpMSls7~rGZk)El~f-4C_nd2+5 z(vpMC;eRR))wk3_gN1QN`h&qTWKe?m;M@ubk#CLj+s#aw;E^BP9&bQ#-7dwimz@62 za4q0zgQwc&-7;+=Wp-3R?g7>Rbv_=NyNF=QE5w2`#mj<%*HN*@ORq233v_ZTA;Sw2 zZNvOUFRKR-KnpHxF|%Ectoub|P@;SjHovt%KNk(PdhYBK?Xt8?23M&&_;;hwv>$jt)A(Sh){6_Dj(38 z`AJPAk3Y4ASkCM2ffZfj8oW;yen|UM&8IWE=CbW*r?0!?NDX~~Nqt>+Sjn{4iBL3# zZwk$HgKlW&;^l*7Mg}?jQ+UqS7tu)BRljw!XM?CT4zs6&6#A4 zQwvKn*ob#ybmAmb?4LQQGuKM;DS)WOER|lBkb%$nSdR$9O}bv$^Vk{oHlz=7lI#L( z?o4bqwDN$``CdIou)}5tVW8|uh@ilv_yW0LC>bUnq!<`Ow{W?`p#DO zE4p(Zr?H`FUC@P^ElC!a3EhS-X|8b_p2p1shX&>pruj0FTu|f0elD0CYIxS#oQgJg8)bs0KuzcJVhJ1%!CLJz2Q!v$swANe$vj>tgb`}*6j=*}J zo-z}?Cy?3IJd3B~!RQI(NRciP)<+)xi^B1%Rx6K5^^?@i3)1P9Z&zTprfaiwm*cdG z?ak5Cfs%YpD5V`47;vyzitb|jugQ1|fjf5PRNK*aSRvjoFqD&WsLML&elD_~ukdT| z5u$|ORAGZ0e5SBaAvk?!s8C5TxNyNaU@GLe5Cs~KlM9j>MLBD)^@K*ae}qcet)|!J z4A2LD21q+=pY=}q&PCa<9-j#J{PkGA=y9729k}XKP5;q70U*D6%H*cw3+6x8f9mm& z5{Mkk`^|K~nDRHqZ^iEk^SFc1F%k8+{mpUnyR4g_>`KKHtq4@Cj{4(ZeQ(p}$>Cf- zZ%qR~PsDdsY-QGKl((sU{wYnJ<4<3FwQR{&qGV>u`&?jBg3u=K;zM*cO^dcl!(RPr z;|H=tYRh<4fjSpLsm6d+S%G+d77oZ1q3!?)H3qO_@my#Z@|ac1K4=9RQ?CW$6JgaL zKuD$t@kjP>u*Q z(&0)uMjOqC964gURyEb4(o(IfRiVRC8};o_8$zW^VwzMNn~~4A|KNE&KRiD?ujgRU zO&{UkUonu|Tt^CR8aywZiq<2B?(~<8EnPhUiR9z2cwrv3@pL)01pNF+cNDRyXH%|I zM7v)Ob-tXadPc4nIBq_VjoVX7J?Imu&U-K34_|HRqCqs$msz=16$q&xrZc)on3zk- z+>Eurh2LS8#gQC=rkr7je7}sX5vm04WU0FiW9$)Vse0lcNiuGCV zq7kzvd`l|N%u-KNEm-&7z0-yTN4v!}lE0>$EoRB7gb{Dr(bww|YU}5t_Y?TbLR(bfLR_a36CR=C}g)v8Q8KlpD;vraL$`54b3|Ia1%=S^x=O?S^e1(HtzPa4*|T3f7TpuV2q?H?M}&; z_{Dhrwwa=vRXeMCvH3eDI{Rga|A*B-N?iILcOAjWNV_LB8H3JD^7M{#mD_b6^DlJw zHb!3xbJG=#HrlOfZ6l?oTK&F5no+Rq&1U!4J2J%wmf3&tww=W)26Ae;7H`M>@#Wwp zHe(xUP!#6U{rXW5t%pXnIkZUh>gQ{{5JxjeP`AaRmdii3uH8Bx9eJDh2r0}k+Q;Ys zwkN~H_%L>t?!8QnH8(h^DMOmMT*9uA{ez_TD*+E$-?WFR?W%6vDJMO>GyIM2wo&BM zVD7yX0J+OvnaNZt$9NH($N_8#LzUL1f!wGw-rh`;FaW}`B5 z#_XhWNxjyXpFou%?)=O3nOJp^u19?o{1vDTCimX?NWbg9rgk0?>88C3pI2-uuMh7l zZot#ER$*QiqpL%7RZ{j7@WFtA*Yw_k-~Yq>1fC*I1A+JnPi`2~1^$q1%1YTsz=|1V ze_n5fS-5ukqZJ+R;HDRMW6d31Qa9lj= z7rl#edSyY`EYe-JP#oPoTRHu@)TA}9#n0ZZyD1=MucO5=OJXdw|BwJ1>y#9w5hHPO z?sY8eQ%Wy=DkQ**gpgiTH;@sphp^r@s^{vQD_aQqO{}D!Edp>ejSh&GYv)eRP4sQY z8dfvRIsXF*R&;aMFSr8xkx{!F)At8#)Y#I0?fj8D$tWp!RH1eV8Qh{6j8I~Seveb1 z3RWHnt{#NpEDA{|Cg`z>4YQe|eFk>Dk6{OiZ3AcE^CMzm@|p8LQ*qq0>`}FG%D?cs zhd++}_z=CsexAVf3H9ImwG4spln4KU5v7bo{smopaJyHpbuf*i)Rt&N3yt&l0v>jQe=!_{CpYT`!<_ zEdNvQvHMaArWCr^&ktTuDy!eB)W-ZHlY2c!CUUkk^!)pXb*H=azvScTv?KWdU`^8- zy!lg;d-nujK#}IHx2xB%rcI5p*N+B6i8(XP_%-wf#Z?%np}$v@yjE3ARZpl8@1=iq z=3RU&tjv!4TqlyU9V=@puGv8)-4Bl>1iLS@F4Q3Y|Z{1*8|2;R087ugVT{K2xcZMpPu^hn8M4zB&Bm#v*{= zk{2qR+WA>UdEt56$~UvKSx!jB=>Fij9N`UbRL3A^P$_!QPS&z^B>l)AUHiCkR=UzX zVj`o}!hha3t8_5R+osvUxJwH488eP|Xj)GtDa_S;dKGLB2jxZ_o_+>CFr_{nlI2QF zS{qQPsv2_ov|#G6l0{M8NNI&D-X=#Q1Lvv1q~wp(h%a?+ z{*j|*e&nzx4U@d)<nH> zvXj#5-=kC@$xPg`bsL(TlIqS8gN;9Tm^Voiwx{uztZe`nus}C>zQQUyfcJc@Edq*w z5tHqWnwXl!nR6{H$KrWNC>j|MwthqSs*ragBzMm%pLPC!BSEXztaURc6Z6pMD8C5b zV4=sz3NM7t2L&xu-Cu2h7-*u9I&C@E)$OCZhv!q&+sD_>KXOB0i9sdvT1b89X;naw zXpPW@ycv+$65B@{Ny4j5iJNcMC3Ux?ZND+NGb1z0>i&N@yMEaXxNU9+19p7otTajNAI0DwG}iiKBg1+0FSZzd~5$l?e+NxyLvzP?PR(A?@z4snwiE5YI( zKGCAZoO&QfB^0uR=G-VQ!pvfAxXbUWknl%XGroN%5(s@11?eY?#=G6DSNZ_Ow5I-1>2+n$EuTqHS@6mB@Bn`erf6UIYqU4a{eZN#bT#OYhCtgNLq zZf?DG1Xdo|H-5~`6Ie+EuuTiAx|wg4Sq#6V#Lva^Iea8abIw90HgjiL!p|+N6Fle- zo5j+0adVwS7%iThB)o_R8g_|YA(nYIX|}SlBQn`hcNy^{pg&^r{K|anf}#yx|f|yCKKzAkVf0IQb_USZU$y(JBF6qjDcPI zkaIoqo7aI}*%P=!c%@x%>B)fb;gdFuv$719|5CSYU&SA%;GKekC&xo8DZ4(vY3EW} z;+(~e##^7l!ZS63Q%_C^kNze&{G>JNX$l#hOqb`>Cn4wlkpFrxY4F_rwtEiRut@rx zK%Rd!tb-q;!^~$X7~Bz<5F~ILR;QIA*rr-oKK?BwW?54dEDsM$3OTn{9^jkgboC2E!Sb7VXg2X13*b`nhSpNSBvSsJ5?#duicEs@GK z%+K!caAi4$3)njV+@Z3twY4;^qqh?f#^|;;xJ5Ym-3dU*NBa@<`6mL>g{)`gpUG9u zw>RK&TxyUa4dv=XxKFqZz2uigcW_oU6a3fC*v`)~o1lw7G;R)z<20Gq#*I2&QF-8R zYZ`P1&wQh=(<6hKMu7Zj0;DeR1GE;B^l_m)O1s!vH7#J7suS2cs8gk$`n$pKcubXYchgRsuPG!=2{*_ zC6iMfbZFM$qZ-*+c|}VNdcAF5JRQ2EX^qmqw7NrFB5}+B(VRxl!UoztW~8dcMorBf75IRMBDzuc3bWMlsAIRD)4UrJ(nn%J85cfoU?1FewHMNBNuu+u>ks zZ$aJVE+d`B)xsrf3Pb(dM%dtYOjHlhHwdIsgfP z8SY8qCgR;^V7YG%UK^N*9piBTm&nL*fuok=ZUP=J8_PFMiDm7Ddz$k}y$yR5SR%Fn zgpcWBWR+N}E&<69F9se^9sE-q0brnK0h$d@Z37u|KKr{NG!vJ9i&)h?`04PeGmZZT DeT&ci diff --git a/fontgfx.map b/fontgfx.map index 279e465f..6b15b120 100755 --- a/fontgfx.map +++ b/fontgfx.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:51 Executable Image: fontgfx.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 118a:0000 0000f4c0 +DGROUP 118b:0000 0000f4c0 @@ -33,28 +33,28 @@ wcpu_TEXT CODE AUTO 0000:5330 00000058 kitten_TEXT CODE AUTO 0000:5ba0 0000080a 16_hc_TEXT CODE AUTO 0000:63b0 0000158b timer_TEXT CODE AUTO 0000:7940 00000235 -_TEXT CODE AUTO 0000:7b80 00007645 -16text_TEXT CODE AUTO 0000:f1d0 0000010d -modex16_TEXT CODE AUTO 0f2e:0000 00002406 -16_in13_DATA FAR_DATA AUTO 116f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1189:0004 00000000 -_NULL BEGDATA DGROUP 118a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 118c:0000 00000002 -CONST DATA DGROUP 118c:0002 00000076 -CONST2 DATA DGROUP 1193:0008 00000102 -_DATA DATA DGROUP 11a4:0000 000009e1 -XIB DATA DGROUP 1242:0002 00000000 -XI DATA DGROUP 1242:0002 00000036 -XIE DATA DGROUP 1245:0008 00000000 -YIB DATA DGROUP 1245:0008 00000000 -YI DATA DGROUP 1245:0008 00000018 -YIE DATA DGROUP 1247:0000 00000000 -STRINGS DATA DGROUP 1247:0000 00000000 -DATA DATA DGROUP 1247:0000 00000000 -_emu_init_start EMU DGROUP 1247:0000 00000000 -_emu_init_end EMU DGROUP 1247:0000 00000000 -_BSS BSS DGROUP 1247:0000 00000e22 -STACK STACK DGROUP 132a:0000 0000dac0 +_TEXT CODE AUTO 0000:7b80 00007653 +16text_TEXT CODE AUTO 0000:f1e0 0000010d +modex16_TEXT CODE AUTO 0f2f:0000 00002406 +16_in13_DATA FAR_DATA AUTO 1170:0000 000001a4 +FAR_DATA FAR_DATA AUTO 118a:0004 00000000 +_NULL BEGDATA DGROUP 118b:0000 00000020 +_AFTERNULL BEGDATA DGROUP 118d:0000 00000002 +CONST DATA DGROUP 118d:0002 00000076 +CONST2 DATA DGROUP 1194:0008 00000102 +_DATA DATA DGROUP 11a5:0000 000009e1 +XIB DATA DGROUP 1243:0002 00000000 +XI DATA DGROUP 1243:0002 00000036 +XIE DATA DGROUP 1246:0008 00000000 +YIB DATA DGROUP 1246:0008 00000000 +YI DATA DGROUP 1246:0008 00000018 +YIE DATA DGROUP 1248:0000 00000000 +STRINGS DATA DGROUP 1248:0000 00000000 +DATA DATA DGROUP 1248:0000 00000000 +_emu_init_start EMU DGROUP 1248:0000 00000000 +_emu_init_end EMU DGROUP 1248:0000 00000000 +_BSS BSS DGROUP 1248:0000 00000e22 +STACK STACK DGROUP 132b:0000 0000dac0 +----------------+ @@ -69,9 +69,9 @@ Address Symbol Module: fontgfx.o(/dos/z/16/src/fontgfx.c) 0000:0048 main_ -118a:0bd0+ _gvar +118b:0bd0+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -118a:0c0e+ _inpu +118b:0c0e+ _inpu 0000:02e0+ INL_KeyService_ 0000:057a+ Mouse_ 0000:05d2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:48ac+ MM_TotalFree_ 0000:4942* MM_Report_ 0000:52fa* MM_BombOnError_ -118a:0d70+ _beforesort -118a:0d74+ _aftersort -118a:0d78+ _XMSaddr +118b:0d70+ _beforesort +118b:0d74+ _aftersort +118b:0d78+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5330 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a70* CAL_OptimizeNodes_ 0000:5b20* CA_Startup_ 0000:5b70* CA_Shutdown_ -118a:0d80* _finishcachebox -118a:0d84* _drawcachebox -118a:0d88* _updatecachebox +118b:0d80* _finishcachebox +118b:0d84* _updatecachebox +118b:0d88* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5bdc KITTENGETS 0000:5c3c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6194+ get_line_ 0000:6206+ db_fetch_ 0000:629c+ db_insert_ -118a:08e4+ __kitten_catalog +118b:08e4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:655c+ LargestFreeBlock_ 0000:65ea+ _coreleft_ @@ -177,52 +177,52 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7b85 __STK 0000:7ba5 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:f246 textInit_ -118a:0d94 _romFonts +0000:f256 textInit_ +118b:0d94 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0f2e:01f4 VGAmodeX_ -0f2e:02ca+ modex__320x240_256__Enter_ -0f2e:032a+ modex__256x192_256__Enter_ -0f2e:038a* modexLeave_ -0f2e:03a2+ modexsetBaseXMode_ -0f2e:03e0 modexDefaultPage_ -0f2e:0446* modexNextPage_ -0f2e:0516* modexNextPageFlexibleSize_ -0f2e:05ea* modexShowPage_ -0f2e:06e6* modexPanPage_ -0f2e:0736* modexSelectPlane_ -0f2e:075a+ modexClearRegion_ -0f2e:0872* oldDrawBmp_ -0f2e:09d8* CDrawBmp_ -0f2e:0b3c* modexDrawBmp_ -0f2e:0ba2+ modexDrawBmpRegion_ -0f2e:0d0c* modex_sparky4_DrawBmpRegion_ -0f2e:0e76* modexDrawPlanarBuf_ -0f2e:0e94* modexDrawSprite_ -0f2e:0efa+ modexDrawSpriteRegion_ -0f2e:1072* modexCopyPageRegion_ -0f2e:11dc* modexFadeOn_ -0f2e:120c* modexFadeOff_ -0f2e:123a* modexFlashOn_ -0f2e:1268* modexFlashOff_ -0f2e:1338+ modexPalSave_ -0f2e:138e+ modexNewPal_ -0f2e:13de* modexLoadPalFile_ -0f2e:14c0* modexSavePalFile_ -0f2e:1538* modexPalBlack_ -0f2e:1562* modexPalWhite_ -0f2e:158c+ modexPalUpdate_ -0f2e:1afc+ modexPalUpdate1_ -0f2e:1b76* modexPalUpdate0_ -0f2e:1bc2+ chkcolor_ -0f2e:1eda+ modexputPixel_ -0f2e:1f68* modexgetPixel_ -0f2e:1fee* modexhlin_ -0f2e:205a modexprint_ -0f2e:21f4 modexprintbig_ -0f2e:2370* cls_ -0f2e:23de+ modexWaitBorder_ -118a:0914+ _VGA +0f2f:01f4 VGAmodeX_ +0f2f:02ca+ modex__320x240_256__Enter_ +0f2f:032a+ modex__192x144_256__Enter_ +0f2f:038a* modexLeave_ +0f2f:03a2+ modexsetBaseXMode_ +0f2f:03e0 modexDefaultPage_ +0f2f:0446* modexNextPage_ +0f2f:0516* modexNextPageFlexibleSize_ +0f2f:05ea* modexShowPage_ +0f2f:06e6* modexPanPage_ +0f2f:0736* modexSelectPlane_ +0f2f:075a+ modexClearRegion_ +0f2f:0872* oldDrawBmp_ +0f2f:09d8* CDrawBmp_ +0f2f:0b3c* modexDrawBmp_ +0f2f:0ba2+ modexDrawBmpRegion_ +0f2f:0d0c* modex_sparky4_DrawBmpRegion_ +0f2f:0e76* modexDrawPlanarBuf_ +0f2f:0e94* modexDrawSprite_ +0f2f:0efa+ modexDrawSpriteRegion_ +0f2f:1072* modexCopyPageRegion_ +0f2f:11dc* modexFadeOn_ +0f2f:120c* modexFadeOff_ +0f2f:123a* modexFlashOn_ +0f2f:1268* modexFlashOff_ +0f2f:1338+ modexPalSave_ +0f2f:138e+ modexNewPal_ +0f2f:13de* modexLoadPalFile_ +0f2f:14c0* modexSavePalFile_ +0f2f:1538* modexPalBlack_ +0f2f:1562* modexPalWhite_ +0f2f:158c+ modexPalUpdate_ +0f2f:1afc+ modexPalUpdate1_ +0f2f:1b76* modexPalUpdate0_ +0f2f:1bc2+ chkcolor_ +0f2f:1eda+ modexputPixel_ +0f2f:1f68* modexgetPixel_ +0f2f:1fee* modexhlin_ +0f2f:205a modexprint_ +0f2f:21f4 modexprintbig_ +0f2f:2370* cls_ +0f2f:23de+ modexWaitBorder_ +118b:0914+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7bc2 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -230,10 +230,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) 0000:7c0b sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -118a:0000* __nullarea -118a:0928* __ovlflag -118a:0929* __intno -118a:092a* __ovlvec +118b:0000* __nullarea +118b:0928* __ovlflag +118b:0929* __intno +118b:092a* __ovlvec 0000:7c3a _cstart_ 0000:7d0d* _Not_Enough_Memory_ 0000:7e3f __exit_ @@ -243,10 +243,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7ec6 _big_code_ 0000:7ec6* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -118a:16ac __argv -118a:16b0 ___argv -118a:16b4 __argc -118a:16b6 ___argc +118b:16ac __argv +118b:16b0 ___argv +118b:16b4 __argc +118b:16b6 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7ecd __PIA 0000:7ec6* __PIS @@ -271,28 +271,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8210 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8255 _nmalloc_ -118a:092e ___nheapbeg -118a:0930 ___MiniHeapRover -118a:0932 ___LargestSizeB4MiniHeapRover +118b:092e ___nheapbeg +118b:0930 ___MiniHeapRover +118b:0932 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:832f _fmalloc_ 0000:832f malloc_ -118a:0934 ___fheap -118a:0936 ___fheapRover -118a:0938 ___LargestSizeB4Rover +118b:0934 ___fheap +118b:0936 ___fheapRover +118b:0938 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:84a4 _ffree_ 0000:84a4 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8511 _nfree_ -118a:16b8+ ___MiniHeapFreeRover +118b:16b8+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:860c+ _null_exit_rtn_ 0000:860c+ __null_int23_exit_ 0000:860d exit_ 0000:862e+ _exit_ -118a:093a+ ___int23_exit -118a:093e ___FPE_handler_exit +118b:093a+ ___int23_exit +118b:093e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:864a __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -311,7 +311,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8ff1 __doclose_ 0000:913b __shutdown_stream_ 0000:9155 fclose_ -118a:16ba+ ___RmTmpFileFn +118b:16ba+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:91c0+ __ibm_bios_get_ticks_ 0000:9237 clock_ @@ -322,7 +322,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:945b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -118a:0098 __IsTable +118b:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9653 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -355,31 +355,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9e1f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -118a:094a __8087 -118a:094b __real87 -118a:094c __dos87emucall -118a:094e __dos87real +118b:094a __8087 +118b:094b __real87 +118b:094c __dos87emucall +118b:094e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9e2e* __exit_with_msg_ 0000:9e33 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -118a:0950 __curbrk -118a:0958 __STACKLOW -118a:095a __STACKTOP -118a:095c __cbyte -118a:095e __child -118a:0960 __no87 -118a:096d ___FPE_handler -118a:0952 __psp -118a:0961 __get_ovl_stack -118a:0965 __restore_ovl_stack -118a:0969 __close_ovl_file -118a:0971 __LpCmdLine -118a:0975 __LpPgmName -118a:0954 __osmajor -118a:0955 __osminor -118a:0956 __osmode -118a:0957 __HShift +118b:0950 __curbrk +118b:0958 __STACKLOW +118b:095a __STACKTOP +118b:095c __cbyte +118b:095e __child +118b:0960 __no87 +118b:096d ___FPE_handler +118b:0952 __psp +118b:0961 __get_ovl_stack +118b:0965 __restore_ovl_stack +118b:0969 __close_ovl_file +118b:0971 __LpCmdLine +118b:0975 __LpPgmName +118b:0954 __osmajor +118b:0955 __osminor +118b:0956 __osmode +118b:0957 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e52+ __fill_buffer_ 0000:a0a1 fgetc_ @@ -389,9 +389,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a561 rand_ 0000:a5a3* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -118a:097e ___iob -118a:16c2 ___ClosedStreams -118a:16c6 ___OpenStreams +118b:097e ___iob +118b:16c2 ___OpenStreams +118b:16c6 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a5e0 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) @@ -404,7 +404,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a84f __FiniRtns 0000:a84f* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -118a:0ae6 ___uselfn +118b:0ae6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a8b4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -430,7 +430,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:b38c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -118a:0022 ___Alphabet +118b:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b38f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -440,18 +440,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b43d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b448* __get_errno_ptr_ -118a:16ce _errno +118b:16ce _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b44f __GetIOMode_ 0000:b484 __SetIOMode_nogrow_ -118a:0afc ___NFiles -118a:0afe ___init_mode -118a:0b26 ___io_mode +118b:0afc ___NFiles +118b:0afe ___init_mode +118b:0b26 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b4b9* __set_commode_ -118a:0b2a __commode +118b:0b2a __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -118a:0b2c __fmode +118b:0b2c __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b4c5 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -478,9 +478,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:bacc isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:bae8* __get_doserrno_ptr_ -118a:16d0 __doserrno +118b:16d0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -118a:0b2e ___umaskval +118b:0b2e ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:baef _dos_creat_ 0000:bb13* _dos_creatnew_ @@ -489,9 +489,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) 0000:bc1b+ __shrink_iomode_ 0000:bc5c __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -118a:16d4 ___env_mask -118a:16d8 _environ -118a:16dc* __wenviron +118b:16d4 ___env_mask +118b:16d8 _environ +118b:16dc* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bd03 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -512,7 +512,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c259 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c2c9 __EnterWVIDEO_ -118a:0b4e+ ___WD_Present +118b:0b4e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c2ed __flushall_ 0000:c381 flushall_ @@ -534,92 +534,92 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c9c9 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -118a:16e0 ____Argv -118a:16e4 ____Argc +118b:16e0 ____Argv +118b:16e4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -118a:0b50 __amblksiz +118b:0b50 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -118a:0b82 __Start_XI -118a:0bb8 __End_XI -118a:0bb8 __Start_YI -118a:0bd0 __End_YI +118b:0b82 __Start_XI +118b:0bb8 __End_XI +118b:0bb8 __Start_YI +118b:0bd0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -118a:16e6 ___historical_splitparms +118b:16e6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:db2c _DoINTR_ +0000:db3a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:dea5* _heapenable_ -118a:0b52 ___heap_enabled +0000:deb3* _heapenable_ +118b:0b52 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:deb6* sbrk_ -0000:dec6 __brk_ +0000:dec4* sbrk_ +0000:ded4 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:df2e fsync_ +0000:df3c fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:df33* _frealloc_ -0000:df33 realloc_ +0000:df41* _frealloc_ +0000:df41 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:e02d __setenvp_ -0000:e1b9 __freeenvp_ +0000:e03b __setenvp_ +0000:e1c7 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -118a:0b54 ___IsDBCS +118b:0b54 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e217* _ismbblead_ -118a:16ec ___MBCSIsTable +0000:e225* _ismbblead_ +118b:16ec ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e271 __mbinit_ -118a:0b56 ___MBCodePage +0000:e27f __mbinit_ +118b:0b56 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e34c _mbdtoupper_ +0000:e35a _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e35a toupper_ +0000:e368 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -118a:0b58 __8087cw +118b:0b58 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -118a:0b5a ___Save8087 -118a:0b5e ___Rest8087 +118b:0b5a ___Save8087 +118b:0b5e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e369 __GrabFP87_ +0000:e377 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e39c* __init_8087_emu -0000:e3a2 __x87id +0000:e3aa* __init_8087_emu +0000:e3b0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e3ff wctomb_ +0000:e40d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e44a+ utoa_ -0000:e4eb itoa_ +0000:e458+ utoa_ +0000:e4f9 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e535 strupr_ +0000:e543 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -118a:0b62 ___EFG_printf -118a:0b66* ___EFG_scanf +118b:0b62 ___EFG_printf +118b:0b66* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e57d ulltoa_ -0000:e6ba* lltoa_ +0000:e58b ulltoa_ +0000:e6c8* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e72b* _dos_close_ -0000:e739 _dos_commit_ +0000:e739* _dos_close_ +0000:e747 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e748* _msize_ -0000:e763 _fmsize_ +0000:e756* _msize_ +0000:e771 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e774 __HeapManager_expand_ -0000:ec7d _nexpand_ +0000:e782 __HeapManager_expand_ +0000:ec8b _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ecd0 _fexpand_ -0000:ecd0* _expand_ +0000:ecde _fexpand_ +0000:ecde* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ed05 clearenv_ +0000:ed13 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ee3a __Init_FPE_handler_ -0000:ee73 __Fini_FPE_handler_ -0000:eeaa* __FPEHandler +0000:ee48 __Init_FPE_handler_ +0000:ee81 __Fini_FPE_handler_ +0000:eeb8* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f13c _bfree_ +0000:f14a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f161 _bexpand_ +0000:f16f _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -118a:0b80 ___FPE_int +118b:0b80 ___FPE_int +--------------------+ @@ -635,6 +635,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020d60 (134496.) +Memory size: 00020d70 (134512.) Entry point address: 0000:7c3a -Link time: 00:00.64 +Link time: 00:00.00 diff --git a/fonttest.exe b/fonttest.exe index 6f1046a79f328871f5cc13c69d93a490bc562d65..a5cbf84ad6271f5c3a146e907cb8d0a086759192 100755 GIT binary patch delta 26652 zcmW(+cQ_l4^Uhu(V#SCNd)KI0ds8d6+KN_dwIpbjgrFiQ-MdEh)1{@g(-K>0Yt^c) zLkNm0ipuZ%{O*r??)~?kd+y%ny}P_p5WN&caq*`E905Q82v97<<#PD`7yQ3T{Lg~` zFk~ajp;@4az9aRlmYUxKg#rr4q3f_h*1z8s2S=ze0@%dGtFJ3D7z|7rj(ZOQFwLpn zecnVr8D20LX&|mH)_1aW`0N27{0iVK2nf*Uk}jN!BVg&dNZxH2%4TPSRy@nHB zF#GpYiACZ@%Sc4&c!-`pTU=0xq-T41B}|-P#-q}zSG6U6BQ2FLM^A(fr)a%lRkqc~ znar`n+^RZl@&9e{C^O&US~^GE96YqQonPyD-!iGGu|2Kqe<_*-J0&HzY8BKrBe88L zPjv5fR{e>JwrpepjufcmyLBxS z$@Qy3m*gdkeRg9dW?cw)zA7juXG_}ORZzN!2);)A#F8K?eAjXT-@{Hg3+1>R70(yH zyy8;qo$&d~e=W0`CR7p(dDzen|7wRGg&}MV!jcUX1Dw-#PhjQ#-i`fbN0;hBZ0Eyz z5jK-Q_r6as(b4K_^UmZv`rXG{^v0`?)_mB)H~S=L@=UtVt}w8p)>+Q1W)<7S1(02N zTK2DH;>nZ{OA|nn5!w^*8NT*8eO)ba{ezR=@r+N*8h*yW)SQ9ucIR}`rnd1sB%zxO z*nm6PJxWCruLmf>;$09--4=`D3rE4s0^W%pXgq zncNX-W>Rou*iGk6jl?{R&eZvoEaIw;6xgjOkXZ(p&4m)_6o-@D3>>8MnC9D}E~beJo4 z+d7Q{&OvXl=@EVNI~hZaGT|?u50u_uX0^uUIt)%7<5`1rEH`Y5#Ah0G+pNH-fEmcS zr@?Q}7o@5hKRsjyw2HN?1^IY}$4S_qzGnqVWqLlp5zV64s_Te89VR8_C zxe5mYYmg|aFd>5AUzBZnewUrdYyEVuV|J1QJXJZ0pD#;V#_7<7MNwo0&^3dxYJ0~n zhLBC6cH_3pfmDZtqOmNjO|}wp5^kif=O&R~kEUUCO{RN~$r z-*l!7bB24hhwYkHxqMI-l#k_8Kr0_MO-kB|Yv&W5KevBBY7KLPX`Ye{N(z9wVB+}G zBCoZbx8BF{l@|utnx(V@aqa(NpZ7l$Hnp+ z)T`2^kgczF#ftR`S~>;94Hfx1YHSK$r`gFm92UngY{T6epDK1=V|vv?1hIs&BES2d z^gl|Mn&O1of3pS6TTj~zT4QuYxqN@N&izQ!XID~aPQY6L?a6R~{hYt&@uO>eAK5v8 z;hc4pK)6(zZBd+tDI=`JX$xsTo^_L7jVl;%cJ0*B5d^qHALM1^(4Ou1Ae%W3z^bLZ z1QZF5^HW^+m&OmgZvhiu+SIl>_mwl@92)>Zy!;ywaVqvUw zBn8K)!ne9)xW@5a-4xeC^yBYtora4y?Fd%%^LXr@=$6#^dSlx9bGInD-0=82dM|D0 zoZF!DQ0Rc}0Bdopb)m{l;iwJll%z-k_K#?TkOfF9L9Vr@iu~85 z*3noIP@GkV$(@HJep{Y9LQJ~IL??Xz4FwswAgC2KM~n+XqCi#4F|yH%5w-r3IiKSR zToNi8njFmZt0c74xe!ra)aY z>gkN+QuM&(+X3mK&7 zIYwheWnpw21!`Qt-%0Y#()&h<)U@}4=!9dXuted+3MTY1>pnoVe$9m5 znzUQR2Icy{&pLtk>79JXnlm7x=dp=4t1q0He-C9**ey@m1Yak&S_rHHa zL!)N!IHR^zG7kgGqw_O5SP!aJbEf(n*XOxmFgM`OdLCNw4^DbT^tWz(M=YE^Poom2 z%$5%S5pWrKQtTZI3aDMJmT1NMaHc|SX5MECk38!E?d|qbsQi{?k#`Thm9O{+PvR?w zz$ISoW1n&gky%a#)rr$r!VXoFVB9Fgi_<0r7R1b%J~hX`-BRz*d^7)RgwTDuZRzyZ z_YvG?Zl&}4%MeOOl$^x`3xqholi0? z`U1$2rYN^Hi?X;>9Hb*ZmvH{maSE$P40Ab7}9c7uuqD${@N1;J|09CFF7b zLq4AbvIWykF_mU)^r9J&{epfGM4 z{u0b&w&xtH|7GkafBdvq_)|f}^4Cq! z{c>jrzBzW=uQE~&hXKt)@?$1?{=ZCnbc{RQgLJ51fWetiMZ)&4Le^1Y&4%FiNlNso z#-+KW2pPTeLtxEfCK0f@^SgV8(gf&mGn*Sa0)n+M`#+jH^mlFB?NQ+5u-NO#ffMI^ zRgZALNIZ3#9n@iMzN&LeeZF*o#{fkkuZ3{hI2tR>9K|8P#*%82s}=ALpR?4htz6Z0 zVzZ~*`|K{Gq5=~xJAsnNDhQs6I4|9dQwY;~cI=J{3>7$$KX_b71W`aq64JkQ#|HZ< zY`jW8-157everwrlcngX{YZG-ozp$qqCbtzE#E062FN@)`fX>>@!S^uGlJV~*j^iO z{0b-Vp^}x_A>OWN$@iaDs`d(hH!c4|Or4@7W71DCOjOy1OzNEDKwq+NoM=XvZBR;HVSvOwE8s)|6P2MPc!p>K)X?ks4Eb!VfI ziyx^R{VfU8C|o(1&^>Zs<<5odY#6mCAK~?Ix~lrkdP6qujYd%pPTex=MOI{z)J0i~ z9IV3un`v1TI^(-rBwOBP51Ms#w`4`Vc2Sl2+Vn=pFUJFL%f+687}pvays%^e6g2{F zaSO+v-y0A|IC<)Dh(&9JA0k7?X7*?mr+A1 z7QFtiFz*b22KojeD33(%R0Q^8o3^Q2_hS+e+}_M2~7xu!G(_*130j z$c4M-K;F@;c)k+d>8N^^-u&!MSJ4{Qi@rqXyWja)K+KL`_ z#&@XMP5d)xz{z9Al$hMFs58p$-+g~4x#<)UT*<07zy&2AG$BfXd(v`1s$n2PHFfc` zn6_k0>9g6xCp7+SAB#)>UP6HIe2ONNGDX zH1yxS8^bE8IE|zgEgbq&eiLv!aa^l_VbMz54h#8hcbX{!hAayPg7iuma0uR0u?J8-ap7H~ZCfSWr`-7ik`iiccIw9w2< zG}FjXV?sP$a*k#Fmtxe+HG=pDLXMUKuAFRNy7>>dLrQEuI zckA~1>gF=VtQ0g<1EtvlIss|EtQ1BT$)8NLg3yu24jJV0%}*5C$goei@tqlv3@FK1 ztT18vSW;sVuTFlIjCDo6Iu)~@l@Oj34m+DOlh%AkS<6h# zCvjZ)wD2KEj}y9-XAn~Z7;i@CTWmLfkyFPS!4(h^e2Q6^S`{l8s_iW%y)6fGrcE7_ z{J)rOg&|^FX^(HS2uaPxbJ&y`*|wK(an&$&uuhRmM$d}8#rJ-S0wG!kh>&P5lxc+@ zhMj;DtCZ9;dgK%=zW-1m#B{$U%vrU?Lxr!=D4EK}m+4(Nj_Q~5av3{R<;U*Y*zH~b z08y%@-!f`Vn$wju2aLXEE90GDLT9e<3l5e)8{z$7W?QJNJz3^=9~1=MB=VWHWLg{v zuveX9xE>j)`k=7FBCv3MEDJvSZI5z0B)3*YNr}SyzpC{RNL?WW_iW+vLNKovuiQBdD1op)!Ucv)B#NNCxqE&mu=I**tzUU zGbzDVk=i8Ca}B=?Dl+0N?#N>>Wo1&ab_CVbnKYv#Yg(<%>u#4dK>R)_~8xgg&%rd5md_4D^^R zC_I9*>KSkctFf(2M5+pM(k&JN-JkM@6Z;#VYUdN*rEfbuVdDk^%HtT6Z!LYpMpAm4 z(|4E7aPNhmM?;k(PaQ^Hm@-z(aJd~`HYY8c)rv zTdp~D!8LxS*n`QL4Mu(v+Cu!!W`oa+C;iXeKIDHe2Gj=^OJZ-GU&`rmGAR+Dc4 zCFl}ZwLb>UuoyZBla*81 zFqQyjR!!~ESAb!@hSCx$?OL_35ObHiuI}O_V07&{#V30k*&os=HgTkVz~aq%TPUOO zJn{HJBe%p<=HTC<)+PNGh!I7bwsxRpoV1l@Z?L)(x0M0B?C+F!o)$MmdAI0=L*363 z!^i5aT3$jyR{bf^W2*+7c4TuH<|4(HQ=?5|*~&2qZ=>;`2NEbq*&Osy>6)QXra5Cc zY%R!rKrl|Yg)(juNHWhO_9B*%Yy9U~xzNJ>w|L3OC1XWuPl5h9d=_P!(?VX*9?EjA z$|+Ee;^GmVk7o5v;u5hYt6_<1Vbf%lD9)cdOP>Kzb0JFGC{E!&6H+3|Bq6$rx0#ni zO-8ff$lO^Tj@wewH-Je>gU|f*x;Kt*%j4X|(liPQ-}rRh{6xfIf-@*~j%>M64RX)b zZQ|m)UoL6yb4`-S+Qb%~B}HyBJQ)FBl8h5PMLtBKmjt{tt_jSb5KC|_KO)(6>EIBH zZ)?l|Yfh6qgv}=R|8+%eo)}*Mmp?GOCtlPa)pLl@ZClnX|5zNli1{IQ)JQ<4q^8@6 z@{wLJrj7am2%b+@Y&w!Q?7Fv3t6UEa~kQ!CwTaLDCum&@>fKky4#gcUj!?24> z78RI}!W?-5|NK)AdCr%W+E+cHD{=LOg%moCq3r z)guv-Yf(5v=ecoESOUWTd1M{vl!iowX;Uaqs%s=Z6o3?uwSxhi8=fyZ;{Mrsc({4= z+6`C(Yv&>ZdD3}OWmH=7(`>I9dEs)E?YXA$P+_g7IKRJau0#=j0ZrK% zml@D*b6Pmyzt(t#QY35%YhbhypM|GbZf&@g5f!D^K#3AYP5dDSgBQd~PgZ8cUEr|g zXKf_=!N;?H%JmPnJ9kfya2oT(Zs~D;i%xgZ`&va@u1UxBa^A|NDhUcBkcqILn@q^K zo04RHK+q5h!QVXhL$(j`lE-k;GC^dLIPugG=+OeiA~COl4r$eygOvCRD=YaV5i}-UM0zyduZKlllf)B3b6rnT^G4Cjnsq! z4EeS7a(F2IS#ZT<&dpY6fNdO+^Gh;-mt$a|ykXSGYx%o|ZhRb@spEG3wIG41)m$0+ z8uUk3+pKz6_wD0`eJ=rIwu1H57%Cw4WUQUQ=_%zwHe#7WGNWew>mLHH&*`oV+|YGw zVOnZW%H?U0{I!&Ck6B$Ufz_|;4aRL3)U`cNil)qJ*GDbG&f6SaU`6S%-uv-q;%Ryu zs}HQTkO#gi+$GWrn3B~g!u%Wh`h$CtUCW%u2Yu6REdmeF=)rA!t9PYx50}lp9saCs zDYdCeUw&I|V6A>XSh;SlJ6x|VLlS7ymQTSmOc;T@Ckhie1_1&bNrlWf9?oE9(rKOf zZi?;OB7e<6f16jIqNOQWv8Jl`23)1sBuR1M4(jv;yEVW)1tc>+c=JY)PjQ`3epG+) zZ>V2D5N@~s7yt7vRmAegq~_BFWBOfR!P)FO8T%ky!$@aD^8`~gGN1b%B1xW{1Sffp z;$KA`FMD-m0YhJZAq^1@71Y0R0=xL^D5atDj?Y;Ph>->NntQswh z*mNFNwUik<3EA+%UfysJNdny5$EekwcZ&qI7*_4mKUcrp$1Pa2C@|6dgwN++RqOW) z?Q!{wYBkCvQ<&rQksIO_PrM5pU4#>3K_zWGxr^+&@t!GJVUM?ctnb%!c7}lk6o)V# zI$?6ViwQVN0X8-M?djAUH=uvcaFktXQh!(wTt(=~sIEEHCIzi%7)Yv$@|M zvP?=>nlX{5bVZ{eGaV<(0#?OOY7~d)EITO@+T}LL5sjJRypf`3_ASIoKB8iN`jBeT zLAElpf^?id<#RKhJ(61=HN~dDhOnV3+H;zM4Bh<4g9VgWi6xW6#yG#F^nXy!-A^Wl zzt%Wq=;9~8RyeOLuHbyWdD7d}2M5ro!JlubB!MYfJtmSA8kL6MAT{yY4Rt+QR^0&i zl7RQZzmh@+9(k&1L({c}puMFV@knc+Rw*Jv7+I`c*8}PWNXC<^DL->d>mBXB$CUOI zrfS-Q;R+W%A)YO(f`svBh^<;Ir^Mcw2%?5!En~I5IA-{3HzqZ#n}hzXhu7@)o{yKPwhs6xo4tMjf`;dq-ADJ{_Wdb^){J=lCz#eYwB9 zIph0P{zaPI*s~WWciZe@zZf#s?G$>@;~!pG3@Oj|#*6hx%J7Sd5w$-))O(M`4k<@{ z?iE{C#BL2yK1YffVX&efU57R=EU1-#7X$8k3M?7zSPjK~G|bu_x`np-ImA5}Ob$+| zOIbgfl9vL#CKB)*B3(iuj+3O;~BpQbYW(>1m6^az(kQ3;Y+1 z>MuYQF4!WA4JEPG35B8SCuwpX2&KD;mOlq4(5Jh|~ zjr2S-87>f^bE@s@44(-o+B4Q zFxw_7!Msm_FE`>4$N28hsX&Q;*1s=_7EY+aftsh7Y;d~RZ-fT@cKQg zidB&!bpS?h0&C}vT*SZMbOYdYkuvcsa7KeSnGaS}rw;&xESrZ;XcWLyi@SHxPV>A)2gOP zE;p-ai>sgopvw0HLedYQE`o=r6z?+R$7R~&lFpN)g&WS0DB`&5hcA>mcw!-m?7*`)Ir)|VFG}O@1($YCnuL>Zz zZhJdB6C@@R)#8Mtcq-gu9Kvmr+FavD(b&~^vNgYBU+$BYbpS7FpBvH2v_jLi+WDy8 z8ott{LUt7Mr3O|Y;3P{5u?2p$R|D-^fE$nW|0CeQJ zwB)TGR1tpfzbYVen+h^;G1F#Q^l7tSbXdVgIu~sD`>`tP`SVq`8ZEs!#j>H;eZ}Dx zS4zJLIKgkEP|72Acl$j67_!GpAebi43uIj4f(#AFO4Lh`Jk!}{pMV^RJX79TsyJ_3 zBbrbph>bl8X+fIAk>MG0Ke&fqO`(Y3te51yO#DI9%V|41_~R(vc=iTe|92gP`e*jc z6r;0jcLqXG3>~|0$Es2NpoIum>kpt<{9b=fGn*YiipGUe%V!b!n0d%_`8U`P^~KpG z$kA2rZN^FikHj0}#q4`WK?q;>EpL3iatnT(&1nvX*GgU)Dp@E7_BKuw{aV7o4SaoZAAZ zbJx5>!`t9L$XhnIFl^S?nsBBjRrH7_BnV^K8YxUb#frRGoAZ@h&I*-nj=j;P*2do@ zk=icq9m2ejlFB}fP&4(zBg)%Xf^G%tsYy?dQYFs!PPEkx=y-_V(PlDhb=#EH_Q;?n-Yt!L{{*ab^g87g{bF1w_CzD?jk=)l5@&f2Oy zV$@1(me!*1jPov=!gtsu#~1*`cXC>NINIAjdDYxtu@q$}m^zjrVIqJ$nU5xO5xb-i zCd4AbeBN}`c<5;!BvWe^{(Yy21e@%Xc+G)0weJDISE|+S^V>-cf+e^15Xyx4v5#wf zmax?hV6E8q$xs{;a`KRNCp@XG^x#UFuA1|Sta`be9ZFSEra7CrQly{}Js-M5(hD%l zk2~Nrez)e6Ellt%*)D}n`l4B}>bUn`6-$Nc!Srt(LeV@tHddKiOJQ7Gkk*jmCs4M= zV=rmluM#LmMiXzTZ!uQ)?t$#}sr>wXvBIotMa~Qj>;n7A;ki-TRRf_1n!u-xyxx3* zKJ^S2ifcjcvqEBZCAJ5#7>|O>^$?VU+dBX0duw>KN70X334eGHNx=ZD1Tsg1% zl!(TCyNLx9QZ(x1HHH2PZkn)|=3r7_HB|P?@}Z~U^8)ApUK;0ZHY;5<_&y%|_yk3- z+Bbga&;U3;uw~|90Eb?r4JMYEsSU#Rzx$mP`4Vu~0K47JQj)ZHDycAtySD5JI-*h< z!+U%ApD~=g6Hu7WG~gedp5+GQtt~MZ=;;3d#<9}B##OqD%Y~v!z5U~kB`EMVz!rB| zkOWEfb7wnz2Y3}gF?gV+4Xz6^MB(4OZkz!VO$7vIV4D@8oDomF68mO0SCOj*!yxfE zERsz2_$`@DJz%-2e9#SJeU0SFGX5PJzj0NjIRLpJ(6B_!1?iks%z;cR>{C z7Vk#LFVe4y;j+Eu2N#YL49{ut+M%d;19=}uK*g1Ot$8CxL*LpoTiERBXy09 z2Kb%*A}?WJ{NmtFJFtDroBs=G*VO?Q=yx-zPtIB8P;7wnKN0}}9t)<879+1s>Ls@s zlKN*0?--3;!tzT#*c(Yi+IN_Hv@^3{Txel|_6O{t-CM(r#iQkZ-TKZTYN>BsGt8-t zvN+x7l+3ANqIQ(p7b!CI{P17@sFpN?7pseO2nHoTiSE=UtHAa4Gd!Q8Fbi){FDB@V zsqY=)~pjWW0mlKp@Pk{t73K+FV>anPzs4ywN#m4k9Ebbb~ai9Ox0*L&# zQ2&#`kw=??a&T$qZEimW-Q@mkf12WO&RRH?tEYbr;fLT&At zXNmPBA&R+oM20%k@NOsEPmdBWbBF%hOI8OQP_{Q&`A@w>EA#xwr%Jn=zl0UM>-zHp zwt-~P7DCC!qncZ0RoeQmrz7Y)$I_V&q3s_^6opjNTh}XBD#PJB!7F0Jp?|)r^t@uA zdR-4kQ!1Nd+uF~LbMCk`+PX(;VTebTmc}b?P*yDgm*W5V11Ml9;mD@c)h`|1Ek-iZ zchA=F#Ptan1R_#JLTuvDuG@es6K73vSQFqAck1f)ukS?)hMaGW*xZfd+BED4qnx13YfK+YbtPj?_y9@1C{20Ovd;jLaR?B*<#di{3521#}6Y%#xBm{66I0brn=h#z30o%^0VN(Nn zwignuu(rbX70SMs4?fU3 zUf%Ao&8g)m^N1>hHjJ(%N^i7RnQS@z66d3L%q>ZCL4W9{F5$x?xa&)l5U!`9$C26u z5Y7psa=-e2tE5*%NRLc91VwAl)b~Zq@d${G09G}r0|9+m&{7bw2XP!~S#$3n0erA@ zNrcV<-16+gUW+$=D!7asyKq$C7wXrfnT zx6+A65F&4$rqV`!OH+6o)u{iw>$CZPY>mO7O->#SFZNBs)Rb~1hR!QVmw=sf2$bh+ z`Y1tFacX;N3W`{T$lT9kX$5EP)?Ciu>IVeugJge6Jq41&*PmLD!}M*nKR>a}={&O$ zyjEkLSEZw=xbKNjRY>lBw+g@P7y8Hq5!`mh9?DsysW?I=4ZX zi@$m8)m)!j4~McKz>&vJHvG)`qB+W$6ya>ykw^QBpMcj#p1WAu z>%zH7dN=ZaBZ)KTVV!erx9xcLfX3McmVf)tUPx8s&_mYD?pujjO#I#ea-0MYvc)FH zmh#|8E`3$}Kw`BVZ|%n<12p?Jm*n;hQW~>W+IZZ;DRi=6f$Zd6ljWd0bzi)JRpuq* z&`a8)2=B#@&8SBX!hZn7_$UlfR69$M^pBE4b0%@d-I2H=@ zlWY5@i__+x@*${rxA=nh-DY#(l0`k&wZ`%6^~nEn->hg!y%Da0n=4;|c+{MQi>J?& zjM!B5#_3?B{&p|V#kvjXzl4BZk}vV_1fLe9K)=WzHb)rkCY>Hob{3l!&2KxwWlc+= zB<^CRVn%QvJJz&NPJP{Na-Fpe_*ltNsNvQn9ETm|nH@&Kyfr#}n)G3$oQ_Nau1BmV z=X0?7Dx5LUtN5AD;3Q@sR}&n0R21mpj`u+om` z9FIGIL$|e#b(iRwea0-4Ry&hsgAP6+v;75SbwzedL5TKtqIBvP*t&eVd#Y8~+T;sQ z`Wp-|@13=fSh#+$KDzdY&nmB!4$cr}0>q0>K4a>Tl zIY4%N%4Gjz zy9B$);!YL+5TH0IozJ%6WF9mGr@;#_jRPfP9uMxh=oNiJx@~e9eDCfl(y#0mG5toL7S-vV#-hoVq%$z7 zf0fERQd|+~``S3)wOoqsUx3L=q%IliIz1|LX+~PMR8G6aU5c$g)3U7tXC7GnJIw9L z*nfvg#8IIV@9LdloVN92*{lTSkC{AJ8s}HW;X6D@q#$Zc?t2S@P38V?O9|rqFX!w+ z^+9RiWf#vX_Y+&WpEOTR=MH{tIUY1-6y(G{E}xJbc)UgG7{wWw*bMdk)OxxAIvpFd zHX5MtZWF(KaxvGppqQ5Gt|kLoC16<$6*Q%(iX(S%-HS&tQ`B zH*ap8j%y<2S(|Nu>E-|%40|=T%!i~{LY{xtcuS4aEePmrTc@>xphwVy^EWn{z`$1C z?D%v`D{AKa_xX}qYE-=3L%ChKnk@97;&J*GLEKO~vrI?n#p|tL_;KX|DM+uWoHSLy zj;|LDfF&E&&&j_fbDB{0^`VH4C{vwN>-1d$l+v*w!cT=&i@%v{`Ouj)0`P#;PTILN z+2(;XBg)3tTs-nyyGPXlR0~Fm=r;9{x!o34;C)E>IQ@Co)g6{PkH?7e5M?D zCmY7x2kpqd zzYsVZ#!yq`x3FA&APj^()<#RJb(W1mj=JL;H{<*YZS2X#*`=?90a_VlXVe1v6=MtX@7aB3c~v<|uk(!&neD`Fq!uDf z0ta@eeAA|vyOr&gViX0gXWP8BZT8UP8=oiDOSkRiQ6)mo+8xk$0WxO`t|k~2y8x)% zwozk~rOZRXqkdVxLh*NAD52qw%wN&8x;lTu|%&VEY@ufv`Vx7j(Fgr z4Pc&sF7zXw0K^ZK;(dA3d5vZ$3CP0nf>{-s{{xWS%BAtkfx!8*B=5d-EwW{&@Y!qi z=@PWAF5Gta-u~<+Wc%0?#cUfI%_cL6gM-q{QYC=DDK@2g^8RFKLMHvLC-ajJPY0*1 z)>A(2BAzIOtYA8w`M zHlywKq-K@Mdes7ZifzDda^@TUm^Qn^P&5w~DPQ{Pl>>$rGJOm#6dE5iZq&U*cB8Ep ziQF*2z`^+Q-E&Yb_gdHdAsYB8rQ05*>TTY1hHHPe@tH8Qx4-_G(Qm8UqER*XEG-X> z))OCbmT2SF62SEsHQQpHkTUmK)xSqn%eyq8lMgs|{@D6a+EtzA@>-+92dZfW#OUYJ z2OmgLs2J4jZKIxq5bIVo3GYhtYpb-su!w@jJg!k|@^OYYf{`6`p=~hfZJsVqtUP=| z$c3J+(!;g=-*H>T3B>sYttSC9l;v=~;JcQSXKg^qWXLs3a>FtoP$3`iD!=j~`GJmD zcAc*fkP}9Ex)z$$c)pUeGfOTR@@_#XaYmnWdDo_rLH?tD$J;KU2H!;7WOYS5y@(m; z3~jB@gxZDw1JKq$+xQOB{2zu;juT%m13aehHf)X7W#)Uwnro}h3ydn_fRMk zl$u#KZYWeCFDja(S(bzvoUPn$o=Sf7lY_afbN52F&eXU?lFG+S(--SE`$l$@{bwS^ z6Xl<@ZmWzBE}&YIo>fF-My)*sj&_G~^GDz_p!VZrPN(%wpbpsjn@$~U%5}s{ed!|) zC5E}LP7_ckV}+{>bBWJI%BPb!FN{rvI9>n#*kTwjR-m_da-PIjEQxbZJkb+!=u|QH zuwMm`pOlOV^x242$=QakNW;$$0t65z;|7ve=yUbxBKUThlwktY|BU|emZN`$S&Ho* zio!KmyiWIIHAIqcd-zv2S7oaOfOV%#A07;|oeDE@xwXYR{Dtl|`$3gr6_dVSFTEiE zTHX&YE;9lJ22%A+g4b2;Q#S?xa+jC2cx=5y(iBFWHuvLd6v1jUH|w(n=Eg~m!mR?Y z-#6YjXw1mWe&SxHMQ;p1Q@rLk9)v;^2gG}x9nO2p+Qv?J)uxO^k%NsOD!Y_rVGX|x zMYe*?HUvT)NUN*~OpLzEI!7$L!JCosQj%qT`*3|4aol5U1622 z?;Hp62BBQfqj@6Ky{=I1a5e?cMI-(WbfTPq1Fhi?QMPQr4B2dX}WsM(OXpZO88P3E_s=&wp48=j>+s@qJM z)rE2fsRw(4Ngp{qmO)OO&Up_PaIui`=*{kiF%>J@KGB0Hsmt(D#*`}k1z%}NfDW#s z3|7eX{+w%1;!IHh+g@Y(A<&TEP~8x=%xByuxo`fu41V*+FdzV^5T0v#*6If4_oohZ zO_gmMpjGuC0-2z9;EL&z>vtDgtqmApK}lj?gPf?f&PS$o;N(xe$ob{S@?D}wivZF= z7%iA{Wwy1n>+K=?=Nvz2+ruzl zz%isiNWL%hcTiF(4rwzdC93Efg*0$d%unW_2ZC@j4q;@ZcY}(Wx`Zv?F^4MoNgXqnXm7>B)`&f(;>I0AogxLQ9bW-ajOT#eFgI^W0^A?W$YWn+kH#;JC zhOI#fEeW*?$#$TRC0>>I(;yGf)ha|Us_(xyOWwZLL)*hhoc^wGvB4E{`E z7Zfg@%L+e7-=y6#DEU})%qGh0iXGIiVoKUF>#Mi@+SA7K9?|-6O&PDbbaAbQ<@@HM z$vn$AR268X2ql&+6e=>X$)`oHGZQT*!YC!83T02n*H?SxqfShwe8ioAl@m`Ez2-je zbN;PgP6BbLn;)+Sar`Rf0n!SMI8#GAXaC;(8&I9eKORq=}?B2pyq!xMw9WMv-a zkDdEAbk*RB++~Cth-Yr-Fw3|RTJ^uv>~(spx}3OnW^6Wy9P_&eqMuuJfFd4cP(}>I zn<1wR=Nx}5i4r~J`1*Uj*B#bxM}rL2a9nn8QP!1hpB3T2iVyl(mMcSotf(pNAC^ZF zgV*!4J-u7ct!G%(Y@Y`H+L#9MXrm6GHqQ!&ZU_`cX>eck&I^0zr4A4nFwR@W zDV$(Zb|>RTM|k2lAZvVeX-ws*zprMp-Rx@Y5k47oBj8xu5C_vyEysHHLCk*Iw;GOk zWN_j(K$tVVNg%tFU3q~Ih#B5Owv+H+s((_8;=W}~=8gw{nV{Z?%wC$K3}yX438>=j zBCcP^_}8@R`fxlPiu_djCQzdxs!s)vdIez2{MlnKx9hyVVa z+k-7>*UfyD36#m(W?}%&s(jqM9v2?G^fbUnQ?UA?tDMhMytL(CKh7jb%_~vZ`N60NkG8vnpNK;+B8GzkOk?s|YlNGIr4#UK@Vk?N zHM2owCyq_KQ$y2)v{r(px7(=Dvo>vkZUMUuG{PgCgMG~#6T3P&Px$~DL(bPXH~+wh zp}q&q6j=U*=u^VTTankigDbl9-~7VFFA8t@BCi4|%gV6q7Y0BZ!&gZf=io+dc@oQY zv6rpaNy<#1)g9jR`(bU0+&uv%NI-JqLaLky0rwqa-bEM#$FCZP3uQGFtb#hz7pa=hxrxI`4B{)w3pM6s{ucZ&`hy*wI)pvP8)kPQ29Hr=`B}PykXQ8dXj2OLuOq`jlJ+x4*F-UwHL+qZ>DDi-u zyn+4p5Kf>Y&gW#;G%I=e7bpf)In3OEX}(9f(@_X8=;I73K|gz$3G1^gNOS*8p39yC zzR&!qvNRVmCBwR6KB-yM3;hJs=zxWL+nj)Te}D2HEF}{yRO&YHJdx5G9FBC4J;cch z$^{9HC=XOt+Wh0AAIJe##g!4GA41Ygfy?*3|9S>GFAw_qZnUJhuLP2d=Ix-eoeXx~ zP-@k5-Q3jNcI==1$=_xMQ?~@Cdvm|4&o z#*4M#Qu^xcwoj5^ZX>IG!4e60A^l+jnL$6TU4#_%S>XK-B7StWwn!N-b}FMt=kEGy zygw6`?f^8?Z1&k3YZ7ZFBDX>dZ7%ud1hBsTFkEPuk3y>5b<(4$v=qrtFL16*nO<2? z2x1GH@9I5slD_8!NbIbIu`%JVw4)&Gm5DD`#&q7vr0jY861U$GY9R}p)*Lbxp_|OS zWQYFwfNtwdK#ih-3V?&|7!(?hbaa<*p=eL# zx(eP>U^W$w#Cir=N?1cc1b%SaV>n|DF3X=QlTq_xXM%n+O{~Eb@mv@FB2xDWs(o?w zaJw5{h5!9+CpTMVC9bBewMM^YL_5U~^8Lj7Y#Jc_WoX^of1D~ONw_uFglu%F~5sd=gqgMQpAixTT|Pv{X{obOpjMvJbW!TI)< zif;IUe4I;G1Ez)a2GSr-URE{{CS|kzzNx#cU)M%ss!*0{{|`+u z%nyRPZH~ZdGx7pR9D-a@O1z{vA+}SP3=+klrgHny&W-S!q|hm+ByE9nc|cXKkVu2G zj)g+&FRV5t0~-J~<3_%`Xk-jjMMpq2%zHXGt^~U* zIX{3Ll~+)CY8~xJQ%XLQ_m|z(DX19MYpFhV$5_6lt4u}SrYaI&fYOyl)`Qr9HG2D=*wc?c#Ywyp1jWz(G=r7(F_3vRf|S&n2=T&j(+vu`*@3Danmk8H4tbiS z&(NoN=_o>KPpa>(=npymm2-Jq*ohNtJQW%b?LT9D(wj4klHg)e8gY3UmMdiy_u?~l zi98`4zOfOWObQW=Z&w@qnr`@1TUb;Kgg$i6;PZ!~Qc)8g z+B($SxHq?#kJph+cYy~8*)XU{ph$b{!N=2x60qZ4&NsO?d5M`FP(m8wCp2HvxV z5trYKVMbcDffpz@Wmi&^yj&2*H#>u$)9vcJdgr?WQTYJFd`eu=(Z=j0Mfw)zIpan@ z@=Hfi?5DvGK{g$r>+T%qpUa;*j_Rz^UVONHt8q)=YFmd#fY;OhfY9_?9hBuS&Mo_+ zO2_8tci)Qa1f5^TgpK!Cwtp4IAhOC$*L7f@W^ZeNo7$0f(IK-K3H^;dyaUP%?L zXqKGz3JSrM@GozN2$zJsAtxpa>`Php9!@Da_^Kx2u;!Swq%a)!sdQPtuMmm4dcDZ# zS%K|74#2DZ~`37`}<_)ndiv91)J2*Oysaoz3K!gNq&8{=EPm=D}VBrxJ0Z9VU4xGo?LpV zbgN(y;rJPTac1to*F)cL)@uZnt=^?IIqAj0^Y6O4WljRwHc@u5CPi{)W)a3&R_iuL zpX$5Bx))65?(gA$q-XOeUlwC7rOGF;7cS3OnjM(7zS_H%7PEAupO)y^&ai z98N3n;nJo z=Dlibp53Z+Hzc;8#fH%wB~X`|8PbG!N^fDdhN_!MFk}$7t@5f+!D99 zs7Jvv>V%5rj_V0;%e^U{i0|trDVo^!tRAdUvMn$25wNk$uS_Gm^+oyBR~gL`9vEE| zmlHCLa?C|!%Ui~#xNI!^NZxizCkr1Ag8J25-Cf;7AID5SOq z^BzlKrYi5gTy{-l6w7ZJVz9PZfq{K&zbM^>xfGObFX`QUz)pu|fZd68WftsBE z>+I7>ZgLu0G*3yO3CTYTi|_naJRVEXw`ql%4#)0bxa~Pjj87uz<%&IyD}N{Xo)ZI9 zHHQjWdrWTinJ}xS!*S{&D$bkyFMPuop?bY32y80LxwQ0(w|8r;>EWxr--uqMogF04 zV9Q&1npjW$EJ_DuIKHy*i=Y+>$AS~sVniyrS<<7^009&8kyH!I*&E&<_6BO)3mw`I?&f~ntY$Tata@%!nF_hJ-*|sINwwAdg^!vePrAT8B~kAyko$9!iM{{w zH;()H_(eo(1y^mtpxVX@l_G+-T|F9@!ObDqt5#54)pMAF_pzMKd-7JPSi+?r+~;HZ z#9@2MnRrdVMl5sf0U1$A6pJDVKDH$YDM$;80v9X(x1s~or0}nYJ%cdVL5afmi09SL zSJ8^S@ylqrzNJJo-(f+|Oqvru4^GEe9yviBvGnlD*>O0bX=#^SD<3C#Qe4KoKXJ+m2++~!^IDDE*&gE2(XyB>FR&BA#C^MO^DpLNYFlexW#9-~YnmCN z(W%&{MS8557P_F2n<-+B%zbt?oN~OjifWSu9F<@;+!s&D0;e_mw3MTE<({2Y)RmN} zez{0OonNpYmLGtvU*xGzMEJmuk0N>^P6S&PdOa00ei!)GR{+karZ@34JD zN?`W;0I9@9FYxEq^<)%U##RiOA>0#(z1>X^hsU9jrVUsma6;<*sgF9gEaZc<&w0t3we-JGn#axAi42><;=@6T~D*LS%O*4f8bG;T{H& zxCa~Lc5wuvXG(jd2w@}DAKVFF*%{|9u03DD@g{{pNKaUBG=-a%lr{eh^X0zmV^lrT zexI51^YvhO_E~TX@uYv4@i8o0>3i$3Oz#w3Sl}1=GLabQ07`>kCO*eWJlyy1B z=1^-uQ&GS&q;*AF!91jtGE%cgU0 z+@V#I)tq>v!8^MI1$`^&nuSoHl^}S}+$X4a!A37ZiJO3bLk}>R_Z-=Uub77y|IK@z z8J`((S0S9_SlyT1IGN>Zl7@XsvT_m9<0SLn_7m8nn{*S=SBKW?|2$9RcZ8h{d0T%r z#R*q??AF6^USj&hF|CC7Le~%XH$R?5wch%Ud4S3jnCV~JtZ=dEf2H$F=-ytQw)+M)aT{5sUaCQ>GRnO~a42W^MkdtzoNNZBr4&wD)m0f@pya-REXMrj5@ zxAK!RK3Zo;r$`kFv^ZHGlw3-p3ll`3Sst*XPw%Y|ij{6e^rrIJ3E~nI0~Ny8-#7R0 zjG;GsBM&9~5Au~?^ztwegV-Zq5Mzu1tqo4`P-&mW#&OVF-l6_@&eZtTGl7vx=rhFe zox-%KOi;L$fQ7%r8G8jL*8a>^2xgF*cduXn`>sk7i3Y)vgHm8H$r(WUCK^w|c6R3nx#+r*S= z#xiH`wxC+Ftk`?3sWg@i+t!Y1&vIZpI#Hcjd)fP3sIDwGw)=jn2g{S~ZLU4^xk@BG{2fsZp$B?C2P3EGv#3pFmAy9cL$Q&Y?_VpXoDpobSrj~k>b&Fkhn_ADh!)^fYQtz?uvmZR9HnN)7 ztVh&lRtvkejry3?&hF@>K4EpSyL+g;tUh-C0CkY{l>O{E^#yB){gO?6#d^(t^Oicy z8ezYCPyN99$R7Pf<*>%s;}g_L))f2mGo764VHhTxsRXu@dy(W2$FRvyb>_FM6sW??gjza|c z@RpsU5cgpYLe&5=qf7~7LEh#dldKBg!@55W;NSC4>k<3}=!Ug45nF<9ec0WY@G<7Y zb4RN%GB&AVGQAy(VnCUfEDG>EEm9qGG%W}L^65*$pBhm!0@)2V@5&G#5{Zq}px^e2 zU(z+7V$*AW3%ZXzTN0BnUoUc<_9(D~+f3VtBft^+WPQT%o5@-gjy1>K^T9?30%ZNq+|J$9@7g z2w8u)?pnZfpWw$be!*npnk||V>mLaLM-p?+o7i6qNhGz@L?<1^PI>k*_WhW8m~wQ+ zOz17q|6{WV?oPw}vzXi)gWQ3K#tVhnTlV%{D`Cu3!kSJmHBkPhQdE_wX8X-1?UPYm z@Lt(;HeU$4DD$(f^~RDQy>y6Pe;S;sp1my5?qN`~pK9=Uuy$*+kpJYex$v;KZbrK> z|Bk+KloVwmSJ*AF(%b)wO;`2(<5xeDXUD6Ci~N2L<_$PG9$G2aAsdR)HQR*M1$?f) z6;RpLARFZDq+gD0>@ zVe|OEqWhy4Q0f=ZvDKVF57?-mBl2U){Y!Gqj%TU+v}i8x5lG#=TssKnq*$fsezqJD z>y6FWqK(YJ;t-g5t|C;tX8Q=2+%sP`eJiWEwjVV*Lw;Swu<+E)Da4uC=xWRF761Z3 zI`ZMx?6op_a3jrk27mWCh!VwZZa=D3nKU4)2`ERO7Pm`;+_zvVN2I6raZpiJ6$noDdQ??d^H zpT;snsH`l1QhHpxGKSC{SN$vnPZ^hx4Xk$iuGyzkC#kM&&{pd!${$V^mo7KcGV|XD zP#2)08&(4JFAXrx1y%<=SS=5!djF|^-Su&`U~nbLcZCfTLtf@4%8 z^Po?ZZgelY@Z*zQK;Doj)7D&dIRDe!3AiEoXUeTpgKG*ds~bc_#GlpVP-3+W*Ml(n zKxi+baAvgh^WBSGgd%^KEJgkP@D5i6va{p!bYIXOiu%TdMaX%y3oca!a!bHqOELzj zBkZ{SHR4Ilw_pVrcB$n|Eq~S8ijk89VXZ22(h%B;ZGgxjpd#}ma1o?<_@!r7cO!N3 zpCFB#V7elV4V$M6DOlBo1;I;X=@#+(C>2yvZmj8x@qPY3OSF1DCi=dNsRJB21Bu%R zv$n`jHVoTR2!yVT#qV4JmnLSf$mCr)U9i^jw#i=w!In;|tILeFk{%UH?-Pw#Mfk9_+Ua|(hy2qb6KF9;ihaVOv z&KCsQ2!Y`$~sT28=~nA%#GN39j30=fZ@bn6ANOJ=AM9+{wzPE z^7n|dt`+2PBBaC|W4@i?=>R`#NX+-lwmyBsp>)Hb*cYH7#DBvaVLp!*^EW3J8pN&> zqq6==}bug_Sd+r2D|Xe>Hi^GL$Jc-$f9O;sRtNu}Py5B$r*{Dt^ODF21C-#~*aFm^MHu;S@AAiUrq8(0%{ z!dlZk1FkbHgLu(f7Q%I_i?hjs2bGFMt+R20bWfCmZxvjLgDHpQ{sVu?$+-%k>r3N& zz1B$haD9fMa*)3F!O&rM^NHuF`s#}?jrH$U2Kgol!sSS2l1}xDUbxL%2=Vs)Ha%A& ztS>t<2)amgp3*aIwbip9Brf&pO_VsUht$7ly3^Nlkt~ssZ9wq~oOtVCUBIZwN;&2e zK8cD4gP42n*@)~fZ@L)TYTs|-sL2yi=>#v95v9Ds!|u6~$WXH{EGnj9@=-R&P5&u9 z1TVgmtY(pYGF4?%gtnBuKmy)z=h?a z3bWjPK;E*Z;s=&Dm5$(*PF*k3(U^S>8wl8mXI?J=ChRD42R0W5E_6hgUElp`>+Qn} z*Y-Ukx^f6_$1ZD3(1;#Z>c2GdL~I`sjW~lELkSZ;L_aIZUm8Io0gs5z9IVC==rG|< zJYt3XrJ*m9_=uRy+0q!2nMfk0Tdn`nz~EC$`)P`o7k-}?Uo=s#I(XBFXs->OFD6<( z+B5w@V~8>lP7I`}EOH;6-<=UcHjO8Pfn=Qz9Bg)p1?A0CT}J$%4i+8cPRQ?8Py2zyrUm=_HD zR(>;28${|NL|~2^@fsLjbFE=AFPyq-K{vWzixle?CEa1nB??B+CtThsmIt6Tg)*se zwp8VxhBwO}{#&4XP~9HBQ~LVs>!S>Oxsp!ofv>GjaAgD8A=$6|bM4VbWh;=eB^dd! zKgutjwKK*o#s;Mnd+Wnp*FCS!dxFt$10bDt3< zA9*+P&+N-jo+5vK0zy-^MO!rX%%?)7sFH`ud1Sl`?^o>h=ze)A;z+lk==83+)jn7< z9asEdMD~U1XMbPH=QPExvY+bT=|iwSez%vVy4L3lf+FgZ2d+S#le!Z6???tlxk-!` zump*DUPXE)ts;2EG30=~bBy4*B2mKOdZ+=AtMj?O!pxjpK^9`j7K^S|N0Q@xKH5&0 zrVUlAB$>8Y^&B?2T9!-`bsn9NcVbTAG{yIAH=Aqg@))?6*CtUq9>8&cZvgUCuW{w%i7|@dl zv(_q=xCkU_`WBxa@(~CuP}IV7mH|})l33B}_w;)OtYAz0UjVSFle-7Kz>3mS(K)W+ zo3P_0kN(nZTs3UpO4JG6MlR?SqdO_hs)Pny@R9U|4iZK|LOUS%5!;Ns22ObB`U-E{ zl5|>+0B8g=!b=TxN=MynTc0C&X2EFzY^`iu*FFqmdlX@C*ErB9@6;3@ zfxP0S_Zp5*FvA7wkhj~7?jw!**&ZLX?YskMo60VJE5O>jR%)Po+Cwb;$2x}omZN-r zA*#3GV-_+#XtiIk4c!jr$9Xxk1YqB|H!kjh^Tl%nB8=7;=WzKI|e4e6OY!DOJ>nAiP zipk=It7X_ETKR)B7TtPvanWp;2ituAGItI|I)=gp zFAofOgZ`zqf~W6ZIyBLF<3cDD%v@vqfI!Cl&mHT~q}=B;p}$bJ&`4b91WC7Pd_TW+ z1(y{(H_{Ef9~pM~iCg_2W^H(6?TN$~6zVH) z1v+=d0*7=K_!5$hT~Xa%(bb<$w{l^3XU4vQWD~(pis2=u%TwqjbobFQ^eWoaR1grF zhcArH3}nu01?i&fg1%vRUi*X`f|S-4`D;_3$EblKFINY5=`O2HkrD#b#z@E-B>h_f zVQN{3@n2Hn9g!A9#q#Bs$n~uFj+|bOe?{%rlk~`)`EOg_=I&;Eolspa`1>H#fqxVH zre|L(?Bd{ePkQ6~->dN?li&aAoY(p)m`?3@uK5h@q5kZ4_B5)4%s@5NG7Q;>z&Oa(<@U>|IS)Xqx!|xHJ zFP_uAMq6AsItM z#2$;mk`W%DJO_T@W%c!nDTJPJEMVA!sN+|1za5*ao35Pvc+sfW2#~#-`sEqVJ z20ri&t+}J4l#H;*u*TF0Rb~wG5G{4ZmF~+i2{Nax0s%n|7TNnSQBHmIhbrPrxhAvO z&21%LkMXv6y5tmI2EfaojzshVmxKxWg%P4AaBZj@G>f<-{4jB$nY6RHzi3QMMbv-?eWF-Qn zWfK(Jnn8skcFO0%fDiOm9SAV}iHQe6pBV}vb2|#GnL?R025=tWXcB$z|uQlcgVIWsaO zt1Ke{yJV)l@l^+wU@f6d10hw|Q8MhjmOLaaAt@CCf}A7tD5HTwZj@*40lVR2gp~C!GJod|HGBiJ}%BNDKJDpqNvzB(L3yyLK#1 z8%h_vG^Yvoo%Qh$o@f@RD3ncxbduG4giTbXHl-y+L}iemASf$M5s;M(mg3q}%GL!yMFAnxUu`4-GJhMUfyK&# zJxcR85wc{+M#xV~!;FAmRZ^pn#1`E>>mitd9>EZ6s>{qY233?buSqJmo*PyX0WtsX zYWlLiyVO7#NIkZ|aZ+u0<7?Ej zcIasjWPHLq8DUvS%tx!SQeDxo^=t)$bhYu3LeI^8ZaTtZo46l;JD}LOFT2`8;3P-L z+$huFcLS_*IVmJs^g*}PY9{jEJp|=1nE*eC6o*ZHHxiU@L<5pABZ+_S5&*=?-=Asw EKS|olaR2}S delta 26617 zcmW(+cRUo1`@g-NJDW33_R8LSlXbRip_CbS=u_O;&LQnxq(P;~c4ebG&#~~zy z-0%DPJ%2o}=l%cl$MYT!y$n=P2BNz77XTaqKmZ6(BEaP?^Zsx6{}TUS2LoWpX0$`A zKnZ`UJjfO54wzyL51 zReKsDivAzU;(ZMKA5y|=BB(FF9^wmZfLXnuX^br_p$|l;{E-<#%_;kR?sJJKF}L z`lC;_cK>nJpw3EH02{>1 zZeJvC1IaD+f4I~MkjC1DL3HLV5svljglaQ-@pJ1M^J3dnaUTI;5Q zh~veg?`ovqdD#aS?eRy+vIrV8!V3~3Ucn?+yFD2-WcvPJ7uOfv_bv0+EfP}Mk*<#5 zFPlset&JF$sil3)VsoW!OKf_gcX?6^Q}lvvluleoNYbs<|k z&u2G0c0SvM09>zza<&GfT&<)MNq|JsxpwhKq&FHav?Sb#N#_!mUa_-c_wrl{F1`HZ z|MbSZy2&Dq3_H~C0Z0>7h3@&teM_r3=z$M=L~vk8JVlo7vl}XCQ16^=mR5&r;l2d8a?$OSE13ie zm1Nn(Og0Slgu#>7($B7kB)!XY>ORKvv2G5}7+PFt_1*4C-u$I$3`y)mP~h+aC+!DQ z7}C{g1(-`3f~jM%d+D5$(mdv9hB;$ymuX@Fuzb@3i$CtOriR}(rytTKUv8mP<_Y59 zK+vIKR}vq6r;wl+h}mU$Vd-;m*QlH?V65-blv1Y~Zt#j~wAPI@N(94(DHn+44REw& zVq)k)3Fw%foIT`OvjuRUQt)0pNOY!T}V@wN++}oeT z7(A7@Dz0^G{`x;Vc?Prr{W7%4yCgG8@C)|l{@ZC_fUh-(P^>UT*yOnQoXv@e1ZKctb&HwtN>F~ z>hD&I+rRN2rT6I&zS`E?&o>iP71jkD!}N^l8wysKPG6&Zg8c`CXqbq!OwD5l*f5CJ zD<|bzxK7BB9w%B=!n*YS2Lz@zLnEKKx^6vqS2$ zKr6bp;`J<{a8r-y&HV)2fgNDIaLP_mKMYe}YjuoSKmJiD>mp?KG6Fd?Pf#ct)y1fu@jKGLupP2l*WM7hgxoZ(lxZN`+N^{X$jofruZ^QJ5 z3ir5{Ao-qhW7E2{{$t6>Wh(LK)S zd(Bb(7A|ib6v_+pfy8Ew5=@iVx1e&oyMhV({&&~F*It^Z&Zd9@pjj?)*&&fv63$*i zhyGspYB#t~-_u(3(J$!I_}S8O;cM_z8*cnb#=uCcPvVN`cv{&pFJ9#*KK;AD_84~S z8B{tf<5QUV0=-K3dU-OzrsZZ~XXp^~GDGKIHCW#m9TkSx$-aNl%}zsT8m}L#Oz)J8 z?${N5q#NGWV^b_9$E#U~mwA_3u;K-nI5&kqsCvTSsN* zg{M8TjYGRJ!lIl^k@!W{b;vR9IRBZ+HSKzY#m896+I3j;P?64CLz8$&Lhf=v=s+ZPOi zhG#~AEAQppO^sRvVe}F-y0CAdXXU9B-T7~>U$ik5>X}hRXERbT zVMF6L(?yn`;3!)sqHE5c>PN%5wA>hfmh2m*Ye0>(>UMM*WAiPfdSiv3xFC|9`=Gbv)GDY+T4v|de=vyIRRiVS zu0Otv@R%eg*|Cp4o;LRJ?btS4r@ZySSeyd#JhJkDgaQ}-TDn{z9$TjSS}%LxdH{Kq z0_(d=wg1AS9&a2jwI7Dwd3XcCUd{rqXP)6*U%I?;C|_V>JWo|j-Uoz4cj7@5rr>4U%A zhbVJokY!H#s)4hti-$qVFm7g)^5Cf=3jyNXYn73|XCUfi*Qli#yQ3KKIquB zS?Mv%lce>GIVfd$W=!~N%b>*H{C7p7RSf>5I&L+HiuktC@`(LO-&C?ODE)c-q4O z|*5JBHqD#Yi-@gFABg@EdM0ur$j{i|7UpGnJS7eB9HB0oZ*44wcr(YN96U zh$W-v+Y@b@rggyNI#(t*dD~O8cj|9@?l`x{G}BWS6fAO-+iG&E6K??j*YwF5zBJtX zqt>*Dv5cY0Sh_gO;%|Jtb>82 zLu&W!HfQ7bB+onmmq$M4K9Zu@ZSc~1Yj~AAQpTd3<$9x4m91LqRB;V4Ln`oYtS<2D z&F1PF3zZEauVcqqtZvBh;_;WY-A=~gRqeRvTGv(aHC$tdim*|UJpzKtxZZ8>Yb~>D zHP`5uaj&27*~Rp|yjTMC`mW%Pz!9ctv9Q$xCjkh6DDmZ#vGa`G$!|fjktX0lME_~Q z;oR-gm(TVRZUGG2BLj(jj5)ZA{hqrxd+cdn)cCMWnRJ2i5} zeVfrH)!Ts0mu}~0pPfU?|3XpLLOB0AIclvOdD@8NlCr+570{DcN%Hd6VZJh{)l)_| zr`NEi#N;hEk+-0Ir6_pjY}QJvker6DwviIC!)daRJ}h8`_(Cm8&b`rj%jPA9C12ei zZX%?{DMIqPVd{=2EJMPn=}1p zss1mYrt5PZ)gp5~5`6-i6(IdochWX(yO7H(Z^legnr=GY^Io7X$6nWW8TW9c#A2-U z<-!|rAL_F(3un2^G{;$;eud&bz{4nmEPFFO>_$GB=KI21s`Gke#F z$4qh<}8mZox70I_1EVrgY9`4WNco_Lib z`jh%iYeKSEg;pjPHGJCYTLJU8o7U?RVj@ME$g9AIsU=#fa4m%-bB4Milz&cs63t(Y zDf{MdXGs$1n`drpWvCdp>$AtrW(Y?M#qEp@!F=smh`vB((_;CLsj%nrx9eTtRH63g+p2)C(8)X(0`EW zYokg+(`qZ#8bT2tLtC&(-7JkW3>XQ$9fJC;dum=94B-z1xxXlb`$1+s)sEhldFD%0 zE^!$<{J_qJ!ZR1xE5A5HPKCD$yHp3tw_1le~w;$iE zS!sPJpGpjaqGHuS`^O=zc?uLnYhjWV3qp4@Vo#iF%A@zzaD2{|Wr*)6LZ#jF^WIkj0JcaK zLq|pU-Mjz%(|H#zcP7s*ANxERxW`R!(Fjr={ z0x4tf^5oi25&;bZ7J&ckw=b7#xNw!Il?ps&79Hjua&~zBy&A1$=25jQk@64!w~6rnnsz=$7>t0(I)e9s*lcycp>%3+7_) zhEg1F_{dEDLi{J#u&VhVAg#Yu<=R-B+%0)pdJwAkucfAiT&0H zEaBv6keU}WgJvFB%YXC{m`d9|I%^TD{q06-4a2zkcrX{rC#^ZkFft*A_F~&itCOF zsr9=9%v7vQGOAOGaxGSV0zRe`jt&|%YvvD-y3@C7p0Fc_8_F3R>eqqM--ePwo41!H zPjkbo&$fF+<{qAkoVjcqkue!wpeDjS>`7mvnW|B$O-xHYOhT0oYnq>Sgb!g&kKAKhXPdEDCJOqkPi~_-7mn3e$mofxH4U1j+e~F*I?c4T> z*>l<`b>lhz`3t98_^H&Q`@4sQ!~7#_!=Reg6SP*|JsI+k8Y8iv4WCgu_S3}ID8S&m zafJ@@8)0HwUN6LzF_ed^IRLI^d`vtZkIevcZj5WTtAOCpA$ub~3yFgxVMolBjKy&x z)oOd~^c5jreyL3HD_!W9h~PZT<*;4xDA;{Xc~tyf&bxFQst|c?A8?)A2=yzjKMQ$& zz`bn>k~%oln7*I~G2~J;v(_|>lefCk>{nBF;O%Up3_D-t2tuj>}Oph zpu;6VPCWRfXA+l$+cpVHQVCn5C`IjYZY_NR)GeqhY@;}b#U|t=lu2TJ^*j#`hn9jN z!;v=eXpY;`Ml!%8^?B1`+`4z3&{mVjf20;_8ll;1UFvv5^Pw|PZ-HXDTLY@-)(PSg z!e1=?)8v{YQ#9vE=p}h>3KNciFEvW=pQKPxm?b`Cp(}j9P>3Zs7lB~9E*<0_@^rR0 zgV)r_1s)Hk_y5OWH&t01VD|_2?ueC~it5ik)CpTwcklmD{S*FORMe0zP4e%Pqkib6 zPiW?R2dFQm%eM%pop#+@@7S#hG7P+$&gXo8f+4LhX2KI+n!}||PxWAW3m_W54@^+x zHQCeCZbAH~^7gtppKn}CDiOtb^k^*jJl@zU`(l4QG^hR1^#w!xj$mlx!aLD!aWuaB zr8zF=$+dAe*Bfpse}8kIkfEaQvZ#|>%NRBereWQK0zMKGjs)dgiYBBv&5 zgJ(rvgy@1r)mRy>Nj1l~Ctqq@J`rH;*kLMqxnhOK<_PjS{h~>nVFI0JlSiKsWthPr ziAM3AfZoN(l?n3MPTbqQVoe28~WHc^d5adLdPmenN1<})nu9+F?D+I}_Q8i~*s zb>K%m;h(&OPhBcS_@y$0NIv}dKnRZLUV-12q3a39mJ6*c*>N9_FiHjHuizVnqM2R4r-Ged#yWXC*HUNa{DKCH_J{JIZD{~Wt zI9V@W_$JNE3 z2WV)!8YK-KJR`m$gzEtu`~H&q%eS7^k^M)Jd09_%6a~5L8Kw<_a+k*PZ6jH3-=Eg6Z^6_Z|$fM>qJKj(ndPnS+lE{U6JK<>#okIMaCqf9w$ z3l;}&ny1nwlr2Injz)-=yfbQ*DmIw8l1r1hAUz;+mEVALU->Y#QBP zleAxh%qA(?N$84N?(YdQ6sZY+nh6m>Ir#b3e{Y4r(xmGMVR zQ>tcE=lG+UeJ>=^O0Mj30}T+X87sqgDo$~j4Oym;%{po6gCoH8b)Dp)YdW%RvzD5d zrmq)}t26|hC!{Zvz>TT8!*Sbo4V`1jy3~2iy2fSj*(0J~>e_Wf->Tv*d9rlNIPXH& z)bDNka+k=@!3xsa#Dv%M^^f-?{`7Jl9YoG9w(;GAVWPInt>((`_a7{OJxr=^Be87K zmyeh0m#N-;rPz?rr>WcCDe({(R%lLOm@sk!k2e={s`UAaIdYAeXwI;u@hPo@KB{eh zt(tn2|3lx8(Y(}#Y*XcrLl<4yWCwtj4R?)%CAxX!NZ;A_z+#9!x8 zL83J01Z3kG&U%C4kW6#h#|k#pyG3TSiC6F2us*%mXM7=s$T9OVC7%plvh)TE(zy5% zf3;x=6DG^%{aDdsPj5R%mxAWlAy6mucRqWX)jxwrc}xzpZ(h+lKME|OJ_>`D&||k} zdjZY%|eXqQz+th2jVBfW-HD< zCLdd)`#8n3&*V=>&9JFiBM1#8k%b%l44sj};Ue=`@gcg$U@G`K8BlX) zs+v6H=BbjY*`_f9jVw!zN0tF^mO-=xA^n=u{h;>%Ye7f2fIkCT4Ak07b>*W0f4H#Uq;X`RfKa9m+tja15Vj~0Dx8P{Hv zJERIJk8t}F_+3bSf1Mc{!Ed;gz)en-%b^r}pBrJ`jr=6?8S?8*!IV5-Y0O-e*a$WHtt;Zw>U8}8pcB2Ynvr0K%p0+@Gv{CA;}&;qY-DUr%2 zmI##NLVjs@)7H|+W!c-msyhqdpuch8K|!3L@@8U$ptjmKYe57vq6Va&AFnx*=U&lM zg#5}BDCy}Gf=bRrT0I4-X6Tu(2r!f_^6j3t6MJ%ksD^_Gz*mlfWz}UL;YqTfd`aYQ zh8>`sKJry`$mBPlpPlX5&*7DqdiY8*?5xLS|6}h7uabREh^X4BHO(*JTkSr(E}xrI zxjf}vo;KlC^L#hVPVcip&-!J%Zb;t(SOI-ep% zQ{{30jZi;niQdKH3O^`}Y?^$zHvEkydf>^oWVjfuP%akERDv+4mnyms!wE5N_YwZB@pNy)|O~-761^PG&BUs_vTZj zmI6dclFF5NS7Bg@2X`C=Y`{4c@YxP~kmsic%PcRO%Wpv?HLLAM*5%7eA@18JHsyEa zi(wCqe0|4M029_7Z2+FmUvT<>8;eP_?>CM+2?@HlM;65uO6NZLFE+wtwtfsz0&kn4 z7d(*W0wJO&(?D{(3np@3|4f!JWvPN6lw=~NLut3?OE5f8AScLT9tw0nyV1pe#R|1DBH*{%ZTJGsMTgGImmhTVD)ctxqjQ-f-^cV|9s z>kc9_^hL&9CO|rkh4a+bh2;Gk6)v8ibya~7o?Vs6n)hUzE_*ZLJ=@rKsI$TAcOXX} z3zrP30#t>Pu&jGiW6-s7+aFd!1ZEfjhL88Ghtmn5#zElC0! zZ2Rr7O!mehDTwhwc%wKOR3x>(?%!l}0jvQCdLZKok32zilYyk4jEPT?CSF(a_jH#DxiabQ>@rrid zI;qKXYkMC~BtO%%Oiw@HR01mW{BVr}Cwa6)P24S)x=ZywRXwEBnnpIA%# zdUT2|DZC?JxGy;b0ngx#iuK&SE(@}PT}OwPKLV+c7`@N9RzGtF7Nl>|uCm*2Jes!UNjLjNuYql}rGqVhKT`hJ{_L4soyHqo`G+Gs`|^#W zuGB%3J#eC5vE;Lm*zLCfAbO8yjYu?I4oBnfp zhRf)AI0qrhhl-tJ-)as~Z6m=|xPw%!_TSI4%;tHJBCxPkS~=ohA$Ac`{&fWQ{o~Ii zE<}6Po3YY_juq$3VOJqd+y~~SM+kzWZ0Qox+ZU>GW;~&t8O`F0FZ9Lt85^He-aEpH#**mtT0tuqlInD5 z_BiLqu8~Q2QduQFrrp!M43--=V$QMP^u5bUP=*hz%F}pDtC_gl3VxN(@VqD3MXJ4K zPl_L_y@42|^e;JZ5z0hT)|vZ$<5>O(uqaFm;IRsJtNg`=wvrBz@B&b-Ho*Rl6;dd- z9u`!8cvR~EG>j7a#YR}1d_GTIrhS0sWOzzck*sZ^`b*imdx(GcOfbNyqO9(_TL3h7 zP0%k~1Ag!Omb)BwojtJz4OK6N9Tk{>U@eb#2s(i72tQv-^+hZ$iyTppt+}R>-Pvn@ zUPElmmpOOtywNEHYTT3nQrzzfx*@Dvup>2YPg9Kp0d9bE{YcCOC>4(Amhjy$K!k%j zn-PBrw%``7CNT4Q-;qHhAxs`lw2RCq6w9PZt$#mkl5X1hh6!kAAFbo8WJwv75t}ln zI%}_C5Rz9|zH3mV{S*hP6 ziLDT_HGbNrIPbElyfue1bqo-phqKq=qq*&~Qos$iOFaezhfWaP#D$O|g;^AH#4b5x zhzSujH!xi#8$Ybb$i(QwzwMN4lVLsLuR1tF?Ro+83vB592m zXIZlfR1^J{9Lk4eK@LkPw3dWtYV`WxysXHZ^ylm#Xl@h1u)X*KWkFMEt z;Q^<)*&2N0F+TTj5f>8V8;fagP!*cmmM;?l&(psO;H%JRJUVk}D2(eD)IM_aBT7ik zt3+y4{0xc#Y0>k|zGN|U`+#w^Frje&WHH|rOASUNj{SswINo^~qwk@f3~Vmd@iyc4 zVa!ooe0$-)&d^Q)EqH233ANH{j-OHr|F)b^_83nELkP^W2nxf+c3t7rMq%z^_=TUrK!^E)@xsmHB%&870c;G!cHb zFF+C{Y7#2DhXQ%7fvO3>HXET{2o=BSaCJ2UCb9+y%)sr+LpfufaSH6~Tw!??*gq_i zAcR9sP(1iQW|qhB(P#Vv20;H3rUI%VxGDKMv_PuZ5k9Fes7iKkMtBtIq#9JPkoQ~| zGTzLMSfZuZQNv|>T^T->Ph3doL`S}<80z^8|8D2_(htzUU?bjqlklUO$7HD!|D;}F zYXZX0$dVHDe?33A1?=M4!uyilcMTjw1H-OQ4#;RL?TQX@rf>utWH}f+ZliETHzuWX zad5Qw!Y#vz3pksUdwWSpZ}IL!k1i$*#}z6v(0pfkC{nwy@$+MOXy24G2$btP-3oJ} zqJK80IHlAvU!ZpGc2R%k$`uy>`UiyR^FIGcuY+J!WHGTlnxB>6WBVD-&lHWmpa!4Q zf1W(Q<7h&or%5FJymo0jX;LzPpaVTuwJ+CFPj5sC`n{;1$tbg*vsUg7Gpu1F(JaC()ZKM1P$3WlM$0+z#c ziH%s@v|Ad|x3}Me00USrg0$|-$MqoRp~aT+v{?&>Z~%g zDj+{enk7>O%p-hMVJil=d&K?5sBfij(SMWjwXgws+k(dvA!xjyT1e?!L@e% z*>ASKM9C21zKsXB=OMc6AG}-o8--)3LFdm}I}|So>7#d4b*_Xr!h=`lMMp!Y#+CXR zOqA=PN~(X=er)F%Ue2u(Zr^Qft2D3{ElW$R8`Nu-fW`~){(vni7)oSrN?z`E_c1(> zmb!hWPBm`8QU5GrRX7CSgmK*lnNC)i`r%BVPwZB~zgXW&S zmYo(XkzFyNccR0mj!S`QpNM~GCwHm;%m4B$F4NwFZQqR|fNnrg;)|0;h=;mtF{g#i zM&uc&Qb7#JVLFA#lz?-rb2vr6y6VigVG zL5E7Y123nZ#Zg3uUJo5#TpN_yz*KK+ss0i(r*|(&(YR>(*v$*^;St;=YDhcR^QK30 zeLu+03H#)3FP!wUoy0FK4cS9wbs?sG5onr|D8N^}krpsu1?9^DStF#OO0YXa|FXbK z>lcLS?I6#NvP1DzC$%1^(iZtWJ&}F`%}9F=iZ{BT zV{W6o9&!5_sYAcmB*-VGyjUab)|WerF1m5EoH*W^SbZTGQL9kxj)2w(_G|Hy-O2Y) zcI%wb&X7yy+e)33S~;HD(&p*kz2?SG)yjr9lQ{(hUQuz=BC6@dYB~>@E-soNEqj); z>8Bu2;it0qLhdH+dgLdWw4frp%C*xv0gZf?;z10_whACQyuzZL5;kS4`RTT858-t1 zT5p|sm0}eSAwP;NZvd>$O)e9+I&D0bka+yIFrwQ581+VoyRhIgRJuDZp>+6Iqicg0 zpBZrFPW)o8qr)H+)D&d1d0c znF}_baAwy`#Ht>7#hJ+4X9^f5T|QFXuA;x?x+z-Fp%yxX?-2G~yoCe4*sTeq1+$ng4OSQCnWuX4^1DfK;C#a3S4I#eT zEuNISU?P)~#1MkL3)Z&!o2dcQwC%0g2S9zCUMHD?Sg7ZyiFi$nYDsxRii0 zwCxr0Knxxzpen-?`ytr{!=8P`b^8ibjEUN6CLQAo{W|@H;^Dnm=b)p17uHnKeodP1 zy~GxjnjSwf$B5LiZ2u19B}MhmB_fhwmGWs_qhIOhf*qibzLmGVl`9Tc$}anAc>>qX$|k_Fdws?PE1t*0km8*50uhQ`3nQ5S(8YGUx*^oluM zo0#`;7;N6(mcE79D?=T6F97#mT(Ck<2J`=+LS+xtBMf(w>rN^1i|UIMcKUD=X5py3 z+Yoq6y^uw<-7HkPvFc_O#hPZrHqr(fq+Jl=uthw>!a}gM%Z7)^T4Pc4StM}%O+-o| z2g0Mop-nuGZ|n)4p`Z7{NYQSFrS!Eik`u9lN9w>P0t}q#jYtdVdz$XledadwI`t$h z+hCYwU*u_nQANF&`g^D*NgDU6;GU3j$%p`%%8Tw1Rr8H-;-d*yvI)qBLFmgA3~O_v|Ul#)VkUg+5a-k;Ps0(Q(W6_v?w z`}%i!mauL&#kXKR8w|jg&;}MJrXL;G4TwEtak&Hx^X5u35)Ny}zK2jHUu_jg_`B}r z<0ES>DfxuZg2d8!@o^^#pu$3GJktPEP)a3s*vwqFq!#J9`Gw2-`q*VpzN_F=(>+~9hEjq~!{Gm( zE2c?ug{3~+74l}UsZbwSfM0YWDK^m6S?SmdQp-p9WeDz)T(;g^n--jT5N~yu-#?wP zk4kD6qPY*ealQcKtQ)E3B%;61H5R})zos(|3FKbkqKQo7ZwU4wjoS+War$Ov*u{Lq z?NTBx=RMtz^X7lFI{9xlf4J?~|LiSt5c~Bp`xLo>W0LzuRA*ok)OY3MDG})SY|!jl zgiRCtCTxa4-w@sWO<7Y4&-A~2RnMY954iWmZTbci9ZibCMUxJS<2VC{n2X4d|z|8 z0a~tSlBicZz2BN{vcZ7Zm&@(ESE;yTpB}PmqrgSBZ9Sb?9Uv$OvoyT8@xSkx(vI<* z^j%9U+Tzj0WAzAFJY7cS%dSD4MdxtTv8_wQa)V4Utt01_JYKnh5- zTYrxMgr_uSF4)&{T1e0BLz5BRrdcP|>AN5(hPq*yiU)+%RK0F%de`Fu@E|!&+j+5C zY(WqAB3MUVRy+zj##2=R7KobE{B5N9hTRrW?(JSVi{4wgqY6Uzcw9e@d~0#>-_kt5 zrrIw+I40EO2yuEb`LspUH9?VQ(6u{9C{E9YPlEnEF9Qy{u#7Igl4_FFivdqSBW7rI zRDIlwNa^;{tLhy)%}Y-KszAFazIswf=F2oJ;4|Wd|Fotp+9wK>fNDvqP2!o$e>6swfYU5)Z>8LC<%{ZtVNEI3MNdu^OwrRn~?b)^P zi^*^kr0+i#_pD^rMBkJ7rH4~IS^wkT42u9LHp&*+e`fcIrK4i$c#q)##pxmU2Mu9t z61Zc<3pOR^^_3}z$H*VMDr95(vDHD>jI~IXn(N#v5C@*QV0S>@1>~O5z5L$L#s$O$ zk0XrNmog7Q)e>|!{fWBq6~)c`>FCK5vF5Zt_m;vAJMwE@qKfk_hS;T7Pn%Moju+XH zt86~HKA35e=IY~@)(&0OQLd-OZv`nvDc{lq->~rK|4@&ADQG@&VSc&YW7+sDU$IM! zrU=%94MKmbDeT9|zy6kJm9^rL9rARe0F6% zT|BE-h_JmUwZC}*vb}s;-bN_Ph9`51BLw9!TNMZXrt<0L$VO3w66vUDPo|HKdN-%u z6DiyDUkpjWD!)5~@Cx{nrMhhl`vi%t$GFbJ?E#5@h#Of=kWR455o&^ArwTzd_P9Hm zuVt5OIFzWWTqmp6BU~*m$u~6l!#hhpSZ+e|s0jgA^ZjGeu=cgLXE`ID3QtL=ls}Zi zvbM9#$m@p`ln)l2LMrWH=v#c670+K$*J(Ud0ZYcp8V`e$tn&csKRZa;iI`<+Q$Wbs zPQ$L`saB<`S>+;os$kr1%4>vujNKt3R0C}xFPrL)(H_hJ6&bCt0f& zf!AiRaB%$DJ}5Vn>(+aS$pL;`=(9&vn){qy;@US?RQfUpm1ipreP;VAVFIwvP zyLEDuVvNeoG{gSH5KKpvxQ}_`m8`!Fu!v^;0>h5Olw%BU1S3fJ+;B3gR&f~JC<_;e zbfNDm^>b}88IMbkOMmI^crq7DT`uMgj)6_4f-nb^(ogZF}WZvn;cT&z`}F^7=PYyoU&jFhTeG%HE#9Qpn8kDQs))iFvclp0mQbkJtA3f%^txQgF>yN zUYO+^AE8|3crZ3k626nv4{UiQk zCCT3DP-0CFe?cX~9zTgdM^!L^9XHPw(9E`G$7H4peOkBdx7Q64`ldD9hZ}?t#9Yq9j z-;Vxd1U~0GZ^1GNUaveazA*$S%v)|(vn2(lT^m>1+;6Ir2fv*2ZxrTZk!g-z9mig6 zH|r-h!%%`x>@*7Gnqx6k&yAdWG|0`NGyP8wbG(lTHcWb@j!l$N49`Q9cBz7uY8&D5 za^*IVDF`^ts;)k8F#0yIldiu%RDux0Y?WTnZ3FrpH+Xg^_{c`_dKpw6RdXDflw(pk z9Cl*is8)u!QKn9gCdN=_OGmr6W^MgfYn3z!vIUx6?3H$J^0wP{ygj=OpiYzwMjl2P zW-@2yN0)!lbxkL0A&?(1s!%Hei8+8^ffG37JfDa_8brjh;WZpKAO_9&A$8VX=;KEC z6hkWV2PZMpFMrR??et(oO#W@=T#ohsZkaQcrgA}nzZW#y2VTd87iERz=fq9*UANK` zbZ!E9gOtu1U{oV&Jg6qOIGZkK5f2A){Ss^=^uzz;A9iVQ^E>HO$&AxBc31bDXl5fJ z?-ET-NQAN1U$7il$V#HpYySPF6R`ZzYU>bP75otQlg*JM%jP%l=t&~$n<~FNnBGj( zp+Y&sv_1ZfhDadL-Anc&@d>)+PH}g#PxoKHxyq3^I z7$4Wj`;#l*{wp_ap=*OV4X+ETlBu(I!Zz*!tNxpCfO%DVepOdC!%X=>te-%FIV^j+GSGOxxFC6%^k=m=L`9A23ZwVx}z2@Y#Pajo4olTYmjr{<|v_ zF(;$;kQ$m{myw?1Fd?7hB;NE{7BI#Idrx^1K5OUN_~oaY0-1b$Kf^viW?#|An@h1V zr;B?9B*kRH|F@x=H?aC2GA)hp56w*M1j8RoC0gRAyd~Ejen0CF&4R1vdC}6NHJa{L zn1`mQE($? z@p|DxLH6bH%O!~pJWp#j1HWw1gYnd#nXl5+Dtr2coAjLBnZieuApM>#u}U;AK|k-u zPQ^r%f?M!w_`;;Ga29x}VM58jOg$)NUUaqYsF^ed@Y84njeXHwbFuOr;FP^Dxdu*r zFmwDSz?ZX`Or*G6ET|&H$BZJohR6gST5?p|t$kSL@Xj%OzMHP}p7)NUH1%n_xWMh3 zmfY}=sS{~EjfDhQp;{?w{d0a9_>!sUyW7PDf4(=UexpCjQKbok+1F4<^S$P5i+}&_ zCt8<-UW`Ta{E;Y-RZL>th){=&|6}Qcsj%14qONW*z zuEj4s`d7|#H3Gb*|Mvu7nYwzk%{E}}ouHbd0IYTlr`~~~eORE;JEfk^041qj8}o*4y=ot z4?3Q|JnIfjqmepRq*Av#X@Y0?1Caq{yG=yQgX0d8btdoaCS{yu1G2wxrUtH$!AM!Y z%=J`Q;bdq|di8I-4fL~cEjsctkUFCXt7m_E2o!&5td;;?G%OHb4v5W@ z-X$wCfzr2NXa9wD%Kzi?F+oU))Z^V5d8V8dY3C)9DU^Hxc?Ete*qDFq?gVN{D`SPw zXfcywK^aVwc6*8VzlP4kAL{>s#8b8+|Eo6Ouz5j;y`~7&o-tXu0^(N;6cNV&m=YJJU3~PN{T<)_n zRQgTjm4!6s>f;^H{&`#I#}^uduLZv_8jmTaO`10Ld0xj`IK9h>!M}`BMxW`4Uq4hV z-QDXHXk@T=W1OjYCgr0tah_j3$&M+746w49Mw%F~%28{ZZ`$Aod3AjkHLbLuzQQ4= zQsjG@kbjcXM&4Q@(JF2xJjkg{zJ20B))0)udb#Fdk{xSxh${=|eMZOFgK%NMfG>wt z#yBmi?)jF_-(OJi`CZWOE@98aBZ)lFVy=gymUWVw8x{77B^;^zP7 zDzg`5#HM_Rc&}{o7MB1fumO{4mj%APAA6aK zpj_ck628rZzNKiG8X0CqekKaZ`cc*>bZ9!m7CgAZ?A?rDADfT5tm|%5_T}2%^HFwnZz{%`Z z46DaeZl{wBEIM!X1c(|DGIX;>a4DA7ngd9z=Nk7vh!kFJAdl-z3m{I7gkx8m(QG^&b|H zQkl0u!#2+Wp9NIHh8r<2C}9xx>h!0plUn(2STp9&@hWyx5QVRgRyriuZ5Z=>So`-| zbZct|>K%F*048S9{kSPZ-sFWKuFQW)c_WVq$Oyu|^8BA}6IW`{2A#C*thv$+MS|%n zUpyRHgRM8kSwIf}!orSfSmFXqinUN!Ea}cj0_)~|A1ts$tQ^;PNbSd{ndP;{M}I67 zd0%H=FUBag{HSQ1uF$Or(`@&KYI)obMt3D%onoRqoP8C&2|c`r!g8HjZPI?juv?;H z|GYkGbcMU?3*Ii;$NQj-?IGGNF)wTb0o^9WMk)4Oi|$fb?s~g{92eSVKpC$@i1!RXO+%#L*(Uv%NR z>|0v}S#f6tA|b*yB*a@0VKQeWy(cv18l)ow=cQR@TXHc_#fg&QPB66;FF3+*(szyvo&&^)r z_UWAW{RR}PF|d6f^}=`4_wC|ZBWru!s#Ps*%c``tlPK=Gz1~4GRqa^QPr}V2VH*XL zYy!5<5ixYO9Usx2C7fvM>zLE-4&%MtH%;%k()S}@C&O86?mwxc3^g^PuPMJY#C zm1AtXpD?Y7n>a#ye>qW{{Ge-f{gI90(Nu+mI~(HQ2G$&>Vl~f`91Du79k@)%u>FM z67T~06o}_t0Rsi{17A@NGvNUas~${NzUT|pDA-4&MqbV7JVwBG>!{TbcTKwM1Tts6P)6E_%tq-p}$KJ_G zMA&_RGv+x9pMAfE-%_I#u8q`b*z5SiGsB(coM(V!D+y~!!yIY6vA98y*@i29;EitN zg3Ao``yt*qJ9&UhtqA86uSlK=qyq9`Uo~ad-toSXhd&UXb?69uC^u{}jf((@Cpksa zRQY)gEqkfC?I>CKclR|c=6Ul_d?xXZu$kc+t{?B&>{x2RTxikL_ZC@ji*E2>z6o)j zm-Clpd@hv8{G9l;L80j@-B>K@Q^ro-m-V8$8bM%w19znx@s(<{ww0IN69U>wQWp=y z&)`>6Y)!P3?7G)!Oj{A46?~u*yAx@;HzBNDbsT#&eEplNnBP-U9y81PH#Z9({D-=j z+fws5!mBIhXWBO%So4@QI&~Gxdm=g#lZJt7(naksRg6cwp8GdPu!MzFBsC%NY|Hfz zve>G6p|)41TI7Zcve;WauA+N&l_I2W9`o3H;8xscezihbJpW>Ps!d#^jU_Usfhi)JE;#e|BU{ zBUw%(Ci|k^Nf%3lY)KK`;vwEK&B>j3tmzph-Lq9qq6ul#s(|%ZlWWwmep;rdpWqSB zS~;q2lyc}aj5iuTSL?$ho36O9MvhZlo2>kiJWu~o(po5Hhb8}4^ zaT-2#EhEKhzx1e&$oBXL=XM*9qi`cFO(s{`RN=6{q7&#{a;w!2f&Z^*hsK-zBxV}^ zrRvHP0e<8ME4m%la|ol|AQ1c8uD}fHINY`}WG9!kcz4Y^M!sM|F>hNy~q_0~_(z zc!my)?*r9jrS|@905u;~iOx^i&V#eCYS^AMvH8!)J`a%zZV8fi(4TrLi$?iE+DU{Lz@1__2XaZzx8af z%8<8vrsR*puytn!9(=q{X1Qyfx92UaOEkbGBBo|ByJ=XI3fAIw8{;=MPXZ5~&YSU~E)4vN{AYsWt* z3`_u@DESQrp+x+wYQhff)K#VPr&Gn%o0Q(3)Mcu!cKVEkXHSYl&o5o!&Gu+9?avSG zWkrWs`pXQSL=AtjDXiT}%Z+Rdnb;*PK5az7ha^_>_BZDL;LY&d9=B>J+iFQ=V~Ww& zd(47Erc?zF9$4dj;^{?*>Hr;3W8r1g>lJ~(u^Zc>2wS+@yB+G7(kY;bt_P9?) z`=KqY1k|wiQkh^a%bfpgRrO>b)@%?e8+j_UVS8eW)<+zT$MQ`UJWZPvl(rGWAAwg@ zgkjsc6fS~PEzBm?>8AhifmQuH_)qRceyUn^2R*NU`KvNm{sk*c+W#s79!nXo1%b}{FkYS3w$HZ z6Klbab$<1v%N-|fMnWT8{Q#s<$t0S%s*jU; zmkZO>Ml~&Ksd@=)(@d8{vg%fHu%(#Qek{;r`xDi?S`%P=x&z`~=5|LwU9L$NczHY? zZhbDZIc?6ZA#XP`L(qV&cb3Svdog$KuX+rXJ2|_fPK$6FRoBi9Oi~*kz9MniTi-g_ayHa1{9J)S7Q%5OOfGUKvbUE!{$#aie$fzYZ5u#-u zChHSdl@a9$AAE;kKyd-4$74eh(vK++8@~BmcshUK@$(=!dc=G6a$oNYiSECspZ7LR zLsJ*~=Qg$VNaHya?Qe zeY`hf*)X0g6jyV?+=Hbpl=x8NsH80al<^J!$Fwc5G-KG+^+EKsvy&;T1m2-^wRp_yR|Z2c<#vsY5Bj@C4ub;>+re9O%d zszZwVJk<%!dxd1Y+^1AmIP-o`vrq6NQOk3u@!qEM4p($*T&zRIH1ukXfZUFC$3u&p zF4X>!N$)cIG#m)Y%%Hi$67%q8+h!wQR4Z6K9!=?ujNsJ79f|l$G$SaNT78zQAu^b)Y@TpC-=uyHo zw(qfTW!d5(gm2pLKjIk$Ni#`|I`d$1etBB*%#pLTkteVNG_w-izf&CVbp~z z=tbX#+wX!HUVC>@=WLBU>pb56gkvYSIp%cB3H9jN?0;Ds+$yR=RYiTp1fckE^Xutb zB_&qrFAo2dyu6se7HkuVkBQwi@cmXBfWfE; zLtTU5D{$@G0yXHUtv1q@UVCtjU#GU{`iOg z<8mRCPB+N@tY`+bE56E4yVsPxy5IUt{cXkTGVvYAK1xJN08wLVG;n>Rh)~f_^;t?D zFwH_0Os3?Zkv&xdjN8=zEhW=L@y@Rg=3+>6;jrybgFLM(KX+43$TiC5yP7IGxz=b& z_z8H*y!b~Vvu3t;%+{+5UDP)!Hu-z3CjoVMw$mEz%E| z6AzwzAi_MVwe{8(V;A1aX1PU0p;8pb;3>AoyT{HyrJx z#D1n|4O3j>8bAl*j^q9VAuk!w-E~j2@bKs-2r-$`Cq0ojG+NN(xa5%|qZwjDdGYPq z`O)Jk%;YhF{$rRTD8qa#rA zmc%ZK?C?vVZ~7$+4qxtjQHW|m!clZI1H-}c(fJuTj({K?&meF>q7Yq}A;KY%=@f=2 zM@*b9!I0!gNz-K*vK*=$U7n$^rr5_V__}oo{!#@Or3&Y$(dg<74UVQ3U7Ml9(cMGW zW9V}X4CzJ;W6s`vbQ6Xt$IP5=!La05?WbEaY&f=dbbH1D&OrydBg2W~>_R`paOJqU z(>)lT9MH>~?!)lq(EaHCjKiFOKzb14270yA`Z-1xCp(9p%gE#87tqf$ z3OPj==*5hSoJ$;f3F9*7N-6y+;~M8Wc!PeEQN}5+pjR?(ajL56w;45@JGJyW#$8VR zJ^Fn{1E=u;{UM`?)7(ODWwdb~wbMHok2#%B=v|C%PERkrkI~N=7^FXCJmU;Krw=n; za9+Nmzh;bZM&HoK7;ib_@96It6P(E@`ZQyPGy8!)_b+{YT%dnqeCB-l3ex|_SmbcO z(Rqv|&hiTVJ7bme<0t(W<2UEe8hxFy!TGyM-(qZYc6RB|S*19{^;WU#GQP@u!D_20 zQb&9?1rhFdd%^Jl!j|?+)wdW>NS%TsLjN`eOGl_u+&=VW#Nb4foODbS+EaQ7pRyG0NNi#ht-sciXTf*w+k5 z3kR#%>|DuwyItR6wouV?VqL?>Yu07H@&*>u|K5SC2b`in)hl;$#H+8fH{4uf&u1+z zWUDtX)=#|Oq;7s)yrI4^=OZ>=$jh2(;O<9LBYloTz_H-ei>fx)U1P|N7sL0YU}vp+ zQXKv_d!H3LZ;b09`8;hB1OyCf7uzCk=vt}t*@7zB$=ks;NIUL2YF ziqJewX?I+ePo;;CPlc9-v+~`ISqYQa2i*XQwVS8F83DU}(Rqe^n2P4&=gLQSLe|gs z-{`l>d#vpAnC)Bj4e`HzkHbAn4(DKzH4~nG0PBEVGm;f?SvtzD2C@Zs%4`@6E@Re_Tzh200Z?LR`^>{zxmzQ4NdRVErr;Vb_XBU1>*?Jyw*@hqV zCTc?0U3W+4c_#hvcG6Edv({{*Jesi-()l#X(~$a6k3ZS{-=>cp zmQ0vRa6D7ZeOtWiExDS4D=f^Mk(d%GjW8rWi7Fpt5vWt5QhwzYUuiw2)nXEwds-`n zcq2>^)na3HV;=`V;{%j)(~OV(sTMYIZlZFhSRIrAEnOS7g2L}m4MNAo9;qj)+rBK4 z*{*zrt{g$TeOIJPO7)3ZSI%u6Fxy3f)@C~p3;kyCsn6ykz-$}FbaQQb-mdSQqWQYy z0d{+MzThrIqc~PvG7f9Vbveb&m9{~<89hw{WK#9g?l7A|h%-ZRaJ~KC-?G}$*JZO8 zgEv8TvdM&Y134j!nB!9kqh^r1dKVQTYwH0XckvlnXxZyX zCBwD+(TXQ-D&NtRQijpoow3_tlvI2PV9UzQQ_eT>Lf6col+;+PS!rs*8bVVJ5d3)* zNcwpO0H0I&Ux$}J$v|cfK1SNJ1)&WvWm9j7RW2&M#GiWkorFj;s!Z`7%h~8%_LNUR zzWPSD>-48dB|uVo5B&BT!ua_6MXQvc0uZ`7xpQ*&>h#B}Ba&Ae&#hB>T6`3#iE)eY zvny9W{B1#X!>8x5lXF*R<>9S4`))8-;0*|c_RGC}s(o<8bN&XYFv=yhrXwWK%eZMY z8~sW>F5O>#4dJnvW%^ofuJj*nrEnS!u&vpBs;(c;`g;AXB=+w5VwfOzO-A~8er@$qiDmwbuuff0Q zqx+r#_mGW2yL&*u-uro&Z`cgI8i6Bzhz)-{X*KHE-6(^a=F)26qE;cWHaBy#rdKQ1 z9qQAYHZ&o{x|>)1IM?evt6z*L(l6-=jwZ?P=1%6m=4t`>iY;>PSZILe+|k^p!c}`ulQ?@e21%V+EY-&9SGMmK?(+54>b|d8Zeklhzskrt$Nx~yhM70z z`-++mRa~~MLGg_&*g~Lr|9XX={jad>?=jPYlx;#^`Q+t7ja1!3INO4=+>J!Y4R$$E zuyGChqPq+In3}#n7=7ob*4%QH_wH5c*rWK-Blxo!Nz!4yfCMK))o>h7D@D0WOt`W) z9%piv9)4kUSB#puKC?j;t%$#BLz2P;2?xlHzM6)Xx7L3$HW%sK zj0R!DO)w#XwYQXj!4mAblQJXK;#SlG{Nu=D#IwRcymQX6Ad%k_{(?(k8~8Riz)Q#v zt~vpgD0Xcs?rQqG(ba^ecX@CjB=N{>v3Qy+X#U(FQ@-Ume558(N!MT3!zF0MW$*OU zcwNm|nwQR=9JLVdI1@&ijv7U3Yj$a+)B%p1iF#ZAo%9Q}r?lQbX^bB`A)eE%sOK1lo6! zbYKaqcFbehg>>T>@~@f&I%bl@T-sJUrnVeQx^a5ruNoN>Ur^Rd%w2+sNE)h!9ad9D z3OV{*3?Z3nn6JN8`~3dmaxkgP(@%Jg*>h1kF%V~T@?XsZPU~$XHrNEjL}G*)ftfRJ zm_joSX_{!et~D4dTx`$be_MlLqBthr2PvX!Zh1D?bWLQ@qgBNR9*K$!q3@OO0NSX< z${BL7w&DXB0kHSQ)ft+2So9x3nBC?{H4L|@QmOc31_|_GPn*4wEA~ava7h|b`!y84 zTiq(Yj}Du1)o{N5M*j2Q=ZA^X#qwH_^v^B!aD_cmLsFmrUU(E9Dpi7v%*V(~{#JN4 z-Yn-<*6;n-LbI|xKrwt-BM`Wz(8zuUq&zzr5c%Y|f`CiDRpQ1wzsROcHwR^^)>>WC z?~l*ly9s^;zXQ0}`*XG}|KY~jq_F(^Fb0RM#?A!0}=R0~L7_Pp^aHwm2ify~BGuv*cJ*O(`3!}X`HCJdE7 zQZ({2tH|K{ajlSPNy>jF(`lcJr_G7@HWZF-ei)rV)R|6b1mk4Gif+A;R0?L3F4*(o zU;{=)XIA8WO?UufMYLe@s_pn(A3!`B_iJa*mC$1c?2Wdsp%UqeA&2V-E~6Si?K-)GuZ&H z?l-&>;#rTuET#3s^%AuTWR#+`o`Mnf#*Bbj-(hw9R;L3dWe4H6r`{l3Uo@SEU?HzM z>c9;MkB#vGT7ElU^^vXm!>-u3%|*_>TflioZ(zOuO-rMn%iMh|`+per!s`hIx21~i zx@b?KHs`foTENDm%og_pe8aFs&P{WFxJZ2}Ux_}JnUCGO411I=z)>NBJW2*1L%I~1 zMi;TgnXi}iD*cEe zkg~No$&AiwiRRzh04JG9RMa2hBAj=0PBvwMh;TqoBUnRG9x{!t#LF;T8FhSi;=Tvr zc{ebQ0K(>5E!O*5uvqwevA1)Ik~;OUk}{o@o5-9OB^=|CRxWJp`EuF5|V^Dqnf$E z!=?ORN_iFpC zBb4(588+D3-JLr!8$g~-%f7Md6QX*?`pRs1Q=Y5Xe=dD_HNw+xf$LHC=M`v!NK8e8 zQ&?Y)BV!zqC#9S!s`6$rE3W#Ks}|p4_!JhdK3d`*wHS!Ig!mM5ITAW?g)Xf-R7#wR z0r9C8+17ath5wks4&qLTdR`GF6~?_w)||!@ZQP%Vfka8&J$jJ7fuWJ{ULDQfW>~&c zWG!>%fB7$_qhqLo{lL*K-to||@CYcN#a z>XSZ0*53PFoK4Lwj)0aA0JLDQn3*=f20UO8o*+%zyU)b5)ok3-YGc2(jSs!4L_go2 z1}iffvOTcm>gcSkc@fZ*OV=5>c{DiWSSF(@^F()V)X7okRBRlR6`uh9IsM-mfPAqc z6(LlFO1nu#*&p?%YQ&DPLcC^E;sCoE(bSDpO{$qW3VT;oHK9$Mn)}fAvLIp!xO|^q z;3yDl>?Cl_H}3#jLw4yha4ZX!EDZy?0r*1X;`NFS^&)sE!QvqTQR?A#;!w47spG*D z_SLqf*7OrWEg=Y_08o4k!RM?isxLAIa~4w5mmZT0*ood^B351-weLlcPv})^mujk? zP^nfbRj`U+M8M7>p!XISOn?D`pl>uL>nwLvKj59e-u~wZd{hr6X+4xG+8cpDoH!C; z`2fNGIRSt9{06>+i_ocwgx$Z6;OQ@peQ{ox)1Mg|cYgC4)bAd9?A&%w|M-}PGw8(% zx+4@PjHooRn8o1q6W{kEoSXCXUB*@qM@#NA1K#mt2a)#?(wVCNYgIxN)1-5qZ#!q^ z>AV&=HWx{tP5_BA=MaVfvRfcs*HK9A0bJw;9DqL)N<;t%1+}|KJs^V(xOVeBxr;+1 zQF8zs0Ydm)j3OEfgGjmtvPN)*Dd99)DV=bu=bRo@IZL^tB1XYuM^#5hZA6}!)(mb5 zugw3OQ9oA~A^{0DM*|uJzAs}yaK@8LOp*-)J1S7dja?Z+hl>+XsPxClII+%c)Wg}o z59T_MXTEE%3s(!Rcyyt@l6cLtvKG=sATt;vlzIxN;5+9#)CYE)aW zH0@6N_nXB5T>^n*>?a4|k||nDWlsUfP)S@uOi)NN8p$su@rTMM78$j0tMk`glY3q2 z+R46(u-zrk3lt5K@RB1aXo&k64$;&~j>1I$_sIL`&Z-_C&Zy-lnGd4yU}{*bR4XX| z?G}WPqFCX))zx;5i3E9NDtT7e#qFP2xJQSm_XBIBofK`Ls6bo0E~ao{q(`v~{NB4y zSIP@gQI=FqwDNaeQ4G~%M7s-61R(MKB6tCsxGp3i>o0i`6qFKJ&WF7fZ)lx*B2#3& zg?2?QpM3LAxRMg~RBtFC@S((i%K9IBHQiN zPulZqw-(kBdpKN({N#gK5)zB-25OhZ;9G~0u&?_3Eiz~T0Yi(jfqw&T3Ecu97Gf~~ Mh+VTP{&2qT|2IZ%oB#j- diff --git a/fonttest.map b/fonttest.map index c859284a..16ef8ff3 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:51 Executable Image: fonttest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0f25:0000 0000e710 +DGROUP 0f26:0000 0000e710 @@ -33,27 +33,27 @@ wcpu_TEXT CODE AUTO 0000:53c0 00000058 kitten_TEXT CODE AUTO 0000:5c30 0000080a 16_hc_TEXT CODE AUTO 0000:6440 0000158b timer_TEXT CODE AUTO 0000:79d0 00000235 -_TEXT CODE AUTO 0000:7c10 00007375 -16text_TEXT CODE AUTO 0000:ef90 0000010d -16_in13_DATA FAR_DATA AUTO 0f0a:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0f24:0004 00000000 -_NULL BEGDATA DGROUP 0f25:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0f27:0000 00000002 -CONST DATA DGROUP 0f27:0002 00000076 -CONST2 DATA DGROUP 0f2e:0008 00000102 -_DATA DATA DGROUP 0f3f:0000 0000055b -XIB DATA DGROUP 0f94:000c 00000000 -XI DATA DGROUP 0f94:000c 00000036 -XIE DATA DGROUP 0f98:0002 00000000 -YIB DATA DGROUP 0f98:0002 00000000 -YI DATA DGROUP 0f98:0002 00000018 -YIE DATA DGROUP 0f99:000a 00000000 -STRINGS DATA DGROUP 0f99:000a 00000000 -DATA DATA DGROUP 0f99:000a 00000000 -_emu_init_start EMU DGROUP 0f99:000a 00000000 -_emu_init_end EMU DGROUP 0f99:000a 00000000 -_BSS BSS DGROUP 0f9a:0000 000004f2 -STACK STACK DGROUP 0fea:0000 0000dac0 +_TEXT CODE AUTO 0000:7c10 00007383 +16text_TEXT CODE AUTO 0000:efa0 0000010d +16_in13_DATA FAR_DATA AUTO 0f0b:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0f25:0004 00000000 +_NULL BEGDATA DGROUP 0f26:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0f28:0000 00000002 +CONST DATA DGROUP 0f28:0002 00000076 +CONST2 DATA DGROUP 0f2f:0008 00000102 +_DATA DATA DGROUP 0f40:0000 0000055b +XIB DATA DGROUP 0f95:000c 00000000 +XI DATA DGROUP 0f95:000c 00000036 +XIE DATA DGROUP 0f99:0002 00000000 +YIB DATA DGROUP 0f99:0002 00000000 +YI DATA DGROUP 0f99:0002 00000018 +YIE DATA DGROUP 0f9a:000a 00000000 +STRINGS DATA DGROUP 0f9a:000a 00000000 +DATA DATA DGROUP 0f9a:000a 00000000 +_emu_init_start EMU DGROUP 0f9a:000a 00000000 +_emu_init_end EMU DGROUP 0f9a:000a 00000000 +_BSS BSS DGROUP 0f9b:0000 000004f2 +STACK STACK DGROUP 0feb:0000 0000dac0 +----------------+ @@ -69,7 +69,7 @@ Address Symbol Module: fonttest.o(/dos/z/16/src/fonttest.c) 0000:0064 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0f25:075e+ _inpu +0f26:075e+ _inpu 0000:0370+ INL_KeyService_ 0000:060a+ Mouse_ 0000:0662+ IN_GetJoyAbs_ @@ -117,9 +117,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:493c+ MM_TotalFree_ 0000:49d2* MM_Report_ 0000:538a* MM_BombOnError_ -0f25:08c0+ _beforesort -0f25:08c4+ _aftersort -0f25:08c8+ _XMSaddr +0f26:08c0+ _beforesort +0f26:08c4+ _aftersort +0f26:08c8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:53c0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -137,9 +137,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b00* CAL_OptimizeNodes_ 0000:5bb0* CA_Startup_ 0000:5c00* CA_Shutdown_ -0f25:08d0* _finishcachebox -0f25:08d4* _drawcachebox -0f25:08d8* _updatecachebox +0f26:08d0* _finishcachebox +0f26:08d4* _updatecachebox +0f26:08d8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5c6c KITTENGETS 0000:5ccc* kittenopen_ @@ -150,7 +150,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6224+ get_line_ 0000:6296+ db_fetch_ 0000:632c+ db_insert_ -0f25:0484+ __kitten_catalog +0f26:0484+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:65ec+ LargestFreeBlock_ 0000:667a+ _coreleft_ @@ -175,8 +175,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7c15 __STK 0000:7c35 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:f006 textInit_ -0f25:08e4 _romFonts +0000:f016 textInit_ +0f26:08e4 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7c52 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) @@ -188,10 +188,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gets.c) 0000:7cb8 gets_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0f25:0000* __nullarea -0f25:04a6* __ovlflag -0f25:04a7* __intno -0f25:04a8* __ovlvec +0f26:0000* __nullarea +0f26:04a6* __ovlflag +0f26:04a7* __intno +0f26:04a8* __ovlvec 0000:7d82 _cstart_ 0000:7e55* _Not_Enough_Memory_ 0000:7f87 __exit_ @@ -201,10 +201,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:800e _big_code_ 0000:800e* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0f25:08fc __argv -0f25:0900 ___argv -0f25:0904 __argc -0f25:0906 ___argc +0f26:08fc __argv +0f26:0900 ___argv +0f26:0904 __argc +0f26:0906 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:800e+ int86x_ 0000:8191 int86_ @@ -223,28 +223,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8322 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8367 _nmalloc_ -0f25:04ac ___nheapbeg -0f25:04ae ___MiniHeapRover -0f25:04b0 ___LargestSizeB4MiniHeapRover +0f26:04ac ___nheapbeg +0f26:04ae ___MiniHeapRover +0f26:04b0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:8441 _fmalloc_ 0000:8441 malloc_ -0f25:04b2 ___fheap -0f25:04b4 ___fheapRover -0f25:04b6 ___LargestSizeB4Rover +0f26:04b2 ___fheap +0f26:04b4 ___fheapRover +0f26:04b6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:85b6 _ffree_ 0000:85b6 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8623 _nfree_ -0f25:0908+ ___MiniHeapFreeRover +0f26:0908+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:871e+ _null_exit_rtn_ 0000:871e+ __null_int23_exit_ 0000:871f exit_ 0000:8740+ _exit_ -0f25:04b8+ ___int23_exit -0f25:04bc ___FPE_handler_exit +0f26:04b8+ ___int23_exit +0f26:04bc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:875c __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -263,7 +263,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:9103 __doclose_ 0000:924d __shutdown_stream_ 0000:9267 fclose_ -0f25:090a+ ___RmTmpFileFn +0f26:090a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:92d2+ __ibm_bios_get_ticks_ 0000:9349 clock_ @@ -274,7 +274,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:956d fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0f25:0098 __IsTable +0f26:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9765 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -309,35 +309,35 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9f5f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0f25:04c8 __8087 -0f25:04c9 __real87 -0f25:04ca __dos87emucall -0f25:04cc __dos87real +0f26:04c8 __8087 +0f26:04c9 __real87 +0f26:04ca __dos87emucall +0f26:04cc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9f6e* __exit_with_msg_ 0000:9f73 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0f25:04ce __curbrk -0f25:04d6 __STACKLOW -0f25:04d8 __STACKTOP -0f25:04da __cbyte -0f25:04dc __child -0f25:04de __no87 -0f25:04eb ___FPE_handler -0f25:04d0 __psp -0f25:04df __get_ovl_stack -0f25:04e3 __restore_ovl_stack -0f25:04e7 __close_ovl_file -0f25:04ef __LpCmdLine -0f25:04f3 __LpPgmName -0f25:04d2 __osmajor -0f25:04d3 __osminor -0f25:04d4 __osmode -0f25:04d5 __HShift +0f26:04ce __curbrk +0f26:04d6 __STACKLOW +0f26:04d8 __STACKTOP +0f26:04da __cbyte +0f26:04dc __child +0f26:04de __no87 +0f26:04eb ___FPE_handler +0f26:04d0 __psp +0f26:04df __get_ovl_stack +0f26:04e3 __restore_ovl_stack +0f26:04e7 __close_ovl_file +0f26:04ef __LpCmdLine +0f26:04f3 __LpPgmName +0f26:04d2 __osmajor +0f26:04d3 __osminor +0f26:04d4 __osmode +0f26:04d5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0f25:04f8 ___iob -0f25:0912 ___ClosedStreams -0f25:0916 ___OpenStreams +0f26:04f8 ___iob +0f26:0912 ___OpenStreams +0f26:0916 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:9fac __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getc.c) @@ -350,7 +350,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a21a __FiniRtns 0000:a21a* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0f25:0660 ___uselfn +0f26:0660 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a27e __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -376,7 +376,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:ad5c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0f25:0022 ___Alphabet +0f26:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:ad5f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -386,18 +386,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:ae0d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:ae18* __get_errno_ptr_ -0f25:091e _errno +0f26:091e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:ae1f __GetIOMode_ 0000:ae54 __SetIOMode_nogrow_ -0f25:0676 ___NFiles -0f25:0678 ___init_mode -0f25:06a0 ___io_mode +0f26:0676 ___NFiles +0f26:0678 ___init_mode +0f26:06a0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:ae89* __set_commode_ -0f25:06a4 __commode +0f26:06a4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0f25:06a6 __fmode +0f26:06a6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:ae95 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -424,9 +424,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b49c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b4b8* __get_doserrno_ptr_ -0f25:0920 __doserrno +0f26:0920 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0f25:06a8 ___umaskval +0f26:06a8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b4bf _dos_creat_ 0000:b4e3* _dos_creatnew_ @@ -437,9 +437,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b6ec vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0f25:0924 ___env_mask -0f25:0928 _environ -0f25:092c* __wenviron +0f26:0924 ___env_mask +0f26:0928 _environ +0f26:092c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b72e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -460,7 +460,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:bc84 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:bcf4 __EnterWVIDEO_ -0f25:06c8+ ___WD_Present +0f26:06c8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:bd18 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -473,104 +473,104 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c2c0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:d422+ __fill_buffer_ -0000:d671 fgetc_ +0000:d430+ __fill_buffer_ +0000:d67f fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0f25:0930 ____Argv -0f25:0934 ____Argc +0f26:0930 ____Argv +0f26:0934 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0f25:06ca __amblksiz +0f26:06ca __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0f25:06fc __Start_XI -0f25:0732 __End_XI -0f25:0732 __Start_YI -0f25:074a __End_YI +0f26:06fc __Start_XI +0f26:0732 __End_XI +0f26:0732 __Start_YI +0f26:074a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0f25:0936 ___historical_splitparms +0f26:0936 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d7b8 _DoINTR_ +0000:d7c6 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:db31* _heapenable_ -0f25:06cc ___heap_enabled +0000:db3f* _heapenable_ +0f26:06cc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:db42* sbrk_ -0000:db52 __brk_ +0000:db50* sbrk_ +0000:db60 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:dbba __qwrite_ +0000:dbc8 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:dc1a fsync_ +0000:dc28 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:dc1f __flushall_ -0000:dcb3 flushall_ +0000:dc2d __flushall_ +0000:dcc1 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:dcb9* _frealloc_ -0000:dcb9 realloc_ +0000:dcc7* _frealloc_ +0000:dcc7 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:ddb3 __setenvp_ -0000:df3f __freeenvp_ +0000:ddc1 __setenvp_ +0000:df4d __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0f25:06ce ___IsDBCS +0f26:06ce ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:df9d* _ismbblead_ -0f25:093c ___MBCSIsTable +0000:dfab* _ismbblead_ +0f26:093c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:dff7 __mbinit_ -0f25:06d0 ___MBCodePage +0000:e005 __mbinit_ +0f26:06d0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e0d2 _mbdtoupper_ +0000:e0e0 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e0e0 toupper_ +0000:e0ee toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0f25:06d2 __8087cw +0f26:06d2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0f25:06d4 ___Save8087 -0f25:06d8 ___Rest8087 +0f26:06d4 ___Save8087 +0f26:06d8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e0ef __GrabFP87_ +0000:e0fd __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e122* __init_8087_emu -0000:e128 __x87id +0000:e130* __init_8087_emu +0000:e136 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e185 wctomb_ +0000:e193 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e1d0+ utoa_ -0000:e271 itoa_ +0000:e1de+ utoa_ +0000:e27f itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e2bb strupr_ +0000:e2c9 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0f25:06dc ___EFG_printf -0f25:06e0* ___EFG_scanf +0f26:06dc ___EFG_printf +0f26:06e0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e303 ulltoa_ -0000:e440* lltoa_ +0000:e311 ulltoa_ +0000:e44e* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:e4b1 getche_ +0000:e4bf getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:e4cb __qread_ +0000:e4d9 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e4eb* _dos_close_ -0000:e4f9 _dos_commit_ +0000:e4f9* _dos_close_ +0000:e507 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e508* _msize_ -0000:e523 _fmsize_ +0000:e516* _msize_ +0000:e531 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e534 __HeapManager_expand_ -0000:ea3d _nexpand_ +0000:e542 __HeapManager_expand_ +0000:ea4b _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ea90 _fexpand_ -0000:ea90* _expand_ +0000:ea9e _fexpand_ +0000:ea9e* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:eac5 clearenv_ +0000:ead3 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ebfa __Init_FPE_handler_ -0000:ec33 __Fini_FPE_handler_ -0000:ec6a* __FPEHandler +0000:ec08 __Init_FPE_handler_ +0000:ec41 __Fini_FPE_handler_ +0000:ec78* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:eefc _bfree_ +0000:ef0a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:ef21 _bexpand_ +0000:ef2f _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0f25:06fa ___FPE_int +0f26:06fa ___FPE_int +--------------------+ @@ -586,6 +586,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d960 (121184.) +Memory size: 0001d970 (121200.) Entry point address: 0000:7d82 -Link time: 00:00.15 +Link time: 00:00.00 diff --git a/inputest.exe b/inputest.exe index 21db21d211628eee70de4c393f3f733222fa59e4..e3d5e00d85a623d36587140b5115aa42b060c75b 100755 GIT binary patch delta 29512 zcmW(*cQhOB_s$+dBsMXE+M}phdj(Z{)>hhT&61#15@JPAs;%xC9XhnMc3NUqpWO+0%jKY5R_0oW=4+}HsCKJk=Dd;ohN+7F(43mS+nB?|zb z)E8X@0ZU%WfDfR6PhQ%fD}eub3xXyH@|APlMVXQ(B$ zaD=wpU6iZ7&)Sv~E4tbU_tegjQ@s%7-i%NHIep;Ka|t~9RXbiM1Fe9~!9q@s{uME( zg8#)c$RB@umYkAWl$WoR8TGs+#mc5kUt+c987zfM;Vn?NI}PeKq^_i=QOUUm;xxF< z3ubLsbDY^6E8MNFHw+^3T3eMN_MyY+otH?x!{*WF20rCx4Gs3ER0A$V6JaN16_;&- zyJn;}^A+4Z-X2xM9gCK3AOGu`OUB99Gy%@`kNL&Ov8FvrN|v^>gWVvj>ADSewcmrM z3c6#r6%>zz^L>7>Q@=^v+9U&s4u1o9pnaVSABRxaZ8?be>0D1@n$4%WmcW|E(l4>_-+@*dgVLfF4RFe8-{X4imvWfXm>ZJw7z?}PKgT|6Ektt(?#Ng zl+>ZT&z){zA8H>u&mLSgqYz;?x!n}vB!AdgShf)%MOc{_nEvrt7RKb?vseO;Cd)z; z!WI#+c0XV5d}nC$---5dW07c&?$vBuZ`Ho*%VLXKMoF6*hjqaD5RA4T<2!_5@>FTnJ=d46oG}akMghV@vnesJ!3;b7gTeVVEF(#C-aTjF?Cjk^%M+@2;7#St9s$}%fyTE}Sagp{z`Y3^Eu+U$ zx!?ZkIA9*Cvl8v@*DFcCPhTnSQr;t}pi5_S6}x+k4jZ8$J*36;Zt*O#QNJCG0tlN? ztjYSfs@6?=mB!xTs!WR*syQ8s4%8u!AwHXmAKk+BlEZ_eW79V)c$Y1m8|Ashvjq;Y z8pT0TnRXP3yJh4{ZtQUI@G^Il!i~^ zsrU08b%sS?O2(zmv_K^t3wgh6nN(KYIdN!3^G}{Q$Q(0|Yb8j;mQC6^lMf)?AHHD( zf8V9$occJ(hUTMsl`0lt?iszln}FFP09Fep393fn{EaVdjxZX>y2P&Xj`W9TayNM3 z3saA_Da6sa-7kbqkN$x$*DWPp-H{v_2Pjl^BU*D~Q>vbu70v)~<@IPl3*9a8Ld zMY$vWOElljC;IRcHb;K1kvD05S%ja@Gy1&=0Wv7DTxH3;0U0g5Zki|`TImJIDB1al zlQCUn*zSw&ro5$Wk93~0LMqHh;VA^}psDOuFWp?ie-eGe4Em)k<4t!0{5`HWUb5+^ zA(oWpvf9Dl8J1V)QgTBmjpua8>%8`LdRJ5BPseu3H$k;g@ietrGEZ_dvmd~vj)DAE zcWLV#M@KoMZ*{9j+md;L&^f4Jm@k3aHF|b0c?0?cZ6TVlD|Tyz!ntCZT9tzS(P?_L zEAHTlmN#b|QFVV3{G3kh(ys3$_}(n&)|vj*c46V*WCtetLFS2(C+&&Jl4I%a_r2lj zqrL+de(%r;t5#GR`ER{rc#9=XL;Pw~@-R;OT4C3tNx!7Ik zC}xPAGE%1{$lmDOrtz*x_I32qq@C=}W{5Bb zUsd9N%Zv6`}!Pjv>C19Yb%gm!cPoyCo< z2)<+G0!DLJ`Gg{5*BnaXv@GeL9ZKNHDzkC?RT?iqcf zXNdv8XqY_(I10yLEnRonuO;F{tU&ys2dRWmkv?PsHA^Ik zXVgF&zCGEgH6~sQvKj3$yQ7WGw7;GrLK6?0Aop(WB9DHniqV;K*N8(_@CDbs!n^#6 zjBb>1fByl$%p>xF;saWSaLqTm3QLFpo_%5eT<_9DIoi>G za&FEsaG_;SV1gyOtrrhJvH)o$;y#s6uCPH<4u!O}LS3#%Cb5sthm63Hj9Q5bB3c`h^Pr_U+PS&mgx_PPsT( zVbx96d zfy}wp`klWPIs+CJ*#>o148ahEXIJu$a-d{u;^dHkEf8W;9;S_j4P${FZYjk15mvad%LEdM1U zPH(zXod+M;$g3>%!t)d<q z(?oA{!N&#R>F9X8cjK>uh|TB)71~hZc8JafiD?wDPRe0?@BWBiV_mZ7&6L?WrZD+$ z)0!P&!`Zu}OgRDD3td`TLOQ@yp_GX?Sg+rgtOSRx4up`k9gn&2#VUM^{nYznV!i2; z>;qrlvrFbBpMao^+BdCSjqvd^&e2R0^RPe`+Wl#6LglyDT(LO> zWc&U!;Bdx2#pZh7pVzvAT;Nt>LjoIfF{~D*bf>D&MYnvIth{%p9teEyv!$;3r#D_- z=zs^^V0j>!n$wvmRgoMx%e24JIR(B?yzdGgPu}$U&^Puk7uLkH)bzqCfYv0Ec&8+~ z?2Kji=RX{R4?p;M^E)Y&_#x2gaT-td*LgOc=dr-&@#**nhKlkFf!4;dpCjJ)m|?%tPbYc-VKLV~58WFP%-Xg|$o@y{A{}2)tek`I_v} zupM$df_~Nbw{RAtJNIz&Z&QD9+}VtroxCO-bURQ~WJY1xyjUE0S5pK7pvp|q%)jd5 zPS%zxTsGtXsCXLhmrK}umYK>O1+*(Delar$_-#I*XWHu#tVaO@j84}bz;FI8W*%~H zSQFknPL4j=%Knxd`Pn`O33e`J?79QC{*e2(;s8At-R9^cpv-4oA4fozA9Xj|31m1a z{O0A9pyY&ys?Lo(X?16#VLj&Z`ZKq*w#VmCF0*{3l~7LCE=Q}~RA~fY##WDWwE;d5 z^paKD*c(@MZ?Bd=nA2xc5@E(WpD0(rESd=UdKTV3eo|5A%*n%Q)>-8fg~LK3Ib=j{ zQL;e!$86hY65}p)B@yS6l=(F@j;q>!wKxI)*>o!L6wJi5#4=t*I*=`YsAX%|X+MGX z0mRcaUG5I_yx10WXGq2KPvw_Xw%DZ%y${xDlLzC!`?PI z5OV#h7DYxpt)v>BNxuU7lCK=KQd25%bMk04Qo%C&MWP(2h@Ted;Wad+VDYJ3%hjWi zwWT&^&8LXgb@D$4Le4xCg3aG9A+bqy}G$f|p+ zu*0yDe=3C7WX4+;{J2Sss&nTHn|T=CaP&7{eitug52Ul1Kj#S{pNRt=-6AN%6@Gfw zvo1TFna5mz%NTLtIc|`)LhmoRlk%Jz)h8m}H&q<63Z4>cy>_I7zA7xrqqdU z9#F4dXIUACXdOb!X!9L)El&mZFJXN*uL29c`1)S8?Mbj5yR21zS!i@?C-c{3t1n_#v=kQX{z((<`5;+Ll<}_+(u|95Hbh!-J zB;_t|tgd&h#H;t)g8re2U89zh3TTz*3|<4@Y4@t{&;BfR>zCkf^{VEBRW@0=_+$%8 zy>*mqz)-`(@RuJXv6C^C-%D?f$^!k+mdQ3W<>_tT9d0)3fo@6aIDl{^@V))h1E-S@ zDJrYVDrNMm(8+|1Xaju;;bS+L=&x~ZNWp~vo+k`>dfjA0@UNWm?t-!gaD4huy0&6d zSrrW`p56|*Rv7~g+ZVnPuc#^(r?sThDOUQvm~ZAAYh1^G4t9rb=7j!{I`vx~3=s~h z0gY9{H6d|cTJJODA8X*RIJ$&Yw#Y0Z>cA}L-x6&KWchptcJE`Z9(dJAhksmrFMoWO zvRj(u4LO&WWOXhp$>MY-BLGtV^l*0ILzB*Sx3`d?Af-bH$Z>Kv`zny_z6@e_fXZ`z z0j83z2{)&b93fW*lMY`VBpR1-NrvqJxxzuV#}we!MF=1c!(NzyzJw&N+^9&hpM~5` zG)g8Do$pS0tK3G&c|h4|F`)a5k5FfBRiat4`3&qH(R2z@&2C!8J7Wx6?WYz%0$do| z2-cpez%;I1Pc2WnF>{U`DSo(^U0X(#N~+O z2-uU{^wf5?GSi|qAgSvVoMW)Y31b&@#54ff{guNE{o1n+aPbN4e%T~+d#H{?=2AOU zRTG~WAdfNpkc&xf)x@0ZS3yV{D`cZ9lx<+Fu48C=*PI|kUgD|M(wYAYC1`JB>bu?K6^z*DO(erq-X;-P?ZOZhrfhh3fBY`yrFiIRb}#M^gfR0 znX}kTX0(Q&s41o-9;ktfH(js{u&anccPj#rLwkmq^(wBc?db+jFG_M zX{X5+CBRPXXiBNPg$Zvo1xEUA(wW>eCw|!5V49Vy+Ua~lhxr0lb zrdtPBRDZL4S+rRnV+BRYxy37lmrC`6i>$_Cfs4lE0%&3Wq}Gt!@RbCdViR$LBArS% zz48I67(J^LD8J)ex}B;#&9A{zAx3 z?)Q&UtmY#rADs^q7&{TEyi@bKlsENqlT*C?CChwmQ0kKp{);Z_d3=Bqfc?l5$4RFE z8=nbep`ofao$##CDI)%O_(pVi!U^B~DlwarKP45^H3wfmQB+s}#SOGjd{MKtTIE|U z@<4Y1U-SYcecsuMIcvgyW~;tqEJgW`^bTwa)=m!WbrUKQaLHFgeX^=mu{{=!c;Zv9 zr6@E(ggtp^ebqewVDh#Ux~0JP5$}c!>daZPE)!;p!;xj_ch-6IqGCz~UHnGz9o{Cd z!ScPlT#{96&^EBci!BN;&5gwo^U$zY0EGM4{I)HKNlvU)u>Sr|0T5}G-<|$diws7F zeWY8)dVr}Qm0;3zwnc0L!n}Q*#IMUNN$Ru=R=4DJCL@l-4neh4XSFirDjJo@gwE&O z7!zS^h8EP4sWU76N8mm11weRWH?>}#`bWP)s)@q*n(4)O=`|22jSr_A zz!&>wm|1#-&Mb9cCr&2|7KbE1G~CY4|9X-x19EOG}K3+xYgN$`M^feMQrpT z5}X5#GN`;J(ZB2kHE*d;I*A}hVwr_>UY{1lu%qvhR5KkS9hRs_JJOW7f-E?c32>Y* zxB`z7vAkce=)ri!fLOwK`2B;o6}JO3Gj3kFa2tEVy3R4%l{cvi4P#J7*~jo6+LaDd zhgB*MeE(-wQtYFoSmN;C!N=fC~0CI%Oc6d#UxK-pi z?~M0+fc(C&pjm|LQ7?C%wYMYSsI{xZ1?`}&{wLCa3p_ciD50>=Zx+BAlmK_JqNewr zE8-aQqO8!@J^Y0uaVa}c#oyuB!3qJ*y9u- zK*-)U)#7p}m2{+@9Q2po^pnfy0x&vzxYOC105?zntFfsuG8|(WIBq)MQWxO7)o2!a zhBkcX`yvNN$gi-mlkqKaDXMA#=RA`WbLrqTeaGJQX4HM>iq>t*+NK5Mo>@KuY@4D? zR+G~?oh>zm!G7IS-&ij%KYp`CjQd8BG{uA!;eg-0uruqvA4ef4Z%=6ru-~B z)7J#CH@68F-KijNL$!rRR)#_K%f~70f;)=DpY?~O zel>mK(|4G{zd%s+k56jcZc!n=QrN=h_4c{!rb~5vg#dwRneT&+-9%@C|8mN8nG}so z=tjDUm=%1_F+BgGT92tTtPZvFUl%~L{pRGP?{#-Q`+~@$UXs`jkcO$~W+~s?$$8V8 zVMk^VcL7&#H$%mWwa!|r@3DBYEiXmeXBnj4)Mb|1Pvc)kXX0+7 z`9L0Xpu0mW1;*Bv_I0BxHNnfmarqtOShFCaWr6!!#3FJ9dzP6GE#6g;l{vvSRi?Zz z@_8*fI7Q%ekk0Ck*c|n@EfUe^$v}?Z{cUI#mxS3g3s2GrUm>YQ?QpKMKL8r$4ytVO zak#~1#3Vkm#KyW=0Ravrg`WsV+Qp+dZtS&WfLZF3mif3y=gb zv6V>fQM;)1sbcH;Z=h;@EQu#`@hMxEw`G=0(sj>3v6Xo#@D&7{Ei)~AlC;Oi&lai) zEED2;g}6u;MIJFa$9h^>%*N@c617>$0R6Xw zW--gIQcUc&e5lRlRB369HnzvI4f8 zdF87IF0S1(X43T64UFg&CQo=oTnQ*a0=azK8ADv)KY3f&0Ot+BhWaqF<+R30&Q4V; z)D}6Gqj8DP5LtQEe!-Y$NQ)CHAmi+|={4dXN-Hq_t8}pnUuA3y1Y=cIqo}w^GZdey z7GqBkc=w-(p#Hi!`n*6#Tx9s;SVP0eAqmVi4@MTi zXVuHz4uH9J${Ub`1@HsY6!~;8?;1tL(wK7AX2IvY;vb(ZedRm7?`dxj&?*5m z9=?iX@);2NmXYQ|&5oWvg>i;Vv=Knk@&k)wRMxhOT zk}qm7>Ra;~zOcRwXsm>rIUFLL9tD_PmBn2I&P~t;9_3wAYmno&Wy`$XAbmSrr_q)h z)+tJ*G>4wNKK zGQp088lB4@ti(v!LSOfASoyQmkB`9FL5hr&q{onNe@4zHNWUR;4BwW$(h&PY-Pwn*M-2x&N;pyjgqQ<^h($F|=t z>(hh{Vh!lJDt>($c@PRP#_Af7b5Q}=aOD)v)lO)jeVjYzw@jb_$H+>34P|S~j{QS3 z6~a^$y4`v%?8xA6*9d!VRrFU^upaqT|K7^3cZ?cHeHeF%0*Hu>6%sN$r8+mva#$o< z1W{Bz{vH9Y>bnz3hnzYX*1A)QOw=i&uTFvT7fjV9<<3?8;keDBrY`d1H9-`pKbwSJvZPY0-)vqjQ86 zD`lk>WMRnq_bZ~crM@=`KOXpF?HedAmhtg7R=r-m4e>7d`KiVt!_7XEoVF%;Z17*P z7SV{f2}aZx$ZPIlY0?xpdD?5N`v5L_W|;r+A@7JltyYxG9mcrAiO+=lk9?}hF7`pOEKnEdUp{Mj zd?5d8j%UmubIzA6J(xBMEV@?@EuqD3PWJ&0k*9&J{|1g9Tr#44uqt&*=F@a53aP_H zX8KQ_>=J}}wy=`xjCfKdVdb0S#Y{8zrS_1MmnEVgGd;&uuRfO=JRTY9dN|THQduHH zkrdggBV}EE;iR7NwL|zN$`&kc;{Ewvn zO4Y!gAcjSyWk@_yH5wRE2{=f@ z;AKxqk<$i1VQ+!)U+VpTR??l43EwLR2ENy8CxYRA?{6XwM>?uQYi3Tn>oEC3lB6Qs zH?(k)%i_%nRXj4sMG)BijesA^Z7cwQC)Z9b8G}Kl6*_H{&)!(d^G&}Q@xPrQ@W9lL-)7t zi^-qzytH<)>NwtWvCv(0`aBEf^Ew_UR+3rZ)n5|vXqdfS@SK@W``x}8alm`*cU=KS z7ldg!1QoRseKOE>-20=}xe^hDxdvwDeF9`=B(J*nxL_?$I5a%DP7L%=Gg98mh^b+? zX-O8Qi{kAFJ|)cKUBS0(QIxat6)IzweCZQy&PpYEe|jkdkDigjg`qoYLYf4HmQVGM zzV%~En1sqpW9BR!Mg-AU0vk?G&LH)VAlYi9vigIhMt6|l$nb~DlHctF!{3dVtbhOZ z?0cakoQ9oen{16Bo8B333XKHw|3r=K@#K@ACBssv$;`=QF>%*GNDaBsoi^^cV-5kN z=<$8z+aT?=ABmu6z%ZY65uhBq$sVOT+t-9`(L3=xSZe39OuJ`H?B{t5@_2eXx#Tz{ zJd|^*y>3li9)QBP*L~7ZEVmPi#M?@6c>yWmDYTSnC@DqcKQ@;rO@j1 zHTGY|y31cNhAw3YOMd!(Kj7VjGfVY*~O%C{$F=Y_98w3E<+kqu=y?*m^ zc~Ho0wGYvt{0~OKJ!*i7+i7GuU=IKgIq}^DbfXWS&MuX(I+Qzs5lgD+Ak3W(T+{4Ne<$ z+hpJ!^q%8RW{Teq-jT#8UXg!v{$`|EN+SwUV!hQtD^QW|OoG5pl0!jH(5Ys~h4hIM z#sT{zHAP7`35xP8MG5{jOXO+MtwRuz2qeA2oBvIN{VHREQoASs)L6qI4pXns?StX_ zf~Un-izhVTkk=;}Ebz9Z8tlPczcN1Wwpks*&du4lu8o^n5*nE*3;-fM8RNzOs58$L z=@mEYx~u`gUG^cT+e1Y@c6vQttdCFeV%?l(g{qY|QiD8aIXggp8#iJ~qN%NfSYG z@w)*nmni2YbU=4m3SOos@NQTfY-^0qI#G|MCHD>Nk;o;XGnQd@SDfplz>)h7gqJNs80*J{?F7#nNp>F6x$X{!VF z@vc7Pqet;g(n%VU0Ac6JFMz_;6)vf9Zwvd2&D z7Nji1P10m%x0DNI=awMguUJ-bpxc6IHOn<^ba)Y{kEt{KF6O^&Nk@Z1&6pYkrt`yz z0#a=)_GytDfTl8e5e|Lw_%Yx<3C!T~$Rk!W8fiPu)-*>!Zp%C@nY)i4yEW(-oL8>;w!Evn z(Bb;g44mlyxmcDEyS@1u0G$0TfXADs%nM~+;DL;dNGg;IkOK42NAH0g=>l_a=qyDF z+SSUBuM@^-9fEWq&EiP#%()*tZm>C&axmv9X~!yfuWjWifdFs3Ctzf?Ml+lOLn%-m zl>U|8TeSk&#VaSkXxA%SH8VQG;2Iy5tjY!~&ybmWPiKGZ(m!at&3BT-kIM$80ewPI z{>MGUZZ*wbA_}-y@R}hFO1)w&5Tb-TZndplFl~Dp)o`>L^Ap}Zh@)@uCbp)i698~` zFLk(uF(J!CPZXeka3OM|3*gbg%u&LGym?qg?TrGiiRIA{)V1iJOQnAAvb1;K=AFxyN)eadd|&;}7g^uqHB7ELz_vsm05aP6U+w*qzlh%B&+-WhnCPQ@b|AFA zOwv6v%_GuTi z^{o_kMa*;yJm3$xFg)nhV4(h2>t$_M`l=^wQntER%Fa0`fH#O5NtHmoIY%yZfMRUz z1+cY~+)e5vU=WUyy(gj@WiOhNg{ri#7Bm;g)%&E;amtw}W@}2HJVB-p(7QB7mbdyzkJ$ zNJ#LAk5HCCWo#n!pzndzdR$%C^nxh-O%4~kBg+5z!w4)+O#AJ|IFM=MXi;MkkcI470Lm@Vg-Wk(Fo^U>f z?3Z|X$R|Gb9>VQ7am^QbDRxlsct4kQ*nH+xA^_GCkuW8~Y90968Nnvxhq#ao(J&uZ~A^+Bq*Rjujm} zmh5HnPqdKmb+*eTSp#7oo2*xiH$_itrSRR!6HMc*azxeUwPfrw?wseXoCmVK zt}tJ-vb;Q~}_ewp1`fcej<$Zg40MiR6i9so1^MP8~p+&rTBKK;Zl1BC3IoI)%TeKUFb<^=W~l>$-YY!AZgcs-Gdw)!VH!p-J0UioY01VO}&%(Uego{xjpf zru<87L@gt%PVkeT)`TnF<0lg64t##{Av?ZC%Du_$J9GCzb0zuKVOHx0yP9P=#cLb` zb}pcpy!=O5N~Mo=jF1lgq$1X89KZuB+{G%6b4zlYMA{45yt$nVR)0T#|I6D^LD8cG z@IgRMncdCqQ`V2e)ItJb>`5iwi%CVlC8ht4?`{@gDn%T7hPBZfrJ{xqYtt^@VLS5J zo3nvTFAradqxxgh0Ex_<7B8Zt2hPF8Mv>eXF5gH(uUL$oss68&od2Mey_*oCDj;QH z{$I(-8`H6SZ66tth@`3hM6UcAr_HOf?Z0FKPJJ@$#vm^eElm0jI?_dei|Zy`LziF` z8W`WIHc5V~7%RQa6o|O3YS@vBGvg#YzgiIPnv&HcfVs*Fr`MYO^oKD_uj|ZpvIMa0 znfPP3r1f z>*vD>k4V`zJc?B<>Hr;jV`wVQON3v_8#dfBYAXdBJ{CVR%&-p{2NW;53(gK<)yu1X zETnubxT}EG2INaC?92Sx!XA&vih5du-_xyP097M^RSC3-B%X{eJRpHwAYBZJ3LX}~ zw5jLIl&Lg4nE*D{?Clhj-cow?6)oRN1$Ms92i0D)(pTVmyhjDk^YM|&>Mn?qvu%$s#=CX8bm%rQ7GA%+|~nj!LD?yenyH){>rb$mC=W9YSZ0xqIY`Tmjy3^Etq` za*DdcyOIIei)-QW5M*FlcN;aGp1ZeCRnyRjj~`OwL8-eu;+V-8j|?M8n#?APVx``V zRWd1B2Ce)zV{2hn@pf$-U#occqIPc1etehCkl|zgYtSK8q!vDNW*wBQ(Xpa*hr9nb zswNfpACK%Tmu{VO(LPp9VOg?|l!TDp#LF@y&jdFo4%?0(3~kUsEw`L6#fZ-Yt`X&ep+mzbu!MpU-ftn^) z%f+=C$TsoB;gMm=+uCn6C+8j**>E=rjk_v7scPZ{l%GxH*daf@6xKRv{ZFz<Z`>Vj^#S4hz@1cE>Tnzz!X*DCEmOarfd|09f%l=ogV#6WFXUkP~DU9)ZlNDF`;Q$T|4)uvtWJQYFrTdEBcAhRPjDv9#j!2fZOnCb(Myl_`R; z6^v}mh{_}8W4a(qBu>+!$)H$E3$k!{pyj^_YOM~UQtx<uet~Z4lOFyavIg%MG{`~t$KwrtyLOi7I^f<3tWQ^@$zgC{3~x=e7z49yTWii=gEw>%5J!@jxKoHbHz(H&Tzyz$lx0m2fLVSf*In&| z1RV6AgzlqU2|@z2(uMd(uwMc5bSr>G`!5v^&x`S6Bv;8qp(!y2Mef26Zi~G3)^~m} zCTm%nvxJvpeU}SsshfOBy@G(3HTlh;i9%AH+XKhyPv4glebCud$@dK+T?Kfc)mwnq z5!UarzBa1=O}%%iamQV1374(&%ibt!nk<`*6!?IX5{p$rJ1jFgtQYI>wbp{}JHZvDM|mI&*W9)HfkPyX9^yxFT^ z?6#WWya@;Av($I2RpDRIE5`*NDAItE(cijBqw409>W2;fSxoNlGNI$AFK(p^^k`rv0)DW`x|U+Udo0-7TV1qJ~Et=Uv~8)?{d1g$_f0@&oG5gi(H4e$2nOK zpPCk|-w8G|`aT|V@0dZo*ZmQ$5paHJ!@|=DZhM|OoK$6@0f+6*`>U&cA_v|u!fbXk zRb(8Ts|;dW$`-v4H$r1_1tJzrH{qnMzzk!Ck;B+5FD3BV3Zvy4P3IvXzFO|LTL1CV zB9X<)5y;oWiAqu}0>4&=Ac->m37r6!WPl^`%BZ6ylFpvZoWbl<$IgOQ3K)gaApskobmuY)jJI5^{s?V@=eV8I3y4atrW-_3{E=SFO2C0kQbeG*QGd(pM9aR5<{KMgKt}j;~|6$Ebmkc59*m?4Lt5B8u@E-Z^B#yNXO_!>)ftg z@{NG+hAc_`r;D9b8~8%!JK@TWOJ$*UW4q1>a;_hD`(bZadlGHk24s(yU$F)>Ux*I? zY>@vWni=b|mwG%r>I5oRn=eebFcYe+ z!4Z8U0bkOJuN1i%0p8;ms;+a~=QMIub5Y2!xUm&!xz)9gbsIvzAIfc$df0M2!0nD> z7jh%^B6;jko)^-S-gpa?<6MA_xdnHRdFBpC^pk_|Q7gK0ipVVp6tL1w)#v>|%2427 zHnbAtK7cp^wQjh%j|cCumBndH$!xsRNlaP%j~byx`7h@V3H=tBr*?q^+dvh767~us zlFq$|ZRq(Yb~^Q;(65IJQJfD}R}TKCP$_*;_{m9&N0-$>R_Y7ZlrJr}BQ^2%N}l-zxd_1l^o=)Jzov)Wq zbMRRr*&LF98bz{#W~ECe-inNE|COOZE?HWrb&+0nDm4VR;{NoVvh*No4QsD+3OaFX z-8ggeri(NI^dV_#!U63AoOKNq&=r)%j%b+@M(9Kj`NNT$pQDA<)lOr?*h5&`GKJr= zH9%s-YK;{s+|XY4!vp)=-qUL#D-D(fwQ9D6{OKrV;~d?0Imyx}8&l`ciP^`*hio$N z$aSk`-hvCPB6nM+QH`suvxb+B7b^SuY!ErH#}VQju$dD7iOKo2JH`>`tfvka&xjr@ z&+H2(yD&s!$Nq!Vnyw{_cqi7o}%>wbYf-6oHynF4-xd2ctY-R_H?XKSCZlg0r~sDQXdiHnFNSh;fdD4!=e zr+~8cC{|wd>jy-lkQ*_xC63RVA z;h^IyyPowqu;Pu7=Wq@DOvX&+dEd9pxB+z9zy7&Lu|sBtub{`ieY&893b`u`J;3#h z+%?%wKBa1XNK%4S*ma6G4@gx=xM5{Vt`f7yq2>XEWvR()q;Xq2^kK>&arX;i!yHzw zmuNT_{nCV+T>V*@R)m}au12OX3pt|Wp`0&#H}Cg`q<+1UCOY5)F3;;v>7Xa0R%Q2v#fw$&l$O9k)LEpR)CQ_ zZx(ct;-b_tikC5O>#jU!m7(%&b`b?Ir$yO^#l~JdDpwnkFL(d$h8=&%vAj(_cmH0x z(hz}-7N5)hEg)D8eKIEs6*NP6?7Tn&)f3LSAGp3bKU-pm!`Rn2gv@+Apo2X@-?rcB zo-NU{6FbFvKKqCt_&@;v6iFVHzJ&LLg7c26RG%E~dNCd>pw!U1gMO7kaRUZu^VOQo zw@BQMsc;XwcA<9%cxP==9_*B9E~%L5HemFdwn~T=vZX-Z^#1i96cD{pds#K0=SgfD zm&d~ang3=)sm@3Wyp!4e7V><4!``FL%Ofmw&lpKZAI3$P)gqg7$dfPzJ|!d^fIIU; zdYErKz{|Y7!l6wNCRpwHVON$XE@u ztbmygn;du*>MVCNe;{+0Z#7AbBBFOKbREW7J&e*w1ksmg3SgU@-_;vxcOMxi}zU2qNWQ?)%2aXXrZ2`;8Fhoz3xgP&t+BWqGjq>$GfM1aSF}C9k zvv=``&7K8V*Kq^Ig~H4f@c%36JiOU{+c2J;kcc2+?@jEz#q3ghZ>?7ClF(Kw zL8%C;iD+B@=3KXO5SWjihz-yW3xWYPfrfWO=Z@t%7FDD+fV=pNsA#(e-HYA;s_ z`>dZ+f4qSOp*$X`6jV$B><51lL!rdVIs88C5^&lQ%NxAp_c*okNiX$g%&s77NCtpkL-Jj>qFfOrdVX=yxPe)RdtIXN2e~M zaQ3#rB3Z8Q)Kp#)fHev!3gSGyk<*AL*65bZL@qYbxW#JB_-1AB3MdEPDUxu7LT+ zWWJ(?!g)`PrdzPW%V!%d1Vb*2lj}~No1~EPMJKK#DobY%6%y7jZf$q{gsoF#-Z-MO z9TDm)JOUi@WnCKli<-^0S8k%9lj$(Z-;Oe0u^3+Sc`s$^>=_exyn;?-m_Ymo@DwrK z67dlhABcI719hR9m*dRBW?_9$eA`R&K2fO_i2#o*?em*}`A9NR^BU-%t6aKA_F(F_ zV?F|^=_>lcI%`t)jKR0VX@GaXwrldtyfN>nx8A? zIyfMa5%;02iIrQt8&!Yq-huDWKiTUlFhbDQcW+LV3ritqMkkSi?9DkZlfxonHTSM@ zJ4>_wA{wKgbr+fUrX66c`JfmfZRbW)JzDK~h3XwhyenN-<0UZQgb{EH0EPCc)}a@v z)VNi_lt@xgjAH_X0^^iYOjiZLunH4VlXs02m)UAv6Tcoj@mdY>XR4T#@%N5xO2y=c z55L;Ow=qEGa9SE9(AdA>z}Y4&Gr&%7i2o1!;mDwPuhKNhz1snly$V@(PS_;>Vc;Vg zd(|aRfydUv>?jFPu@ySrvOiY&096WdqJaVD?`hYJDr0rupUKnccHV03 zO1qQt4g2`ILELFh)Aj>t|*0?>0PPh0#k>Dawhl8dIZ-ar~*0)Y|LOI?*-Y z<;ig1Trtx1QUCG*3eO=d*nkZEY-xZvI{SG-Z<&i8>h9al?h~f;;tnq6!47QHiFNWG z9!h4< zh`!+x=-PLZL5TNE%ldZHqAK)RUUWyO@DzDJIQC!S@!7QLOIND6;zTb0jJolSW(@Uk zIpG!z5^<*P7CT6Rjadr!t*tzF{+2Wx z@?YKj-sP*{PNQx;VkZsND9i>puPc|;6>Uv%VyVe{P39!m=ktHYzR`_kv!`LOUkE}N ziVu?k!-8XReBXt|yYMjailEC#M3iI?{9Bun3*p<3BP$HKs1#l@iDtumZ&O3){2vMi4V_BfJS!>kuT#2jVG}gbBb#-qkpDgZHzX zf?_!an=LrKG=1?muYXq$$m^raLPYX%$iENLY!T6Qvn6tRd~PJtLjYR?ostda153cag{)M{@;Ua%${zxc z@Fg5nEm%dnZc^z zUqQMdsN4xKaLo-5Pf-(UxvqluLWZPK+tN*2@pSYOfxiJVZV}j@BOWd`_%m#V z-eMzO?T$Dg8^robkS>)_b`4<*z9FCy)tVR!k}>ek^HxN4D;Yuy{`m%U>{)s`Qe`&@ z15NH!u@h9}uLHds1%_d2Zsaa8F=2|2Ws zM;%4`0-WLE^B(KI%c8;NuT0L{Ei*-Wq8IePPRI>=g)3e#^y!sc%OqS~KMwx>V-AAW z=ih-l))q}(LnK5hP=T1YgI~Rmtc&9K4A(ylgeI~l#R@zQC+ABfLx0M%J$?qu{-%d2 zToQkxraxOdC`Mm6&+-s!C{sD)#}KR1sPA^n2mBApbF6OU|DcG=aMIpxCvEn`YXsWs zwx`V-u0-pcQ^0_RE#8Y^0|pwUt;hP``XNzwOarciM6b>Lq{>rVt1eb=2gQy3=IbX* zK{W$YyPts(#L*4Ov8;FV3R~VAzZ4$yNDGynA_25FLY3*~S_x7H2!mdlMpIv11tSJRy!42{D&%G<>EgroupB@HX-?)s`f+`Y~m94?yf|uef?q6zYCFqKR!UY#V>^pbsLWC*^H0Vg1XE2V(ye9iG;*uxEO&5H)ai=?6c$EjFFc2~k^)u$fF!UKsG zD)O4{EX06qqNF8qfX`-abOBlFxAhZ}4TQgb@(Snt)0rn-T~|`LTFr#BH>=ILqj7-v z5|ND&8gCwDk9z?)LC)sXyJFRLi^|Do!_8t(bnOQ8fSi&?L$mXRpGT4f69qGZpC!V; zFYTJK9=9beZAPV{@1Bz<9}rcN0w+-~%@FOhCI|DdAUzg=DqH!g!l`yb8K%O>L5=gO zKL+qt=$piIeABV7jOg_6R~J%p=q8)eg?`{7bbcgWv=g~RV)+v#=ih^TyP)Guz9{hy zQWHAHbRYzO`;Wvc%#F>pA>^UqBbR(d71w9pt6up6YdM3!Y>DKH>6xCKq^j=bpLgO@ z2J;`damc>#d8@mt(guD%>0JNI;%pKn?_=vQkL@T=?uB??Y26DCOvmRJ1q4a+OMLAN zD+#P&$G&usmdl5vFfMn`c&-lsEs zo-ZxB#EicnM^^910^=O0iSUT|K2<aYR8~wcTn9%fE`Ibh)iKk5T0uPqpOWR9kD~jhg4zFAF2i|e;(R2SRcf} z-ad~xd#EjcX^N@vP}yT5ZC&=ON;2H)iX7-|5u(QhB3?JiN72;szv{X&?yd}94jYyCec&#AM!KAw1P`4P2T)E zkh%jYt;qhy-h-kn6CkOA_Xa#9Bh;5kHF?FLIVce-n&kH-VsVr!<#+M3#)u8TuL)!vO zkl^C3;!@C}<(1FH0a7NOX9JrTikK7;<%4qDu&`(G@LksWGs)J#pWjyBaX9j9>W`|{ z_$vLTD(w(oz=!f`b<$LF*o+L%wtOV-i|pmmpDKjv%*q6^K#arU)d*U#>3vW4HvkXe z=eyt0$qgd~F@EAs3*1Ds5#cq(>zf>CzspKDsunI(w`ncxX9jZG^5>b&_th>U2$~H? zeHf?Kl))L-NJCXFzt%v$+SD`X7oZ=vBju-L#IH@8%=Cj$eO_@n0Z9yJKH+fFyh=%M zg_5Mm9z?llu+VY~VqzjIU6cf>kk}h@>MQ=FE3dIimnPC2C9)-Q>w${C%MU&J((iW1 z;bhGDOvU+dEgcvF#wH%<748<;s*MyCn_Fb}YMtKR;(mD^5B^j`-FM@wQ1_Kj#ato) zTTSA8;wk{gbTN%IXqzWSbxsQ0584(R{rs{av%exH3aDb-ox%j(Q*r4h`xA_E9A%Df zLY?J>WLmt+>+=7?MPm&_xhvbL$pPfrjd5QF2;PvVy+N}X?i9a~nfXi*g)>^Iw z#D27g<~#mTqK#G@l-$wdPS+|MbHE z7y^uSS;$|$VHMjYp);~>Fs|XYyd$S}unR9tHPG+#<&!bzTpU^1*X$NuG`&a@MBV)( zDAc8F0d(@e3KCWPquF!Y4{+?v#og^Rt0~z=POaFLUeSx_ zb{G-i@v1v3aiYimu)2F0o$$*fRIcBHO^HujAAo^4qpd{aH}gnfz6UMC#$Qg&fT#&( z!*pNT?$N_ruMLwZ2aRSthtHru#ideOY2$}h?_~{Gsc3#6{LGWhDIBqLOj_@F1B9+% zdCyn2FomJRgaxwjVcwb-rK0fJ8^;*|j;^FQ27+iUTGQ{P!(cZv@{NY_><>&$MSU84 z{%L66EGH1OtA7$8wUm3~`o|PPsFESsdob9b)nLfZywLj^9JxgfF++o&A94irmdT4U zcH9|EQqfd%W0>(m4Fujf%v4m^up?v9b~hY>U9J$oll0cUxOiKrQII1t2Kpud*+y{x z>SSWql;cVB=_MV*R!*r3{td1oJqcnnOT(aIqOZ5+Py&ndhzhAe4;LI zf|fDJm9L5c|82+^aIZv0i)ow6P4ZfnL_db&I`r(KJ_?vvRj1&B6Iw3GPb)4&>exu{ z{Ee3*JZU8EEbtZpYAQ~z_L6nWIvT53s8w4-u3t24F!C*1WCW&rk>9&YEbz1Cz7QqP z8-AJo)*$n(GC;ilb6n2u*P|PS{x#9aDp05cNTL}0ykfhc_uvtyZ7F$93T#*9%H0|G^Fg=3iGPAYwZHo*AC^{;SFPMWdhF*Z zpgr$)DT6JmeJ>I0xk(Q}@mkUBwrnJX5RO!gcX8Qc2dUCMe8NsMyyXS0(e1_G@5;fP zujb89Sm{ts9F@ybs=?UM&*G7&Q|Vr}a;9_#I>47hJ9vtWW?Dt-G4MxzFMbF<|=)$u#cgHPbH0Tif(wGqtr*!Zi2yt{?;>An!tEd|{JMlB9}%&sjU+XY1Ki{$Y+^dvmvB9UYltf)o>_LN0i? zzvN_oKdzkRp1*b8CB)S zOcH!-X7vGy52_@Pj!A;(Ig3hyxPI-JZe8otKgPnU@8*_+fvF@+4=kksi@V?=12jJ^ z4sGvJ85#-GRG8T3fOOgo4w0w&lvDwmH>67$Wc5>>T3>0-7I_4qx%RJEZ>kR2<(0KL zC9%>@Hf|_E>}!0%3@%GwE6x81aSzFH&1%+a6*zT8q1*Y#pIM#3P8Z;Do9c3EuhmHj0L$6=`8mHN|`_%bFj}Y)NjM+|OR-75w3$H|A$@v*&C4&+IwmAgIPYhBmT% zd#@SNryH~7m$`GhTGxyNM@zLBU7;Fo4p~|n;FD=#Q*zm7rOaVNh)VS?56S z#N-;iRpqwlHMO#oM%ADv`xJEbr5uLYqVQ#+AT$poXg9=Fk79d6CJUiaKuURlFRp1%3yy;V*5_8MojXIq#* z96fKHhBf3voaCBxtNaFYzkxmRd4!Cy5{V>B&+j|O$?#l+{YPOKhTV;Nkcbm0hah0C zb*NbwNaE8~tH!@)T=>#2p}k+o2KSPDiw7tN3hS*p+KFM1q*^@nW^z=*an7sFHxHbz zVZT42VLqFR!UaYwIzTh`rk`ll&)R@mHcEg|+F42We>}@$f}B=tWAP8_3}@zxS!s9;O!0v9z9X9<-H=Pv9q^~;BX$iF8A667Cv3bZx7P?z3cyY*L9aGwjCorr)G70yc`3sw5>fm`C~R+)Db zZqQ00V>`R4m#tjLMbbWOd)-`e@m5j!nY}*Co|ppN2ZQJL%)0J9`oulGm!_kog6hoC zAJ#EK)KaIu8D&2%Gr9c&UFTvbv`eAp*GS91eePVK)qgJZn;zg?5Ihxrrmi4@OsN-D zYyA(ht`D&7Uhm9_XMW&jrfu?V2E;b*$w2+3-8hEz|HSQ=s$1NUyrt-yb&*m-vdy%Y-hLp98iIeWG(>fyOaQK`xd#BA?5k10RY zppUgKT93>-@(zb)ZTr)vh2G?DR{u_t;k9R8N}|N`z;s(S?PaM3~zx!-~osz zWC@BdfIkXdf)NP7MhTYSgaU-4M5rZr(Evh}Sc$kqfMk?ZiL^|BY?NGyyh4Ctlv0m! zzg>4B_ZsA_T0B~v3DIC_Y7vP{ZI+HMQIDz5GB6|>F^yR!rbIKQIm^P5XvMT<+1L{8 zm?W0H1JRM`#Bz2a?qlv}9XLol#B^mHb|bnoJy@PzL~o`K%h!+SPh|$M0)vRb%n(*+ z7%`j~!HPUWJj#q>9Xn2pW}aZh#1i9}@vMYIViNNtD>;QoW>Q$GX~a{^)2uV;#IwwE ztPCoV#>`}8WfRXcb6B|-h359E)t8Gmsppt5U(|}Ly6MLAwtiFEY0CSKv^n&=3`HJK-j5N-nG>wZ55y_v zG;4-UoMq0jKF$*tn4egSpNU_XUs>P&BXXErDr<>HTxPDYR=*S1m_JxQe-VE(|FG6K zh?~s6tgUV04s(~a2k5G#KwEB!-{Q%t@?l8f!WE@I>4bP_pc5CiFB+;;=lo|1!8~ZR(>}rE&G^j@Y0&k#uGzXzAJ->uk(uRb| zV&!E5d-xge;Lt1}YH*D) zQxRKDT6%a(2Htsxp0ShXC>9;gs7RH)-oqox-X(?b3&BNJO|_3bsw<{8=t;7!nu1bw z*>&tYtNbB;&a_9!AK9OvV$YH`F~z<&g9jXUkI{M0Z{ENx)}E$yoaEK&>&Ikg`C1#U z@yfg$T$5^Ri-%86pg+wxMsF$v#GgD-NOwN}<4PN0SjgaEDp`*&z`X9&oCp6AltXIv zswBzt&cwa_9y2quN+~YXMCSs&ailP>O*Qy9+H~{by@4!!ynEGLkxbpv$uQVj#+ZoKjoZ>hDDCtud9+w}>*8??oZCw|5J(dGvCU!qf9?(s{ z79g*KltyR=_HuyypA%I`Fv>;i#$EU({U`0z0Q>ro^QZjp5B=-7S8DcDQa-t$i*ps) zBxh91CUIRev6VwSL3y!e=|E`5TLVd2o!;djgX#n!-UwF&p=#%A_V@sZ55&p86Ou;z z%zr9>R7Zpo!L=(s)#KsU9`>R=JyTnFiBF?9Sd`>Ks%jHR$quV^cYoY1zVZwV)&grO z%0^d*_Ej$+Yf2niSiZ1#m&lLSa9weSd2?; zdPe3}vK;0{6e=6rlmHS`V$TSb~Q-)ddI9-s{@{fPn@E%C~0_yv8`JAHX=T?i` zqo2;I|JDXgwo)RN`z}aPZdu4x{qAs-!@>mF~!FlU+Fhnw)!lZ5 zj6}^t26&vBt}wIpd0DIcKHM`GaWmCU_exJ-P{gHvPlJ4woV6k6$DlUzCk6MtacCcm z-Rl91BrF@h_A>U7aePf#)%|9^=R$RiEt47@hL~r+;TGG(5}$m{hWMk;#@FZy7kcS_ zDLN8QRb)&v*4}Y-KxtK?j4?K&SKsjYE2^P(+{;}<-Y_G`@%bAy(jQcA0!)=to4{HA;o!)lh8MyJ!7Z;3L~;2SPwq%CCl-a&nJW7TLRI{P3)3Kj+axF0Tc+ott_>ryr&%` z7N8--9^gTQV6gDPmCWUsh3qTGsFKuRV|U~5W6h8e=#l9QL3w2bBYTI0KtAjN* z;~yD-3~`wBD=>!6RqSR>FeMc4xIU+MXcG_4IA*S2ZtGQPii+2Bq~mjE^{R~3nzUZ} zPMay+=6Cy%VGtu9B>&DS$u`roeu;8I8DsavD2T(bc@EZS*|-&E;@CIf9745L_I7K( z)mV%#@eEh&J&OeFZsGgf7==k0Q>hz+p*4UDS=BOQfx(Cd#?Quo>B;O(Be&#P;2uiR|0#~Y;&Xh@7%dgG7#c8P0rlUn@o`_fSw z61A3x@yCyL!8ERsT9WYO^c@Wes%0|%_^GZF!&_+Z~;RCB>)WmKIjXVr(FimKn2Da#oqxvJMElA{$aH#+6@%KWGvsL^3 z-$dUdHpYmT1TU~rCK7Ch%Eg_>FT1>V;)W_W1{hFAgY9-h(&uw3mQl^+3_x6iRBSfi0TKce?T~y3%X;^Sv zq2QO_$EiwMiW3ULkj!p>+m`AOo^lMaB^0ETjD&&|;YIBsamW5DVXQyj2(Nm!Sw9&U zn5!<}2=~MLoLy^wUGo8}T=(5nz?N5h&S*SLc0=8JU42P0UOqGcF7Kqd&)pGM)CPbmD3b(*bjH~qNiaHQwkiRrASdKw zJ!{$49j_{hrq^nqDgnZO7s+;f4N|f$=?tuaNOw|G@#xlQ=M+>du7TJ?07kC+Q*ov$ zksxT4GGY{3NV|Ru4cAuUdAuY(*K&sB3`)%#AH3RM9N+Fd#5$rBQd-152*^3<^2SG2kB(n9BcW?)YEhc z)$kz<0G_glCgTczFXbSeu%qkLuQ~+?`PC*BTrE~Jb?U3=B2w<-FaHqM8?&HJ_c&c2 zgDvBaGF>1>`Hpvu#^hV%diDUs&|0TRwnatf#oSS|5FLNkcP(sZAaW*i7dl~}wQc0y zaexPf)s#=KL+S<17O^2ZANq}qVQoe%cc<~XOVP61Frf@z(8l`~l|X9I;U@r!_8*e= zZb~U{6yM+x<3|&VUGIY(TzJ-!>$z{551h=o!?J-;M6#HCMn6zwRHr%k*?A^LZ4O7s ziQ|)b3OkrBqMmv7&DAk==qx#*XF3gFh{+wgm2N zZKh}z5E9K)_hE|S#i)y&_1|FCHIUF!Kz7?OgMAT!Eg~y0-geb4a!-MhfjCK|oKmKL zcRBanv)xQk=JwX~`@}qUBLC*fG#LhO{e%1GW{>!KE^`qF)q+9eDX&_*WZj2w;Begv z`MD`yiEhCjkQUU#y=w2H?M6R}je>}WjVotJ>495I^(fRF2?H)H|4m7{*$5Y$G4?up z5k4ad_rtCw^?Uh^6!F(xm;%evC+8^7wr1hY2?P}hM3qikRPU%A0L!81cKek&)<klO$D-L7r; za~o+6SpUjq&N1rss(BC@o%uI7sVd%Dqx{^B*WR1;HeH%MOuy3Vx-+z6drMz;zAipY z|H4*T%lo5aE^6`f%~!a(-RME5+}y5je;ydYLe%!RcWZs2-A1(QYrY>sXskp2>DeMu zfWCpDk+F%X*`B$cwl#|HWETAJ2>En(S7K67h(IqWqI>SjT&@^(9i$18cM|5=XgfXD zI<%}u1%c!NDFr(vWtF{9RZVq`Fs*s2ho6%t;2q$z-_1S!oG!`B5pZ_dci`Y53q>ij zvj-;~_I&LRyIR@U_E0mAf&>K4i>K@UtG;^x#sY#en&uXkR@R9Vb|m{B4vu~S>h$PB z7ay=t;FD2}p`x~xHK|#~k zL-{d%pf6@OFy{Ue`#=G5Q`bQ+^B}u2U=R%iUyg$bKBBsTK7|V|Uj#$HRhL!XIdSWL zdD)H96W8uvDXV=F{}fzmdV&lM7|V1$e#FRQEW-74yix3!tLs6VaML+DG|DJ@jOuzW z-6(C0;+k^FxS1B)1$8^&cR!~r?81q}`zOm9>ti1pDnvn%o#Du?jaHmkL6y;9f?qkYOdpyGy=>6)lclUTA zf06f{FEVT?=%6tq%M}l&KxaRoZ$m@u(B1otnqX2c(0|kR1R9^K`d^C@^s|bHBlNOb zNrB#cc7!0JA2faUJhTC%ih+?71B6^5S4Gw#R1ieS0s4<8q~r<>$9r8plX^<8~{rAj+~=Xz2$qu&upK1cn98LW|}Ruu~-aGgEu`xf8W z5LiA9<8*U$ZK}@+Wt*mg@lqX6;d1|FO=-A=M55BNjlhk7sGI`MTtq?$Fu}=~5u}x* z05R}&Gwm-r;N3)9B+ibiTXdWN2Ciz!N=kTn#tionuc zs5F6u27I+N%y5E=s&n$9_dF8sf(`U`aE2&T-LpY<%DYOMx5Sk$KYybPQqxTovCs#k zebiK?{&|qLgIuY~#|@*(Ju!O(jD)=i7Bl}?Us5gzkh&@$jVT0=$4j*4Os*3zIUr3G zhXE;!w6M2U!*-dvg5jkr5t&zmp2(qZ9@ul$!3bmj`(pwB%vKGBP(_{1jm{cu|EdFb oB%5|-!%(nHkPllN61)Kee>38blSP1Fad-dUkqH*Q63=Mk>=uaPYfsuwu4@B7kiUq+2YgU9bh!^<$tp$Gx@V{(cKp#O_P|Sg@%wuw`fJ+%&AXL3ItQ!Puc=jtmDPuoxkk+1` z-J;6cmci!N-GIA4&iW#w9C5=HF)L^P*_57b!=-QEu;McK4`EzOFnL4M!cWSY;dsXD zFN-Vgg%Up&4#}4ey>*u;WEJABvZ@-j7Z)WC!FfmTYwK)C2)<6P%Ajbs(Kg`(X5~qz z`bi^JkPEgG1p&R!QXXSqTgL1?(r?&qs=Utjd}Fj(V|^yeM<9xr2b1oISUTx9?Jt=l z=Q`%*Xsw2elE2&h*BRYTktJ6E&W(3_w@0tDrrZjpw&|HJ&5#t$8Y__>48Pp=n9yyj z=;O#^Uw1|}i6)ys3elGHmkSCYTx$Bldm9nN;hV}{bLUp`Bc}BL%>0LoH(a@6@o{b- zCpq0^3J9G(Vki#8E1gh~_TSMKDnEn^A&4f4lc%BZZ}*>*;mZdY*s5$i zaE>+R3RYTYhCQXHRERj~G#jFrkC^cK-1^)CdZlquZ7gtLS?>W%U%=_Mdjlj15hig~(fiEg-Dw zf9?EWygiJHn(}lZ(L}T#Z3s0gJe=}kiI{3g!Vi&YUfUvIl^hpalN$7R6Bn@J%*!Md z+ejo)cp2nueGkAH`0|<5RgvvPV`uf_W>cSPF!bgAS)BLgawmCRbJY-%&`l+shdY!% zG)B2rUQ?=vIUyJ`$IObB(wz!t+R~Ox>D#jmBQwZ594xNxlhbkxX48~*K$Cv8fmEBp zNrF#<4)og-1!-G(IOWr*ZTbm*+H~x74&^(T-FvAXHCd8uDAZbOeS zC|J^Cm?M2XF_=GZvY9;zXq_t3s}gYXs}~S{*TbLHi!}O#ufsK~3eK?S9T{enOtU*W z67lqiXIWf{>WQ3Hn_WdZ6cpo>;UhY8SFwYSR{MqT)d$Qte}~e@BU~S?W5ZOj+q!-f z0OeWEa6ReW`H4OP*MLX zz$7)Fd!v%J{ysyrZVBAH+_>$`B<_;Jwy-@x=RR$%R0$R2ZD7S``!Np@RDfU1cx-1* z9VpRM=qPfq_f;`TLwVzu&a*C1MK$W-T!JWO1&u>C9uq~RcP z-rZu3=6S;khc(8zulw$z)Aw`%dE;p|x|S%ux6ds+8LvjL!gbu^L8fU3T=1pG6Wb2d zp{KLorfoLe411infEwK6)T~8YvurVo)`xH3OQF6Aw;j`ji|lUVz(txFq}vhl+~eIe zug#zrB?e)~MOQW{w7f1G(bqbuCk$+)`gyCe6+d5=GLO}wiHIRd3ZPUS!ISIzL~b&4LHac5%u1sWvx5z z4{?S*Xb$0;xb*^1r~udtq?0iudXBs?2Yt>jvKqfzb8i`b-Ou!V5}N$e(2+kDlM>e3 zcFvkNMI=7whyNP{iK6$(a{lqtIP@~y5LHx}~N|y2o6IHLfJu5rk z={BnnniS=)U4D>hD^1k*2H6HjyG6`vYwf?9L_fA42-YR@Mzmv&@mw)#w+q77VRR={ zY0{a)t=o>=F7cLQopuuQ&-rUGg0J;bY-k5^=UFj!T`rBtRhjn%j8MpXAvC^F@Lq?9 z{a@otP5kw#e}rsI&ma{oY5Za(+~mI-KQnX|Srl0ZNg#lsIUy*y`;TyLbY%I`M;74N z&?*607_zZun-+VPzWvp<(3l4^H+lndoI4^EIktSX4zY?=hKzzv{I<*Dw@=Dq0MJ2d z-(LbOg@Q2q&b#cD2Z)X**JiljYYAYrc6PGFgb5c#B zh)C)1%D3alw!Ti20$10y35W-{I~!l%5?dsp#}9bjx8HOdYF)3Lvb@(V#?iAqexCLr zV{p!;+%fP`|JnZEY3$ajjt2@)ZBXF`qCe3bG2wVKP*A|d44ZA?F2{JW^m6WfUD~68 zadzuSj3_A9qQmI+10u$n_qH&DCOXzhf$zTI3HvTY2%2?`_2WT;suteiqkf0h`bcGe zj>B__$S9D{#fAY{?cxeqsC9%maF~s_ZL8BEx5zhXSzG#PlIJ>q5mjHPa66_ z@2ADl=t(Hce*RnasbWy@@d(w^c;}tN>iW|$WT1N1PLiw2wqYdauD>>rtW6J4S0?+j z%*BdIE-xgEv$Ug*-60scYFDi)8s+J>6`ws0r+K?C z$b3Kmu+LVaYhCD&?cMtOV}AXdL@ zL~A8)SFu1jKJU{|j|u`dcl19HWe*At^hZhmfUi9srWk_(DN!!1D$#!y#bh*iAR706 zd_qAZrg2#Pwj~lT9nGutE6QIRs#bHhI-cwGT+g5D`*$@LrT7;svnclGSbawfoHmz1 zb)7U}AME3?X}J_>R+J^EU9HyDg73lfW3}lyuSpzFq&xIO_aphr`AoCiyC|zXg@-tz zKsf|1`Dz#aoO9mvxPz|fl+Kj{QB@c>Fe3NunNFZ3Vp?6T=H5SP^80zy;(x`6UGhWxSZjF1g4|3Y9^=cV z^Hn+%MXiQTge}@HsO2$_%#?0Eyh9SHsuVk&WmUl#@#TC(>WiP1RM45v6LsgjkLKS# z)SJH~#BAsPysJ$eV4{ZeVK8~K%cG0@uPR%+nrj;9K?8}3(Yd$Iw6*EsxAs5t z!0!+II`(6N6!5vs*WvjDSNb=55YL^@@p21(!V`bt+hhv#+H8R}@>Ch5L|5vxlPU>@ zVIEvG@hW&;aB!sn@^l09o+jO*%XZ_kDOu;g`M+EsCjGXbly$FM;6WDheW0ez1PGAT z8kvAqBCsD?@nB*-RhKBiEciUS(YRvm(`6ue;`%_>>DyGe-> zYSd+uL$TH`c!I$JMGRNK*2Eu5_ZEQI;c{tq@HB|8jr;{PvfCHXn~0sv~e^Rn++8P0c&j4CT9!aZ2?E=n;WCb ztFF!EvhTCH^b7NixU2+9E;HYMGW48GbGUpE;hDj06&UjLSl+;KVNnVqpDe6%^NS_= zRnTaaPKf!BL`A|&wct|J7r+ep>PsOlY^Hcv$osMS)Hw*-WugA=h$ztvBjW?|@`kUce37 zP{C;{)ArSj_6Pyb>xse?$&^bl(j2c%LgQ2=HPd-SnW?C>B#(#HpsdT^Zdx3j#T!Lf zb~freog|i{=E?kxB9#LP-Cy@C+_;dfHU0La!+h>{uPV=5uF1u|(I_0js+(uN$P6P( zf54k%qwUsMYsy!c)35DhWo>QqKr{C*o25ng-&eM*X?hcO66H<-9&xfo5UK_Te#oE# z_2JyXg3%~9>IveFDA@$_Ce6G7OTW24=7mo+G8OTAQhnwE5vhQ+v=n7ys4vX=dlq-) zH2YuH#1VGwKS;6W3;MBq0YTSR+P6So_I2H{fq>0Cioj`O#%8-x!?VuT4>7hjeBKLI z%7c&0v?E^Wy@OM{RBxGiDBvI3yXht<$#+wgBMLGwpG^46)}*K+Uth|^-?$y>QivmE(cE>JFT}-&DpzFd`=>!Qjx)%dHf|DVo3NQP>rO!o$ATS~Z4}|wpWLhaui1W` zLm&MxnB>H@W0AI+A1{`0F59a{j&*;kJ8G8ZSC6fgIpF-R(%{^v83o@jjXC0W)HVBZ zrn!`H)qq;~r^W*j)!RSsN8bXU{yFe9q2*a9i3yA?!gN;#U_P8% z(xO{!sg6+=t-nSrx<>g9f9DRhYmTSQuX1Cdv{Chx{C^prwB&mj+O?O;sUm@!_Nun) z{buq0K1cZt4kK?nA2|=~#*BJx-T;3Se(7~1yJOC>@|s5QHHD$&owVQAd|S7}u00zA zGIh1&U!XAUMh_O8D=&4G&N>+61D?DUE_f@TohkpFqR@yT+7HE;tXG@oD;I+$qFrUr zscI1lpLTZ4A3HOqyVVdb$FCH?;36Z=mj1Bz`_n#ltPro?sf>mzEwVK6OD5D{^M2{t z{u-B{&*9?o(Y2*NLbutE(mn>r`_c|0R;jJ`%O#@h+)+G(>j@jue`TCD=M~k!Q&mS({N#(H%Vi36XS|EAM6i@{jhJ8Cfty=rqXfWhwk5 zWXeM$^^WXwZ@g-uQ>#UT;Q|62$hx^ACPyL4=3HTS9-IgR&*~DyMvv^}j8ZpuixN*j z)-NZTt*0lN45l$^A%|ZYWY#~`Z_aRmTrW9&{3sd`%x!1i0J2>{6YLgH){)-;a=p3D z-Nbr3$o0gV2G@u;^@>URI?Vu?yuLxV383B;2w)1$o_`O03n5S4DM_?$hTKokrI6VU z59>}S-A9|aLD|V;pvOsHpbqm@u;%k} zX?nirf86|i=kcxT#pd-x=kY;MWXuuJ?kPy~Hw6-+IWN&-6hd<`pp!PwHE+t3(1cHQ zqg$XgSrBlWLl!oF+v7!K%v_Opym~edN?^eE;=(IWP%(5%l1lH zYi28^nfTNuW}Xq?XmjQR*}2iFHGt-micFo?&4&;NW^>KnB!pyhK#&-?c@(OwZuY}R z79agN8^747j$iLqLhI|vAtXx_Gx=DVr=;kav(gY*!ppSL$p1P}|2UepZ6NhL=Z=<;vv5Rc~It4ZvO2 zi56kiF36>(p;xmeWTb@xMaRjYuNsHbsHUT*;w#63|Y@!va*B6#p1$-eCz1;86ym8)s+kwgJIK))l1{Ho@?x$fQ zkNlmP5e3=7oucV&6})ajmrc{!*E1}QF2J_yDxa{#&z)Yg(zKw_fAs?^P<^&PH9~=}F6dxv1v7cqp7%rYGNAElGLf&qkdbg*6n(oD!(YTq?APaQ; z%Ewm-!sBW5DO#ZPtBluJgq$J9W1m1i8%WFl%QJT~#K4}A57 zAORZCDpP{4Wc!~_(LjJu-L8MNEdJ9UWeE*xJtNJ7q3*Hn3;lwqs541Mz3@g?jw5ls z1Mx))^!OqSdn#c{py0lL{?qNUOxyQ(&$iS@&sKhk0n0kVYX)Z zXe-;?>NfO%8pl>AhEqV|7($-h8n;A)ujP4Hj07DrDw!ZJ7jryqxr`?-jVU-M_ZaRY zEbw@^T0Zt21JY`m!!koqfr++FW9;OTdLbfA=qM>GALodjD zLY4tE1M5#CoE^CyuI4A~0FLG}&bEh76RKa#NCLPrXbC~FuUhi~V5*NRwle<{!(9|@ zT#|qDeFthHZ!l>m2RgcfiRk0weJDv=l&k(&5^X3aQan$~TvSepUYICg3z>iaK%h$N3}GhSvzcPv)qvAa|Geiu!GxSy@Cm8(IK5!G44TU>N3?>W0YiU?4P6X#gw*t z#=O7IU~+ZK+%CQgu7QPA*-DZcFg+({HOnyJCeLUS6F#@72YKJvKyVclpK+6&n^vW7 z>lC^odF;iVmT!#j5B1-zdA=9AbhhceQ2qnTHbD9C!@&ViQgtx3IZi;H_^ZF#VB~il zvedS8;tjwGcWfNvcVDTM_)Zkxe7Q?CVmD=^1u_o=rj394acYJ?4a~Wz`I4yy5rC$Y z=vSE;>{$g_rK%W8;t5s0J2dK|y0?;yBvi2-`wH>yve?zOZOH+ck*1>X^iEc9sue|p zIJpbB!K#N=7et=p+4JUFOEceA(l!qWmvkUpeTrtrGQn__ypdu%y|fj(0fb(TbWq9Y zWDHW?ow$cpjn53j)oYtysz*Y6DD_YST~1v)PtZU9iwEAE8cin3`aePVZ4?f1KOQMK zn}dD0oT=w-iqsg&TK22YM8xJ$;$nE*b_Z9?kcR z6X_${a9SownuD%49MSomd|WA@*h7YnQS5#q+9)VdjS)mriD>5BW`71$&hjd4a*><@ zBVr=bs4}4{iI0y%ok~K%c`!I$&YlaK3@|z$*)TD;>RF22Sa!b%rTB8C9yhL<@16=h za4eEo*AX?}t_D?f9P{H!g}h|%YjTaqB+VIDUbZ4Pi2+Bz+4WLF;Uo$Y#THb>77MN* z5o|aYfnYeZ_i_&S+L(>tZ5C5w}FzG@Uxpa_lDC zhWj|OO?cnM0k7d!TODeJDk1;@bs{@ z0zj0`oB&8-+5rH6`Hx7*(OMDcW-_ud+LIvs&FF$hWL)^8cb+X%?$*`-jZlDwK^RXJ zlFyCN#bewb6S}+{M2cRUG)B5lIK=oR2a%)M)3HGDc1R`=nxhl0r$x3hjmhAlXzV(N z1tqAfjK+p(1Q~w>5+n9J@!q& zk;g#5`Vv5+6z*bsRO;-InZONc*p+SI7_CP&{IZIM4A{~@>O%_YJAYN~TvJt`NMMD= z$#s^tWTDP?)WO!MY` zyP#Ft0kcede~!bFo53%90eff4>wIa?4e$QC$Y~Tm(V)%w(=|oY=@UhU7q_hqao&n2 z>j{Zi@;rpCGYE<<9e$F*zN|r{HD+!0Cl=wy8?HBMULj#TmSt~-^rk%i&7KB+K8uqv zJ`533Xi8W3lR_Gwwi7AvU;l61N`1z3t;ULW;-R+znsQA|le!_4~ zCch?r^^@S6wsnIq%hK}8%aBB6n>$kCCHs7&6K%C!Jr&a9GUcZpT)82TLo z=)7vZb(`mi8I&J`qG$*4`jo;Ir8qZQTYcSpT{)Lmd@VVyy2!Qke#;5=ADW?61zO%k z>9vp`1Kf-Z?0+r)SJSeZ!*lGB;qHmMDj?)Q_SI1;Af_WGU+_$W@&F67@Qr9f<@vHZ z2wXijEJZph*b-~rqdC#WJoA-UMxcGmRK7}UeRpgic2mBN(iTa+Gov|PzW_W}g!(?t z)F!;gV({4+{l_>}z00EacfGl6;tODp-%)8VDgQULRq^}HQeB)!doQQnwFu(xe?n~v zCtH-ZJvh3sJbzFd*@Cl5UEnR(J*KXGPkFhn8>X$dEh!9i%u~Xx(v9e+zn$vfXzNxB zaz+#ycv&3EfYO;*t!``ax6sNXKElU8%|&fenvBO(`>UPjSkEOzDmwTs^xG`+C@^^P z{2SM=L!*kk!t-cf{-8axd}pvA%XV%arv?!8VA5_>M7Z zBOu)O29l%+a6Q>$q#+XRRi@IKS?#pynJ$B@62eigpF$+gX!Uf1XA5yU_NN^(b<4}M zKa5@EIy$qiU5`Q{{y7eb;AIDbeSP_|{*_Mf7ZvUx-wO>NDJ4eE=UIR@D!hIp5j++kWKbA7rU;XfCxzxUN}wac zy3dluM~Plyy(fD`SSRL_&GMb0a;ZmbtID_dGU{p2lV^e=E|AQ4!fp@tDBo|H(z z84+XoYzC_z<}SPgClGH=+Kl6f{g7>sAc7iUa}ZkU9hb`^0SsZ5^7hcs@H3PL5_A8$%~^s5g`g5lSHeL@TxgsK7~bcU(^Yq#sFHWxNl*C$#aNOI|4WX7N*P zFqyF!BBuX~vkqTU)g*t72{EIbx(Ba*6buFo1u4FC^uKdPb0IXKW$Q84?#zitYvny< z!NN^>uDQAwSuoGII2^VxF!#id?hsWXdpr1bRf=44^J>VUui7hBnYk#C)#0O15wwWv zdRwr_QH>uLgb@ujgftbmddT4AiqTGi7hJ2+0CJTX_F0d5=!sQbH63G$sZbW(4r3z{ z$`q^eduqvxmz0fA(!N^ewLIpaP?-0pI?zV=+@Sn?zmf`F&<62pSpC`gZcJg7jdJM7 z6&4{jgy_{FcGAXo<{TDt$!7T8!uquL_@NgmHs7AT2;Oe9i5b?Tui7Z|phkONnGGt> zeTWn9k@7~1iMwike4zb@B7h!Lj`;jReD$=z#^C2LaeXTRv5(G!>lePOmH!Y2ZqqPq z{Vj{Zn2&myn}at|7QY6$d$QzLWLVN_26>zeEf)2C4w0#Kr5%jg8AAX`TIp|*+znFm z@eq0{45F=xFe}Q^=}>rSdBkSh`b-@60jyOmtw@cDshvYx1P4%>3xflLL^+H1n%7hj zW+41x^ROD?r&W(&D4HwA^+^g!qSYrsNlDf`MS4e8{t^SPFDsgAahe|HD^C({p?7G8A|pnHU8s zJE~jlrvlKqPf3zyF-x0S%_gs$*zZg1YRLk3sC`@Ug_2$a1CPK_9H^OgR<6kuroZlHMWE{%VF16)+z0dT%bXQ0m>pAt z^MofeSYYur6`140SH;55ng}g6J9lSdH!|<06TH%#|3lO%i)at=XX%&8JRVas&T8Wj z+%?Mc9c!pc>qCzP&)~Qf57yl&|AE!Jk!Q8HO4Nbc6KES%+28W1?_B^EzfBRx7UA>; zzr=HIpmi`nAAp;Ot%39zb|mgds&z=a!u7Z(w?++NzaUAKh%Zra$@4^z%*?J&!_|ub z0s;E4U(SOZhIf(Eg@i|ck4uu}QHgcGO>uqqI^PQ&A&BtX;>XsJ_D~0V4H8iIrb)Lv zFUYt|gk0tITAviiyMH+V7f)Rt?;s*KBrXPTdBjpJS4{!RbtlDYoH!d3Cc<-Z?J(Ze zHE%xA^N3meg#=H&F8rRdr3&8Tf@@N|I^KRFHbh>NtFNc0p+z8QO&nGQ;CG!Vo{o-q z$(Tg7+*mm8L}-{@2*#<+xi${PK*y0Rt!(;&=%@>$M{Ljw!IehVGu58sofb98=?5rwJNV>pnI%_qTbuuk46 z1Qk!n3ezjcgUKW=kmm}JsS7+e|*NP|{U%>O)6Wj^10?ovb0{;OE_ePUN}-kI{n2%K=T z;JI{u%-ZIA0I+$5505uqnG;OA1mf!Hl9Z^IAjZNi&prV;lE$9GyfYF2-ZoSsUMLXl zdlbS$F^VO@(|$6!2TjIMs(;o?(hile*YtAA#s>Z)TgjI^nCv^Jm&$XT*?`40gZsCTdTWDBp%?scYne)8$MPdkxp^Y*41e&Xhb z2#fU0v`B<}jWw_2V4Pv(p+ab^(Y8Bsz#gsu_NYI3prNn+uCv(ns(IWnXzP1p5zH;l z5ZrSz2{O><(N?4Vw`}}v+f0f(ZCo1Jc}&8p<+M*9@8J1uG2ULv1>06AgkU|68J{`G z7zgwP!keUpEwR>VNhf(#C9DMq#-my>Y7S={wux9v!}0nsdd~s9O>J=NPth=_`5%2i ztrr@y@F77WD}H-438mIP@)2Dlg|7e52d7LFU;fbN>E+N(=PrXMgnAP&)=8>=lORXP zE8AS5O{eqt0m|4w{lqcx4_ki|R>1-Zr~!8Vn|+~y#5K;$65P3*9-P{BbkN^Vd(aJ^ zK2n-62=!V!v|8O%)i(5aYJ|vNuROEV06^=f_1Bqw&-Ltme?@b`A-LdM5elJTwKSTx zi{B?taYj}8C? zok-Vthmfe(=;qIN#Fd=h71r+~3iC_RMKMt;b}0w+0LXKT;*VMM7b~1E{)K}cZV3{P z`^{T+?2Q+=NlR8xaCgChLB8>o`2>ru33Ju`iqDumzLHHQglrn$(_C?K*{oKiL58Te z9Y(49refhAMVR#0WQMzQLc4W*?KqY7Gf@W5HaWf3fQc2upcCSiZ=;$Of`Kn7*v^Q4hCK zV@X=~6{$*qaow+pZS%XUiO||^cscAhC2~=|zHG4jQ`l}l19Y`@T%45xbla__wQ7BP z-mcUJ>jF`@e^=6}XjeyovtCfOch^?g7BEkq`&W1;CT4Q4 ziLn}*pV<8-;XsDd{#*Y(>fuL6QgYSB?;;*U@; zvoBgnkWf(_<>QF`X%apiRdl-MmI4xR)%emf$DHl+lc^W26{$ig6vMp|ef0b*d&@XTo zNXN~81wNhe4X{Ts{6+#9w#Q`j;T`(b9hYu|4y#Zp|3QenA=;YrUcp3lH?mr2@P*|w z8|8_7&{1x$j-hA*{OaZ10i7+~BJgva(&NMdh9`3r@pA#NHq$S7^ZDU<@5yty59I^_ z-*Y67NDchn!iFd-zD%zW&}5b|1VgBzWwbFk_ox&)$%m3FSpcx{%jd)Js^&bCQZjro zW`?WS3n(B}d_Wy@H`V;`()Ya>D0lc4SkC%Dl6Tquh` z$fSr~(Xm#UmJ{zH^(S7Dq?o;~^P+5+NZ@FXM#T4+Rt2o-2E^-#;S9cL%VNR1@cb=Qbv4Q?mz0R7kC9DU2|T&MoM*>~CRr`N42(h&ONC=>nYkXvU>ezjQ>JE|?s!UXSiIRjP?0K7SHeIGDLFiQ z4iZpjxizSp)D0KZYd{PNdglHcp{fvM2H%6@Bal!uK|D|kikCOYU#|lAy6(;Lz;oq( zo;}f@#Z!u{O{?@faM0ST0U>P^nh<8I z?=L!Qt9D!?7Gp9AzlEpMw^;%Qo$@83GnJ#9R0PHt1GIb`rklr-6>tt zJbCypB*gXxF@OO9k(`rPr3{rTa@!mD;6Gq9yF^&0gi72T?}|6^-Md^topR;ThLTUu8a4Alq{)RO$~4 z^V(E93)}Bj3=ezieOpBuubwJ17)XY;xn;)h*#p) zNhKcSePl6CTTWLTK_M=BGhoTLWp15)^pQ{gI<#aN{X**VAK=lO=TFKBu;!N@6*efO z#r)`A@>v>>`jsb&jDsA~nOE_I0GfL5v45eR0z=-NaYObjq}It{J33gvwDm{elj z;2u#_gJE}hC^)Pb59zhL(4F-1F+o~`k8dAje|Dj+3L>jmQ^Tu#{+OJCOefMqIxlV8 ze6i4RgI7dA>_Qt)T*ALRovJ9Vg64^B_=ZeD4vJUtolk$>Kl4#FqJ7^h0F2wp5tYa| zS!tS)dE~Fa00#z8kBmG7i;;SB<#H7z{QeSinZtH*RxP-PGBJCvdxPIAjpKE8pxWJHn&CK2dOu^eD98~#8 zH=koYNKz%H8Rn2h`ORo_NU9lOAh(=>981Bx?v;H&S_~xi84c3UUwH^s->Inr_t-s= z7EhTi;fNt$7=Be$tT#1ED9q9JczwEMJS+FsH?)dN=#VWi4q`|FXS4`VUS#I8J(T*-gS0gwfD$l}2 zM7*m+kaVtTHC<{gMmyy;LH5q(2N0l-|3E@k`_>EQ9(Zdj+eLFZE|Wk%DiFLRYn`fS02icI%e52To9=x{1PrCl)K6f z;Cd*!AFllmJUZ~J+^dGWR=sis_IRiMx6s?uv6OtoEHBI@OWFH~F4ecs0xFmVvP8&2 zRbY4f_cOrkRYg%6Gc=QWE!-l``KMsH?6Yr2e+xGA&9$LGCQTqfx+S}b5qhA0#ipbG z->I|nPX(XepXZc*z7BW~kxVb@%X3rGpzlc&cuKW+_;u3$GD+85q>jJqRAq5A z5IPgeIxSgvY3zf?w$EQFMTnj0u1Xu}tpd!izcJ=d*L_pBevJXfIwuzgZ^v~R8($fv z@fjSIM8y|29OA6MR6?FmSKIMYa6~(VQ9fhT?na$(RDE>T%$JQZM-|hhTPlFWkP0&< zDQMhU^Yb0+PTX1l<*pjjO6A9vxSR;GqMj!9BUxBd!@}_J*Mw6-qUMNHs=_*mJ2~$p zQL-%|r80cbKv=`a$2kp(D4k0E^|FRes9a-Xta@J=D3Z}h|r1^DGh)xX8 znh|u}(K>RV>xqJVhk2gQXpx;hBZ=Bau1yJwwxEHy&sXJ`Ott9^=XLQ`<(oG!t!6Iy zmXVeW2s`#NmmPc@&~P7PD?doMh)9GzR%~k@axbOi25za^#aJhO zMkELd5tq|qks?wqbFsmkwikt`{Is?W>gQgL)KGwIGj6PNjs5VozNc?6UkTDB>$ScS zy%6`BNVr7mbcyH1st>VR{L=rr7iMEz`gPs`y}pw!@$&kg5rPgMI%p2n-zJ~wS9TPi z63c5l#bwS(%Sqlr^OsQuur|ERIZXCa-7Qj`r4`tq-h4fN#kbf^EMLb7#&Ct&X8RCf!V+{5oB2ktkru{mt)7X}4W zl}E-I7dN3k;V>aRTic*~4;1<>@?FHggIZ}zZ=VjCO5xdG6o^m0ER#D^5-y|=JA{`K zXo@fGzaXRZy=jIQaE0b$Ef#~j;%Hm>Jw!(3VTu*X|CH?JFcRA-x1oT^V2hT{u7EAe z7ofAPB9=zOys2;afw}iC2=m-Fo|`Gm))_!Y+KNtpIh08V3*J7kZl5X4v&w2Z^Lj?r z40V6qErb0(AD^+ZS$G@rb;c1xyn z2XJO>oGLqO?;OCUkoJ?E{O1S3Xw8c08YsIpA`cxcGn5&_IX( zz5@a?{sUzD^@eq{n}?fNfTejfjHfi z(=1kjU=mXX@h92C?UX>yC-?CEshoippSOM%eJr0t2}!4YTBHpefr-sf?@z5~mY^&# zzoz9-l?mAIP~8xVc7@>h?DzE$9@jiLDyJm$z4Fp&12B{Uh4Q9wA*Ck5u|Ej_gt}Rv zoX+MU5w{ApfOQy8Ie!euXb_E@giv6jXUh>0AkRcWd%KK~tSSj|g4QRj37__no!_*(D5go=T)O*@?;EP$>q_~e~dp^;(r8mCQ;E8*QPQA^u zz$$By-?Ol?$=E;cd9B?e>Hw-4?S$AS)f8v5fri}VyD`QXjIr-ama)q&J4Iw)v!|3b&EQw~4zi3vT2(4r zi$dY|4Wen1WY~O$9{GZ)N_sKoy-gDlc_v>}h#FjW<@T`bYZEFQ`-CTxZ zU*T*4n|Njm9a@?)Jp81mK|(hI{s}49K)pGm4N7Y$8giZ4Z)81zFiERnd^a}(6kjCa zAS3AK$8y4S!6~J`%fD^1Yy{msuu;c07t_-_gXqdNya?QXg93ON>Wxi{p=rNR>95_Q zWjy?!^pGcDd@ljD0jkCg!IK$Ef80e(d*e6`n+BADf#+jI+aAxcN+*#4w4JYoNL-jT zXOvaN&s_0iip68$226^5Dfm?ZZDf3M%Yp|!+C7=SFnLHULcz|EXl4DrRcPvn)*@MQ zwsR+242n;**`sYkGV#leg$6>usvN{JCuNOT?WqT74}eTdoQZ}#N&m^qWjhfWY}!J- z&k7=tI+2H~F@t$mmIKD*rZ`NiCv9rUR!`p-O*KeofV?c$`hj}KF`i*LKlw1EEuU1F zi4SD6oVQkB(sXRjX~m7Q+bhwa>CiQ)io=-Yna5@4^rM4vj^j{i9n1;&UmK=5<4L@I z{5`8c^@I?vT>&NWt@3u&pya8m6q;$Kp6kW`zM&sek(2xaPhYih8_dRX=0)Vr5h|7L zLuIceMr59Z)Mk>E&linR%!37Lulvf0eNO8oZiejae*cNMziIo6pa-2E_SA0P6iDIV@a4tkjQz#DlrMZxQVasX$t=GpET8s zMe)`2_ZQ-|Z?*`sKe`@z;&euNx(ubXnRbM{aX`Vi)NsW+6^GOK%?4(~vQ`h_>wyCm zxJ0C!(GdKCWeDU@S4XS57Gqi3q+-sY)1WJEvQ?>aMmg73Ky4(RfwtwdIY0+$a0#4- zWVkgQ#RXtzmN}eJZGPhheUuZA)7H$6pP$BYz@yLiA;DDeM8p9$9r}L0&lXcA>T#9@ z+BV*-C+B}qo;hLg3wtvrCA1wA#X8MtgY=nm+LhzL###yd!3Mx z6^zn^D4=k!cknWIBkJ_aa?~l1-Rt``3u35kq%~y!Q7-Lk9q!T{|%Ik!MlhsQvVw~G5cUq_8i@vgPx3voY z!--%BLtqc)%ar}Z@VYt%x)X*X(4)qjx9L5z^-V7ZJ1SRu1T%TX+ zvZJsq1y9as!9U})CQ#G*qq`a`F3qa8-KsRcDhl3Zu_KFbt0KK+oc+vbi@@&vGjEN% z*%`~Z!Snv{BM*l$u)=}h5{+@QY_^9`9$)-K+wMAxKjo8a{cN>b3^@wnT&9gQ$F0)p zsTzh7JAg!1po@Uwv2nY$4}aSg9QqXOga`4_x_#A%XmOt5?^EfZQri#&Z#hfZ8(vn^ zPXT2<8S&2KIq&kuc?wSz_$D&Kq+r1P;cxVl&-moc#?AaUo|=fIk3e!XSK8&jJ017C zIjOq2+fbtbf05FzQDb!l!1qn~Oeargmyfk&!;@)YE+SRuj?+=nnVpH7Aw-{sI&M1q~e`6BS&ijoFx zP@*5M;s9ChagN)x9+P8Ywk9=7Z z$tU!kfG8ItUgMZRiNqkks>#|C4SqC-&?Q4pvIk@BwRSj9tnPn|xXK^uG}~+og69O} z%%7oN|I%z{5`~WEMx|v9rc99SRg$>F{;5D2LNf*R^{ut^ zH2;EGT^e9kCr!evlwX>hWKTV^sQxC_#5mV!^algSMyYSaD*YQ+HpHT`hD)jf?yCF? zK0=;mH*uKWer&j=z}fILp{Q;%c1{P$9-{8;@W;Jl_nSMYuzMC<#4E276anfjX2ZV` zpfywIahQZA#~6KDIgQR!Qst{f>|vm?;BGxO#|+ui#h0#p(p5(WRQcsS>v*Px(O$TjY62||e}DziI?du(&i3R+u>7E+IrF2+85CK@7ZWqUK*ClZP3qEDWv zqaIgl*5aTvzUMr-PI}S?IJvY*K!ShLTk`5K-P)Yc`kbNRnNGlN3TX}EK6U5S%08z}NWzvdDVPOBIRF@C5Hr>MO`a}F2n zBptRWr$Hfs(@FxQQnAeRdPJo3zXx3dAMBX>F-EpheRC{7Z^{>5 zFHeb=1Z7sJzp!1kUgZDa_xCUQANMjV@+n59K|xS`@xiB4@hxHvau7c_+}%O@eA!60 zj#Xxu$ttv&?_12D4-Ve6$+1Qp>TE||ufXDt2DcdPr&Pf*^80`+j5@8TN_#^BqLE@xdhy`RRQuT&#s=W+}6R zfxH^(00%fvd?yPE=JPFm!(l7CQx7iJ#~2J>)4Osg6Ahezam{}`AT2c_s~o_c4O)lX zdl60QS}2SX@v9#i7gn{09-N_%>WQ=>@cQ$|mRLegF0wo!18y5XH*SZ+gt-Koz=3>e zXA_Ur0s#Z9AYHr>gI_~r9=k-59B}IoEYTi~5eeL|Zn<#=`n~=KjLSlEFU9IyUg((l z?Wimt0DND)QUJvv>`M9AZp8jDXBSx%GNv5Y_5x~N zL)x+#E`BvPD!pU!OM2sp7~*t7J{b58QR1J}Q=cP>%IPS^#MES!z>Q2Q-`&m2ru5X2 zzR)UEu~b25{|z5pmRpup?r`R9`4<8>9n9@uM5a#jI1X|=8xBq+mEo$$Ge~J3J!^BI z;vh3yE`O)4rFdDgAuE5+^IM1fOf>7^zGc1QvC3(^zroNS^PeuV{@ys|T=R<{>W6o0 z3&&F5QEAl9q-WDm#DUH-$KQP1+nx)Ya4^~YuNNDpGUaxGQh8V~gv*(?8g( z6W@^CQDYtTN-{P>Ws~D>PW9ZTpZ8nf=VJl0Vw%kNcfxNrJC~_sj@zhXz8l*Oior$w zM>lJXZ9Lw}p7K4!o+RG#M>#$Bm2A#J<)lrNBSc=ogbbpGbZEZ)AB0Lzps2h8lYnpk~w6gDxY>&y#Wq)cm5)X)M6hI<07%Z~+aZcM9c z=~m0CXxJ4U8JdSs3U*?CD(Zr7F+biQPW_A$Yb>jX$}MB8A-Bs~3|J8$Z|X|>REK!& zki&>;6^P$o-u{qJS1_zBX$&6_^ikh4(*Td&@_FCeKR5F^mIuYNnS8Plu?y`t!#R74 ztQj23bUSSHPkSag!Lp)mHH6CVkA@jN#F1_b| z=Ewfb3%~hrXQTHr$3X8@O%In%)sIgVzG&EAL`7ryvjxjE&I4l#K=x&8&OtfoQ@i6g zRC4Qk5JR$CRt4r=2bQVpn2d3QcBF!N)y24^6Nfg$JNW@L_u)SV+hjR^l?DZK{S~yS z0$B{oFCAxvFgmD=-D zh8N?d48CX9;_;i#i%U+iuGiZov3b)dTNpIAf-{&>+UR99x;6qsItKK1k2|cdJLw?D z$Lz0E6M*tnev#DaO!HTVmGHLqM+oz~O45x~L_Z*X<*H8;1VzRqXFI>M^OaK5PqQwf zLd#zfU62rDK*Z=g;q_GV2A#tgnKkD)OH9sMgtp)PMveJQ(j%h6H$N#Dah1ilQXxi; zi*uX?o#fwWbPDPRSKi^SzD}uezhGX+>Y#C8(>D#kBio$_o1QCY7Dk9B!8zffWB$(b zd61=Chaw+q*}Lw%t~c2fN1twA9m@*PynLOR{w6MUt}thDpAzxgl)Tg(N8M8@PD=ZF z0Ix$E!B*mU+`D;8gYwKf$d4m!N?qHK^iu1qLVWc&&Yp71wgiD^TSMC&Ke|(Lu>eVP z(9A9f(#nmH={sQk_X;GqYe1JNlQ6eAxaxHCVH)*2)EdGkl_e`@qg;9;{rKKU00!)K z=VSDJ(KcE2jseVIYnfkTLk3DhIwkDg3HnH(M$NZu z@p|p&{Oh7Fp^4Aty%>uWeslZHT|_`5a(aKWy7AG|pD(_CSX-Wy`cVgz)|XCcma1Bh zdVrp9{MPkELI%j$$j7@?QXUc=>{s&Am(;{#e3&ptHK9Bd)okvui_<$cYR}W-n3eSj z4N>&yOA8-3YO#TYEVbNRScZ44NwlGnk9o_t|L~pEhwcajvKQw%A1(?JD>_bjB#<`p zkvlidduhMKHz3}s%K%p&{6P<0N<3J|ZDM?~3nJ^vS)K0PPPdWgqfTd)NT6h?Vf+W^ z>v=>YogK99q=cA$3`{s}c-Oi!U;T2L60J#$WCb~ub~>XD>`XoO%=QxIYFiav{0rx_ zkOF?Z8B5eWQUi7zZPVQeekc$yGnLix^1rnm)|c0H(1oL=z^Nsh>w63NEE32c)4a@* zz6_pj#a6>%^Df#7qGV_nTyTBpbAM+_*SQq%7Ck$ETr=!Zfi2k!OB_nalTU49ZK&1~ zlIZ~}9nBcaK&r9xgw34Zv8$XC9mS`4v=GtVh;p>gJ#n5vNs#C7^@`zi$Pe}%wh~_V zgySal#91Jx|55DAj$ov=)G^V-OXLgvl3r|TM@KXq>}Y8Dv8)P;J;P|oZ9n?WjUaDGO#yxMxaK^t)Cig>)T!XXa{Jl#W8RML?J%q}3a5Dc zxl@smJ~ThE1Hqk+!F#4wg%*nI2}+HkN~{$_fPlp^ynk7b=2f4mlF||=sMc2U@`vUd znlY@dw=P~|~PbrAHd}* z0ixP*sS38vRBm7Yr9?U|Qy}rxB=-KyD{@n3)-Abis=eEj-U=(#fHkVl@8yM7L>8EG zH&`a;567F4~&WY7AK*HvQCF=fUbu$0ptEPw%=BTwv>Q z!_=d9Ho{xasBN0{k32b1wI_MczSZfd7SPk_#TjpKrEJYyy4Ex-Z-UB`jn4mL%-fp3 z47RBF%DH9zdG-M62e$CxMeANT%95ZoF`%q~$oBXjHPnMiSO+o}OTY;h)GU9iJ{V#NkTqdJ+mASs~mJkhu!EI(EVDpSc=9jPB z50xzPCpVuVZRr}Y$c*FvWNV)x$>x@pxd|f@?xa6&Sj60MPDD+aq>rp{&|lH$uFlwq#u0w z+F!Ny)f*=y`Z;|+WNAz8Tvxf7ekp9j64IZ~UA(xP(-9D2Eh@DX18*UG^BCBh~9!_94?{L2W}-hVQ7w=)KvT>6W*rp_azZFxmnW##%h?V zH~-cpiWy*Pqr|rHM3F1*=-CSf7)^KSpsj9oMB$ zm1!pm(K@?i3)X4KFH`p2cp_r-RoJ<^@Fa7L^1^Kmo;oF))cR2gx2@C#`oo(nJ6*Q~MdJGDr&SAMo$$QrZ+V z_zS2C^2p8|o~jj1E?KG$eg|kEoJam^B2Oi|SnrGq&^G3`~@OP>nmJSvMeAjCL*?OD;VfsoU&+L=(Iq ztZ>pC(x})ZkYson9jy7Vr>&tr&p#y2qj7r&D>p5I_;)`1h}ukB7jU&U-+#STzNR+- z!(VG|J@j|q^8^|Y$O`+>N>`Ronk6f?VAej-CM-esS{e3whZ@l}Pp^^c3wZ0EJtBn76mSSg43^(svhuaG)L?rTSr z*q_EZ1fYG7@C$L;uctYdqZs$XuhYpj%WtM?8NEG=Nq)n-VEw;XK0%~*6b=$YTplobOw!u$gE&>YN7n)@5S7lXm@Ie(nKnmj6o;@twvjh8N zmL8HNkp6Z%nw(}#DHj&uI+L(Z{%h<-{?qJ8alN8uXQs}of|jbwS2)n;&Z!CVnB)l@ zzG*$CxQ*IQI$$~K$>_7I&Fqm{Va4tuMs6ouOlj@Yawsw0*xA}^%bY&ro7ML2xG^8X z?U}Ph5z8P8bE)(CKLIJgFA6;y5J@%n(mas+YhlxtlpRc3c#yB?6Qb_CK zpYnqhxztR^!eH9tKU-1Frl4&W4233gOh^tbLWjZ8uk`$Knk7~6AZ}eP) zvWlwgA-r*jw5+-)!K7V)fn06p(YOfN(_$$`hPpMt#^7_I7yOJ*Z^VYgpGuV_u6XkG zoxCRaHUM@PKzT^q?h<-u*>3i?wqfU;@xK=1-1hyK%Eq*{mW}21UHs|2f4SChJdRTj z#nKg(|NRHb`U+Ja77mGVsr$Z1PC%j}E%*>?*tRQ-MZ6283_$ zSNHw3Exr?pueRx1J!viwkxH?u0H)NMNc91*r%>RYZK%ST)#W$aPr3s$m-k;nx<+FJ z{J0I9A>0MuN?*1VwIAVPMc*3Qqb7qJBw~6r|ZAiCNCN zcM9M$95Ng)MhY%kVUl%87dpakwf63O~hn z3VQ}+vzP@S491IbJLIHs5=>R{UXq0PS?~#KeZsXGy^Vq9w_w>5e4l@nh&7cN29k4Kh3A z1D=by>qVX5eV4Ia_B-a^usY@H#q-atDH6E6vo!wUI95zT-C32XXxj1G%H6|Pk&%zS zKI1@^aL&hU?OrR`FsHx!nRYv7d4W@q9~aXdyPM<8=Le14-*uO8anfpY2AD&i;S>8Q zUG+mbZ+tXTr+r){tj|?q>WTNR)YyiZ{bQ$m>S*y_*8nWO4h_ROm3|+Yw6~Z1?Z-s9 z`W1s&@my6O-_$A6z3J!a(c#fD?jHY!OU(C>uJ_Pr7_94ET|N>q0YiqOZgHT!IYTkG zxUk;bp}1Q-cyHcNzFPo4!CN4dcuP>oTR2qYmZ+Gwc&NlJNhxpXP?-W*xh^OS*k|2@ zy+2gPr3hmxDU(zfB&MnwNu8m=)YKwrGjy1TbxC>*eWrmS$%tXhG&w>tWtcI|El8FO zE2gy#$(C`HdCZPv&p6I>a3q~zI5C}FNUjXGf2|DYmzn7qq)bK@la@`QGjf=@d88|heCE|_r0a|u%$v7J1&rIwJ9kNijC;)c4@gCf zVt`pvN-ASKWIlRKdcr7YKCK`sh0<0Aho3YAVTPJ;IY%qWPB>iIiX8zeEZ85f)e|Jc` zj6LT50SRoCzW~2KC3IinxdNvqG8K{P_k~8Rh5PVtJ~~g*oO{V*?%G3H8qL=|La0(z$ohp~sVnzDc*=i4j?K;V@(B(aYSt*%TlAsYUND?Y(fz62P*5L_P_; z^2yX8nzsFzI>{+3>Iw)GPI4gaQhAmXp@SrvrDS(ymH7_ukDX=xn-xY^#`C+`(`Z*| z6uyO@bsBWO76)^>=F&utv4FLo>yL!yp6^HrcYYa9Iip`uJ!)linA=KAkN&PW+-O1d zncFgt>eW?R@}8AhOIRR14ziWqPV$j>hzZ-{RlGCLi{U{I1MYz`hnb3ckTq#mMP1Q4 zrrFc&IfUo)0t&9yIKPd}f1cOSP4n8Nv0vXY!1lO?L(j*tpK1n=rXecTwddJ)T{BD< z>YwJHzc)%;n9Lenv9O;4&eB9}esEqtPrT2okoat1UKNFzW*!`%RHyf4yfk5F|adpl*6hmFT`GaR{yho$m zY3!N}Vvte^6R)wks%%$x@i&a{%NM)cZV8$#`c*7s+uRn5aERSoGaH(R*26iLrOUyq zp6FLb(y7|cwZdKQO>BypdN{)C zy&^SU@6~!4No#?q#(7RCv-uwASh1H=obMb7J~Tftoo5?$Lz)zSLZ8&r^l}vSv!P<0 zFt-hL@Mo3{uOmWQMeNB#LE6GkYWLz)P_O91WW4?93f9Q=1HRA=Tdw^4jA@Zc{=4D$ zRv@yZm%<}8DJbTXQu$S@U9m#wvZ_{H885rEsgLl6|EAe9eY>09KbNzM{NkyfF$vj2 zQv7FvSZ4VN?arP}0ewxEQdId?IF&k13YgoPmsW6xrUg88a(YoMwAKiPsz6l;5@BWj zon`YVsjWPzT(O)kOY41)qb3I}2uqWFuIqD`u)wUdSrehDNajYg>W2abdXG7u_Z(Cv z+85s}4XaXVh+)yiU!bhx52rySQnjUjk!wPr)BG1;+9>ZHN<+t%q>4-Mjtp3`Ne)=< zJOeIgKU;aub&P{H){!?WcrO8;?FmgeV~uRXF3W+ImOX6NV!yzpHTS@h(7KYwb!Sn) zD%akaSj>SuI&HHbT2Q*=N0o#s6R*^;&up3;q@>28P41>Xida*XhHx(hK@yiPLVz1m z$fA*w0R&gZyIh2=yLx2G&h_pk3OZ$#fw@f-spYRk%0Xl2BJ?6=?L5EdsO#L!!y>M#wFS0!n zHs6AtD4bc5@%U`G|9}juf`5{|-D%Y&6cHHomY9Jhx?HadBxLg(h|nD+O?k{@$G7k)Cl5Pi|@|U{kq0weyen z%l^o7)b(p>2_(2Z?eL737=$0{ykk_TXf`hUq@?(rse3iP-Mqly%C!=X^CePY=<)9# zwf%TQpHuH8aewKbPusyHUQFcU`Pl*g-J!=^h%@J zK0Xx#LU*0kHbJKaey#Fx*1(I+y62n~M9uP+wG!&v?(xv3%H)~Wyau;`DQlwS_C?>tjrCK$%u#hfV0Cs8#UxvcY&SlgFCXXx!m+|`@tccX1b zm8rbXvr!~e^BnM~&f`ElGBzDIl!8nDlPF%{MV~KCmu30XE8Y@z5gB(p$ekJBjtJYvH9419 zJBMkdfPoL>xOe0ZRDA`#m3XJR*)U!NBCvifW%b;A+VxX_2;g|w>9EV`TG$}med4BX z*4^a614o0txH(NlsM2=ib1jHA414K1g06N0w^Dxcj6cC@^Ml6m9Rf7@v|=q-XzE&` zhmO>+q7gEtHA)W)*QoS+F!ePbU`~8a);cHUEA_!T#x%vHXoYeXdtmlb$9I{2>>!qH}M~Ixs>9}2p^mjla;paIa*8*bXL2S;uh`^ zwA?;;2qh}LPxFc(9Nk1KY1PL$5(Z9X$U?(GB~;7=fxNn>bSif(mhd29`>)b}9C3Ns zop_*mHI!deS264)Cj{vMzA~vZCLFmmqV&Z`G?);=bh#|Mtc_=c8hebSLmegJUUueIEup)(aV2CCNs9xn16Z9_0XCp z44D(*9b(|>e$q`hCaz&CLi}(P$99@?lQ>{x)Q$9sZhrvG@5?kjxzFyqDT&6#xlD3>co$Fdz}%= z>ZTX?AjdGWpL<%lFBu^(7*s|`=NdZKNul*Or8#O}I#qI@#o_M~@$Z@=Xor0y0KF6b zPLcmQdT2A^CLW69nbPr4jHt8#6~Pm@9**V0$dt#zsh zIHZM9ZI(;9&RB~^evFk4^eRzKj3ik+Bz-48x=VdI73M@b_OeOli`SPAuTt)Y$}l6o z=-R@1WyQS34E&(C&WZhph>6GrOuqcSCu=~Lb`$xHYzsD>D)WsO2$F&j=)zgS3F!06@9Wh)1I#geBGwLfwEhr zdN!f46)EagqL&J@KqF^C&D4(y5Ucnb%aI7H1XjtiQ}GIoug2e-K-GKdB#pPIFa#kf z{UhG|YxKk)?FgFo^jZtGm>fkAx0-S_iGNc<(o=yu!$N6)&y&p%xup^cYq}SZKnYAI)>-t8Kbh5)eO;z>T?GHES2e8u{ zn(as7JnWy4c!*lsktwewhK7KwlM>y%8*q_8)f_HtNcsE?L z^4leW^Bj`Vzr6gIr}b4^>YUWwg?B_|^ICf#g%x{lO5yK0E~RzDv?bK?B&Oum`l3Aa z3;ev8@hZ3$pEZt@efT-8o4e&Yes*m52%<1z6E?=9{{!cz7T%?E7cs5Fbdnhvy{aRz z3*$BQfNTLD>-c>79A5%x>NgJRhw*ONAxx=a?J+Yhzs=N6`HxeL87@a7IH8TpMWO#t z82;1=PMria89i$NZM-~zJrso})}?cbdm|pD-QYKCh}!C`@L=-H&kZWAqP2Q^gW~5M?Z&*c2EWT+ZU8`huR_*`gT@p{%I?ktD>^GO8nd}QK}H~_{@`JQ?4Z`A zltn!JCZY~bc{AlI;S_?0Y6uof%{&_y4&Z6O2`Pt{J8wjrZgrs}(Xf|7BW-e`8c@Ku zK%ve)O%BZ~GvS z^8LWnUOx@&Gvfl2xrUa9hNk$Eb|+7;f8ausrWS`F!|OwXwz&Px|2VdWwz&TNE&~P# z)Ft|+euf~5tj*u8<`{LoKeJzDihM03R(JpU&-Bwkc4@#*GFQsqlQE@{>UxDqciy^f z7aea^Zhz=mP*!n~dir2xX>V!q*`+U2@*7!y)Kmlvzr0&QDrybcTW2J+e*N=A2jM5| z+0>@;g?ePWNmKb_@4r$o?4Ju8E(&UDY3m%;)zd#P&`>o&bDmE{9vLM6*Y-L(#@CO# z0}|9W1)M$;b}k%@Fgg?nIe9Sw42cVliX^H1%TfQ?f&T45IimW>TIG101^|KJ0a0l) zSvgSNUqM+>DL^F}aQ3tYUEI9gkDYM3RFGuu3R>CN9<{SSZkQ{h`zUb)wDhoWbTB?* z8VHy%ASmumAro~772*m7aXjM628Kq)^?=E!nfbPbrIn}mjZB?fTV-fg{Tu6}t4{U~ z>Z&&&s?wJ=28)7%Lr!Icwq=}c?~IHZ0?)@@AXDPv|0Mi(5rVi;N~QDWJEs*%Av}Y8 zrAV=Zlo0colnW4>azVxIR8@4#5$Mt9ivK!F!cwxYyl!*DS0T4wVz5DwSpDPJdw^HY zQ7TDt^)}>G7BpEL3TcPHHX{%`9y|wC3p{aGpzsT24kbl8_lFA|?iA?U9KP=G^rh}A zC{wTLEZqBS%HuP4L!8eBJ^t@*WcXQ!$Nx;ndQmj^-O#kNz~iL5m*US-9$&b7wYEJx z0DeNpb2!~0AX6uL_`E}P<>6=f+6*|5R@K50uvL#1)M%!{#~Z`(BzUob;$x93>s(|w zb@;Wzs1Zd_e;9t)K}%hSG%W8RBdLQQ#yX%6DB5+y@G^%Fk0|_qhdFF)>84FwzAO&r zV?q-WKF#KO0Dob|^{`FH2f=Oq84f|bgmy(LeDjrsf9B&Yg{EG=)vGaQ4pi}^1wmFH zUWSiD_^~i^UJ~zP*jxTfFbIrSdKC_V%RWX_S;B}As3j-t^)43*frJ1sIEdqr%SB!6 z1qp{`^;TiVB|TZFlDLN&L6&c%ic6T~G0I?wqK1YNSq3jvy9@ATP3ql;XJ4NVgbY#Z zNFYS-J4X}%xXnueffx4^o)yg?_4U$rc^C8l(IqvFTw6z*kl!3Wy+1yIn44TyKhj#p zn^qVHCCrGvLP~Z_j1yj%1~;SAbf93hfTT3ufL|Eo)y0eJ6UAgfQ9SQ@*^&7vmzFZt!sMGBnnuC~wuJS=r<5QBaeIhoJ8x z{qGVX0%~LhH>~b~ys(H6H?Qa%0wX3OwZbVB;XCv2`=#ebFH`{aWG^}B>b~1GB8k8^ zXUnY%@jExKtW+?2PVie}eddPt8Yh?DdYu3#kz0RP35^y5WPp{2a517e$mOA;q>ty3 zSyzw}cvSEF5UO>cf!9XssVAzM9mvTl-xmU8ug$)bgB%i!<~Ia2#oP}mh$>#%H}`dr zJEQ$R)CI&6vBDPoIBfI_O%X}ZSM&zA7&aGLHzHi$Ikqct)sjP0W&jYyit)Lr>{s1Y zl-9mlbv>o>#>;fcd!U_z8kP_D?TsJM|Id)br1%XDiLxd~V dgkZZT5zwzXm^uj*1g87&{~u~{UO!E*{U7w7J&XVV diff --git a/inputest.map b/inputest.map index fc594419..25417779 100755 --- a/inputest.map +++ b/inputest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:51 Executable Image: inputest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0eaa:0000 0000e710 +DGROUP 0eab:0000 0000e710 @@ -33,26 +33,26 @@ wcpu_TEXT CODE AUTO 0000:5210 00000058 kitten_TEXT CODE AUTO 0000:5a80 0000080a 16_hc_TEXT CODE AUTO 0000:6290 0000158b timer_TEXT CODE AUTO 0000:7820 00000235 -_TEXT CODE AUTO 0000:7a60 00006e85 -16_in13_DATA FAR_DATA AUTO 0e8f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0ea9:0004 00000000 -_NULL BEGDATA DGROUP 0eaa:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0eac:0000 00000002 -CONST DATA DGROUP 0eac:0002 00000076 -CONST2 DATA DGROUP 0eb3:0008 00000102 -_DATA DATA DGROUP 0ec4:0000 0000056b -XIB DATA DGROUP 0f1a:000c 00000000 -XI DATA DGROUP 0f1a:000c 00000036 -XIE DATA DGROUP 0f1e:0002 00000000 -YIB DATA DGROUP 0f1e:0002 00000000 -YI DATA DGROUP 0f1e:0002 00000018 -YIE DATA DGROUP 0f1f:000a 00000000 -STRINGS DATA DGROUP 0f1f:000a 00000000 -DATA DATA DGROUP 0f1f:000a 00000000 -_emu_init_start EMU DGROUP 0f1f:000a 00000000 -_emu_init_end EMU DGROUP 0f1f:000a 00000000 -_BSS BSS DGROUP 0f20:0000 000004ea -STACK STACK DGROUP 0f6f:0000 0000dac0 +_TEXT CODE AUTO 0000:7a60 00006e93 +16_in13_DATA FAR_DATA AUTO 0e90:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0eaa:0004 00000000 +_NULL BEGDATA DGROUP 0eab:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0ead:0000 00000002 +CONST DATA DGROUP 0ead:0002 00000076 +CONST2 DATA DGROUP 0eb4:0008 00000102 +_DATA DATA DGROUP 0ec5:0000 0000056b +XIB DATA DGROUP 0f1b:000c 00000000 +XI DATA DGROUP 0f1b:000c 00000036 +XIE DATA DGROUP 0f1f:0002 00000000 +YIB DATA DGROUP 0f1f:0002 00000000 +YI DATA DGROUP 0f1f:0002 00000018 +YIE DATA DGROUP 0f20:000a 00000000 +STRINGS DATA DGROUP 0f20:000a 00000000 +DATA DATA DGROUP 0f20:000a 00000000 +_emu_init_start EMU DGROUP 0f20:000a 00000000 +_emu_init_end EMU DGROUP 0f20:000a 00000000 +_BSS BSS DGROUP 0f21:0000 000004ea +STACK STACK DGROUP 0f70:0000 0000dac0 +----------------+ @@ -68,7 +68,7 @@ Address Symbol Module: inputest.o(/dos/z/16/src/inputest.c) 0000:0004 main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -0eaa:077e+ _inpu +0eab:077e+ _inpu 0000:01c0+ INL_KeyService_ 0000:045a+ Mouse_ 0000:04b2+ IN_GetJoyAbs_ @@ -116,9 +116,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:478c+ MM_TotalFree_ 0000:4822* MM_Report_ 0000:51da* MM_BombOnError_ -0eaa:08e0+ _beforesort -0eaa:08e4+ _aftersort -0eaa:08e8+ _XMSaddr +0eab:08e0+ _beforesort +0eab:08e4+ _aftersort +0eab:08e8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5210 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -136,9 +136,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5950* CAL_OptimizeNodes_ 0000:5a00* CA_Startup_ 0000:5a50* CA_Shutdown_ -0eaa:08f0* _finishcachebox -0eaa:08f4* _drawcachebox -0eaa:08f8* _updatecachebox +0eab:08f0* _finishcachebox +0eab:08f4* _updatecachebox +0eab:08f8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5abc KITTENGETS 0000:5b1c* kittenopen_ @@ -149,7 +149,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6074+ get_line_ 0000:60e6+ db_fetch_ 0000:617c+ db_insert_ -0eaa:0494+ __kitten_catalog +0eab:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:643c+ LargestFreeBlock_ 0000:64ca+ _coreleft_ @@ -176,10 +176,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7aa2 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0eaa:0000* __nullarea -0eaa:04b6* __ovlflag -0eaa:04b7* __intno -0eaa:04b8* __ovlvec +0eab:0000* __nullarea +0eab:04b6* __ovlflag +0eab:04b7* __intno +0eab:04b8* __ovlvec 0000:7ad2 _cstart_ 0000:7ba5* _Not_Enough_Memory_ 0000:7cd7 __exit_ @@ -189,10 +189,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7d5e _big_code_ 0000:7d5e* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0eaa:0904 __argv -0eaa:0908 ___argv -0eaa:090c __argc -0eaa:090e ___argc +0eab:0904 __argv +0eab:0908 ___argv +0eab:090c __argc +0eab:090e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7d65 __PIA 0000:7d5e* __PIS @@ -217,28 +217,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:80a8 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:80ed _nmalloc_ -0eaa:04bc ___nheapbeg -0eaa:04be ___MiniHeapRover -0eaa:04c0 ___LargestSizeB4MiniHeapRover +0eab:04bc ___nheapbeg +0eab:04be ___MiniHeapRover +0eab:04c0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:81c7 _fmalloc_ 0000:81c7 malloc_ -0eaa:04c2 ___fheap -0eaa:04c4 ___fheapRover -0eaa:04c6 ___LargestSizeB4Rover +0eab:04c2 ___fheap +0eab:04c4 ___fheapRover +0eab:04c6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:833c _ffree_ 0000:833c free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:83a9 _nfree_ -0eaa:0910+ ___MiniHeapFreeRover +0eab:0910+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:84a4+ _null_exit_rtn_ 0000:84a4+ __null_int23_exit_ 0000:84a5 exit_ 0000:84c6+ _exit_ -0eaa:04c8+ ___int23_exit -0eaa:04cc ___FPE_handler_exit +0eab:04c8+ ___int23_exit +0eab:04cc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:84e2 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -257,7 +257,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8e89 __doclose_ 0000:8fd3 __shutdown_stream_ 0000:8fed fclose_ -0eaa:0912+ ___RmTmpFileFn +0eab:0912+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9058+ __ibm_bios_get_ticks_ 0000:90cf clock_ @@ -268,7 +268,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:92f3 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0eaa:0098 __IsTable +0eab:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:94eb tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -303,35 +303,35 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9ce5 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0eaa:04d8 __8087 -0eaa:04d9 __real87 -0eaa:04da __dos87emucall -0eaa:04dc __dos87real +0eab:04d8 __8087 +0eab:04d9 __real87 +0eab:04da __dos87emucall +0eab:04dc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9cf4* __exit_with_msg_ 0000:9cf9 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0eaa:04de __curbrk -0eaa:04e6 __STACKLOW -0eaa:04e8 __STACKTOP -0eaa:04ea __cbyte -0eaa:04ec __child -0eaa:04ee __no87 -0eaa:04fb ___FPE_handler -0eaa:04e0 __psp -0eaa:04ef __get_ovl_stack -0eaa:04f3 __restore_ovl_stack -0eaa:04f7 __close_ovl_file -0eaa:04ff __LpCmdLine -0eaa:0503 __LpPgmName -0eaa:04e2 __osmajor -0eaa:04e3 __osminor -0eaa:04e4 __osmode -0eaa:04e5 __HShift +0eab:04de __curbrk +0eab:04e6 __STACKLOW +0eab:04e8 __STACKTOP +0eab:04ea __cbyte +0eab:04ec __child +0eab:04ee __no87 +0eab:04fb ___FPE_handler +0eab:04e0 __psp +0eab:04ef __get_ovl_stack +0eab:04f3 __restore_ovl_stack +0eab:04f7 __close_ovl_file +0eab:04ff __LpCmdLine +0eab:0503 __LpPgmName +0eab:04e2 __osmajor +0eab:04e3 __osminor +0eab:04e4 __osmode +0eab:04e5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0eaa:0508 ___iob -0eaa:091a ___ClosedStreams -0eaa:091e ___OpenStreams +0eab:0508 ___iob +0eab:091a ___OpenStreams +0eab:091e ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:9d32 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -342,7 +342,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:9f46 __FiniRtns 0000:9f46* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0eaa:0670 ___uselfn +0eab:0670 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:9faa __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -368,7 +368,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:aa8c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0eaa:0022 ___Alphabet +0eab:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:aa8f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -378,18 +378,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:ab3d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:ab48* __get_errno_ptr_ -0eaa:0926 _errno +0eab:0926 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:ab4f __GetIOMode_ 0000:ab84 __SetIOMode_nogrow_ -0eaa:0686 ___NFiles -0eaa:0688 ___init_mode -0eaa:06b0 ___io_mode +0eab:0686 ___NFiles +0eab:0688 ___init_mode +0eab:06b0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:abb9* __set_commode_ -0eaa:06b4 __commode +0eab:06b4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0eaa:06b6 __fmode +0eab:06b6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:abc5 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -416,9 +416,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b1cc isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b1e8* __get_doserrno_ptr_ -0eaa:0928 __doserrno +0eab:0928 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0eaa:06b8 ___umaskval +0eab:06b8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b1ef _dos_creat_ 0000:b213* _dos_creatnew_ @@ -429,9 +429,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:b41c vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0eaa:092c ___env_mask -0eaa:0930 _environ -0eaa:0934* __wenviron +0eab:092c ___env_mask +0eab:0930 _environ +0eab:0934* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:b45e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -452,7 +452,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:b9b4 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:ba24 __EnterWVIDEO_ -0eaa:06d8+ ___WD_Present +0eab:06d8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:ba48 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -465,97 +465,97 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:bff0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0eaa:0938 ____Argv -0eaa:093c ____Argc +0eab:0938 ____Argv +0eab:093c ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0eaa:06da __amblksiz +0eab:06da __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0eaa:070c __Start_XI -0eaa:0742 __End_XI -0eaa:0742 __Start_YI -0eaa:075a __End_YI +0eab:070c __Start_XI +0eab:0742 __End_XI +0eab:0742 __Start_YI +0eab:075a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0eaa:093e ___historical_splitparms +0eab:093e ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d152 _DoINTR_ +0000:d160 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:d4cb* _heapenable_ -0eaa:06dc ___heap_enabled +0000:d4d9* _heapenable_ +0eab:06dc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:d4dc* sbrk_ -0000:d4ec __brk_ +0000:d4ea* sbrk_ +0000:d4fa __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d554 __qwrite_ +0000:d562 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d5b4 fsync_ +0000:d5c2 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d5b9+ __flushall_ -0000:d64d flushall_ +0000:d5c7+ __flushall_ +0000:d65b flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d653* _frealloc_ -0000:d653 realloc_ +0000:d661* _frealloc_ +0000:d661 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:d74d __setenvp_ -0000:d8d9 __freeenvp_ +0000:d75b __setenvp_ +0000:d8e7 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0eaa:06de ___IsDBCS +0eab:06de ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:d937* _ismbblead_ -0eaa:0944 ___MBCSIsTable +0000:d945* _ismbblead_ +0eab:0944 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:d991 __mbinit_ -0eaa:06e0 ___MBCodePage +0000:d99f __mbinit_ +0eab:06e0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:da6c _mbdtoupper_ +0000:da7a _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:da7a toupper_ +0000:da88 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0eaa:06e2 __8087cw +0eab:06e2 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0eaa:06e4 ___Save8087 -0eaa:06e8 ___Rest8087 +0eab:06e4 ___Save8087 +0eab:06e8 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:da89 __GrabFP87_ +0000:da97 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:dabc* __init_8087_emu -0000:dac2 __x87id +0000:daca* __init_8087_emu +0000:dad0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:db1f wctomb_ +0000:db2d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:db6a+ utoa_ -0000:dc0b itoa_ +0000:db78+ utoa_ +0000:dc19 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:dc55 strupr_ +0000:dc63 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0eaa:06ec ___EFG_printf -0eaa:06f0* ___EFG_scanf +0eab:06ec ___EFG_printf +0eab:06f0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:dc9d ulltoa_ -0000:ddda* lltoa_ +0000:dcab ulltoa_ +0000:dde8* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:de4b* _dos_close_ -0000:de59 _dos_commit_ +0000:de59* _dos_close_ +0000:de67 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:de68* _msize_ -0000:de83 _fmsize_ +0000:de76* _msize_ +0000:de91 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:de94 __HeapManager_expand_ -0000:e39d _nexpand_ +0000:dea2 __HeapManager_expand_ +0000:e3ab _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e3f0 _fexpand_ -0000:e3f0* _expand_ +0000:e3fe _fexpand_ +0000:e3fe* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e425 clearenv_ +0000:e433 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:e55a __Init_FPE_handler_ -0000:e593 __Fini_FPE_handler_ -0000:e5ca* __FPEHandler +0000:e568 __Init_FPE_handler_ +0000:e5a1 __Fini_FPE_handler_ +0000:e5d8* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:e85c _bfree_ +0000:e86a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:e881 _bexpand_ +0000:e88f _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0eaa:070a ___FPE_int +0eab:070a ___FPE_int +--------------------+ @@ -570,6 +570,6 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001d1b0 (119216.) +Memory size: 0001d1c0 (119232.) Entry point address: 0000:7ad2 -Link time: 00:00.89 +Link time: 00:00.00 diff --git a/maptest.exe b/maptest.exe index 7d8980519c50375a6ce645f099a769d27b5017ab..e23461b581b3a9d149f4004b2fa19b085d38062f 100755 GIT binary patch delta 41497 zcmW(+c|6mP8~^N{nYrg?&g9BXjGUw77D93-cWk4}CP!vS_3hF{s&AK~+$+s}r5vR( za-=dtOBy-0-}m)<{&-%`KhJ;f*X#X$p7-<2Oo9g|!4%IBPaqfo0bt;woKV;+^I!4* zQ2sB&fjLz3Qef*?HEmt>aXq!T7X|}LS+G@+Qs&O@2ir!}Bq@oEAD3QKV=x%F8Up)9 z3}Aeq9vV306Ra)(Bh*QbJf5Pv+ipvnkcI*-Qes5~ zfb0(}T<&YH%G=9ukR>Sgl^qBKimsEB+!N3zG!rscpRW(^-#a`>dag081l33QEYlG; zbcM8GI)P6QT#L4&3&P=aFJ!s$PgFjWloy-zs;Vqd!Fr44HEEXu*W*JtwxF8⋘ms zAiHGy1JoLV|g zzx3ECnbXu^Og zD(ZI{!?QDkTO%rSDNd&Y1e_c}D-XofFE|uR3j*8i7HB?&Ycam{l;%xzqxH&CswbUw zu6_sIR9FNa^bE8B(2bL<2%1lMP0P|-4v&_-P~0BA_-=IJ#cA6>R)&6d4M2j~4(aNi z#|E)(P>gvBrz?U};v`IjU!WL$0_CR!x)w6p{$up;&U()G4PiA*Lh15v$oWXxU!%&U z1gLshorTdprER{a%QOhTkrC*5{cw%f)0Bhnx44AddrlIKH2{b0B$V4Mc9?HmC4)(h z-=^0VSI^BzLQB4xWFHZ-?%Bc+tqu5SC<8~P!0I&l3%|zN52jy!qSav+SnxQ=Ua&_>L61YXr6)b z+YTQeVZ#^ELk+B%9i5d5#7LIOM`YC-#95(b9cYkEE0`ZZNn@(`e>tfFs(@F7FrN1r zKN6vy5pq_fkFpMe%WOnjVx&yLwiXO zfiV2Qyqk{p9aWyCnV`H+9&_@gj6saBQM%oW*=^n~Sf~(i0)*0#GARY*#NB_abrU=x z$uqQ_(u~7hLkBmnKz1DQ8YG`$q2vWo6K2Fs<<0T4I}fEB#LsW;DEFvhnE|iue@b%TbjW!CP)9nNf|OtnqbspyNH4tk={<*Nk`4E%19YO_q%f63sTx z@}Xx@vmp$nUO6F}6DPzIm$@aGmm7~Dqlk@vKXIuMK5BT!^erf!Kz>D00>?!z#gVVo zLvpu8tc@L76R-iWijPF8ima|aMln1+JKOR3v+}XO`JsUtq|LLog@)X5iey zO-0DrN%c7(#IXKz(*;WIK5U@-u*whyW$hyA#3Wkv=coP6$Wy#}4Es&@X;k9jhB5dP zgzy#OX#!%S4j#E~_i=uJIHH;Bu9Y*y2+I(U0TjW#ebcYx!e^TAQiI-NErjh}yp1g$ zaQ41`)T;Ac}&_)wy59PirltYEutslW_GqaZl$6d}hdMcNK*BO{8nKWi&< znv^#wRm0Tk=xkRN1{x}id!bmI1v{5a!lHIv_AZ;uhRy(;p^!7xX6g>k?fE);m#NvC zXWCA*Rwu;L7IzH~sav%Jtk!vG%gmf);}VpHXvgGVt*~Ei%@&xS(pKN}y1zyE(GUlo z*2NKrIG-uwJDq3xI3qh;xHA}Q)cWNF=d93F*0$nSl!geVs_0&NHS+4`*q}v>(t2iX#fA~jAAel+GNabc{pWZft+c`&$d!2gIs9I|->LGXVE$ zIhjQLlq0%_w$cECi7Div33z6T9_X;J8A^f zow?;!T7PTW=?D-Cg!}{!15G=@KoGD$r6D#jw4PWUr^5z(wSY$N5Wq9_Bj5qlA5dZB z0B+79!DA0!G$sL#nIB_-eQ^8)kUn-Itv<0j;do;FvCufj`lP70SNBi=BfwAy7y$Z! z1|Yi)NCT39xY0fzUpdu)L-70sRrt`4dpCa`*lvjcHkb6~TO#owC0jwJD6I{>OOOZa9>5n^a7bZImqe9 zkElfBNiE9F2azhfyEliw4-eCfKMj{YW6}nXHz2F_&u|iszDWW#HAQ)7^0ne~X8qnB zmmj7stm_m(B~l6zXJ;5gXBS-gr9R>25GFp}SD|LaUrFi>Rq~U{(;$>&@TSME+v%b}Q_*YT!+B>4>SKWJ z&oSve-GXD|9YMp2I88(2$L;uJp0?B3i51EMBz|FOX02AUu<-+UgTgQJ;@5yi8fHXR zZ9bd?-3t}jNRr;Tv-TeZH(Nm92TN+~hpSu9oy>%0d^4e`@R0CLq4bg`QL0G5(wKz& zE*kiWn1XD9cLqp{M~X_7&W@%Sm2OPKe$pD2Hifj-72y%^ZF&XS+!|8lu+r#2fxoEe z?&!CzXL9n%k3D7b{dUOmtq4>`ta#1wb+sxJWT;MWu@7IhG4+QXNI~*UM0C!= zgbaDGnepNzo}ma5Uo+wKHMIf;BFiRF%>r8PXS|_kp=}kys=*i(vj^jM{1fa~B2N=f zE8axIRI3&w^`|-{fI*xJWobQ}w_kjHS9{?MpP^t!0S7hskIKd62xq#&`O<$Q59r3- z&$P#b7+|K-wY+2m4as7_^AM|=Qs%4tRU3Fm-py5VU~~>t7cMhNH2PXPllom>RjOTN zI77%LD(7w?$rBKUk|C;*zqbBW(SIBXRs7wSs1jclZ{YZ{kNn!zBW@2Go`F%B)n%@|WE@&GC znnSLSD8eaMtD3F+xXW$ZuDB(#zu7sKce`6sm!;AiU4W*&rwuLqd)2Oo1FxWT?EOXj zv-iRDQ9r2$0$-sZO8M@dCRCjBj8lXhn*pzam$p?seuT|hf;VBSn~lzX1WU2(*^FyS zRdo!66b-xHfG8J}hL4^-&{4b}dA^D6a5F+Km9kWX(Bc&rf~U;sjjFbEOpNvTwv{P7 znexgk{>V|ZG|mxeo+U^&?x;r4*3erdo>$M7#P+tn#N+L@$2XX`#$A|?)oRGhe*vog zigLMPzWd#_HM@sAb!#JO&k}SEfOR`pFD-JtUICt!EFKgWB5pG2r!W46Hek~CK0t=z zegm!pCQP^|wfisW1QbZQ-@xdgoG@4iIlpd%gLlWaD>zL`Gri6Dg*%Oq+df8<+Zw0+ zDl2el`Yh|!ZfMc6njq75?X-B6Pl`QFPTiHP@1-Ohq4lo+9_C0^_rLN8?@zhO->sAm z8cplZXBTaH7-rZ=oR*^p>TW>-`4lb4CFHtEpUxETD0m5=ZDMs+2pH$HK5#0%Z-u-O zHNHX@+Z>C7(-E7tx-7b=);vmU-(k50ou@nE;$T3kS<3EfU_-tx{Kd=~md*5sALmQQ z`!u-lbH5&HZOE3+ME`IU^@Ncnc`r9^R%M;$56@(VzVewE{(5T4+(SUoBy1qtkY&6P zcQKSaP2*EvSakKlv^{uDF|QthEj&;p!UJaF5}Eydi{e%dR&b|EWg>-Lq*Hw(NiCw%1jwW`)r zaWcD{27?t2EYDHCE>LC#x5m%Y74PJ#HvTS_EE^JYOD)V5f}UWf`;6Y(1>eooRol(suXs^;d$$& zt!x#zWy3cBhrxjMr#Wj6HnZfFFx{I7WrjG2S;jJIz*4O13#eG4`?KFz$h-$b8Zz(6 zkQ75a58agY>1NoU3#VDpf?(Ip0}u2%O?T`FO!yx;R_CRmF`Vo<&b**a=@N2ZH%BOt zKi(^I5PFT2Cwddn)ao}YzhCgrs>aW&dEM5qT|d`wn!lV@#3vRqp8lN?Z$d-_n7FFP z;0k2ml%d=oId%OnPj9P>H(_EbSwVxwVg)as|I<$E34*|KfIw|3RwKyLu)BTbPf*d! zTm9cprTc!5J~^7@a`f71pW!A{#2eh7m($by4iL#W9?B+J_S_BIbau=sYy!C;CFpmc zAOvk29XCHLnXJ^MfDVSAVsc;RR^wooB5A4=YSRk_%HjEN+QJncvqx++wF;6A?uYv|eE{d!Qz#>c-0B=_7;=JV!nPge%z zP0o$=t+e1B#kppH_E zg#Uhdp>;47JON|S;95o)cWdy==jIgsK#cEWulo};^Kkc1%#RsvrINU@*Tn#Dc~PEy z^bEi#hNzYJUoi0iW%QdIcAuczF-LZlX1Q=`i4h9_Q7YeDTlinej zqJLIm-=%|HdsosIU}_+MaS5uQVima5|85>eFI$@Dq15<7A>$HEA99Ow`1`vs=*9H! z%Bwkq-3fL@(DqjDY25I17PRS079uHfyEp#tWlr$ zj+}?AHNJ8)&v0t5akI8KXW5h$I9AqslZB5OQ<9lye6#`bA51b_hNy{ijYmAR8bA&P zGng$gEwI@-VbVL;$c&;q3H=Ey5Y@B{ZH+&Fn>=i+ao_N)X^uv`?F`?!+TrIGh(!ds z|AyV=HAO&|T4JSFw8tEV_U0)7A*iXaHu^H_Q{cmfA^eN=yk4P}hqdRS%BIuNq4r3* z95ctfw#=-_QYM^{cqFO}cXC%1VhMliNYa3+>LeP041AMVDY{pP+PGlZw zs``ActZ|+)0~u#P?PO<(=?${tDerr0;bzRCciJj>SN~A(_ScUI^pcI5y1_X4oWSHY z@jkFjv)-VT;j%CjFY|Imt9?*jBHa+)Z88`AoKQAX!`2EC+KX?yLL>9y$1IsA12;w6 zXsj3tT~*Y#U)1@uMA@e*tc++(>lzjG_JGmhAqr&cZ_nUq9jd)#D9t=URQqD1jT%W@ z@>GtL<3!58c4EbZ$oQ(tn-+M{%6IieMp&hTE#S9yO+z5+e3f26u?U(Vf8Y{QLdrq0 z#TC#5+j|adGcB6YfQ@{O+#O_7E6r6T{glap$`uV!2|I)CM(iq!8Krf3f#mdw5@W!m zti>u@<{B*%EpQ&>Ch8I!t}pWa`6m)TC->j0{HqJZdgQ*61=2cqVU~;Od3?WXip)Df z%qx|9&=z{Z=}6u%??yXXaYiy{cSx&y2h!OEk~wz3Aq~%R1!3h?lp`^S0@WIgc$RW8 zxX`ljQH>`^brjNc&X~#k`c$+%GSo5421?YDf<_*UD$Bf@34ZFbE89BVr8wa!F#$Z%Sa`qN5`g$q~l^-_Iy2_5}67~ zO~L(4k39xw$7U(UF2ztXa7GRCC{fc1y>nA&Vrql-aiyi$^!@7m_{fwP`$@o;l_jv0Roo&(0oxU}4{%$D>Ak)P$f$v6|wiw*C{KQ4|QiR_PQ zh`E!&dYBbs{b$)le~RgP{&MUQg_gNIBV^ z3@0tmVQWbt`EhO?1~@G%HC$S}lFKXO9}&X^LlUb_y^SkTv0{m-qVRN4rQ>3HDO-Su z|M64l!3V^E>Na$K9J(%=p|AfZq-V@8BVQ}=8a_mU(l#*x1l+_b9Ab6F9Hi?~LywTh zBa94p1d-&(<4KWG=Ej+{La< z%i4^TZqt}xy9LL)21-9)#~B&zIYP`zB73C+TYZKBm1&RKB^wGdhajJIiHQ>#uT^7W zW!)$>;kY)GXe#lCa(I`p)}NGfv-rf3`GaZSg3rXLzakVz7b?ZMrp88QNU>ilg&HT@ zVF+WgKJ>iVXVy*w6UurwUVIf*al3Dk*H$@vy*|3<=CiCco228hF*J}cg`0cSIN zl_7tU$R(kGOUR)gZM2bRMx)85{Z~xS-$^TpKd)GMz1#LWEzrLwO3d$!gmZGT|$kBRPq0Ht%@eZKoMz?Zy}0IUS=ez2-4uCFfG%jDodj3;oU z$lSoZG0p|^w;?Lx_~(5k<~25QTh?h+l*D6+f$i)}#Uyl<{OhyR>d(aich-b+nT03) za72pNhp?u#`?sbtGCt5EW7Ikfchwd|MIOQ(EE>9~B!NIsmTCHqhh8n)|r z3i9w+Qk&=piKuH*XWHLD^N!`$P~yIx(I6N%-8Kw3rd{^T&pzY$sjh!>l*fPx?@Zw6 z{viWX3qfo$}`cF+#d1hu+o9cA5Y{$3F8H%Tw%?S6OAq7&EI4b~R#QIb9#2$i9zQbp=b>d{N|!XNT}_>Rc<2g*YdE zCBjur6qm6_zQ`%}TF4YA^(M}>N>IAh7asu_+ZuinW}_=_+8cV-q|OC3KXs(2<7M*3 zf0`1d_=&Qa$S11tK}0uK@*Be1j`5ifpG0psA1FLJRp2MAEyOr}=>(Xmcdz;gBa*CX zRwXN)6fkbARH4t&tS`VVykt{4UW=tmk3pasR>qmv zbwI5~63Fj=lNkh>>W;2RF|!dyz0B7RHeb6NxNuBX*>OsX7D(C1 zlPz?!ualT)5dw=|qWaO<)U6tl)R-iOOjIAcbGEuT7E7@&4B=w1O{JX1H^Zm7s~;?H9e#m-z;!l*VhM7&hHSJ8TqF znw;W_PMV}05moBy3Ts9lhi+C_J2;%qqI-kETm_m%DCA&rnAO<1;_T+!nHvunObQlq z+I6)y14EcR%9#Wrrh2WF#%k%}9=#Z5e%~`ZuKn+LtDZN*!2wyGq{yRZgPjZu@9{38 z8em}0Pr21{Hz`{>ono{PVj=y@l9GZgIuz)n!^%V=BpyDq22>qS~ z`u+B!Tv~#g(RW9|MRgcROoC2I9<3|JV5|k6`++~IR!jrjD=zQ zB6o8B^Zy`c*m@gBsG~FXp9%awzRt>r*Yh!M4s8X+PIn7}EPW9`jUQhLaxwaV=%b#? z(OC*@gn2%#TkeHrc7P2*Wk|H9K1=kx%A+?=tXtS#E=(SxJh(f(nRQAUeU9F_(X zHxAUyjUWADM_z;BBAc76(zZfwtw63k-ColwqD}l%bbxA{V z0U7T3=l9#@Gk{kT`hdrNKuTIkQrv56k;B@q0oBjcKOAt04b)|RB{3*SxPp_U9$7qJ zM$b)lgXXAxVI5JvinU6;v?^wdJgp>3E?+~OR$P~U_CWNH-^RtBUQ@I@*V^qs7Og*b zWY)XtV8s2Q<3m4kIBhkZdD^*gPvs=8$ydv?Ie@80)4Fe_$uphS4iF~Vu8zr#ft@&= z_&e7m9b6eVWN30V<6V@~TOvAhz81zaqP=9I2uieO`?4AIz}@`!sW>4Kl?dJ;HA(6U zSRdy=AGIVBOU**!3wBtuR>mpviN+TEGf2fj+2k)aKG)V&?wR;@(XB*DN9AO5i}TMt zw#NC=Bm25)4x2ij0FX7B0wgAYm*6DdTPZHgo@$iM<3^{I2=&debU?BSFr z@?g(Hm^N3OLZ_JCw9lUWPRD5vq*Qr*-!&qUh|j1cERY}A22KaH?d6eb-ULQFfV8#! zib06diTwv`XhCI*8~sS2=CMF#gY#+!9iRO`z+nmuZpu`DR%ly zcZT8$r?qXNxMH2NvJ*%vgRm*q1;G(LxG*;!9{5%c^aL<eKz*j>G;dPOpR4F59$PAP&|{EgwOC`h^M{F*YA6pntCRlJj&4!2QuLX39+u z@dxjc8pvS*!7ibX#zI@T?>eglpF*{D@+rlBZ0;M(hDe|0vddTI&pYS-bxpW)$+cYW z`z3t6kFwW8CuXr*q#J8{J*4)LhfH=AQfOS$x%6T0GY=|{=LuVV4e1HFX>QYyWrb`r6mzJI8*oVxQwZ%%!I}V_Q$UA*wvJe;nq%N zx@!^lH5a)*uhk;PnkgS2jgOVHuzJi3Di|Nx(t=72Bd;Nxi1&l3Tp;`2U)#pO@AB>8 zbj1325~Qhunbvks%HA7}JFOmIN`WFF!B;-U_;cyN!&!(rwLNzxeMpgPIL+*Lonena zh$CK*B{JXtSa;&z0?kea{xW!>h%N<>Y`2y;z4JcrJz}_AM=P0hbohO!_LF6y>JJVF zC;aN7?;GVWVtq1k^6a^Pc%`l=gL}{+D@@o!yTzx0Wlz^#-59bJ?BLQ|c# z;`jr>9D`dss z;)O8@$;;JDiKnJl^<^ltk4EJ;m5}tSoz_2B&UMTb*!&Dv36?9vp5F{s0w>=i$^Mkl za7l?QmCL((RR#rN8MsdtPR1=;6yqx*KPVgrw-oTrpNnUW@`2VUOMHvPPt?nQbh}oaJEOg4=0;|~ z3GF$zMn0JETL}~dDe~q&nPKF#AGRL#LS;P<%VASo!{y5?JwK5CWqo~XQ0l7~{Z}B6 z@Upz&D&-^$uOJ0~m`9+qS>aDf&7MIN~Ni>{I(QFvKM}x_=(^hptd($Fz zJg-E*w8bh6${)~rwpKch_QCRx>Nfo0|5S%SDbit&z+;sN189oBA+^{DS=6nI83A9Q=QA9_&t=$$)>1kZE<|SoVVcEeEtuB>`36>%y5UUUdgao{fB5RyiBrebugtA zw+#5Q9d=!S0!k8`#;>qfPzJfYBBRk2dOLl}itKUM@*kV_+X!D6n{yicz~}=^=y5L{ zPj0k>-zPTMLaR6&L!@o9Yw}m{wiuja1#e9hu?9D0^RptM^ybcd8WiltRM2|kErt$zWlgUPlErRh^P{8c%+XSC>z*3h*Ex-GNqOnZ(d20O(}J^Et-EcQ_SGR7#%ej+Tnlalrzkb44rtB0 z9*RqAjmZ;;w_dZ1G=SVKv&!jpwRM;;H^-%8tR*b}zLH2sNTg-u9aE;vsJ9_>k^eZd z24)<;x|lyhsywmHGr+XlI3dnhszZ+c*V|reDkfp9n%AaUY9_IgD}T?i{nd)Z2~yrY zm7u&=DkFIYDE(ZKLcjbcSgzjCiNGK5qWKgVYFv?oKH}D`onURDxXmtB*WYvG$x3=f< zzlKD5NucveD-7nc`G#iFf?=3vDW zqC*Zi^f{}BHL7E8RYf-JS6CU)_XX4(F}{_C6FIM27IKwa?+v z(HvI!4lYsA@9x*|n$3BZqLRm!4Pi67Axk)$Wzr|ACeME4=m|W@8hgJez3Q+ZYXIDh ziH#yv98>*7I2SM!Wb6~^!6piDQIUy}X|Y8gcbuFi;}p6yTrUnV13i12+L*^vrbX4k z;Th^6pG7gu&{wfpTgq$AX$0DlMd*dlimcGN7XMJrq2sh*tsn1dP9R@KN=oFkjKKeW z?igZ+drMZt%rx~MuSxi2!h}03DN$7EcUFb;d!=G&4tvT=@9+h}x_yJ{Y8ljtunmZR zHb)k)Enq7VG2(C_3t2h)Y{MCrLy>dPB2Z16(r6!C>-JH6B`ca)yL|R1w-O4X^#Tej^V?3Q~Fb5DDu= zf~7QlUkiiZbiiRdJfoS zHsB3{PUaUGmAwA%{&Js*5WYt=v^FQ(^2(Xp8Uv1z?nqjjc^|_U88fRh>#!FIRzTwX zg=Fm*Bk(p5rk!Eb0z@ZoL?+2v#Gmxt97F*W%?PD1B*p8XzmH?UjyrJB(aY_(QJCmc zCFKMYP^HwT+^^b@O=hwWwq$zHuNEYOEuLvbe*@k?44uCFO;Pp~Z(iuXjfbw&h1(^ZcO8^-D& z_0Pa}DvsXAwERh4_A4nhs>JqY`4*43UIW*xmlP}v#{2*>i$_1$G@BF-_;hCTYacGe z4mVWMxnBoHbyio(Imd;A(FG^K|HPUJVs~H8iHp{4loxafvR9~fh9tXoiXFdDd*5l= zmZMnXB+rrI-i>H5bldBYMrv{k)-*XMNwY71yo;R@a$Nv6`^*FZhX?QroSZ}F_8NQs z^R)c!7z1@8C{UVz=6H+rX33om!Gns%jT6J*%-SewYpS>=@%vD%&Df6yRHa)b`z7Fm zy?^kvkaMw)>GC%vgaRp{F{p%S)jbuAE)-}=K5=?Dt)0?IG#a$fre0EUu>+n z9c+x2w6I%a5@BW) zSwWtdD}?D+BS1iu?Mroi9`0Kg_xD8-2Nyu1cOf9vyFV@QDH#&O_(-tddKhVU z{8{b|&U+wah9b9%j}mfA@x%-iK0T!7rMwbY>h0 zwT9+MIWVk9QmEHR4nnAbT=C*;3vgEL%@7D>PYcmc?<{+3r#OD~6{`=T+AEMW&tKf* z_D-Yyhop{rMH3-e^0Tb9L3m!aPK(jmQLjy2Zgrw(%(;K&vZdng(3{m6ig>Y#?0g|* zqH7Y}4fQ?$8$XL8t{m6#?mIuX$^GbbMZ&Mk+Cc=ypQ?0%@qOe&&RxB_7ql)ZWgtbd zdcNBEe4j-A!z*LnUe~a>s>^NedEiW9Kdu=)R&%z=$zh?GE=7b~Ld1 zsE?__B+F~fDC~gH;Cc5Ybg29z@Z(wL^{aO;6zIrRIP|5j7M>d10Huk5%$7Z#OMJbD zE>5~yQ4+8KG@;RAcK<0jQp^4oU6UqCMA%ciN1y4zAKhnB5TnP4_fO=^F6LDPvO0f;Cj6X3wXrYAr4V|QHr{Jj182}91H`q@)>NsOdlcBl+LkL2VdKO@OWoU9at z0tPAp!dj|Z-!L6_*;kjli(=@t6dRdt@NY_Nq+y<&cuH#gX&}PvwoOLmHttZkQnw}W z^aU`Cu@q}tpwfm6Mviy;&kb*^E`utg5!PiYy0nT>#MNBY3ui&&wBF0RiLVeUcl$|m z&NcU%T9P7U#Q~PA(Qsnxo!DoFw(p!q&+|SK$iF~$^&m7Nh>vQhB9fd zgIg=tB|2R}$&vu53g4*wpjPu%7xg$EYh+}~3sw1xq>ehl}O=4l`j zF7kVoae`HTnD9htq!J}5H`Dp;Fp?x%ZtXTg8+Y1Y0Sof`vGWD=p2o|!YlmtZXdnAM zMVfGzT`CP<-N&O*R060GH8zZitm-itw25}lOb55O>Jz{-Va}O|4}uI{t{T{^tg70t zKr?hX1eGTW;$oAefYZ1%1eNe1e@4W4p~uB=+{F+HlCgNT*0?CL#{q_sL|a6PhEyVS zRJd2$+CqIpeYod;hB|XkThS@tA8^{Rt)2w3*V`qRL1{%r4873!(>PMTeE7cI7A;Ul z{o@i9NRCP-NFAHh9bP~#a*6iTyqymtARga*#a_ep?YN@}8$+x%mO1!Y{nF|3kzJUq z;S@fhq5TutV%nsxaS?JtLt(CtX%X?pKr+~5h+EUQB6oW$NXQ{R)yR5D#XW80X$boAZ5tI#nX?d|3S2EU16(V)s|$l&uW-G*VF)6~J#gT=d)w&-|z z82Gvp%_>xi`-*kHV)*v4Cet3*m{-_6_e%au^??$3$L#dth6cQb#5$Eu5-L`twKGME z!&lR{nRm0 z(EGnI?ndO4w>lX8`V-S+@LTJeE!z9qXIt1ghqu}cv?T8RiRbl}A7l#RhE?zkmP`L9 zO38zBo39TZdY=rYw(lvtv%q5YP8J6G4YV_5Jq8*_K_%|LL}@rSzncM=Q9v!te|x+3 zu&ikBbM|X29Wc045?+Jr&8e-6YgdImXzC=_Agfbt#!H!a=hl~Z;(Q|Fr8{Gzl8(}P zPh}?Q;@x%1gvR`IunJ{2};(by}cyYW+fCa{Of5!bqO{ZuE?YG@WYB zlH^rBQ^gCKr-C`zTQF`p{-*WHH1{6*q2|&w_qhXB?LQBe)?rXW?2tr#k9N)^qMoIQ z5k_k77A?Mpq!X`A5+;<0L;D?Y$^VSNu2jyYiWGoiDgkycxF5izw$y&_qEz}OljrLz zd#@TyRzeV0z7HbHPRRz8jYfpK`xrA(;Ta)hAHJbI|KM_2*?;^u(I2N=O+L>U*UH=t zzc;4v^4t`&q~M7G-MYFjni=*D)~d#1?2z6|uZ0Q8YTEX53r^n}M;HdLGUe}lUlq5n z*E1RaR80oO+*18TD*KOr4o2R1W*VM&%p|7nD8KAj$bnz@IZ}>CakWoLN)deBNwgP{ z8NlUioCUvVHGY$+<^MCdrpRFy{8tva2TWG?H&h3;t>xq#D1kPOoCj?07D~=`VZj%Y zGS!{SdF#3p$TGQLNrG$)Q(l7b6n?285K0>~kNiz109CY+ukw_k8p4zLj~WVN$oVnN z%OCG7emO8du_s|e-SVS#7w-~b9-Y+p95*79)?b|3t3Gn5_nnLBd&%DSZtr%j;^(gP z&WG<^cS?R|oyQ-!hIaZs@@G4emMKxxL1QWwVdbR2Z{M-pH|?N6rYY(J%A7XST13VT zIXasJAVu<}I}<3{?aph2jlfjtHrd{sE6k#QE2H+b4%Lt%Mfu!YttQ1#90*5lmC=W$ zyUhD#A}R91@}kNjc3%;!{z;LRn1QCX2yP+0h*o26uw(MbAp5E`T83(v8zUV7y)D`+ zAd8AQh};fCQ^U{~tpT8TTm%$ifg@c2E$ZDk@1XrWv=Exo3CVwinA&s$GvooP!yl$! zErOYR5x&Uv7ih?XLlWlJSt9AtK?SMA}7wMJ~2R(9olc*q(|M=9+ z7<>`XwHxu4L?f($%CZ>Qv6sj8If0H}R&bzz#xLJ+FaxPw9(2f~tc#(xnt7Bqh6Xmb zL%f^%bz+&Ox-QTuR*ix~Cf`ez=6f@~$|k`1^wHr(P`tY3i8y#`);>B0EQe?6Z}i#@ zr;8;kHhpUp>;IA5yh~<`J`wc_v_*n21VV=g5u6DKix6`7nwLIHSa)Dhy?DB`XX9-S zP~>Sxjf|fn6mM<0-^umn#1oWv$^&sL|WyWw!u}?$YM+ee5#5B&onqWeHw1I ze27n9YT8nsI*Xxvg*OTJtqtk54#w$~`OuzqYk#(nNNjIbrZj;-zh4A*5^epKI(pqH zukT^~ef)|ad_YaLtSi|i{*|Ywh0s^GpFzH5Z_(fD_wUCYqu^8{wgA|nv!cO;zQMPQ za&}R~d@A<9>$bGJu*Put3ZE%ni@5Og3%%gFgYgn=-@7{o=)(2!b$0GJ@C(wBJDkE8 zSKWshFA`#o!PQ2;LPj49463mFq+6rBP#}%GsQqFvZYUScSF)E8$ULnXF#cp+4913UHw{oSaCvw1hj>*Jm7T$>ICzT?zUj zW$V0XnCu`J%J8?q^Vs4bV{{#>OH8oT(qd=@rd;=StzhMzPi9GZ{Od+V{Wm+T1=f7% zl1v2=q1-1AzMuQK`&GFpE6F@?O!Yr?%(dVY022Eys+-Wz(1pVUb$AolwCu&xk0HVg z8Obeg@v;J}%y6q5Az1KLL$zmq=|2NiVTY>T(^bR2>{p+BOwq66iq9K3M_X9^lKJt! zW3jYInRY`IP?ISqYZ@{0AzlHpiES~u2Dmx8Xw<|pbJH;(@C&5Rpz~TVyr*rzD+4^Q zD%pS%+#Kylv{fN`u$zSk2uX(PMm=AVa(yIdrMF1hV|vYp?080KQnr&rtFzZyvoO!X zL~7vNIn0ssOGvZRN(Ua1Ppy(c?^Hwh&u;HAn$_;6j>sc>qMx^8< z+?(w85}3c~w^YgA78Pcr%7`!M-{J`gE9C8b#rWa;j)ZW^T{V8E1F->~vkhGFe+PTt z?y+RI^XpLHW-+-OjLXuKOFm@GpY9mb;pg4h0>C7{!h_k=O?^@b${ChINfmCZh&71u zjlw>^%>RQU+K86Sq<}!(lX}D7!y2cIKw}kFC7>jWzB}B0=Kkb*X*TzKxZy8iCAW6Z zU4bEK1HAr)#_J$N+~A>U-Gtn2ImZR}nD@Z9St25U2~+29{vTKI zVPnC6FFAN335i^OLe#FYM&fIv_o=b8jX$bCKxj|~ptB&Il zqg%x)zMrz~{kFDk@X;BS5)GwHe>I(849(?g>Sgy^LI)SSEnuP|KDZ(3!BHcEEelFU&?O#5t$ zAc_F#8Y|h|3i)MNPnt{vNWf<+f>A#2laS9Ha#N|XeKNy({n{k-;W7sc8RMKWRGMEB`->Zh-YElj02YzOTq2PpMOCdh>&Ok3P^U*pxm{=q9w!?hy_wuHiN46?Xlpc)B5Z@ znwhd-#NsZwZ!YMWltp~0nRs>X%F|qS&==48528pc?#4h`*npoPp8*o11o3MJAxemXG$_&`1}NQ_8v{f{KtT5T{uR%2&bjXEj^aI1 zv1j&p*wH+q9gA6-ZIZy-^y}2=nvz?C7Hs*-o6!;r@D{m12+U85YgO})J~pgC-kimS zY^j5*yGLJNVuG|x4$5D$Z!54F&FvzgvSAkvOl9pJ^$BebaLwo@W1ZPwK0mTyYk(bt z8i)yFy+>nLG@PG|Twav$s)wg*ajiG(r$44^#6EV{d^>uyzy#XOA3kuw^&PK5xaL|s z*}LZziE~aZ;#SaQ+Go6Zjs^y&!eRuou%UAw94A0BH4oiHHD-j+d9~UcWuCY|XLw^J=Dx12B)b30}TN>=`aZU*Gc;v-6cn%^H!>Q-MStbqbPL13( zj9gJ%CQ$>J3kn1A2hX2mR?!ef)Sq`Pu3knx3XKLT_pqT>@$&?pu8qC3-C`YGR|NYC zyua7aOv&z&m|yjiI}s&@p?fxS0;y2vy(00V2th8#Czu$We^i|j=7TU@s!gF2mfI(i z#3yd}>VqeyD&Wl2F8md-3mkt}bAw%>H?{v^h8>WQ@CCItk*_tG%5@E0_051|`V(t! zw-fPAR*G=irA2XU@qFiQ$NvI`G5hPAeD?yD+_k_7>l@m4cJoCR>fgY^(A0^S=9LTd zULrL@`ErYXXaeVS+c7%oEV`Z_U6$K_A$2z=ymTCA)nTU{%+3KjQIdGhJO}--WdSGd z^Bw5rD2*VT*>PD&6JPZ8uXfA2?LK_13#eYsZXYOiS}_@1Fo zFF`JEatus!c@2(R_3m?q*bgBtFeBPs-fuqEs?CS?|B)1t88` zJhvnJZiofp5X^#c2ugNwbbRL~O^I+V5Wn%hTxy+fH5opgQHFzjyHD1T$4u8{vMp5!gKt1}n zSf8xihVw!VJ5Q8*z7ECt1~hYv78k^$;#`&N?yF@=cgC{X+I+Cmd-0^|J_MOP$^(4c?=n z3aPc5*-0Bdufx#kidRGi3#`z{>aAk+B4S7VzKhs7XM1zKc`w69Zxsi2N}R4@YJ~iY zJ{5N(NCDo^VJ|vtyfw5*bKRUDCR>FA7*9bf7T@uq7@+4 z-rU|y#vEug2-zV5;LUgG!k{7y$LN>>myNHYx|YHYMZiua)?9zirQmPga9*!M^z5(V zc}N}|7*`k>)qhDitZ_8l?5T-SdipaK|_6IuPZ?j$7w#vB4gS>SlzLqM6u=lLPQ9nWyMbH$z1U& zyL(NDZ}lOGoUquYAUCEGjTM|B#@L#u?hbrhu?9&|kje0mTSee8CBV`Fq=gl7EwE0n zZwG2~RHaX*TST-daS9dK$CUcvb=OD9cuqtBQoBEk51td+PFJJrOa(cd7tC=J38i0yrPG%R==$yB`fcLtq?jPlR+OL-ZZ4ODo zGmX6hj_N}sea>j5MD$yQ`IlKVu9wU$CShOBO-+bt^6tS!m)Kfb6pg-{?&|C@%|4}_ z$Cq{xtw-iB^qZrvdA@Nb&U~hDjx3Z5xay6UL$-R3&bq8NhJMse-|Tl5Og@6M10fi} z%ZBnp>^&GcB(o#a)iN|~<}xU7Uu%vnM#M;WFp-8@2f*99y@@{fkdvBs6qNWMvLj61 zs7Ivoiq*^7nx9{%kl3*W-)~k+<(UoJXp5OLW=?~2IMg%4)%l=L$-Fi96Usr^UY?!< zLVVvlUg@tT#rwHippAYe;_<_Pf~29AZR}f%Fx#Z=?{j7@rSJATlMYi?j{eH=2l>W~0dnw+Lt^y)lpTs+#~u82`YB z0fctuuH8P|ENlaSFg~dD#vY*bwJBc9W76jl=Ua)FHlqL6uiVMQ{32}GftW9RTt;lT zz+AK`1yr57O>UQ|fFBS`_me?Y5Kt`6G$uu0x4(ttQ2*#%)7A}Tr6#jw^7x1G*H~Y# zv)EXnxg$F<>TSPywwBUL{A ztte#@f|*sFhs1Z4x>^JsnfP2$Xc6u&_l+NXT0U(s?-t8n>SrJC1`2VKV6%ejWiqae z6c}gb#;gjlP4C4piiih;NDdY{VrpL}>4*}3YYJUhMbJ4>M*kW-+e_fcGYKB)R{>_u$WpQJn4$ zRU7ans6#ui>`mVC1-8jNtE@s0;)}`2={fg0!34${`^k*NuqjNcMci3mqv4bF9wI8B z0Pj)L>&js8p9HYNyi0FmDZCk(ENJH>#g8b63`_gdcq-(Sc z{W^;vjp)F$!2uj#nv z(p|)_%PPOQ0>7H9D};wjK3W#8JzBj5?%OHH1;s*_q+M6@JPwjLN+jeA`7yzBgzHu) zAp~IIRW7e9+?d##{hmiZ<(BSr3f7rydEny=QjP>%`Z^IP7W)@Sp4c%gwDIN4m!k4q z$8Gz0h>%=aS7Yon(E7dIE-A686aA#p;L4W_>X6Kjzo6=6MB=oOn>1{-%5izs|40($W#+*kG8Ai5JutS^$GSJY6#ns^S2W5Sr# z`wYg{+|Mb-R@;cvN`jyk=W!lt`yc%0CP)P0a_ua@S(URej0W58lGr26E@l2&;GfpS z8HT?4FZZ*}+Y1?=Z%cu`l*B$F>2~d2u&4^T&idR}m12Xw-RylwvjnDuV)D3204#4p zrYGFW>oUvVxciEHRGMZoL-jV-Ye+q4G~|R4Z{~8?6I({jV8_6uTt^0~yY7c^(L^{v|7_;yEwr*4116 zStJQMZat`>6Xow0q1dH&U0CIS9{n#-wY!YVtyFs@Qzm0^QLZSEcBQ8-b3HT$8L-a( z>SNHHT-|V99GZXL!I|ELiR$eOpJCoQ)n*Ao&x~=}y4Qga#k;1Ul$c{55CDafZfuKO z4e!qhTon_&{b|j14y9T*#v{ea8y;Af-|e_-xshhbZ82c*x?G*_5*_GVEy|H<(li^O zWlb3zxJYK?TaWK!tiR@XOt!COcJplWa(zN{L)Nop!2Z?HCi04(dk2s1tV}AOYR6|- zv(KauiSw=E`zS#l+VQQL{EG%NJO!3b|nX@XqMb^JV>3AgyWGOqjOuA_-r+4 z--Ztq2%l>|W+M#S0ncoBb$+)|!RM5ThntAK4`RMy^U&GLroEdxjyjruPh#Yz6lz|W zH`ahInuLf0CoQFlB-agSy_nDrZ&4`q9qBjq>^`9WMsSh(x*9ski*f*i4Iv{>^lqcd z7mL_UdbZi3!{O@?GQ?&+5!5MB0p*^sjME@E zvuJr5d6yt=h0c;pC6$i~dAFsUtX$cJ;{1XC_90%RzFYn0mTqeJ%T^~$Ql~9 zT%mDro|l7+Y?(CmY@+~t&Kz(6yF|dw576F4L`M}&SZ#(}D=g>`f4n6Y_o@m^4h5=A zx+%ePmTK<`VzUO$dz5`{GOi<-H5cS`hDqzFVcsO5g@|VQHzwn}*?7w(w=B*4(pcoh zBL4R+1i6XhHr1b`*cdy7r(l`r(cesNrsU~q&2Oj2jHj5qOd(L&+}X&vw2nc#LnkKV zyx57bmJ{DE9~Q*UE+GDpsttb{2mm;h?;I5Aa%+xNp{wiS-3lB#j`VH*9w;gi*Yii) zJcYyo-d$xxvluhB>C#-`U2s;I-L1Pdc643oQmxPJwcjPxtJD4dSJ;a!xnOGbOy}9c z8w|yZ+cd6GG9BhpyERW6B2bsNm@6*B=7HCHZ8OKfD*tu+^zpvdgk_zP1u7e%ji1)n zf>ppT-_4Mb%9hx~mNE=#WT#~m2PSEaa%jCtc*Gu+${IiQ8G+B*$!xd9#{5$o9AM^; zdz)-DqVICFb-Ra+sz z0Tst>L{5wTf)-*eSq?IR-n1{?{_6Ycma-@0t--qt6PDvf)5&Z0j~ku~tBRCsDB&ta zbtk-irIgE3YnCe4eP2gIImP~L4y`)zwvsg{I-9uQz-?{W`j#^X}2#>l+i8i-@t@)ndc({`S5SjE~*UVsmvr+dr zH(^8$>%p}F-a5UKn9r15A7@VEgn0*E1T5GlSA7{W>0=w}e3h5LSyU$uEjLhX^E2!? z^KVc$X+gsr^>*PtXAwL)*4DuVuI$)Gv_;6DV~y{gB%glKI{c?FgpX25Wt?#8d=z zxOht`jYFH!^5q41ZdDwS8bhER7p*W9Stl-h&GFB} zy=g#S_*`K~Q#AH6W@4=CBiXAH_BGQl`#<*5q2kSstzu!4ZZJ+J#K5F90{5%|`O4=* zb;VSf63ako6CW1FCMVnZ$mzK9+;bz(fOu1)yOX<7sbx(iEjJvl&g0~l^gX>ty zWAVeOSNyb`VmxkL}1F7ndglQZ0Mn6^Aic$AipIT6L#xAKpbdLmRL7(X4XmD5uGrA~tJ zUQ)G&Z{He+nf9Pr|DjIRd+KlqoMDr!+kb&AQlKc0zm>_^fc7}mIDTf0`=kM$E2ZV7geZuw8y#8$I~ z!jUa3mLSqpyz`h1iMkkqN*!bFSPDA3Iujpq>|@Sz<{eaBIO2wf{awCFy8R9WG1XhA zgK>1%1gLd?hPP6$PsgDMi&qpdi*zNL#Ldc&sheyWvrP!X^@qihrCFllgZ1bc0}^#Ig+KPs1O%vyp$Nl{E^mrEJ)@pC@3drCZc@# zSc2n_LFQ2FF1?WDG$l%Kban3YX63x4TTkYUziPSWpYlKY>1&0FcEF8mB6@rF;CQ&_ z%-eH@a5`VB|K@35ImGv~r>S9A`W&P=-|)8Cvo$y&i``6wDH)jv{n1QBb}IsthFC2- z=5B~p1v!WAf5E;q_{LUNs}K?4_%_M|g7%xmxN6FssgTO9<4RUiVQ@(JM$cPI{GQ+A_(;a7xXu2@`FVD{*Kzb z!e<$;Wk}*`aGt!SfEbEXEsQ`?)3M!C;Jr}!fqV2H-A|@*#S6p9ry%0L>F-pl7oEG` ztmxsM>?I^24cIst^{fkqp&zb1T4u~S3Ghk*zpWHo;GE-@9vT%oCRYD)JpHx{v$~WE zb}aK7YN$B_zdbCMpm28O5K4v~DeXjUv9Rn4;t|`uwnP@~T{+05vkKWVuLZjlc$bb_ zjU075#J(TDqR&V_cFw*@Ln=(R_V{dDnz06EeY}>a_ZY$DS{LC04QP7d6-r=4_&gLk zlU>ppEDXGc=-u)`-3zM25fY4tKK;i$sT12{-II1*)G2>Plwf2}4%3(ccae05;{vnz zbCv@&tkD^N@I=0ib_AZ)lW3dgj>_{tMCgtuICm#y3}OlUvv>zus@yQkk{v{M_9kt8 zQsucm2jHUwyHo76tfRs$N+GnkOt(A|S}B1wfFfHF2A zyV^qJ-%bK)N)uptaX$m_M~hb;lxQd~Z4R&BHzjGS4LKi0^M}NuJflO}nmqznpa7wA z_DFY%VhDxvpcCQxo~m>Jv8%;(#5%>UpQwUI>08gE5(w7qDDFlyx)*KKka_k)*^*C$ zh{_V?Pr?Pzi9JZ-gKv7AH@~U>ub;DZ)+7Ow(rZ|2lchbdhgt01(VdBx)Gn2q8E^T7 zPH4pmfqfT{i&fyMpd42CPvjlIr_XkV!Zh#lQ>Q~eC#WMv7T!)W8yOhilZTiLZVUwr ziuBGg3F8IbSY+0Q>f@K0gTF1)IsIa3ej4r&{YRB#h5&+Sk9W?n$>=fS#@3M^txVJQ z`_QJRb8^eHhk}E?zC*u3aTp{ltkYVb(FvX^RX5{s=<`~(uf_o)FrZeZ;wh~=6pr<| zKh(VNN|@{8k4#pK+$#{)$>I2D;3K`fOiFqgn}91MJ0D`_y!q!_FQ+^YBawiYAHV1M zAxygsW)uRp6pRJW0BgM%;r_XW?Yz>{m$)%C6AcZ=P=BqAOeXir4EZF1pBwqI{jRoB zTE8RbuzYiS13Lg%lQZv?!gO52hAYxm-Nh}V)ZChqre$*ibYXJ)bb=D`inN}Tg(0jI zhM!bu^7D&0yuA~0L?3-yL=sTn$)(NJzXFp6R%(tXvrVh6kB;V|V}owS2O5ln3|B13 zXrVCkwtQK&VBNBv6P1HkHh*MxiG?|F`8=Kq6qs=+HdT=`&1? zIK)ct!KviMH<41<@scIec)4)g>6)^bx?j+o(e9cgn#Xa)0P@}&t0?QdqBl2@LvCQO z>GdyS0Lx1=??Y+TvLJgEf;@(5t7_G5R4odYGBSPBiJ-z-G#ogjIu8j7Z!E9z(0Sj~ zS7Hl~VAAEC58$fD-PA9Vh9F7K)Y^iP?%whX>&>iDuZT+tov)_zI*~)7JC%Bxa zGg69v>w6YxN|5b^B37a}DNnw;zy5K!cVsB2WS!u6RIYj8HFHSMLKG#!UHy$D*xvUU zGnPGA{G7qXe}2BE4RsnaOOv6!^RM-lyV14j%VSuE&c7uN327a{PzoRo*{R<@3 z6oi_D*rt!37{B$}N|wT9#?cK9GM~%vl(DeQ5MMD6HM|fagU&LYWOkmppYQmReroIl zd)78J>jU8prg;U)6(%7KBu^SA3sCrJ(6$0&`-6=* zD!atVU-X{B8JETCaVNIT{ob=Z};m`RU$Z%xbbuzCFvBkY!0v-Y^4$OwYKa z-;;Oo<~$tEP54i9XV(JU5QVx?c+}I$9yG8zJshe*Ozgo@s^{iosP@W$zdCY(@|Nvs zA6rAJlglfM^Z{+_X|Z$71DgCF_oXMv7og7IabWnK6_J1Jxe z3|yTcZ;B0wsABo%Du73R5(^P$W|ds$3#>WYWSGOSYsidsD(bCwt8iH`n0WcKfOpj= zjPKJwe|`?a@|PTbDii#kW3=}bC*q}ROo^t|)Adl39oM0=0WfHBXLl#}ccJq`u*H|O zsrGWt$jQP%6V5CJ8SuaO+zn3SA=#dALOJ9G+O<^6ROWQyCqQjPyfF|Yp~4lSBI1@R zp{3~WLB!OT;ofSR*Yom*Y^&JJ(~S5kWHG0?d`IJmPaD`JAE{-}zsVu)jCr|rhSm;( zq+10m$Pfy3d-%=mg2B=g$&r8AZG9)}(LSQ$Xb|WeGd@H#XYm@Dcbk`6py^1Qz3yi2 zv9;iXK{jo1pu-;}d@7pu;W%eh{x;k}@t_0UZ+?k+6tpG2aDA`{bz6@jZc_1+F>v#o z5daamxz=$i%Q9Pch%9D>UHL?cID>pFgd8+KO)CtVHXj)(8{mVeBMtHfyvqi5>DQjo z>yJe5MhsYZad-FfB_?`b$%r$-=jRigWJbcAqgS~A6sSA&r`mvIM1tsu)*P0^9%^F4 zj!}zc^&>)2=1Un>K~cz!OcSSWF4pMksdFfEGnXw&?)Ya__pC~M;AmfN|CTXUdUEtt zcWuAZRWV4RHfbGvbWG{i`4J!}1u!Ezt@NT>RsoL-3!Kr5j zSbcIOszNmAa1uWMLu&8Y0;|ROfMK>10KK}e939$0c8txn9O9M>mV3a&P|l)dVB&=cy20=82%kzAmX#S(@?TdR=eF8J+ujZ;syI>b+;%h_|1?zMsvIn>WEb8Omb_8DQ#1>}B4g)muPzY~!lsvAWnQp{pEbdlgzr>SZ& z>0~gY84_C0SC^!NK?S@x(ngawvaT$9OHx1gTDELeUlJL(`*un zJ{90JFM~zJm=HIxU^rKchKKBIo!P*Po>QCyvB6c^1svcVt7ti-$1hqlnGvF>zOEA9 zt3Zfx2+KGSpldV=_9D?SU&MO65K|6fqlYgaZ2VwT7EH+w z_d~1*ctJL*DW-*l0t@YnLKz2x#373VL{8T>Z1;lo9TyAk6^r9B(`E;Yu-BQLVSw~n zIHhHgmJ>nh^w3ZVWH!6v^BOLuTlV7x!GUSqfh{xeABXygAVic8JDYUzCzIGAuAN@r zV<@f*c%$1J4mneL)ulKSGO;rOD>{LKDGd`#wqhBK2INdYITeXQp4b+EkW}#Hk>tq zr*O!Exs>BQDOWn>REFk7xA+!Lr>e2>zA)Q-`RExekK0AqkTsg*6=b36op|=$dvvRa z?k`XfF01MC9aB-=t@sCmXEP$rg&giEoVKjpNW;~DCgok3s%T2bpBRdfb! z7c9Kb8S{#HZ3ee*hC{;RpiVmM7;7bq^2FuwqFc;uYu6yuC}`z#;Fybtl5tL-Dl12D zPXsG(FTN~lM>RTqgn-5w8aSy;J?CT|Aq>Rh8jy<8%zL)J=W>q%A*;oWo7iN_tl$+>lpbpoQ; zNx)e?+xsj}&Cpf)|H93y>nACX6 zPV4*S>5k0k@Q%G%@!EfzG)Hl`QmR@0D)J*+A6d|yay_Bt)wp{4_24}*Q8$}x9m&6G zJI}-(rwe6>s-7HJ)(o5rxirw4FNk=+-`+_@6+tZ|l999RMTJy=7P(TGmK_uz@72gF zE)Zk=UyEfa&e(Fb4SX8<&oX7tCf|2{y^|AU?-jh#+6G-mETY+4T1lvSrbc6IGoklBfj_(~DnIm$NLHNq6IoQ@xc52TX z>I2#mxCqA2U;yZ&!E2peY)%+zbBmYKZ-q|xc%)de&QTGD&l&8HezIKbV>vo&b0xQ@ zDbF`t)JYtZb~|^1_}gE`%btiDd*z-;<{#OG#IBH$PYno#pZD9ELGJ5`HrY)EXRIP6 z9{HNNl|IOK?r`eqI_T_0%u{saiW<;{g$e4f0q!Cizok>?#Ft!Xx z7%Bp!mGz={xa;2WMyQzlRAuo!w~_qhx6BbV5XUt$k?JSTI|m-7n$-UG7TC}?5`>gx zlX}Ruyw#hZ!Nr0~Chj^xIBu9#JY)o@X>K?{k%XD?*!ZEC6$qPs<_2vangug^LH8bW&V;2lh~VTrDqam@Dj7I)>?4BDohtOTM&ok9Y8t0~T6){F}_4igI^C2qn6fkz_$5r8otJ#mVj&}MJJh1 z-W!RX8b|pj9#N8C;rOVbq9wLuB5qVo;zJ#MCr}!ivJXpkH?Ixh=}SE+7Q-| z>J6lU{riB7> zGHfLix0bqqrEcOO)@X411_{pXg-jnw5kOD{x^Mm;PW>{&z1|cE} zuVIqZJwutxb<5^BqPOvA;`U;}mgy41mL?T%Nn-lo-~c`g2>dySrwd5t_V`a1-?Q;dPu_e#E}LV2TA6`*}x8w!2jiswR7Qo zZqW4#+_n>LZy`8d+R`9!an`;hmjPP>1cpY{jPZ3Ev;K9O{FQ$SE&r5ZM42iwD*4ys zlCn+~dwzk!L$ceugm|2ciSkKqXs@JhKmGQ8gI-Vi*;3wGKCf1;WNH@O&*Ht{AFC}8 z`i|TQS8yv4NK|91%ts1&KeN1sEOAS;0xlpyulcV2qFi|zqEy>j6r8c2{Iru3JOHj@ z&7V2vifhV)zpOw~II}Z>j$b#TyOTGJWt=7S4noNPWjjY7N%yZNouG2uO?sI2g6C=S zZt}WxO83kVt4=|9!0+^Ag8Ge$fk?$D9h|&gkPZktG}x)#%_%>kJy06%onKEx`Kd#cSJknIMm6+b&^qV z@t``gp01){$&Tm9-%6;U!AO#T>tv!`jum~DHgjg>#}fj)wS(@15_P=5!rIBXMPWY@ ziHidM8OJc#fWd(O(K7Bs6_(s0ikQoRd;hgyRYAy~a)k?ZF{y=_GqAis4yxS?RPsCV zGh&-2WKK-_Efs+=2Cm*i0MxSi376c!#Z&%KZ0j~8^Y_y4L8mp#-vs(4J!mB!(nV-VNsADRu+9K9~;wm~gyH&FxoO zK!vp{y%e2du01CJOWW8^Rp^pzq?SRvfZ>% zt%iR}uawK>tN?{nMU;Iy@h_?*{fX2{cO^G`a41@-doKEASit;?;kV-Yxo_S=d|IC~ z{|^7Dd*>Lsh8dIWcywosgHEk zxcKrJY>cdqkh^N);?eJa=Kg$3d8Y|*DYQa+C8y#$BF4RWN(bdc8gLa_{im- z_VK6{r@fzngxGyRnkM|yLv8p<(@LE&GoSJlA8={bBtI1G$CaMH0X0ybrJNTPM-5!^ zWT{C-2t5K$`{vnC3!kKITKKrHT-Uy`&jhTTecMF#3?eD^`X+iU9>T)*)EF`5 zDQ3-S-kk*0PlJnOZ+vTvKoiWURYFem=Xg~iYAe67%vs@-YiBrRQ-Q5B?G%_1V#Ggz=ak8 zdh${(9c0l~;`GX2i7ad&VH-XpY2W-oVj%y)oI(}iw?1=`Z_vE|tIP5-I~o=PI}Q75 zJ6q+|#o0XHWDa|_M2x*Y36~YoR<#gZVz!&tjO}bue)a zX%_WyzC$-;H2D-w6mt`tM493uB2aUzN1S3{%xA6`qGJvhri50%rG0M%cvRr&_`*w- znGK_c6g1)dsvc!_rbm#tcu0IsFO%+vmaKT}V!yetnw?`` z*4!p24_Pxm73|f+IMU~$k;fThXp;|Vu-#LSibTn!0;YPY2Ytfg0ou+et6Fl~N56(UmSb?c#P@`bk8P=@z%7D!-siwg|v{;i3 zj1vCW}r$=IsGTAzGM0bwzZ_*bT?1@hJnm;## zRbyk_3avjDG-8ERqI>cKO5~l%FMlpDofG;LrN3H^3n9hIW*q>-hR`Tk4oRCs=4a-K z2u!IeBDucurvDqp(#fL+#xu4By!Z`=W7rl${m9jZy;AXzB2EPa-Q8dOvPLN=a6-;t zuXE$QiEgl$7O7BhDL2~*b`ySHQhxJ=#)tV19)c191XUlJZZM!nnLM4~INw7k1G1U3lk#OMmjI)JgqNi8QyF9UZi|hJ9v~NiAan%x;h%lihj}{bmLlM^ zcIQs%0F_;Aij@Bc?v2FqJc-wzr*Mxy$8X&;e8<~BOOzh;<)Eredr<|<;!a$WU;Ktssd zs|bVB@rnjDP6gV3E#u`4%*hD*IrK$o!T1jGd-XXDNN&9BRniS_rso)gvzFa1m7xu1 z5He@hV#pZ~cZzx-k&;vjXWQ^1|8|_ExL%2~1qZ=Dm`6E@Qu>#xl>LPb3vtsuma@M4 z2;kDnF~f05T!(2gUSl4$3A- z`w2Og6D3}$CKz{%YJS_5&fDt82@js;W#%(6d&>z~8OxB&QInC|FG5 zsVMlzPN33)_ULvhp#1c}W%*bI9|@V#Br7p16~XiaCLu@v7KIDcE1dD}CquU7j2qpgQa zz%B)B(Yp_;PAn7Hq8N#sY`8lJMuC>p!48=54SWrcYsxOUMpXn9P&0=^yMCtVmLf{6 zuzRaPhhlUfqalc28%E^#%b~6WOrVOSeq1v9&Xo?E5ZW zb2MpS-9JZC6*;H+4p;p4_w@ZDv9+gzK79hKSbsO*Z|GBp-+vjrhqZh%i3aEHB(Wc| z5)wL%FT5gj7|eR$t?@D9ED`C?NXF=jrAJKu8!6dix3-3^hwDuu4 z7$kblWQr1Ph9I8I^%`)B6pW&U84w@dVo)K#$D@R+2G--`!nf{bttweX2R3)sFCObF zBJzRUzY~{_omZSQpRF?fcburKAccCFsrF7;15rKmO(Wy!ZLNhr1TOdn(_j(z7sw44 zl08vun%lnI7w}D$WqRXZThc{YwtI#T?h$PAyT9k}vJy;Q9}O<1X)+em_SyF5yc>?Y zg{OqLGg!g*$`npZUt;(l2gy_wobr-K-Dceb#Pc^z%-&9{3l)ibG{4WMe)d|Ep`Q!m z8C@HM{LTzbX-zp(%N0^VC<{uRS-6y|uZS8z8&+c0Rmsfv!PwD=L#iO|jq1eyPG~H% zzN0w1;I1R3oT~>fEcI-T5t}rBULhu$WD@cLVQ1R=MtK`x zzbT;|&LKN=J?@a(S&f?vj`EQu)eo9t(6nYEl=}e`k?Taxbi5@yx1LvP-e7gixmimQ z$Z6Fjdzq3dE-v5c(VI5T3q?OWhmW7-e>h+ful_rni}KNFvV+D2ufzK^p}L6ldnRVn zJ3}i)vhTopFc{qvzCC-E^>{xL0SqBz$C80n@Mu#y_)0R4EhgM~(dn}3j6)*z1|OLx z#%J&By8+sehZ{VV&UL3qZWlvP1cdVVJX+mgHhAK20Qz@E?bwfn!@UNV!Pz~!d3M$+3NL$~G3fCj65({sY; zP_;G1&{AI=eWybGK9Cl$Dc-q)jLZDRI8^PWemicegPX@f7Rfw;Gd?q^4xAl*o))WqH2FP1#F`-8t_?2H9|`<7hgzi zaxZc9_wi>Lxg~;~C#}_L-U942qrwFBs*x9O@+Uiv+#KQN=m)Gyr;ZY>#@r0=t#277 z>}FI*l}ngj4r?J5Te`ge_q^YqnEY#*aWj97-Q3xKg6r7MHGr(LE+5*GhPq>>pSpfP z>C2OLHsLoUpdVIzZ=D0p*;t9);S#(F5`Wyfa~j-!zzc7#gV`x9?iDeFj=ATD4!~_7 zJkC}W^rjvz4A9z2@i(to%BIO7jXI+od578u=S|Ca^EQb@r00IsBeJu=bcmV`7`-G?|!15J(ilwIl4A&`&v9@_UMDfM1ug}cr0!)r*%Z;Bo$@!JIwzM0MNYf z!=XzGU$DmilzQ%4A}&tqD&*1e&n)O{TAzPp{>Wruk8hQ0xkzTClbO)g-7D6zc~yjQ zXkI8SF(3h8$Ihc5oU%8#t+AG`szv=r5w1=%$E?IEkro&sPe5f>HZLhJ1~u~>D<~&A zTJki@4xHI|kW~x@c%k@koV=F?sNojz370-G`~VSWNc&iUa_V^Sk!kS6*+;L|UK2bK zkM*#ssk@sy`!wIyqtwveZO&YWh6Y)gK?{inh&@V$`11#Vv5eW#m0P7J^SzA)KpXvoyk>@S8wi*mP8 zRaNgsWku(uBXUE;oQvedn7`}Q7TVa)%a=QecMP_%F8pi4-)7z?5% zf|ao(cf2ZEuFi<9*ji0Fj73V_DbCfQ$MF4p_#KCWlq!X&KGk| zGwn8&8mcawbq+Ivtaf-0(PfI$`)A;j^YiK<9G5KHtc%OFf0Z0}x`^h$*|InUdgg9x zVOzS&rU$wFNxVW-9~E+#OpPBT?x3Ium#qR_@;i!aP*q zGuY!!|BQnXBh#d4t=ri%{BS4+o;D=Jb0pVO4>q+nEl=YxNDCBq13gvcqqS%HC{%d} zi?p0VdLc8;ERnQ?`qa$LCw6TNk74`fhJRWA-M96rwE5W%GCVSCsmdz}@5r3ZOncvQ z19jxR5?-iyOtk9-C-flvu2g86cUuAo|Co$VAd&xT=)C`_di*$k&OLXz_qzAmn|tj& zvXi>ktbFXfw`?*i<=*QeT%;w@Fh2Dyr4K1Hg(%V>DI-GCHM*oS)A{x{ynlMX9q8YkHELMSxZs)L!jUpZt)acL@w|I z$?E$NmpEL-P1w?MY2hjzA=cqu9~6#vX~pq?OEpEA`J3x*qR)9YyWyLioG<=zl!n_e zXO?W%8Ro34BrI%Rp2bLqw5UJ=e=u-T-Kd2z#!sPn-8l6rPU7yEPQRC8krgR~bh>!2-EZ;*67_JzL z|5GtB(^er>A)32EaR%#E6fGMkxCq|2im5GBQzv423OmNAnB0{|6g=5`Ed@~zr7DyS zbv;E}NY1#5`tgejYBvQ5SD!g4nTN9?`sLxqL)PUUEaaU*FRJEjsWPVD^+uy zrhgTsjpY;6FCldMo)r!c4~}92od&s+SdSJ2SN|>K)TPTRXv*wlnwt-LNn52dwq1=L zs=8Qm)fmN)t)9!*!A>+iK%n@b^p7G|H9Icm?Yy37mKU{bkn85w0Z#6RPYRMkx`2^6 zW0zxlmj-}wqfc2+6RkHNUM^z(j$0ZAD4Nw810+dJIC!5#Iuc3G%fp;&_!gzpyY$g7 zDeKv^3$ENCEyWJiIO^kjHqm8qPq>w~(>*|pVftSoy?dp`m8R{vo&Ud`CZh1_c;DR# zgInc6H>uvf0<~G3_C#$C-sS18{)Ca4a1ySmbhTT~!{B@hbawa>D&&c0Df?udj3?+B z;Fcpu86*l(EnP;fo#cM!^8PF}rutPe`1jHHgB~OLHY8rRAhCw_82f@Df2YwEy?(&) z@^ucrzqQi!Y=p?(ZO*{XgUC&y7D{oPb&e`57_%1!YIZvj2NOB8$2rLS?R>a!{8CBE zt#}Po*Nb$FOnPEdh|LeM&?Uv01Rle_qQF3ZPcX| zN2|GC!n(+Aq8}JmT1g&ZXnzW7Ii_9MjHWzLN+A>RahlD?MKE%9#$Fz+jV5-WQ>>1A zA|x!&j{t5|&=9dK0Uu1=WCKF~n|86RlAV%hjIBwy|D@M|263n30*18<`Rw7}A8p`Y zyaWv*D>U~a-jhsz68*LBVGLrB28jbsoRPD%p^zOFH;?bY2IFm0{Zj|KRxHf?iebJP zRTx64pJ9&lh-k~LcjMjDT60|{4)TGj?+y6|_9Ie-vhMR4tYTBJ9b#Z>_I-lNBv~{k zmQSV;X~z~)Rr5{V=oYB6A+>WZwHBZd@8A@R7FprK3AjyGwD3LWw;6lNFvV=!>WSHA zvU;Itf>1s~nKB_-EH;}^7m2QkMg&g4vZv6Yx6d@8iNy<4PQ~L{wALz+1dmE$rQifL)-0I~Rr^Azas94MvQA`u&>C!U zo*Xsw-y(GaK2WvNeYWb!w5M3?I6{2qouU+DRTtFs(?uE^APZjZFlS5#7r@Nn7wlQo zxCqLVw2H?DdlsK->{_m`o_N!Ku_w^~Ni*v!%wSEg{5cSKlKq^9{{v^@hqyx%dCk;u zM~meq;wk^xp~t}R(4g%Kas4=EqiblRgE9sOf>Qf^J5mvh@9eS#UXwxAB$V__nk$IW?x~!~bHvM@45mYng_)zy0mO!H^wev&#s&w4<7LW9x|Hdn zHzWDrTUl5J{Uk}KEwVB?ORt*8I1ElJJb#(hM&x(qKYH$Onk4gkPC&eB zQtGtLV_x;V>V+lX;O|d!w{0IGY7gpgH?kGO--h(?wdpSta;>s~1PQYANcICPV%A`7 zKP`Wa*)`MKp6y;COmahBJ++9Elgejr!R3ay50#9f=;Cn=QG&pG%xSUjoV0oCEIx|F zNB+CI-6tObIPAkCS!2MBH8F!lz4awV_Va3PmSfX8<~up{ElcMkbn4|1HDWB>YMh|^ zwK!I1=|QOs6-gq1Zj5#nQ_VU8@6yNiXEG@ z{*CB&1ebHGedPMf|2jot_XD)A3#BAvE~&L_bZzW2{Ca=kC;3ivU6a3kuf z&h$Xh5vKkK(&HJHA_{tT4fO|}Ic9XR%J^M!?P;b=#wM9RVcx2KY{P~A5dTjrMK&WJ zmHd1*Us6M`MEITj=-+)S^2LzGtd*T>7U(ezm~XpjY&2dsU~q6;GHUesg{?Brb~f9_9x|ZRL>~xD4>f| z9Hw+qhuk__3XHyZ;+S2qu~5C@T_RVy`{;jQWP(`4ER_?1BJcLO}CJ6<^8K2&jk)!)*7L>j}LN> zTF4ez+`j?D<%gVWWJIp{NpZg)z=ckFw8+dEe1C!s&j zFQ5U59oSeL=Fa$VaI2WuLZr1O^CRIkQu<$#>_>E=-5YJ_$SVg5bA4jiVIiZpp|4v$ zg7^O6tFn<=I(?)+!-{)faxQ>$KlYu9wKuNu9Uui~1iix#iN22JT-r z`O2MraL}$+>oc8WPYgVr*$|eBcuW zf)+-OI2Nh?bDA+duc!Vdf)RJE_rbr@tmDkedA!2QC)>%AfKB@vBj|eajpHSBbjaaq zJRd0D4}nGi7XeM+c;JZ~H2 zyIu%c`T9Psfi5weMixkIftAGp5s#PQ`7evxJd1){@W0IBMYv$}6W=z>EBN-b_K;RQ zp`P>&_oH@AWK$Oc?7ZN-;?lOas*2quSHv{O{+GI6zBlvB6+;ZqvGBEkioH z=FZf;T9?(7sqjPIJP;KMr=wCDK1MHScsPA%h4#le@Isw&kgB;a{X_IR%NO=#$5F`q zv&thLka5%c8e!!ljrHITHs*r7;j21=3#(Pc-aB#}{&Rm4vB~t^My3&!V8KJ9r=)#5 zLGZw(g(EQHEJV*v37@6ZtstXK8?I;1hhnJd%HY-DkD(;~^IhfYxYV@ieN!PnYrV$L z|0<_2Og2(!p{A0JlSxdBB=e1G${L6^=7xrp*gRuU4V*T(RQOQja*k6evbQ{hhBG z4wyCwYN=dClvSKUO=sAOID@}z{&UwIobS(h2v(nhAmN8&o8V?nL&_e~huiFhy+q2) zo}x2nIdb{sl3;nva^}BCo3O*rk~o!0V(;^FS#=kh=Ab6kTq!&6e_{3l#C`_NqBbI> zjT_HPT%m@3a&O6SgzcjG`Hx~&&L!)-Nv3*Mlq9RK*(O`hsU+X32S*cX5=ztPOk z=bdcbB1>?hJlAp(tmnd$pgzYW>lwL(Z*kiw^Wes`-rc<2mdnIi$@KIqLbHD{^4(d8w-w;KKQUtGW#H*yJ0yXd3^Q zUmIz^oqhcaJlrSRi0iwfmSx=YfOvzQ&K&=Zdsw{`&4}Di|FlmrTB0{H8{nW+ZR3l+ z%MCFcFa}>Bh4ohw{O-Vt$tx^&1FJo!3o%AkdG3#ATFVZ;~Ix^#u(Bb4|K;~cSa>)k6%bK1+_)|HZeaCC{gcU|1xoVE)d{S&;>wmbcI z2DzR>r6V3ciya%{Sm%^BkDq*BS>j#7RV>|#&U<*dTKb-A2P4iLO-&?-=_6P-F~pXI z47L-Bd*Y!IRI$FFSwcYrd_@G22XOSY7=lyBr z#GXbzA;iF5O%porr0y^V?C$KVM%|0jcT?~uN*0c2&^kM^=IZQ^y{893)2TV+ld1WG zZfB$xPAyc~v!OBXsF_ZzLikUkX%^eC<#kdC>oSz34h;vl`qHdd-~OZ#Q>tPb{u@M` zQP-Af&}17{*#87Tde_BBoxJQ^BTAe;|E;TAr_V$H#7 zQA%EFeZq%R#oxn`1F5p$F)_mR#N#o-r;i(3d2-m8kWu+Q#r3DX_4qZJK&&T+98?8hzlOE7c}sl%-cz zF`N~x_Y4%NT!9?!4(OFxO29cfpV?1Ask0(}ngC(Hv4K}<1oqTcLiC!^_`&LnucD=L zCR#lmZ8iN+Q3b7Qg36W6uG@t0EynGSO%SvNe3Hpu4Bi@9*5}(I#HefmN!J0f$NYou!vF)~=xX!J$x+rk&QdF_Y!L-yUBhjZ@ZT^l=cZ^U571!jj+skPQJ-WjF zgCPk>Ua~)`(_Nu0myz!n{q@#~@_bwZzf4q5CUX&57++8bqsKdosZE441`lL6yP++d zoZLpP?VF0_Zl#v&x?^bi{LW1&=R+AVX5r-@(0Tnua0)gBB{Ha{L5mh`f+Ksb69gwl zd3q}4f9!J5==*KiPd*kGchiDYP1^e8tQHPe?V8lTZST5}X>p=e_@SUNBKYItO@(-y zxA;4{y0=RkU5ds3Iy-p`fvnpX9i25?VwJU?UrS5E3dx3>8P6sMVTCODN+Z{3Dqm74 ziL1iPTItu@fAfF^Cal*0L{aeHj`HU^7ruZ})%iWRhtE@g5kBm7qSN(0=~{zknNvOKDuPMas8jQX zGCw%Xh{~>)KOx(t4-I`-4`xrN{hgDwlA5NBG(mPZC<3~5-*p~n`SHW-Qe6_yQy#ee z)Vs3NQ)G1V?lzqv23o&{;y||#xp0}k?Zu@6mI912_=|vg+T&z{oIat4%aQu_ zs>ITubtlOGfoW{e&U>=No~(EW<`4M+XOHK{JVW#iA27%-K+VVvYXwpFoQ>t8=o_9n z@@SOIAF}&{T};1=qvZ~fdZAGO?auTMr6Ypv8l{(pqA{0$nijJGRFGX>xdJJzX~FO;(GXTeXi@3<5YZ;2)&-mTo(0hA?gX zdk8~@ml;S^WFe2yWqkPIuw#VEp1n>A!3mvVC4Z?xh((1?Ix->&>7U^kRi-CQC zrcV-qBl-O|61#nGHXQwUG0~J@nTSfIdo#MIYJBI{udD-dX1>f&)@gF7vZ@grDyS^; z63El~N7=do4s}QrQ07zkE)rToz4vK#@l}{kHudMP5Wj&=y|vFFV$ssD+PKNG(z)M5 z5z9j!JfUm80YrylUTK6*zQgDcKQu(Z83bV>C?c}&rJSVY^xi^z`nkF_nD5fbj0FB@ zHodY2(F8+T$f|L^kA$C)>zm!O-36aNN|Or*0>$>C6@NUu`ay}^;UImSFUHa{=N&w~5qy>gbZ#s0L3({SGfdGmE z8Uifcu>G1v`z{3wR%NukF8J2M5NTNn1|Yd0%Bsl6!LuvuvAD4OgRFIN$iJ-@U68NY zoMQ`lY2?VG4z9Wm&2Th+BZKrF{<4$rpGeaWL&cvkKTxEyi()@V>aExJV>^FkeLKDG z)OkMdQU>_rv{U@VGo-EfyRT*~|0KQIW4!x2q5APS*jugmbyDHU6aN?%V|hp%`S~^B zQBmpKj^ISznddp?qdn;nwCgE*s-Ign&;a3(haZh&6Ue_$wE#l2;^y?ADoyl!!Rg+1 z#PiUg`VwL893NyYQzK71*D>utm-^p-DQNQJTP+aqhVL5ZPwwmvr*wLU`WYh=9nl7QXDZN+Q^R`4$+bL+~E_L`-_FRJ;H9nptiaC+~5f zP177)yqP->tIsL7ZE}ja@Wx1lKsuCGmjjgi9E9!4xyy%2_!3{%6z=1FK%tQ}kNV&q$dIYEM7}7B}ZMhM)SOhL^WK?kgTzkHd^HOr7lOxe#qSxPD#>WN>S z>B_o@xtjC&#tz9%y9PUlko9@d#=%Nu^O8y`!&G8AF*>(>21~r$&(Gx{9xb*YE(h%W za(8%Njz4mjx?bF!CHQbl$L_Br&f=JTkBez%mj~kvGs^;Y6JYH!8_cH7d|Z(Gq*J$m zb2ij?GXPMu^46|_r+p|TkE8Qg!?1gcu0X+KcZ5+7S){FN(*Ks(kNj%_ZS6KcZy#H{ zT!RUX!Q*?L`&pOT;gD;z`>-ErH6LR^635=9jyT>vU+Z1&kI z2$9a#IAw0YeLVCek?VRNjtcWn)9WW6dxja`k0ZjPekG!T*miPesE%Ks=(K2osY!(v zF^G)SHGWElrS$gb8yFhF#wMn^TIN_j=20N7 z0KXIPvCy=T_&81NT^&Fjpa3ie(6(P|$y(!|c3lPlU=K)9X$r8SQh>6$s#;*P2E*AC zwsvvzc0c6kG>~zM{>;_tJ$&%6-9LNfGhHNd>S0R{3kUms`ws*$X!ij$f48`~4!{Il zL6}IAQ8(Rdwy)WIghu~kVQJ;*Z6hsFr2W#-x%s~J!DS~qdmXI{07DNDP+FG1_nAx$ z&NI17d&Ejg4Fxa*iOGQO`9Hy{A)#U6gWsaw^WqMyCmu~wuEC#5KX&{?ldFw66T7{p z1$5kV_mY;!{MC8aUQ}R~O+mnmvUMB*5HnHyyI1t;JBWBKSN06Zqlw8Zg8%^N#3I8< z0eghUAnJACO(R1XD*rqa_37qh`NUY%hnpki!wXR>O+SQ<{cjILm+ia$XHYYI*1oFM zpld(^kP zOZqt%Aer4P%;v@hT`YSCLT`1(u)-nmRiuxh_Bu%jdezDJZ7A}AlmXcvOEpLuPOy&+ zHwYOHw0HW);Nb9n|8=v^sSxP{{tIMpnrkqSaX{=|1*Q!TxkXvulx~|6V{$QY?|Icj z28B{tNb&!Ob*7SEjV2+5edGG}_Q!7OcCYZ4kfNG3?jwY*Apk_5Kn4Ur3Tk(eAdtfX ztg{(yjBk|}WtJgjzIuXV)p{j~NI3Kt2ZX_tkrc-H5bHa5G!g{_A)2i*il5O~Fr*56 zBMSkD7v6H+=)c(7<9?Jfp!g^=k;33p)zwu?S0V$TgwB43;Qx|8yn*I!rH+d4XTl8l z8L;vV!(eomFPV=QWl+@BP!)CB=_nIMKQdF`r!)_R`t7odk4@Y{#U!;OA7|h?rbuDkKUI z80_LT0Gy=6x|7Owb07++Cx8h6u|v@56`yD;&S=U+5)c7)&)`MR+W}Sl@zgMkkpPZ> zj=1!35KgKp#Y+?z=V?YeK7G1*x7DAh$#T1T^HG{1DiKqF9^*}op>W0mw1WxmzvL*E> z3)vIcK%|*VBKiKboYD8jZkxm+zf0sZQr z9-FkvF!7<{%?Q7Zlq4j@`88INr*P7e6kkX1+s3~PG3uXcw{xPJ!h+mA{KkF$(RLe` z;9yYfYbzOCh4uOH#u|RUaDB8U$Yx7@XYm6RrjS``Tz%Cj$N- DKSzM< delta 41537 zcmW)nS5(tW+r|IsmC!?nP(_*)=|yS)5u^!75h6vT3rWC6f)oh>M14gMRzy8E6qFw6 z(!@ehL_!xeA%GD%0V&Dn`sQNR+|1m}to1y5&u_n*1kX-_Y2KkxKnMT=z`zALk*H7R z@8bW5^4}Q+d_^}4g4!0V8SAQ#>gmP(Fc?re4&$RrJ9d8G-!`HrNl9eKFA7XbZdSGC9gc| zeN~X-F{@>U&!jyIt_R^x*n(=t4x#tifb1baBN?#`p2Ibrle=65_5lmCFHe9yz;?2i zwIDXM9T5edn>|+JXETI@=Jt0bYjs@Es2GAFee>TH$hcynm}qf4TWX-Wu}HcQvBfK3 z@j*$bx%?4IX9L-Mq{hG4xHt|@XO$9hF+ST2ORlxZ@DuprfnEg8R#kLRV*v?S;R>5> zC?AHNs&tX(Jw{$IWMSMm! zr})Ss<}Jtjx$Sb$c!qvs%~6XW+ZANDvJsTweHiZ}n|xsE z{T4Ad{%(*&%@)9Q7RjC1bSz(3Sp`#^*x%L`f38B?1V85wPsc8lf1kB5o5d5p_pJ)j zmk|`%pA)N@7sgD95MJ)#BHA@k?h|(ALab#cOTVjCq!K&ZMI}?&Vg$ z6_}Q@qzDdZ@O!zm-qdx1bsqe5wd+*HWz<$3=QVAygg9S3f_y8&IdHnrO%k~2D}!-k z2P+!y;neve zOM}n@wN3gqkf_CBBTbtoFqx6uz#G*9@9O%}w0oB_XbQ`F@<2kMuRk}&A%-uCqsHB9e0)G)gft#hXtG4j;}>EBv{SQlB; zf!G#|1^Gc;Y1^tlTz0CE&fh9R7%%un#6;!44L!SSod(j9_uDA8zQ7=oD;JurU7a;#2fyh6KtYPxX%+9`8qtgFb`rHhf*gUFTHh*I2r z=DGsI0R9x;fUc8Py)}?kyZ!tUGPIDV^&z%Z`R}pkExPatG#$o17BosG`DiOtwm6!9 zsUwmmFX1R21^?Aw8$FZcwyD}}rD=i7(EQ(@@C!dW4>>7ctwr3=Gu%Pi8DtRbFa?Jp z-$3AGKUg{3Yu1S6D8e3tJ(8QJ*_|a%+ePe}VOP!>Xd145+Z6 zkoSl;BP~X*vJ;`w2kg+)>%3Rpc9vvl6HWL+bR^haU3>2@ReqhBaA-iDa#H59fk?Ye z+6UjU*RqRqhcDy?if%xp=HoA1uKa8ztMYQ_mc#QXKR`6p^&^r=7~SOW(;*v`Zn6URDum@9@H?EW6}M zzjGc?yGU;<(i$>y%{blM?x%I8iE*NVS=grFC-f{j6v>+Dm&1yI;>5~$?0i_wf~*s3F=$%lsoH;g8VmO~ng1F;s0{ji z74G#1Ww8s4n@qBpby)V9X2Xz&DqwPgp!p%r^)bj<_4#?=lVSDe3$%{heGNe#Dy6s_ zv};GHGuaZJAMhtP?}lQ{u|{3PqoIlK8{jpM?L~;|PY}U%-SBnP$CU;0;SpsIWi1>l zH(dN$3@`>BAa1^t`)~H`?O>P?Z!YrT%Wd!h_St&@t5Ub+=MvggA~MwM!bZuue9NnI z!sP9x$^y`J24ag#^AK?@rBB#{hh#9d4reE2PE#her3$p*uT@n=l0hj7KIjkQ9D6TU zV(X%IWwwod=Ie$)ozUFsIWtGJa+l5?YkKx(%9#^wVhKsHi$1$b)e9^Ed|SuDu9kV= zLL-!ci0UHeH{Nk*JDx2(RjIv+PQSNh{-YrdtScmthq$yaJFM3O+}V*Gp0SHWgHhXw zU)-~zi?UO9Zk!df|F;>q%{+U`t-3^1@cm5#(crtp8%$oW{^3>ix)+ql!SCMCWE^==udg$a( zaI@JlC#1FHGIl3_!!v*5prDJJwCxDm9SvS;5@0S?Uf;lNcQx&3gI{iyVT0Csg1Bm1 z619;)teVD&KQZb}x>mXQpBIiC4!eCIAU1-9u>ramvILVqz@!#fL^= zCCfM`wglj}hO;OF2m?Za!vL)*1PERR zJX2!pgTkuGaVa{0-;@?`kQEAee>@9#0sE^}awY(Gm$8rs_0L&JfYZmO7+{};9Wa)D zqCTxUF(L7IeCV;MIH#oQqvayn9>54N1PlO?KA-`}0@A>oBp|*o&DXCB{4OF)E5D|iCQSLYvX|EI+ zyFJTw7bQ5`d_HkS2Y>it^bU)@7i5Vz0(hi)CB)dp2!GQ7%+0oocA=Rioq`u&6S@4* z6UV1@2;7A3;ulm6+b4dF#y<|52fb|%bbB?{Z+D8O*xnVWMt(w1q4>#H=KkYSXY)!V zZIDJvH2AD1+Y*dLN~ID^PTa6B>rJ9po-^7M5!8T2W@}NaLmy6}@3K)F(lHx?wHwYmn=K&ll9Aej z)rqZVa%N@%k(tO;bf~pZTD0U%mMR+8m|TbaE*eD8rXXA3LCPX0qNtR8cGQSkx&agY zWHc;^7;PgfBJlD}CKYtYO0jAM=16OWKZkdlyqT=;;8OJ-CCKDo-l>sqb3ikCgJ$Z= zj;@JSXR)RUPG!$($!Oat`yBh&aMUOF(2h$Sy+R=}~FWhsJaYbFCtZJt1s=Q0CY zpv?HJU>6@zXp2y>G_D23oWhkKhdobZ@a>ctn^9QZ^(slCe)oz5KuD#jtRLY=d1kNg zDx8%Sj4RmFWP@u0M%&|ZL_J3Y!1X`-Q7-pPG6i-Z7FY?&%0nurB(L#o7wD2`!_ItLzsuXHiE`v=LD(7w?sSwt%QZic5w6^|rECAUL z9s8Y#}Vl|-S)QK;A$B_7c zHns05t?r&9@)?H-TaScI2r{>7uGALTp^{j*9C=b89Nl$N0e^2*OWnulM>~kT7t%5Ekp{1=YHsuAEpNM_mLPQjX840$ zT}f6w7BllqEaUweV~F!_qkSLT>rVHM^)K>&)-!sMSEOo)g24i1nXNrdXctRoh#Z_X z4zIE(trC0agyi;iNsbAkf^LGp>c9IB9(#NqTJC(w1Sl}Gq{@g=p z?`sbg%(4>1TjIpWO#Hv=iwhWoCIf-#G#S?cxGC6l!lmn2fKE)Hsg&yg&J-*wX%|(~QP0mYoGo(4Bp7X5j9+id%w0cs$)4y+HeVe}khzKxpQy@pIKhy^ zxal|gD2*^S`qzICb4%VNybL??=6af7H#;3P`XF?Ak+)IB z&Js)v&t^`)^d0^>c4{xzizXz>Z#Z_k7VyhF;?bQ9{J`UH(TNB4GF&<6Pr<}U-nb}*paP4EnY z1LO)J762#|I&>>nqs@G>RRTs)kl(!*uC-2WQ<;KWe5(Nn={S&=OOD~Y@qYOkTrWbI zH6{)!gZCKK)9_YL3-FNp9>1gTYF;d9f{M4IWCwnT@@(^!tS`$U_8f;{Sr&YFZ~p$6 ze#7pa9ifSUYw00Pg>v2Jm$(Z;B?YABuU@W5SbSV2ZvdJ_!8l&0G_|SEMd^WF5dJ-T#Vo&HSSZC^Iw+P{7v?zvBGlx`Mkark2AC60U?`Z#@cIBsNk3OT<~ z6Z*DV?O?-5>_;<+I}Xk@$@Y2^nJ6@jwrM2#cUntu0K72)W5F+JE#PvQ;Ab>V=b(C= zqR-8HRg5F9#h*SR+)YZQ#xTVl;>(MmeD=l}fCcGS`($>(OG56Axyelr=2QDo*m*|> zBuI`}@E(c;t4NT*(Ql(*us7o((P?PaV6E_#^BjWT9}vKTYBX3b1ueaO z2J>iM!tos|{|H1P7EGgtW`5)QmA3;>x$o-zt1?F~CrlPWU#iE>WE9s-wB^Zvix3%o zWr3tnnxy@Nv6B6-!RBE^4~r$@_z0M-z5wNu7HrpEX1ecnw(WAaf}9Jp$O>YN^`jcb z?Z(t)S{GP0fXDp^);m`^e7?Ss?WF~BOfkTTi)pok&4`nfgGRI*vyMq0V4b7ptYtf8 z{B1Jhum;-s?6|20K6kc7;Nm#*6Esc+)p-0q%ojFAL0e*^yH+9`hvKar6=2oq@aazG z_@_^a4~7!#HrD%{MXvQ$-l0wS(_zLAIT<-lR-Nvdlcn^II95dSQSfCfu7-5Djo;0s zC#Cs>M$DY+q6OqG=7KY`C-96RKe1ErfX-#31am%CD}JK!jBEBIcWZgUvHQ4mhioT@ zHLhT1YVUZ-9Zeydb7Tp(1m_A3mw3Iry~y$XGWUMSq{cLHJLo$$2VaTh&+wX%U5L`g zeGNOwU)RLT6zC$k^DMAuqiol#+R%L2LawSFcfOH^n{~8jK`+`LA*V|=_-?1XuZ8D! z*uMp))7>;`HC@)r+L(<)+=M~oi;&zLYv?n+hf}3pmtB^ z!?d46kUx!m?{w((4w4Owy_maU7j4u|P{ieODxC};r=;1B6%*qXtt)HH?O2s>i;VnY zlsZ~8+ndIRKnrMdJrC4tY>fOPq&i65@rm1Azzn?PJ-BU-DZ@gZ$jhNP$fmY3x2vZ# z_baYQXw*Us*uD7GGvj}+!iVBqLJKmW9aw`a2ju44+18sAjHpdZ5O}IS>iRQ^ASf4p zyS$t;POe9aN;s5t-W;zA_ekwt50y_nK`xb&yBY?&fR32j^9|I5>V;xc;oDC|D3arW zd+&$RT(HNKP=yN6|K%L(5D?TX_^TiLwvVk2{{X9*Zz(9XtlEz|p>;$vJv1JN$j%k^ zeMk|@5rWh)<4yR=1Moaj>A6%$nExiIn|}?O{}11KRs9jJ<{9W>CE3ro_{gB~OOL`G zu^;3c7u0!I+<+V_x|~iYV|jWvTVu*mM3jD=FR0GK^gQ12_o#BpT&QVqc)`RJ6 zNkynVD6q&oK|z#Pz8gjx3Wi=BJ@+ETEvj&$@L`8HG;0*sgfZ@bJh^~Dk&>NeZJ^{b zDarf;Mb4QandqmkH?ytYxSA$Z4<(DpS(h)vYl_;wSozCg?LpAI<|UI3EFvjZlyZz5 zPe_d;>|~@;(xYQrQR##jiPo>jQ%LQQ)D%K`Z2K{IY*wmbEG;JE1;J=sUU!daf*uA- zC8uh$k1NI2qk- zg`9LUArkQR-idUjB&WH;T2I;#T-uY9Vp4XIlO56;b`casu7|vRjZ7@^)s-44X|ZvM z+1D#{#Tr*=$B$Cd*yNMifGET}AUQVKUi)Vf1?|<~o#!?t>Ddg0z5i3t3>Y^M(#CL^ z>Ad?Sxrl>=2@~&&?6=g5H%Cd-H!(5nTNw|cGIlXzPq17mDJbQnU+5K}H$FAd{FqqE zNtuFV*O`>#&e1K=@c>)nm~1kMRg&c}ag>B*SJBcjnZ|p?!UE)NPQOE>k$qWa$6`OE zIG^Pw5>iqd72{ZQ>cBNv^_!^Xlf8{_%6tQ!(i<8l=Wam2YE7vT(khi+N_74hJ~t%q zw2JPZTsa!MH!;-!o^GIY+)^(EKrIIxKb0P}-va1tz{cUR(L=0i{r?jC{6jNjwW_b$ z(GnFV5I|u3^)Q7)J){_>aV0$>nfirp)VM>UZjg>Ak&X@-U#JX-PaV(ncCP<)9g!0< z*?@{svWTWcy*a;zl1|3T$2*LUD0na-3UhEa|-zo39jRoV<=B%7*$frRHkBI!_p+>m7TJDN#|r_c^Z;K1`}F z?Mr@|)oF9QCN}0e^Y9nD#>Bmr>$YZ=$;d%-bEDKGg$M8U?|GT7Aup~k4I^pLx=bp& zows4_+@#|%YdAMHY?lI2V|Q3uN-FzUbi5L*wUv|{J0+c!oIzlYgcK83@b0nXKK?;U zExAh`(y(&)<9{_bWBFO}>;{5Od4c@$NiuH?4IsUKxG>-&wML^2rf*DxZmFil?>(PT z8P&UdjS&`bR9S5NeRR};i3M70jHk(1O3a{?;Koj7Mz0HXwf<7U(3-(lMO{+bcaS1t zD2U*{mwiErh8CI5pMKW(iHwCBMZ z0PmP{FY2@^p-wx>r|&?Ffj5X;wD-l{$v9V=KS$Y7$M=Po4At=Dtd?nMRn^B5!@4q) z6qAgrNUsDl>f+6S=D16I*_iiX!>DGT4;fAOMy5_=y!*g7AESmfyj2^maJ24f(#5CE zXKE4pwDp29a7CSTbu9(}-wsDqrYnZNf zbUkRdG{c%6BQ=psm)Pv1ee;e*H)af_7}h$}dB}>zss}w*7}oCQlBjirC3^rF>T%f% za;nyEES(@JnxU74^D+wz0M$8rKFDGY29&WN;DLWXK&U8 z9xE@a@!!fge(GPmG6Fa}VV?;^Y7Nym{Ez-&_XWcm`ceATeDFvrExkk0(SWDz*?1^L z;1qL>>hnKR+2RPw2-_Sp8r^T;;X6Y4NQupxXIfy=Eh>o-@Ys7X56ugFYi#F@Ljw;6 z8DM&CA_^^kCnv`T)nCl`u;P^K5qID){3(_pt__cn`VLCSsdkOfM;8L^^-WSiX42r{ z7JAiNd)hno^xaI_coNcenvgS37kl_qu?d0wD2;CYSG>+)28HS?SQ8PQ*12#1xd;Hx z8y|Bc-axO4mxUGnZmS^&=ry3@Cgc5V7l4TG@@cqU;qAC9Jr=?c*Vv_Or!9C2byy zqS6j*YYtSDTRg((E@>>#<7^1j@FnGlkN(a1k+wMGtcK_Near%)i21SHH581oLACN_ zXucmt2}>*qKoP#^93zF}ILFA~mYk2`YXU43rR53KRhcE@j_R5ljd*+y0_v+dfcMQ) zm|!gIeqM0sg`J?z?O1-Ocp|wNuKGHDIV55_T)E%eUr8xZv#`yDrlkJxAz))G=t#2N z$dfyG271<{&Q*UQf?Cv_+`mycz8_6H{%P~6fP7W}BD>47TZwDN>t{Y-4*i4MuRfJs z;4iAhsZS$-4$0Qr<-Q_%(mcb`a)kz#I5%di;AFNdP+RrLrnz*LmQu8_T*j=5XW)TN zqM=mB*3#2kuJZi6H1+vamb~dNwcLG<87VsyVBCa%QiZ?m%Pi#=uU^c%WJe==rqRMw z_{QBT1AO%}&dM%9c^gXl{2CZ~XxWt+r2}nilFGD3?=V+3u;N~t@j)k(C75&@rwnCj>)`c@4^O7bv{O;*1ZdbYke z;Tm(4`uETGGgTC};*4A5OaM9y!%L-x`Bc|C-y;n`%8cLhlI~z_|JO_ep(NC`2jh>R zYKjn+IBa@efs2u%GYR`mz)~KQnzRez%N7M!K9p3rQsj19m*y1CqFNgVKoGVo*;ah@ z=b#+uJ64?}b7*Q-l&s(5HuFnh3r+7sQr!Kci+vORS9P~K==|%wjv@12Qm2{wm>XFv zJ4etfIJ{#eOmg2cLKUz62uS5k(AgRQr_Go%>n#g@9^SSCLZ$C#eH!kdSv1W%0Y z?mDP``IZ4~%=GFOC5Gv$eJUJRnw;#0WhXHrFu6UMJ>kgXgxhzl96e5pGY^7+2n9x> zIVAZ&c#Gw^;?LQ+cK^sUv1oW8{`6`?22OWya)~<$Oet7FsAEj=-Thg(`~g|JYlN^r zZF;-sSdNYrVz(5T*?^mKT_N8eZ2<#Mc<0v3(b});ycT18NECY$A&IMhy{r13(pARm zeO#SBxA7DJCcOgptqty}U@`-V*yioAUAP&dlICkmtj!b#`ug+Ys+`!l9m_sfI31u* zmp;V&+1=I6IK9E$6uRlJVldObU5v3$ay?132*bp)bpnypK1MxFy>zC?9nOA8$3CQe zgHZGnZzz-8L$gL1eep_PneK@*N@=gGqAYNXUqid#z2G6Z z205(5p&(cyD$77_*Iq~UXo+bhndVseIxQfouGZ2q_Z=*-$(eD;uN;o=wf7%e-5Qoq zD%_kco*?>Kc4J>AIA38Uj^Y0y?W5%gMUXT1*&AN;(SK(@PZI@x4-=Kw^VP@Q9ScmH z%Wn4u`*k4j{;Eolw--tKDScAWsS5H$I{~Bj-1q0%eSySK6%4*WN8x@D69E^C!ETW`vMef+meG zxwW@e#7Ivd&$MGLqADKEVy{cBz)VkZ{^@FI_^#wZpPv)oJ$jn9dqzQ!)5iydxnp~R zw-~FK1EZU~(KjZemMtRj6c|h#9t2I!9aXZYzFvc2n=LKVwlFtV94S}!jbvrM<>68~ zSV(xj*KY`X%bKxyHHd~PYV9`u(K2%8t6-vC;z2{sNN8JQy24oOS+s9pwqDT64E^UO zlUT@?U|f0kk%5+*D5vgK^14?1plb+oiOJf#1F?i)8sa4t_imWJ#Y?g+^%xXYnNMW_68cdn&!d2gG)Z#DXNx<&9R$L^s+Z{> zEDpLa{}o4LA@B4nIO`dS_vFfW$Z|FqS{K?775wk`)VWKm#?O&TDVU124+zC|iuCTW{KLM}s-{f4j1ni8F7cmylZ+$SI1<+@ zot7n!^j!0p80j3FkONOSzqT{I#gTNA`$vXBmHR^L5#NeIy_wfBuAJ>pp`vooHR;=C zQH_1_el+A0=kjdFAsp+0H}XJTA8bmSCeEZ~m^z%!{>~)iRS#CZ_W3?PB4$U;AaacE zvu%TdI|bH!N^20-F$Q$czm^W-mQL*7#R&FaRC|L2@Q>7FHn^QHcP_-=7jk<#gy*hb z`P`(i2(jW55=4CNC817{tMp8x?iK*3nApuSs2k%Puxsd%oPv9R_p~+p4UMc`x*@b4 zr~H9EKX4l@r*<|mpp(7(_YzzUgL1;$SV+e{B0Gxk)?E|~GhQOh^!t$z^WAk3$J2^L zwU4`wi2fVr7m@xmu2Ea+{M}gf`mafzi>4Pi%yES~cxd27nF(L#f?FNa`u_5BlS-%r zf7qK(3l^82Ok(q_Vs0~U({8u{vJ*&cOXk$|3qp(@yhaqC0Pkx54+Ie1 zT~t9xF#6yh#v6b2;HBK2^B0%sp8^Ya+XSrWdc9J;e7=o{cvN$JIidym3;novWUpcY zQ?c5IE9ZJIP*uOU5+K;d=+g&IB>=!$tbmKnx-`#SKH@QHRBO{bXU&uhhzHU_lf zmv3}r{5|;;Tm(}Wz{YR|)VQbiX(8aU7zkJC08119^7sZOM@t%+i5Zqng+Jc@+jR_wD z3U!}91(37X!L8SXN-!I|lG1N)1$+WXa{#WXThTW}zT7tqq4(U;nB!viv z`=#-ZIYL_LCUotzBtw;L$zAsoaq~atKV4ZQXL_bjD)}$!#FyB-$$>=D#Hsqt03S32 z8p7YIT1`ojs#m=YdQo*>bWe>={3T8w?-kdZ&HPk%K&rRipi-|b)BqWlG^7tX|JodQ z`?WcwmLU7B7;@h(I6qgR0>pBz+76h^;M`vhg!+E>-yFbaIB-ks`Z+1UeO9*aj4EP%QZ1)3;|u7J4WaL>Hx=9%6ow+aoO6u6a`%W?i^5PT#ti5%>yv zKEv_VxeSN8oN5-->#0q3-oLZfZ5O^lT!Al`+YTPg|FH~EorufV zGd!T*u4LGJ`ybg#beUq&=14Ow9whs*={^^rfTaZYYbxv|l$u#_C&MijdNbX!h3a)X z`F}QfH^u#6+=kQO`w<^tBCosYc2xUR_&u_1GPG)hYbZf&c4L19i*3NUmhiSQloc#B z>+;oG71yrTwwcygW##0<(2aY*hoMVta@G`$t>O%4D2ZWX#iUQs>MShtDA;#~R@W7LTS293$?jS$yC{lZM$u9 z975|dNNNz?hB+^aTQrkY2ee&7hB?l)#R!qAZC5S23=p?1)gf+WwmJP3dmR^WR)nNK zF9{0>!d%udB+Y8pxf7==@xR=f!P(qi*S$|AY#-YiAeFmp@^EJ?oDq?K_txf_iV;Yv zok+VJ%d@6eqSPk)HX_NVNQ1IZBd z#c$jQ+0qt?``(1J0KPpqi-PQ}M69Zmo!!p+=bl7*vF)P@mAfjPx{?Q43FcDDZlyMz5H-25D!rbd3pLud9Rv_y?k@1W z%r(ADQ#x-EFAFkGSai#VNX+K59aN<|W1dnjCN58(d*(y)^7TAdN1*pu0**b!k5;;kC*xUZQzq5CTD!PVvC@d;r~@|WOG4D z5km8_A#PHmvqT@}Oa?&FYHV4gH$gTl^nKT2{3;5seHn;Zi#8fX016x z=U~TmJNi#BES(B+U(m{&^5W=?A8%@oO(03m8WJrw@I(I&I@!C*QMVOwO>d6l6I4w) z2;DBJN~+9TYpWt=snf$WScRzcmOxau@16RPT82{ihGS{~R~BH~7ATQPxSxL=5gGHj z4Z2GWO=H2aa0uSy!}x$~*hlj_x3^homoF;W3TYp)O2NXkY|e#6+*QmcJ~;QkZk!#ky1J*Bds0DXVYkenHtl@n0T3h+=s;;>JGB_2-b z!|x71vT6+4yaG-Q2({q$h-3_xIyIs(y~F|fK^2+h03LHiR}oBVt-#2S^@;`E2Nw}K z_fW%fvURS!K6CT1Q<8_eq)z8QEOkHZTwebi0!eT|YN1m^+A&5mU?5yO2n}J&IUCq+L|j!Piyl`{Sm^R*q)k?e~v z347?ZIs=i+whho2JKBj5_I;g1PHpl zk?U|TGMBQyHvB(k!*?Ot8?ZcK)9K|zMA)DGPhX%vLK{xn7dCD?*ThfudbX!X!sphb zg=dROEyO}+sES)m+3dSyO>qnSgLXD=L2^bfyk8B$_4RxWAk5AFJa_-S^E&nC^mo$_b z;q&|F{EA1e%3x|rrV2Rkeq015i$^~!Hk)Yr?#UKNJ?P<-53{S7U!M++USF+XagU3l zEl$XzePiDWV;|&rUyHswBQNa9UfH7C8&d4;X_zmC+WVFmTTT{}(|o5Dk6wh~7k6t= zC#6X_q|^j0spAm;=q9@<^qLU);WG#T#SH%9aC3sJkzL;Y70=s4Si6IJ!lcizkGDvd zEZ*7>mfzW!Ix!5Msy#|?YZuoff3LN1`4QTHE^yCdzW_NNzGwW(?I+>69iJF z<$Qj3SO>S01L0jY>4?%d-za~Vvjve1+0=m4z?wE0OW-%bP6xY7pAyeI6<$(ri-+Gb z(Ltwf<_~1M;>jypKsmV)7E;=Hf=Q)s=55~=8lSO9g<8{0M7b-*w$!z=?qbX9vngw! zpz~gLZ{&uJ(C)l?_64G=fek1-tz=F+?Q{kWJsnDe*_^iIb)!;AxZ9_Kd9!sU9sO>d z$ae?O(7Us++m$O;GUxU&M@cIY$$3b2N@23yf8pePMOfrBROQMEUNao?I{bp&6ErYI}+iDe>i3L&v@QH-1kp_S(@`MkpRsr zwIgTn(01uH5n^KNJbES;;ff++M7)DsDqxqT*wiq`=N!VHUh_W%Z@$8ZAI_hcj1e9< zcU_a>bhZ}kq#fV>lxwmjvZGQ=eA_Wx9Z@DC6N%ueq)5k6glH^Rs!-07B9)0oauInV zWP}g*^|lb+HQNlKW$q!n`5$C&)$YWXua2LDXm<4r_Zlxg+_UgDSn8d85sf|3gY7MX8vow5Lz7pu zj(<6L-folk(c_9U+P%jS1+WB_&alSjri=p)z|xmBp$R}Ip{NR zRiyvt_X9FaVStnSMP$gKOY|F)dO(5a6pJd1*)H?9c%R;ketH}UR|p&T$zKca*)O{G ztn*TC*hAou)tq#>^o9969nPHr+^V1WyA4P6G=k1D#Y^JjSFGQqq~SZQfj|=$bY3i| zW|MN&(`^ObL^BANrPzO#JQc#2136NR5a#dYh!@lDoDX5<QZmtEB1Rr8?I(9G z8#ol;<_dtNkI@5hk|cn>M>7r$R;WKGyLB4fY;i~)ooIJ5pguLu|CQd%l)sxB@WK$l z+q^)Hqu~#ydQtWbLoqAsBudh+6nnIPvR86z1c{pTlbZs}DL}hG;MW#rR;ISD`z&(v zXofpJv843>87!s58XD)=FQ=rQ2C!z*Hgy@>dW0uR;})NQsOO667M-n~bjA>@WTe+F zU^sGh88E0sq+3CB>lmW|zg#u-0_Y3lMgMLp8KIK#hC`Vr*95nSMdivq1Vx2d%wvV? zqxV}$Iyk>>KCUf5J-YJH~pj_vh*Swcer?GGc)G8}wyyCEK_ha%1T0?SU z_8Svvgn4P@F~VVd3G@UW2S@&x2EAw0@a>zRZra7#zqcq89<8#aM^(pl8?L#DtOtjwus{Ffq^7Di_I~6LlK%fyr%L_y)b>>G~Q26SSrtw zNdrgym|)myOE@VUM3%8=q_(Kg=g|LxMtBvxd%Lz6cZ2di+S7sJ$Sfgp5 z8}{Y7k9+)B{|$f=pYrXO^oG9poDzEtIY}n2fVERlE5%1vuQ-KX{1Q}r_k-(iSyC7k z{~_d85^?a!v1M9`MLC+)9>kI24lr*5|z=lw#0>+viN!$(N=gs37 z{3mJ%@4=|U?tR)`o_geOx~depH>X5V2g1i!NA;Z4KOTkV{Oa*|M{V!X!KKvCPzmns zHCvJv@1JgI^v}y_&$F;v?{Uv8EI!;ViC=M&AZ=Kf~zfuiQ*A#fM$cOi#8 z-ajdc_8%YY@RX$vj)J%)zd$hzB|&B{V3tV(HUA0e-@~$GyqD1OjM#tKpyc(D8igFZ zMO;@d>|;|CwI-)pY|Ey!!%mmlb}Mc_HXfYx`e^mVNb6IXVr4oc%?(OSbEhIawpdw( z98@eHLc4K@KE0o@5Y&*$DXflfn&cRdlGMZ2A*uv%zZhJP2SCL_7 zb$W$&7rXG_^b+rx<5zspMgXJxmq8O*5}owjC6h!xR3bJ;9Jy_>7z`;T`%iu)PLPie zIckahZ{!86@>MFz_bsTBuG9~XdIL)RTpRM8R{7YF+OSaB|H6P>3Au!L^$t053jFxe zC>G=4+iBe4l@U5k^);M!IIvvyu`FzKLLttjx{SKT(#qUT_!z1%^dhXIq`ttwk76~@ z6dnEzXjJ2~zU)w>2iHWoRPAjCj`O#m5dq5}Q$FK+=c>R#&xG}0s&~p zNtd7M9PvJ8LXC+OTsnsF{N+AhM%hg%_N^`{>W8m8okV1!Z*n)H&K_ECGtSg{ocOb* z2&oMIqb>_u08{m13<*|i#yPwF8lfZS0mSX2g?2pzyx&4nrfzn{f4UP$DLD+7sPVKT z7fh6m8oLxU4Aps8{M#goD05;&^DAu#Pm~S+nCCL4t~{2P{xM^5U^;vve<5K*=i|5) z{{=A|TQZRMYy@2TMlv<8e?+hOEwbRfv-NxA!rLFKm*$%nF#Xo+-fwHH1eZoQ*zZS1 zwn>a<5*tO`48Wf_S#r~RtD3$agNu8Sfohv4=OWB>$Wiqg*xzfcz&drlTDkxqIbW^_!i@Ai|1ptyc zUkLiq4J})dJU2=#+dwH02Iv$pgN~|K!n*waXnEe4WY;sGs2Is?Ls1 zDZC2`iUYB6o$YjZ*E)hRcyNhDa5wCTW8QqWsZb_SZ+0rixwfchH=>=wAg+PRvRK(M zms$IqLB}o&_t8L~FK0WNfmANPaLl81h@qeT%NKRB{sL>=;`EZQ6Ksa+y3S6q>QOjk z@}^`d=HS;%*#tOqX>@oIG~{gYH4dJ2W*-v=-ecFH-_N%lP8VY5lz&7 z2ciGLwBtAb@m~md1|{FL4X#Q>7UMEj;8hfOdFIK~({QuptwYSErY+@^v$$V0c#~*z z-+R4k5l64g_r}v?Z3k*pS97Cs6KEUs`*{f2*3Dq4-_qmNUHoQ%uYd7}2z1N(n3DaU zMtQYRK67>Zsk5?hi}_yvjVIw4jiBnZRXKb<5)*RN4}Zgm5V=dt_s$+6yiU94uejsL z`&#l_#A&Ci^+G1<4oDb(KhZtd&RqYp&d%Nb0zkTRhr?K3E~w%}Uy5+Y;A*2^xscJp zckbh^{^M;vXgWzlcWt5Tafx~miSf!QRML_yRE-oGOCJPsHYuNXAC9N(Q{#;U_#Jg9 zm-`!t^}u9S?*R7SMVT9alet>LKxN12e=}fxV+iTAh%jZT#gJ}EdvH)Pew@HQKQ86}@2or8NV;`1$lyZ{Gz>&ug!1k;pDX$q_Y%{P zv{!blU6ohLGv~f80K_kk zzK^xAk0FHbL?KV~B?&IeT(3Vy^na-Bn+oS@ixOXSP=aYtWfH6lg9ef^u{C&~@nAp(y;&8Sj26~i?|z@CGDP-bOO+B0 zN$Y)SUy-c?6zD+=>QO%PLvA+9IcXx>8RjzNvsP}d;XCMmjOt@L@!Qj)yzF88L9J{f z-c{x}ExiaS-zRZpYms}hBsaeKNZ)!9;(N;9H~%KhM>y2_Wi}Y+%t@`5Tj|fFx~~ zpp&&G{P9ZE^cU7bW81KX-vwOIHhaVuC)3hbg9YmriSs1 zqvLyb>xXO5qH_JOA2#=x$P_(RrQ>)`&9b{I;8i5(FWqj^t+wQnUi~h~UWvpSMX`6p z)3;7^(Lc>AHg_l;L)IR;ddl47I(dvvH2?#=t8CpQUsmQGJiRem)-P4V2hmfQ$ix_!(Hls}iChNGe^50n#1T#sEqbODmv@Wp{mBNyZdj};=DbRURa(?jYH-p;`L zJ3tH4(*H8+cMFuGB6Lch=zG02JYcuPQLGCeIPf2FFC&gkd(CopszqUEtfXh{!Ss~R z81AdXD4SPDtYD61-t;Gyff z47z`kU0Xp9JH~exbCQP7xe&4w{AedsyD?r!aKC-$e5Z7D`?XqJ-o*FFDgD<5E`-mmLB=+>p=l3@XM{K>HY!@rf^4ey0sW2$pyy!Y|p*%Zc;KJj)&vZqcGfmT?guP*XaULt$;|tPb&@yd-U0Hs&|BochKFS2lFI=23i&?j9BMx(R@!oTHI%D!{ZA4hD?>P=A>QY*Mm)M^ zNFaTIrqL_t=sQ+&^mE$|2x$mNirskg@6l#CX~J|!F%53BwA1O8rR%gV}%#7{fqxgW!a7TNTs7-cf`=;jvr z?p=pJxdl<1tcF3oRgDk3|NEw7lI5ATnmv)P{({f{!UgK{ta%F!8c*NSstkK8G?zlCn?=LB%?u-%EOw|@SG2K+$o<3d}6XoA&`CS7juQ@ z76)5mB&DDm5mTXCqarK}AX#=Q@T6kG)*}{gJ?ERB&R@lgg|_`#9CV}@M2lxiu05>v z`%S#$O92&alQ%;UsaDsqMcT($VGI9okB@1Z*Fw@f&&sn&7d}p6Q0MNI8ND++KBQMb zBu0p6FS~7-c2jIOhv}&bPvoa^g7o)hbMiaY3VJT5F7cOF-&c2f3JvOfs5!(&h4%2R zZ?QL!kzU$JzFcJVvQfN+Xj6k;l2L#f@<{!9Z!PrTwJn|f>fRmh?YH`~=6US;N^RTK zHeIUT7`qq??`B*a|C@GWZ*}y?w&6$@dUNy}xZkGfA|(KxxuHs5+Y&0M(?i0MU(;JEH%4}VJq5YhuXj0O^LP+%Q9mji zlI0o!S?Fha;R+mG(U&iZK`go`-0t?ij4KwXhHntC&c!wmVe`o^OlV{-ZH7C;>@!m~ z=2|dCSQmC;bui%+;?tT=paA@Q-KOw8JIj=G0h-+}lr=i$k>;<0lkG1D{mlf#{|ACR zi}=Qit?u#UM7Ze|`c%(I@N#7iw0b~y>;L>j$Mk};r4zkhwhGTX6s;D*uJ?Ue`+_)I z)3Rxd6#btbbag!q%Ev302E4pudmud+f9b%H@&GAHuJ5Op=AngR*A5eW-j;@?6KMJU zU3s<^M^B1$3b4YpyRJ4W=aemr=yu+ZTGZP6ikz>b{)cn8w$fY(gP^>?^Ml@y5p2j_ zgZ_Kz;_hzIPf8LC_~{1uoW(?+A=IaXL=n`R%XR|N!BJJFi?ErUDcaf$U+U8 zWl8`Fx=>Obe30}rJvuR}Bryl8m_OF0Gn%=KLB>S4>eTx0LQoTfIikAvX2|qy+@d_% zbShz=TeXKVh4zu4&VCz$cgz-DeLLjQ?Wx+hxdEQl);qKs3oeb~%FErxWob z(<4o@dZKT4{={v9`E0o-n)tvy-4wwQyC3XDc$6bU6Vz%>?XyZsh!&S!iA`~X z`T%dIq0y!`IPSbiN(-CR<2($@8Df9DLvSihWE?b`zbM?l$G(IjJ=<&k#x5Yc(N?9`jwB z@Nx-injrB?zF8`pa5E{(#%>&-oDJ*k01uapH|m^VSGo?YgFuvJQ9h*s>rPOY;xfC{ zjo_WOJOv0mW-!BrlRRd;(w~3`WCMR0clRauh}h-bwO40}as%rvbi`5zg(H>h(fb!k zcy5U7_uJv}&W!7WJos%()>(iNSSL9Aln8bHnQ)aNnOY|8Iln%fBd-0G)L}Z77~kw+ zgG~OJfKeLsq{x{^+AqD&9zOB2^ZQFHSIc|bt-jAWuKt^_X7sM%En$;RroP>r0C{pyIp&b(paKhn^%eRrhotRVNGOWv_zObH74h2rJwoHO#!8Ar@c7e8RcuOZsf z6D2AX3;&e0aX6{|^yz5{4?XJ-K{?_#Tm}9y<`25EB|=jS!yXq8931~fdh3Cxpjzgj zX&7g>CYwdAs614RKN3me2}({AR`2pyI`2#*H2)97c{snz26S=-@a-(@7&_Ay{`pt? zq3Yt4l~J}&<*+s5Wtww^OC}fKL0+!rbKzmy-b*&W@XA&*hjaBzPkO7WRZ`Z$p)xGQ zGiGsE8QMqNZ;zTVo}d^miyAW*$wFWYpVHw@_qs~%l%K2;{E0=EA{mTE8@?QPa|)kb)M(pV#x7c4U+Bt zup+miuD)sCHTG)r30;4K}6rGu9ZEGRis+oD%KfYjZTOwWw;77n}T6kUS1TO=``8 z;@eBytb-0Le6FcBiuacJUh02VHfc8F9xGhpXCLnl2yv7}*g{RNvaSu}9nZ{(Sr+5+ z-?_vp!0z?Kc{s?3v0bCY15)^%31ohSkb!O~^K0;QH@$bxScczvw&=AhKlovqPSJ>~ zuyhnbXPV#gT-iSJE3@FvrtLN+sJQLr2-C5#a@V!F%743v%T1LMH36xb?J{3-V-|qk z;JeVku-kdC4LczEau*zEk)=MZsH!Cuq)Oev#op0=`i3I58}!wOGdzHNjK7l)j1^UT zLVBwz`%rwUjr&BD;PmqM6WI$pY6V<~t5?qA2KzYMa0Jn-4R$tZ`}y~Riy>OuXeqD% z1v#{!7kHq5^8+YP*QaGvIhVc{h^*kEz(ro+>%cjSjnl&Rx1nW!=C~NP!Fg2RN`b&Q z*{ddt+{0QuiJu`&fJTzV&)qyWxOUQ85(NktJ~MPqjT#IP2?i%-{yxS}4X%zO7~RKM zx(6l9?t8oc{9Qog7YTm)OIxdg*A;BnD3vl-wCaZD`ACWM;~H2sg|Crzd{blF4D%-z zIX`@T{Dq6;{S#e>{wNO=qBYQ^?&>j|{y2FO%=DNm6BZ}o)awarrt{bXrf|SO z8>}~sKl|5Vdm8Mu2*59G5v>4akGt_GGP`eb6iT}(OI$eY3Kxmw`dcvFw_Jd3;Nx2l zV$vwbB3B`h<5wC`KEy}GTv9|N`g4BTv5~I@f?K}nd?J^-xnh#F)Crcb>e! zfnfd_)7}(fg>C-|66mrG2)T$!(fBPoxunl9?-0NB+4Y?;vp@5*d>FW}u=6qX`>RXS zHWiK9=LpcytRsYV`z@#U`sqbj1cgm^TQe5w_DV1JwBpDhnWHMZQTmceS_Q@XZ$bag z8hs@%=-i{1&{K@%2vW*CmublS7Zxp#$bI$oblJ+av-!wwsNHvbmZ)cQ>+!R4N<5uL zRpcT{jWq2JgbJT3^;+Irj6PIL*Gfwos4=kpi^Jm_>o==@GeuMix)2X23a*@iGUlO` z)>x+s?+ruoObBg%QMn+nj^4FdvsM!d-w9X~9S!<3qdfSGfwZ3eCrC3&*-jdnreEVky zAFLBCoEf4BT(1NZ%a*=+Ob8k)4pRHH#y>-z`G{BGFTD%>h>P?&I(;YC&1`t8I8Qyk z^wV-3H_+iChpZeA*w-QPcRo;H3Z$D9ZjLm(Du(t+L|r%WPj5nR8G%ih8JW$YIghU6#Kby;CQ{208IG;uT)j zjpR0|`%!==dAVBz6x#}1b5q{jmND{pbuFs*ujPkn!5b@Hkvz}SKNP&?nle)^RLiXe zJiO14+}so>{Uu;xpy&h8Z+8OObi(-f2`+=WUM}=Qh8`mYOGkc@**Q4AeI@fe^J}$qp4bRw?1nwGv*ecr@E$&; zBCBVF{=r^lJpz{}_WY5wZb{>ZZ~OdtowIWyyXj9%DZOT5 zHtk<|ND6k@dW-SbWokQB4YwSBiI#Nnz~=b7{?1va;the@tmvy8R){^~4v#gz&ou{y zd*KeP#tKS2%T+CJzHwKU+)BvrhRDT?Smr;ko74SgTNQBjtD~m@F|Vp;=>yQ9c{BEs ztB$qs&2Ib7%wdNcoqaw%@83d#Dd%#O>nwKb*H(dd+K0KOi11qkbb@aw3Uc<>><~W? zjeEm`dq;NTRvwP#IAwp<6VTggQL?AO5@%QT0%ngIP6+D7-V1N;tRAolF8a9jD1BD< zGr^BROZuNrv|$_~eil}@+Jm(prq|}|*vQS}@4iLE(FKS*Y0*ghHx7Za)z9umetF|x zxLasvS*&s#$g*hSI5wONzj5wK_KOWINj=yMTiW0FjIWitk67wLmB(uB+h`qL$-e`u zOHoe41~%wx`?O>T&N<+MAaqRbsQs+*F8RW+65QHQB)8j>L!5CWix=|;2vjCpRWHpH zC|UaZ-X{54+q6P_b!n9UQj)Q3rifc`a3F8}it!Qog|dy%zj&t2vxy10Y^3Q&_9Vnk zD5fu9P9UkuxDTxb-x%m%kiYP%%7DYn7>&Z_8t2lW)B<%RB;Roxdhtk4J%CKvDZ-huC$DTIWa%Zxvj&z9N>4~n zowKJN35v8(nm5V{$SwRclHy|Zw%*29^pgOg<`L zsd1ni{>){TH0QjUvybRK8BC2f&T}n2<@?CzslmXs9^df%5YK38XGL#Sb>Jw`yA2YT z`7FzxdwAf)#{6cX6k0qmyC|r{%sB$_e2S~1=9BBIY)_%~Ui>VX3RLR8$E>ZE}- zwq*RvYuS%-TC!EU2>w-`$wB(B{fQto&n2F)b6iC=YwhR)@0SrAMT2!bZ}$L^hq7a} zXDPc=SQQ^&;@ljiFxRu^tSOCL-P6vAj{mEqd~f}rzE;*rlx9xfT(=c)G+kG{i%T0? z3Y;j6b>$j>!Xr5x9IrKje8dGuU+G03d|+=83|!n?)czCO6~4&3hpkvS5I~OXw_nE> ze*i!%iEB(S->};{*e;t^ubN5mY2B9O2uoQ_xnZearaemj`C*p1a1~JUp!ALcM@lBQ zR;boYQgeO0BY7F#W_v01D0?t%e*qbfr6TfA!wk!&I40hzaZ|?^enhci3mDGpDOM1Fr`ddp%Q+P8E<-hqr@>IBnYUn9 zVKJyQSZUXhK;(A-#(TT>i>BE(uF`PTnFz<(_nsgtzecpH?BrhI$66V_UM^MxN37I*?8C ztwj4419z3$KewDa>u6K3x8P;MZs_T;jE6*atC%L#bO}M}P0c00qVJ0QG#VXMb{T_@ z`Ob8_iS27$bFH`;Wy>h5C4i23JReQdZ*$G@{0k_HBlN#u)Y52XZU&KYSs)gu|$Lhx~3R#Vdva4tD-68&tS7l3Gd3h?yd z+7J44EpBN`d-myywwn4PDj_(Np%TWAH`go?qV^f6@9a0@o_x}lc0N5zFXxlE3w0_5 z{Sp2^(6XP+e+M@Kqo2ZVP2f3 zA1DuU2;9J7w==!mgoBiBkv(>|W zB3(dN8%ReefVKhJwv}8Z{sE^EN+#sx9F76AM{jwNqW8hVbc|__k6gb!X#FDH{}~8@ zjNJ)&iJS|jfQ2v}GsY7nb8tE|nj32Bb*M#wNg6Hkah$kKGZQfT2va)*St!8{Az*++ zCL%qLWvYD|Q(cO%*6dn{-oFG`&;=zt{QiyC@3zj=W!{^!7R%(sR1fo5`zhm{(uLG+ zz8sgV`r1X++R4qQlrR{$sKf;c?C;S@K&q#k<`+gjLfUI+Y{S7#bFXFT4> zW}zY+g7;~`0;C``k8gH1k1#-BevMsinuKBZ|Jfw)I>gbl{5-CL9!Ec7iBaERb1+&b z6KTf;WQ2&X9#8%oG43$6>_T@V!?n<_AAn~NX~){gYxJi7t*lo4(G-jWNnfI>sLPIUjrBJ#p6D960{9?Fsr=)wJeCitkU{#}98vB*N z6!9boSLVglQg2wGZseHurq8pb@n6`a(k7|lJ_PR0Gl)q}_fV3l(QR~3oXpHY8AIlk zcZJkJg#!xmb~ca(2xv01A;K@>Veu9vggNv+qd*L{<>F#6(*cAGh*uqn(JSY+<&JN zW5#%w(GPbw`3I+dtHV-W*69n6SypsNa96;Hh|=a3Ut{?xtpa%`%Xe)hFkmB(AYYjL z{vPL@n$Q#BcLeH_i~|xxL1^(IRI8KvWmC=^AV;F+1q{Ld6Wqw-R1Nh+t&!UxSOb6N z`=S1Zk>?BiHJ1zRzdm@w1B^@VGs}jaZic(;AGSdr@!cJQf+&_rsVc%;b+nUX47l{G zGIFu-$_Z$d|3y}^zz*E&eBOWL&{GljqJRnGIjokSfqxG|&DEpr91r@-_Nr&3m|3ub zpoFRmfwI$FJ^RO5o*dCN-ooQQ-_i#R&DkYENr|&>38r10)y}>n=na+;2Udb#Kgm)L z33%Qbt$29IM|sL$agN&|9O6(pa_6nB5{b`>rxO@Nn4x(o+S=1(mX1jszZ9Z~%(5J3 zx1B4=b^Lcu=$3N-;sJ7h!hgH}G8W1uC^OM^uN!z=P&mFvE-hYMGVCEFnUBiz6W_t% zWO%Kz-h3cgP}QGra(GCl)W%Fs-J6qP*hs!)d$T{{6rmH^S?L1UzNtLZ$`aW-Y3t+1 z*ThJobl$w>Sgz=Jk!C~aUeV=#0!VlExcuAYf$ zq@JQ^wCTV}E$_Mf`UL6@on1RlKy!@pr>!rB_v!17Z_14mFTvcvl=49ZlRcC!@4<%9 z(HQZj&`vs2r$ciqd(Y{T%b>Gj8(%)y`aiz`CREezu79ab6PJyot!2C&m)nwmE{ZWH3Lwc1iULj!B!F5&E79rpBNks{?KYUj;;hu&hF>viOc zb!R2tVP!v!gm|unR`&yLw?vf_L6g*9?@WY?Jb;X(!w_yy-`Waizg-z50K9G*FNLxF z-w&d&@IU#o^oUz1viQhV!sucDNs6J+a3F&1L??bavQ_nij+{QXH-WU4WwQ@9f!Lc% z{RNiApGY$0a8_>Lg|1BsX#Do-C#NhmW77fY65Fd^fZ%i9@Fy$qe)01T`IDeGlBIn{ zBK(D1D~!GmrQ4b}2q~R?FU+Eqh zT2IOjhI)FvEU9VZ1|0#_y`T)~0u0e`*NLCi=fTkddT)#@MS~ud^$`V3c0d6`x?$H5 z&wc@r+Vmqkj(6`%6>o~9`pb((iG?{+Y!JVa*2ha}YcW{bdNSYK6;2)nO#6Ohyy*5& zRn201I6xr%*+iVaWb64E&@lh&dLCa4No|0A-L%ms#0Uv_G4wgCCrw zeIy)DOXGe?x~OAJ3uoIQ$~eo$ zSPU`gKv@)O8i%=%*s-hpvrCcem^3Z8^kp7rpcLc>ya^FVo9e<0nH@79`=wmZ6H<@y znVM5xy5Cj&AOLF^jivZtHO8h8A*qyqYrS6}zP2{I$x6fJS{p1F}{LXF*AVn0vClA+u<34I)V_XaIm!qoH@j4Typ zOnip5dL3(A0yl7;_)@8<0A#(41l5v65=)H`2>}O&X?V-Jti^4&x7eYxj%xo>btxV& zQ_pBpgA`IH%Xe8{;@pXw-Xd3eMd>wA3Nl)@uicQx6xteZ962RzGV6jz#286Oz@J;F z#vts#f$z}oG$QE}`jUB0vjNVE=qQB6Ar=jUHuC9t&MMVd88vk|@#gmh3&HY^c!0Li z%J7_#Esd9~kW8J-wea`J!7t{kKCVF7o{syE>5UO>uF@af@@|55ytfkv!7CVi9#ti0p_oc{6pZ z?|4(Wznp)#T0lKOwjfUwAi~}9O4n2N3-_0c!n-A?^Eb1ojCK!rzC|*#T>lHtA2#*J z`~|Cn6AGs%L1MjmPVcZtzMCw{uermCz0=a7ZlYaC3DnBicGoKauXZP5?c&lU_LhDG zuj{?ian3jD8z8&gJ%^WzQ9&9h0qHK?bWV0TtkVIU) zq0}3BKz-5t5-9_*q5rzC<_?axfo{<<)7xJRCLYh7f)7%BquL9CL`X&Vq9iEUJklCL zT+Hyrz4aelTDat^;eN1XF>la%HOVqxD9^_5ia2erA3I>P2g_;y1=+r2ci+`UV9Dla z*z)CRo3OW;ZDACJ)o{|wc?l7iXL-G+Rp3wdOScpC%~#Y27|UjGpa3p#3L5jn6c+Rn z7FEK1M?um;aRBgVtGn(9jHA3WUhj^FiUMjhrw>{=#=~)H?l{7<6|GTRGTqnin}<0X zzAmpFuYv0w-hU4|)uyZ54HSz+UBEf37zvbbwQjiwCH{n^7c-h)D zkESD4uw;~+X(xE(rxsb7P#dhgHBQ9Un!7t(@7_1=A-5~n_^RYlsCINwV!{h-tK zWl%PXPSAoW&!6B8bmdQl=OrJF>}a}?oM@I+$>oj3yqUwcJq&xBP?f1z^j&wzz=$0Z z6x3xyJ9D=A!$*&1yzy_aAVja>F5Yt2cr*Ut?0K4Xh(?=mo_sBJbm+?BUQDD<$kH=s2h%j@xyKTkT|BWIEG#q=0#c{cFt)F$56* zj2d2b9Z=8i`K-ms-U*R}I@kY?zr$oO0faI4vJ?E4*dCp)P8W^Al-C*+H0!*%& z>5aO6%NB%CwPm?0K^rB5&t*%V4$r~vOM5M~=h~SpogB##k>1gUar#;j%Hx~2vt(Lc z*o<>1tpamNo@+wD8-*~JoYFJXf>rfJFE3lWuhv!1WOBPyt=TH#!NqkmHi?%dHiwm?4+^}yY)rFqVDA|jTC8a zzz3607LEVwt#kX|_ZE6ju^0SlEAGtgOtE(xzwo5fSgL4C8vFw z)AONMsR5PsLBiSLF?Jq}SV8ImVYwN49V(#*)Z(g+;A`Wp1DpyCZlX4GuZzqp=`_w- zK9r%_q29u5p-tuJ5OfWo0|$4 zr1uRpqb!-5`i?3}-&_C|SUzAODF=7@9oo5?ol&SpZ`VJwsvhx;aA~6nQ>l z#(I>1T1CHj!Bis2&WZ~Vbpf~9ldX3`AfR0Hi)8cwxO|IM7ZBE)z1>U&EbIR z#OV{f4Q6j`r)5w1W>h}36m=sx)7sm%x?#D~=}-UHG}5yXVy8+SmOUQ0 z%P@$NRgH5zuo_u%AO5(fqt(i31c|G#;oy34uY&U%WX6E zyJvRXjf3W6?o167D6~*a8U+PDycB+}Y0<0234VbuT!~#Y42t6u9wVpvwLmt3gBC&& zf5gm9kCot@OLy@^dzr(^&%mBS^>B+PAs_*Kc4xdd<;vfe)%wD6?hrY_OhhNUJmv&@ zou0Ov28!)@>1uw3{RUjUOgBgs&+`K2Q+>KIHP0dX^F!#gvy|@kzlWaf4yQfcbN-VJ ztbrR6KxCy1_1H@(POTI{BA zj(E*#RKe8)qEZ_WJId?dfJ8sr6=(xJHNH=1;D2zDy;g8)%h|jRnhf$eeJ9b(abq%8 zX>};A4&DS#yktT8xGn93XcGb)kdiw1MW~@tiqn*OF3To)JeywfOq5X&leb&o;~{^) zoA+ylhcV)5(B=$^Q}1brG#vWGa8GPGAEiLeJb@uyru>xX_Ojs7|7pBSl(P}x^uk&>43yMxb^e2U6KL0(nE4m$qMxH+# z-d^;Sh)`Wbm`rcW{FG3MM-44W84EST>_C+(VqCo>h#vr8a(N8-qK(}2VhXPcE?OkY z>5{X<#HTcu0nsSiIfXB@e7AH|i8I?q6+RxelqtBHy2-fHYtb~gfL%#l$vz+UJ^dP? z$bfVTGwI`cSI+}@%$(%-+Q*_f(wf6qD!NHhra(H0k5M1W_+xi`7=+4Gm^153!F4DH z9;T4W>4q1=CxcY;(L!}=pD=%3LSP2?>ZmiAGH_=#B;cJ`dGk>FrS9mLy!$ zH5!VCL*-(iGH^2@N^XWd?GX4#^77Bz;TArjXSYl;^M2VC2W`T}UYF4Efik;td}f$P9jRb5GM(qa4=7SJ?T!Gh)R0eaxv~# z#J7)a%rgAXsIsQUGVQW4bythtfAwPiv4&!yABb&GRokL{vNqR~T)6m|=Y$(vMfwT0 z!1$u%1QE(C2da1>#La*Ky;Tk;)$(TUP=4R?j!u#|UZp5!7P&`sy;`MyFsIO)s6dV9 zNxX3@P4L>Y?UW>i+sU{AP7O3ss``8}`SC6Hr~$RBQT$N5APXbV8@3{BWy z)db=7H3;})@927B>rysqt`{+?b;YL45EhG)`$TC2K*k33XI$owKF~dFm~Yg1!=U%e zPKV40>?a}s1sBadYTWnow{m>Mwm!iX}bbVl!A@S06Nata4JB=x)lkRF5}eL{HZ!f zU@Q;Us~E(GNEzwZ7DV1*)E&Yej>V7l6a!#4S3dIB@eu__ZFDz5&TW7G9QBW!KC@;a zSQN#8ppf!Bgl6n}T#4+*vHPw6;IA@wSAqpaQmCmMIDM)~sgMDcg>bxmS9Y`O^jlITGSVTKccTZA_?8&Te%9$8oL#2Vsx@p{BC% z6}NyN>QZ|NrE_7z>V{Gi?8a50g#dJ$mc_XR9s@I4yt!ZWO)!31W+_PH7{&hJZD&4(KmDfEIP<*;{B}!K>!?Sk<%i4A$embqQB-AMN<%}5O!j~7{ zYE8eLu@=etJe7I)_05h*?f94@T;`PmMCT_1O4-ltQs^h_S+jq6^DCEQ4gx|L)xC}4LGsG zTUeR>-;Ejmv5SKVLV}>coutYFxomEWagir87X&e0HkuP=VeuR}vs3YIW+zFNE>KAW zk_u8mE(W1Tv|3}G2mC%{7bjqymcp43Y$=mMAtTJL5YO;Cgowp9I2gvsKIC7lK(qL7&*X}I(JM7Jf49K@Ch%rdhjb)5A%28FQ8yJXWKHZcz+YQc%vd!3mu z=S+zzFTT3$g~z`cHkp|y7xgWogqduOpB&>~mDI?q85{5SgU+TpvDwc9u`sYE%l8LP%=0R>c)bjgLfX&1gTb&vwT>`?rgrIHTtHZ z;^gB{FUJ^fE%sF)-d(oA#_O(fLT$2zP!+c?g4$~TL0J^gv9x0J8h)OH3A8$h>@&-yC*`D;==+YqC4ZJZXHN;q` zOZKNnfBo8RGaTi`(G3kcGC0QReI%5g`YPAG{QS==b8MIOS857F1o1Msby2;qc}VDt z63@vK+w9M5-3YAG-Mi|Kf^N6HW1W0WIj9?bF5HYEXj&XWSW7wwZrVoxD1j~!9W2E0Ywq24wt3Klh0lMofh#l5DHKUJ|&>qO)*&= z-)Eeu4cG>|Z8M~3U-Ma`T|%XN?D}yPE9rg8u{<(9X~c62P%=HhVFBY%Q zQIiA9kO^f81-y+R(n{nnxVcDQ2urM5*!L#>nRg_)-MVIv#{%4Y^#i5gjXsMSnS3MW z`4TS)B&FSUSjrL0kw<3Dh;8BE%q;<^`LRF%Io&w!*tsO9BTnHuyzv~uWi>Ujo&8$5 zyasc-?c&2o&M*tP-7OZvzsA<|e|D$KFZQjlPX(TAn**q;wfSI)16^#7qL$UdI&D+O zkY0%D3nd%il2~Hw3PQz2wmI&OH5Z|j*%a%?>v=(&j{R0aAeISH)WtcWy@GbQ;tJC}``+*!;D zqAe53Au*vZY*^XJR!GedL`*6;-Hgl_CXhlp(DdhSOZE5TY?^NqpRVO2@lc zO1N|G(Vz*i;kkJK1GDpSp$TX4vYD$HUIVwTL*IT21i`L)-p=ZIXEXlw?@QgicV_8* zb?{x2=gwy61=mex=YECmh!9s0D}k5mE{v6DAiuW-WPJnPfbln69<39Nopt?jKs1BG z42rH&r6>}Jsr7+vKTBj|I;on^qe-JxEjkcXIpBD3PT|5D^Udy)afJ9!rg90wFh&T) zV=~zWbz0>w5qCu!Br$JtMySbR&b=g*NK2B7z)#_sZRYyU&ttqS6lY26JhF+D5NEym zqaR))Ar9@XfY?i)=-)N<2NnLI97tZr45P*=sZc?G-HXd^B+Vq8TCnzODI_!d-dO>Y z5tFGBsPM2267;tLV(Ht;%9OWn>;s*|o=(ix+>KS<%>zd3!~rTE>em8Az=HyV+||}) zYu;jRB^V#ylsnm$M)xBlPX%9j>saQ^d03CXrgcH&17h&~-q!~O1#^@A>ODNmXbpGD zVcoO6(}yhK{c({tMY9WcUvckq$fj+_jXHAXwWd9hc1S}kNAjlvoHbOoRGsQ;=nW9i zn|CvP9X&m}w<@5qa3++bfZG=UUIW}*d$n$Zn7>P-AYguKrCcxf7j3hS4Mu* zvwZ)|K*-me512G>mcV~V@yQX-;ie6TR5$emd>hu{oU87ix`G5bU8}iIa81?vF1yW< zuy|W{FrSykN=Vz~+9jWt#=0%4wnewjYcd9oWxfepZvhGQtpz@dh8 z;I$ZjuF!CodH0MrQ@#n*TS%f{jL**b4}J6jPdl`+#9ieZ!|Ulm)lqsgQ>uo~E{u?m)V9Fn+`d$m zy5G?ij1N z1_BEmoU3k{PR{^{Gq&mIyPL|lL3dQQlFkD6gbREhLSh^&=L>$Y#E%4;2KIn}Sd7dK ze&Fpgq-jz!#!@RQ$C+gNH@Pj!QFEZB-?FJxn1Q8Y+qxPaFF}p9R1s0-{CDZ};XUdX z3VY-|#f>7NYgq#7Re`9o<$TfQPqBqImYshyTXE58*?(_%L7R7CD^bgtQ3{PlRShRwHYfHbKI1%d1r7ykN@&mus)O1A{;(1eb=QvO3QH|MEMPC|ubMbXu)0AND>x2!@Sg$s-c2dj81 z0P5~wpYoYv!}nlu=Je6hJ?GYkqinOMEgUJ*6o8*{{55s`3lBQ-jGD$?)91eZT=J7^?E%&53yh35G< z*Xa$zKjkx~v3Hk#3HT8<1#E6tOFvS`li!3$Ycn_0u5qG{_iXFT{4AN|`->N;1KK-& zRfq*Y9z8sHy`Y!8;f%;7GlZyo`xwV4o;OV@}b3%i3H$Oj={QbqlK`I>-EB6dT7k+*U+ zTAAZ`fZ*mgpv*5t6iSy$EwN2|(LBn((6iQb!(6I00YuZKI<({y>YmuTuK?=@M)V7z z*>6$rt7gxJh@~*>V(*v5{4P3jB}-*P1+s8rGwH=q=&1J6XZ?I_cwuoLKtR}tqCrYo z0iyP$uTFU+Kbybtw}YUsPE@&(_!v^~_fgJ62|+65Gq5@@WJ*D+n`Ke(r_;qte}BLb znl>PQB&gg~3$(PukHo_?Is>a@0A4a8HZ3)os_v?MlD|P{QiM+UFTfqT+g|l=e|F;V*|5y zQ`%2_ThN0y2kkVXhqYMhQ-e7|8rhjNlICKWl2R;$@*F;ZdqB-yXpJOKuI2R zvX%|c;#7Y5(~9hYv!*fQ)TzozS$G>`|J4)@yb+Y^ywuxI>euskm+f_~5%SUu9u;u? z7GG##4=@YqejXcE57~tWw0k!$mli#jlY#@vQT+_* zQc@DE6vf~Px;s4g^l(b>DTb9tbvFgAlLBS(9SH3OXFUz=quGnELDlFfTquul%gn73 zFl2Rc1jvypyxVOirXF%&S2P`guqZ^!I$m!}*IN86m=um17I9OJQcjyU%|F@f>vsn4 zCaH7Cx|Y&+j|%K#-!C>=@rblTdXH`wZS3F$SgSAZ`svlhR@_9WIT91=nckrxnoKup zzb?zbSfDzo)or!E&x@mX4y-s+9^4ixAiK*PXTG1;tQ&pi+TAk3?CsLl9nkHIoqDOe zxbu!V#C*Z$X4EawC=Zm@Bt_j}b-g z%@f$AI3>9%lsdd?s=AV4$M^lt0%W-`gnU&YfA=l9Fu($m7EV0PGXu#PdunO3AA@&a$)>KMj5ytJ`-DYk~C z+jZe*P=Hn&{Rgx~rLXLo31{ZMfXs1FHg1SLRKwB`9Gq}zSi+ZQ%?u>~BSV8-cKD5q zRrKc2W&&v(3Np7Pv;1-ZTVYxQY|IH>oYqf$`~II<@FQ)B))AnKKeiFj+*lMA@d=E4 ztcf1{)N|^i>z$eL*%))IOkk*?;Uo$&LN6l5QRZk(EFiQ1R|swH&!nsEi$hu^d`G(- zti{)A%3iHRhj$jg@uRMQ(}u&t}1 zPH+adn1DIy?-S3^sG1bqbsABRq6y=3a#g+aO5Yvw$h9bKqqw0j)^>P+W`nEImmlBnQe?ENal z8K@uMHGR_Ex-RqtcovYXW?AH39d~VbhhB=lRHi6EjJSx2o~P!(39(lE-`nB6&|mBp zp2?Vr`B#mube=XZefGH%Bl<707Vd8%Tx2n{G)pWwr^Y&E%c+@}E1EP%lq}8e+_r<) zKP}YIk3v*<`UG>8YcFwA1}%Zy1cKc6i+LED?eN+u)4`%Oks+hkdG*bRXkOa6xT=Ng zxSvITU=5Hy6&Z82q@{F1umFu&M3xI5XQs{7SnLqE-xbt(1izY!Q!F7xf4-$JmZnK zF34FGy_thgp4m&Lv`*m67rfOSw(u3tgN)Oo%_dsPkDIp9$FRiWjiNDGVBJbTS>r zf7=eCC$cY;+0?)Tuv;(>Ec`hwd1}rMN=2sSCT;XA&-ow6`qh1LXzZ;dXlA5MbHUQa zY5^?puomWT2&msjS&I8zqbf%orjjiLdk!`zySd~A5%>NAdp*gVR(QkDM(?4dxYL2w z7nD<#B+LCt7k;{liUoS56s@%<#-NcNf&-Bmw$Iv4<{%N(Jh#l6})kwt9B(V$a|G(V@VgkOK=dE zf_&oMU?MjwGUC4AXm8X`1qWd)-v_4$JjEW%1+efDOKuq+!9&7$86x~i{#|Rgf*lg+ zSRzWC#Cul*7mM9jn#e=t?>&;zL)_8YZ`Xwd(gB%x;+~igc&w~?0uGxQQ-QsVGSGHM z>S!ZPWMoJ*z$L^_VS}s_9#&DDBW2Cb2FdOvonQW}N zKRMS;lZK_es=TLK8Ks}8tSlxd0X9XxQrR>3v0w;ofc%8YXtehPc7>>wMgS(0k zyFyCJ6uHJO0mmbA-XeZGob(8Z??`=TYTfN#YSrRdVxIE>F5fL2ZGLjS(#vzqaTLrE z_hlL|&ht>uRb32pX#)P%-hXzg@QF=7d?j~hMk;kJNQ1ZYDOk56)HU8T$9 z%P14hl<+E@cy(ha@ogq>;6tDDg;TNu*ncdq3DBHts9>|>&fXNyy|Q#&ad1Eg#v)+^PR z56%Rhd@vfSr0TR?F`5g-o*adR4!wQndc1(T|H9wr?4?gbk^3BL21*e`{pV=fXy9&; zZ1FO}Y3I1elOb>0TNpLS=p?rH7t(2q+Y?U3blLEpv{BMp=jxa%S_AZ-a<(LYo+z|X z;DdkQSg*Rm>I9Y%UaO*q$A_df^f#NpA$G4n?BPOJp`3R?_&08D@is;T*EUb*1W3JL z=P8ztooxEUye|0e?EdF3KYiop4DaD@Ia1doFuNg)B+z=a5oZUigKZpkRa=(u?jpXfKiM`pwq`bM@2cdSu( zSpCACvpj;MLm95ch@n*RjfaTM^zl{D7VB9a=(U7D!h)%hL+v&D?B_==eM_IIu0S4} zt^UuVsc&s6p!zB*cdir+TW46#6bc-01p(C8&tLc_2y^?RHYSQe*-0mAKPXmSFyFu% zG+b30vH5rj`fj+uu^eO+;2KId79hqL7qks=82$AQF&f82a~xJ|HbYoh7bK$C5BZZSE>~;t z7MewmOgAN&+<`89qDGI{1g?J+{`e5KL;DI2&o+@6m$46r0C!y=*Tmv>oMo;Srn-At z5jZd?cD!9Z?Mm9x2gD=x7XXmUo$ztFd0C=W-0-ua^`pTwPuig?YP7g)xx!zkU5FT{ zH$C9~XR&$4sI7hn$TD^2gn0=4X7D;vQhhf*(jh-qE{Wi4HIu3c8pds`b#Z?-r!U{Z zh@F2co7}+dagQ$OemE@>+I`Rl-=cYKPa^ONd5}YE7CJ`f&QG)Q`J)j04CtDda%6_o zu#AW{Y`T^=7lzhOSA<;&`5eY8dG2ZqS67MRuxZm(K`Ut(qPOT&VsGV1vtmUH=x{kF!wo#n%Rt&5War)KN;$fXM2VV=nNW;Qhm zd>NEv^HnQhnY2bsvYGvPOm(gMm_^bf^Vz6l%mIgEMD|^0Tzn$hu4@%GpJll%v2gz7 zDF00vvSsk)jJ7xg!i%aSVv)jTUrBs-%!_qI_<8;>Hg{fzIpt{IDle2s6iJ)Jt}ozh zIMImz%x#mOtqbKlyC%w*e3f`SicnsS8?(B^J_m?2Icm*H*51Qtr>I91^aP}>#ApcBW;a2> zshWlt{FZuSn6MZeLmU&J!1vSnOiW>Mwg;@nZn_w)XI<#|=p8F19Qg7t?Wp!f&8W;AsZ^LGZ~ zI2S*34zTZ}cynD`@LGT2(i>-f_Uzw`%#Rd%2m2Ix6f_hq#B7m3=(|(n*e1F%H12k7 z0n9~He0xkT*0>}2giW1-bmbvhV;PAI*k=XT(r-k^{N|=CPIdZCmD0xZ9uAHkskc6{ zoa2#8Ny={hQOxKNNHuZbAUk+~`MORDhwcfxRz!=r5w+h?_R&dk^cYbXJvzer-2S%YHdFcU zyd4~ku%UlJ2^p$9T z_FV&TOUqGZXSppQ?I=$setYt1T=1Wa?kHgGR+OEQym5Y%0WRdvWSmQXmI3mu-qk$m z?X-_UmVNO~bDi2X<`TU3o?jD8y# zdJV-FH^w=qT~WK6pNo1BkoP3Mw6u>z7Ln+D$!k47c<|qt(QsGr`ReQw{sI$xhV-EL zg`33d@x#bVcSLSGHoMu0_)%`dDycaEsZLGU@Ypept6$TS_`&B#jAySB+sRHt^a)%xVCXdhA$2)P7$(t57Y4m*3PEZ(M|1k=2%xD1fCd>aY zVC9;#(e)M`!IdAjZGET9B{lO4LR}C8+X#_W6K0hic?5tpHTK#zX=cr#(;4u(#$*ml zI{|<7ZCR_8-p=>n)Q9_;gLixgq62xI&c6Vrg~s6*(?>(!=@ULtU-?*Xx}l6bHyL{O zqiZ|zg+csoJz?S>I^3<=W#^R4!Rwe@8!ON*>6wp!1UG8*?H>pJqy`jsw~0!ZEgMwf z5KQ21)kZV{`OOV)1}^H)Ohm``Y6QE;HZJL0PSIfouo0G zxle~|rJrw{1EY$_6YR9-stw%r05!af%a^<=yP(%Yuni5i3kV8tEYq>O z2lErwpK=gfg$JNthU?J-jFqBba6j1>8N~3T27Sl%P5aY0&ADiB^>3yNBrn1k0}~Gh z&ALduO{D2&%r+-}b-d|wjB`D4AIi#zKb3U1sVq;0I1K`!@i3u|=%y3i$grL4K zMXNVWfCiUhozPI2N@Aa)jGU=LSeg7i-@jULffOiLJkwXOIl}# zB9^9yyf}LGXu? zx?HN>b--(75Hznm!{x`l&H$s{zqQJ06LViXypaQYHq*eIm&NC~7@wBXvB8x)edOp}W_6${2 z^Rg{oB)`nq8fWi5J+y}&`-|w+j{aRPJCN3@8;+bjVPYn(gxtw}fzaL<2*LcOBUu?6 zlyh{VRt)SHg*X1WtCqCL4`a7O(@Ae%8^)t+?k&SwYWRM{%T2uxh@rEWL=Zoz@4j%C zT%6tEVp-d@^_h&#q(_ik!ewi!Uo=(i*$rzED5c&6-mLbg06(T};Z!g|J#wxtr3KM< z+wXCi0DhLH_sey(T9&I@(-}c*E5DC&B-Aang8&zFm02*e!<@M|y+inxsu65!scC`L z;JQpnz+hAL!>%zCv|$CG5maTr{hfG#a6j!|kk$w8D}(s8q!+%|)4#11wD&R}=i5T9 zvruWl9Hg2vo6yNDWkV&K5w85dvWt+mb`Gf+eWr9t+Gj6jC_Hb|f(RwAJqBRlbitP$ z+4r0!Mt&|C5I0=?nqt5#e(1cxae7RHZYHh_aVYPiag51v>^~~d$UThcvbHn!N=#}J zkTu50jLu+dzDR>Nm`kv8Hxw?<>if?Mbg*yiRR;8<>zD&?Imkqm7TJ&l=_@mYMutj6SZaFVJ}O13=@(EmDo%Y2ZBN6CenkJ{n41J8Z0 z?;q*85a1%SF;?2gx1XIG=*Udl$U9!~w00k@x1j`v$fK^*$t{DhFk{CaUpLGFqtrhz zTY1a)Gyt$KY?iWvdVDQN_dXPY&vrfA_Y#*L*CQ1R32IHLlL3^pZ$($-x>9Y|-P2f? zD_<4I;IuyZ6NQX@l!>!&=%v5OY7rX48!X#Qe8Qc2eb4ab2ltmHl}rd89LL0PMPowY zYPV@rmLfY4cVw^Ez;=hiqh7`>X%7&7K1V+^#Y#f{jb%cQF~2h~u~IMq z`JXr*mKGWx2dQgn0jdBA2nOO!J2h;mYMeu4D$ob;ipxOea`Fm+imJ-XDnV*g$kp4% z&Ev4A!r+}A7dYx`v*E=&(?bOkr zcW0!;iJ2X2I5_~;>h+I2aq8~UYa3~CY97-6O^bO9o{lQN`K;pFi>UiIn<{=T$1Li~ z90Ae)g3*sRHwnTaJ0Ps_PYmfWXr3JDljH_7Cc+;Cp8gKbN2{#$V10h}lW0^lpT7z7NE2?kt4 zsG5s)3Opx4sv0r2M$hqLCVIFYZ{Sb}gKdT^FfF`obXaGUnT$7+8(~*DOa4Ug{$hQGWh^fYtxUeT`TIaRX zkpf|d45b&2X#@>9a;0i0>|L2ofiuKKG#*)vvxb}CfsYylo*+q_dZ>W9GcB$>by8a9 zAP#5Di+`5C%#?ijk6Qu|Z4DDva^VAbyMdHaKvDCxd3-Ypy;H=`BP>786(%k~Ezk?X zsR1ikF;Pm3(sp+c65!5-0ac^ec60E8x!0g&Ic*ixZ61t>H^}uDzX|>H=*c;*vO#>i|+rMjM@H z&$8S`7?&mHmrX+kKTDU~R7Ig{LYgUxFdwb?Q^xn`*;?5?@)MQqmWs<2%6X{OxAuDx z1gl2AG7!#~+dx57OeCLYH35N@5W7Qi$%1X(5Pl+4<8fAqsy->er^ADK2?a!}s+5Po zAmJY!1lX!B7lboZa}gw%i$Qxzi1R!W+$Hlcp)+>bd>D)bm8G!&j|;NwQZT%{a6NOE zDleycMMSRStjhyf6tUN2zv&*tt5+EmO5kQvnW>T9vle^%+@4GeC3Oq z6W8kG^H5rr(LHl>nJysHwfzqc*x3-KBKr5D^%SG!mjn2pjN~_>7b>K(Jd%MHB@=Y6_#lq67(% zG6n+##L?{eo#*~>&;9$Jd+xpG{eF#iL%O;lfRnEc$PEMrK|mowZ1z{a{}ulq693Cw zAQ^PaZ$jJ0GRn5pvp3{|emERdGy(5G710m>K0eSSU*N+e{J#3ChKGj-A&nGz2L;iz z$etfO2|hC1P$-Ay4j<_1XH)#7ESRqhy~@&@}*?Zwt=qZG>>y%^><9Z!x4_LHt=q1tXNM!rWO^#*Vbmbm2{;n_JHB zSmh6S9;i53`OlB2xp?*g^`0m->~fVGH4u1K{tAhEP8-~R=2|Rfw9J7jn<&)N zXNd6&5cLu+uY`!<%sIU~^lG=nZltA{vi;wto})~*w|haizv+k6YWX= z0QM`0_Dt#^=N*_fGk0bu*Nt7rll@%+M8`j3-6XIl4OIwWC#?7ykO#3EmlSoqhP&!< zC2&uSe^|awT)RbhPO{SDZJb-d9$tg*V1L)?!Hc|yS?d^b+&bf$%Qfg`H%%mLV){DzhC1fVNcB1>av&Aph z!n>GT(qS`lKM0^7guiqN2f}{@SI{p^&*Ui?rvkA>vCC#D&R(CsTK{Z;`T?y-alJ*N;gWkTMg5rHEz)Wj)mCB3pNDtG!H#SC0wsVmt0$?) zA9aq`V~%EM$=w}sC8IRO1yYoqs7TRkgr1uAH^{6hNKeSR)8R-1mV`)%Q)f0O5_l@U zX)S%L1RmwNrp4PrR5g}%9srIsOG}%(XT_+9XL(+1o5sBL zBfKINJ`Hio@)B;kr`Wy9O&R2ldXH`7=~jAE`C6QE*lnTMXQwd%>VyZcjT5|kj#C|} zMc+CV512|kvvS;W-MI$FF`Te&=JKX+Zsw6epDlt6qJOuqg740&bWu{0m-ddFX9O;& zI7CDN@Kf32W0J!s3%7e}fq=%SG}aLNq=mv8woK7%zCEIf9LrS&CEZY~xi67p?>j$A z=@Tzs)UdCa6(9Vlpqu5S&oM>WP!i(u7BIG)u>Xka4_U)ybw55KGK_{Qh&$%!@cTV6 zhiQ9fB{wpT3xgV(z%SUsuoR4VXG?*|^flnH!9gB-&)7hh_tWnjhh&dtYl^4qO@vUd zncMj0{v76@5HwdXg(nzvan+xQ(4TFIkxX>mM@jv!RHT>=5`D-Lhu$vfAT_wl0Vn$?UbU>}GtbO&0Ea(| zEJ`mP{^?bEkq5p(l2bib@%UxQV!vaQTtT#?0wl~}1@7Q*kt$GOtMig214wmCDDudz zBc98`e2_)zPA(FmO=VbITnf0;DcorD>qIJffmdY|&`MF66+L2Q^ns4D#^K)c`;^U7z$kO{z0N4Ub(PHv zr^9*aUWHi|qh{%eTkuq@v|!vm=lwNH(hZC0m zW$)O$mqC7)_V3o#)WyK5cFg#bj1MDiUhylU<7s6lJ$O~0c=hl6*`osZ^$ZH~m3?T4 zMI6OT_~vk8xp=_sf==zBfU8v9i9@J?Bxh|Two}*TN}9chP&BsDK$S8trPr}DA{wgG zUfyFbmWmZ=$SZl(orZTf;%D?K*}G`BcuVILtJVI%)FZvj6o^cmCmvyR|fAf4$@zWvT%+Jj*-Jm4h@94toDKe;^)4Mb^f=% zG;wemd?~^9;~RnZAGB!~L`#TS79oo=5txcaoAq705Xm>O8vrj-&xMOB$$4Q$+`vBC z--);D>ZbN-l=#ZsE#$V?Hvj3zoJ_Z!J~`U48#6Yi`#y^_7$%*hyw55caS=OZ96W>{ zy8TZv9zP!`ez+kIo$qz?{WdA`RaQ462Xq z>!*cxxl9H0)Ylbx3j;a7*vABU^ZfeF*|o5sFh%)Lb|9@-6yWmuLohmD^m8Zm*W;o$ zQLO;n1Ter7y@mAnuNBxh*w&3Pl;%7$c%CFVp-!FagDbT1Qh{H`%(v5jQE?m}{3@I& zzec*nOji={jDW``O!y^%oeK?1QMC@sppV_N0!3PPHb!;16%j|48b?{|dI zzELNMlZvrEHuWp8L+@n6%DFhUXHj(^Tj<|MZzuZmT;z&wzmIv9ueCg;A zKnba4kHsli3#myGs;r|Qepl1_?)6Qalf#Xm`F=~zqNdVTBL5rLb%o$ZGuL@xBFMRy z`#H=+Y{W@EgPu<_S8|S2ClKsN*%xOwXjazWIn^|jcBLfWEmm6W{y=R}-s2pXbF3U> zI#zo6FSE#Fs_vWJ0ao+Ro<=2D|-oQ0p* z-t$;<&{8X)yQa;uxE>9WYHCF;_}gk!jZgS7KN5`k&&?~;E*8+-nD2N(b_V1lS0B=O zq<`br-0j{xbg(lVw&dr0DSS1`l;HZ_`GR$UJ;Gk{;MO*iY;GiM)j@j|iTcO})Vo)X z8_mw|f!DH}uJ>y54n6S(>vcsI+`f|xi^>R>B%78{oxVFauZzbd71523jV^jRq1{fL zIMcKBPgcNsG*yW*6u%Rwy+xuM_->MNXg|87Wi}YEt$Q*g_fE@B?Xs+vLamtxR~5M4cGUvlrCP`bYM(gmgpQUSjQ-N|dUCVzOUx557UR2B@fT3W zmQ5viS(j>$V}Uh$V2eTH7%3n@N>qMay)uG145?T?j|;Q z{W{*s>C};F-ZAuc>w;u69omt@huv^NKxHO8j^SLOSIiX^zMOV9rhZwy@X?*T$FM3J#&Yn>%VXQX~Nfw>@v{h5iqg=$zNBMB#u1-FPRtl zRvRA`nZvdoVks;sz~FGnmA#ZR|Mf-6GBL^F{(;}QLP2E4juvz z2|cXXjEUiUBlQUUy5cdrQksn4;3ZG=@~X}yjWwL&>wTkMrrPGKj`F)Oqz1j*tq1zJ z*y2-%6Wpj4QaBwov1V6NGB!R@?`Tp`bA~Q>Ec|AsKHF3UsN#|v-Gic%sW%P(Jjk5s z$~FGeY~myQ?PB_##%1x>@SX310^71?kwwA|kAelrFiD9UrViiozC|3$7MPZX42qtE zwC1vOU-Bl8vOsO|a;B|%f5y#@Sxxo0GLDf&K`rM%3dlc2uj$8gN;mGYfGGYJgQdhU zA-m@sXP`kP0F40Jp8ngn4I*~Cq|S}_L%2H=2a_#J1`ApEEdWUhnZBOnANP7&Ie`64 z!qK&T_!xcjrAu^5^~ismF=#evEr{94)M%TaDvW>^msFie*5G5jcciqolvN1xZGUBQ z&i#7jWBQ#Pe>tB;FAV&4(Tdg9D5ouU$GBJ}`0*srT`*iGfVxu<=dOR!ddQxPN55A|x$jOIT z!L^vk(;`?i9N#x~VC~9=ZEqNLC24ZIF|R5w+HA`e=e7(yeTFFJBG1m zQ2(C8e~15fTLx`v4+)!Hp;$(uH&>MH}uWd1&@fR`O$M&nm*&e>JVd_VNw_bJ%Ywft4FMy}3TmMjnyu{lZha^JaM1`4a5K)oG%YOzy8h z8@Pu|sZ->NIFBPsC}$uQ-GO1LarW)unYuTH? zAThGGo`6O?-41Cug$D9E*+~#>fHjvl=O!0J;5~J-MG-0NrbsEXpRdM5ydU}F#6B@h z+D?1CVl(LHpsE7fOBpwS1#q_u!EwyrwJZ(h%?4=C1CHoRuE(=4>*A~!yShEEgmo)q zLUfoOHSEr2t`@8wr&|1j#@kTMpOIrN?H;XRbZ}D%w$Cdl@8`wDV^mvUUv+Y&e5_$b zIZERTdttOoXDVZHy@?H{ObDgn53)WTD;XfYNv$9Y2D2Pg?Kg)k;{tt;^B8NQ?>K8E zgZr=(UaXtYuL3W;ZsvB++tggwICEWLbZsx=_jSLHo$%|=Cc$)rV{*^AuwAAPSTs6zk9^wSwBxUfaq)sGI9{bmls>NX2AgUnWj!)X!l0G=vN%=_Y8hyQIwlT)yb1DtNkWqc>~g{_%gltE<{39= z&tNY|#@TP58nr!=fYtazjuXiCXS$Y-Zi1HxkfruXNKV>sP@>g4{Jn$|Z(%nQjNe`- z8n#~}>9-NqqzgW{7Eg1~ufPzP)ul!FT0JcB?rLd*9RS;Y5O0v^==7+vR_Q?o%N4$q z0{QTGupRDL9=~duXogs=B$~ilE0)Zxd0M9J79jvPV#xr}HqkF@DA<;K%lYo5+ktg$ z)(V};2)GbhYZh`E)}~aeNTRM5Bv`|;DaLFn>0%pRK7<7Q#5u7Y3z2~&N{SY(#TzHK z~ zj~Tp_Z*ZXj)Y(?$hcRp;S|1_Xp4Q5#XY{|4xBTI$jEk?9!5B#?phP)PCc^b7!#wM= zJnNW_tZc*UxF6HF4G)>@7d)-Xo$AODB|rvRP%M|xA1iM;-yzM764A8o;C+ZgtyvY2 zaPwVjD8ro2a-OrD^*jrm>TtnpSwN<;$z@8f5L5NbMY#+GvTCKP4u*9V1VWXmDLE+P z*!S=ID6n}6v#dXpK1gv##~M=yytfw0`5wm6Yz;|RgvfDJh4010`JPXIEMYlHSV zIB=jC5G3|C2k0QYf-$YMv2n7sMr3t}qu&uDBCcAPcwk-(?!b=1lj_WkM%hUS(hsAK z#JLN?rd3fh3}x;tM*-a{d6ePdKrfz z4pEq-ix+EiaKobhZqU4R#pL!RE3;ul6Fjzct_ zWdxHI!ZPIuB)%sn(5q@Ru=}-tC5pwoS}W7c`&w%PNenLrrF!wl84P6;-b`i(6Hg1u5lI`A`{N|9;o2^lhdAwKhc1OiBHoh0XK^l`8 z(&z=R6V}B9^nU&uu(ZzTn%IpQHFry24BYGbaaN4+xFzYVqwx%WFEqKHJx|ve@R44f zW*;V6s}0|4z1E3IiF?#y0DR7hO7+Mz3_ zbwq-wtf0_`z~^!$i(rHP<{>Zm_^j5g{bm_3f%`enqNVw~ljYp31lOBwpLV8>!e5>} z==A@dHNP`1ltKiuTLn)0!icu<3b9sQ@zjvBeK3hoefra?+>A5vNQ|d>%1iY;TdC+` zw+>yz28b3vlA=D`WK)au$y9RC-J*vq_F;p?CmHDkaD)F2!u@bb5M+|uC{E;&LVz|< zHuF9^Hk<%>phgzCQmhERYAMH?rV$5lb7T%`STwpqA>2xdq*-%pbSKJebC1NOL$^tQ zu>;gC*oi2(S~T3UL3y?@L;4N*7XH-{9H=$ox7*i1T4+O4CI0Du0)syAhwm@c$#DH0 z5zCw=(=y`SX@l+oIDgcBwRy^Ut~zh@)G)efV7LV0O)UX0Nyg7i@)iY7Je}IfmWxQF zN_tM9o}@5Bf_yy1TO^&#$xpK7?g>48!hy&^59?Xq*6Evehnv;KB}8Bbumu@_FgwQ) z6y4tIE*3+DNp!@^@t=&&SmO|g$N-hh3#uZA1kxWz$yU5gq`@q>*jD>m-m-oh8R_~r zQXj~EunIYWdcbbbfp^eG!6T<}uKpWEGseyv4g>q9GMQy9eJDpow9Pa-_`Wb zDSR%mbNPWZd5EuLZ1F1eaLAV+R2coqZAO^StPs}ruA8f1LWRBgWI;)~#kv^wNm(ijf+;Q2Mo4kbG=|2 zs0=wuzOX`3W&rP+(GZpYBKz%`d0?F_S;Mu4{o9zr?J*<9j{dMv9{G>qj7 zz>Qf3%r1`E5S~jG-pqy$9eRn~46Z&ZL;L{`8sa(!c{H?^Z)jy6^wJCSXiM64YoRB$dcE=AEU_pqe>OB^DdaEHWh~piOJyYm>OSndF%yE+hw5oRfLr zvXlriO+Mc|J-_Z*CbYHYb_wpsmH-~NtXu7$4m&zkBDSetWVKTVsp{4ZU`vF)SUS{U zne1FiWB_tb#qB$=e4bxqx=&* zpPa7PN=tLzb6)4I>AYcheIcDM;vuy~h9+jpU7DW52BvzxarMGnFaI^2M-$i1DY{Gt zSY0;XV}6mp@isw}oLSOCx8%@dm07RBSvhpH^Gzf0HrA_&XIqR*!m<_HZNb1i3Ao|n z9CnMXw)^)95iYtlkTnUgg&1^9n6`FLHP}ilE?n8GM4aYwL2iTekU17Ux;!J&6+}2D zIx*oao^X;a^fL4+!cX48G)hdgO8KQ^hRjVJr7KU03@PBUO@kV$(f?X1R$7dSqn}!3 zI${DEC6Az$6_N+ynQ~C?q+8P(&z{I8h_vjMvGPSCUTe!l~%4zPnor#-5MRE1GYmF zFD$){fr?&+p}?H_n2(0XR%|V%viLPv&fy{P>h3^HZMa6K88W6JHU*@8+}Su`=%5<+ zN<35_HI%@7*ZKY~+wJ$(ckt&T;M|_TfLS(7VJ%DH(O=S3(h0$$!1_vM5( zFhup%pg?h$@yt~6c%(pxj#gh6vmY)x_FsSiQisl?gIoW^_qub_cyLWhZfy;gpp3sO zDO!5SP4ehWK_XFjw3=kH1ar>Crq9;u{G<#j8SK1Hy|c!lMTSdYMfAEjeXRkcq6zb6 zN2XtS6M^}M$1j&zY9O%TFRAGI^Dia6w5Y)4{ww|yG_E$a;8&J~|8#ikIA7>iuI+ok z#VCTq+*iqUplC`opZHnjxM7CeGV!H3nSXG51iY@BHB|TMxMKT?mCkfQr^Z>LueS2- zuhv&-LB+cB!!fKz@yeg=0BeO9kYPHUqKHsGI7b9iXXB(3=??A?*61Zf(YIO9Iv{0vj>%K0Bp z4`tm;8l_6-zi{KU%-}q5tPjVtAZ|J75bucj{HZUnYG0_`SNz=lV*m64YdSNd0Jso*HEfcCm|^vT zW{v~kmhs(wElq=N%4ZG-Vc#(Ijl#fMM21~qL}}+gxMp)=ZEoF!Sn(~hi6Z)SQc(xc4`;%Hob$tM!W2c)QZK!E8!h(B-4aiVugU!PEw`Kl<7*mDGJI$SH^d?+DB!~Ei@)%Yfj(SoUnvi^6WX*AuiVwLk6qLHsP z=u?Hc>Y(dk=`Q|1%V)LLY22Y_`M0##iOZp1t@D3=AEB9seHQt3X>%W`suM8wt~gZa zzSRI&B}5r;f1w!YbXJEH78JDov{LA-s@sO^kIggafC7S@_$9_LVw+l*4|ysaCgZ+@ znIe@4($gq^+APE5J;wjk=3{gqnC9b1K}*5s{d}PwgBmHt0!(I)8TuA0{8UxTJp6Myt_R2oFgoM_Ey^ib)m-%wo+LUc6o>z% z;z1RZkwwuVQ#C#xyxqkwq0a_-u*Dg8n{oLR#<9>uqL+wlCw};X3^oY=MQPde`;CDq zoNICQSD)uQwfK8q49~0&;N!XU2VP=E(1!2vvHkX^F?dk{u8(K+{$=xypv68P6MY-V zYX*#b78P~I^Ws19k38I5ILY`y7fq*PmyEVCBlkWUhOkByhPZyUj~rY~JW4$9N*Ya6 zO#+7rFZ;nRzhM(76DQ1Iprq1cFVJk;#J0Pk)Q)rnw+@ORpX1d1T)~EO7{7Bm_IC?B zzvD;7Njj?41XJaBW~FWJObFzVdB4pn11La&0&s2e8EO)?EoZ_Y(jx5YBvxJ0WD>ly z#|(`yp-V!QXYqy{R>PS|e6^9M53Q^;W{|Gb9DaoSf3}=aS^SmS+}5FiGziGyK_Sn1 zkLJG?lHdnI++@sy_yB2<>VtVJ7%1m~Q50lY)L=qbg)IIcO&Vti0u@Z&H-Z4ydTn`^ zu2qTfs(QS?42AN3f?_yg@{l#$7GEP85ugtsc7ad>?1M>n!k&a$w-f5cPo*0gEku`utT-c6Hk^%i|xm#N# zL73B-4Xy#10l(Pnq#ZaEB!A@Uq=I0965xHvMnvJ46_2l1N9sS=(F!2TiiR}_e#!;1L8*>s^1)>I+I zELvykE#+Db^m|7ugqL>ie8PjXHbfM8ults=e;r~?-XU^@1S)i+u`#trik|EGNK zi#l%AAtqFgtz%?psBuhNd-a&6D(DcvIeVTug=>^ZQ0s|7#;%5ipU4kIC{8)Ya?N03 zN#!LBC;%MbY((vw|=u#|TiT;Jp!GgNw8ypp|X8ckAyI zv}U3@`gBqIv5AJ0pJdntvqgRF8K8WM(;BdPP>cKfa=VbkZY_jp4AIQ9DdseB3PLgm z-psc8Td7K~u6CJj(AHDzC_kDGQe-;=gQn1UpQ381$HV_v??B)-cWxZc?9V)3Mk<7D zXh2dTr^3uE+qpl1nSg|ufTN8V$Wf6i0mmPVnZ5<%h%=38`=0TWeZ*Z>3eRCMUXb?W zg5R~iz~je}mE12Y3paYFrQu|m*xhf`o`Z5U9H*$PHg%`0MLoTpj8q%csO-hme`0-Uw>dJI8uY_7A{FGfmcUOyTRxKtFcK*j3 z`8k3%gIj{g&0z4~jaNQI6U$n{x7ibKWrf@cOt*r;n3Pt|H1TM&-B=N6U+Tib5eudzvu_|PP8A7VRV4G4*3vL{m3*F6 zV|mXoG@5(O$BQ0oyoDJj_5W`aQK~pX*7-+1<2?LfP*KD&kedS3u41DQ-bOg!K;}a< zqj*1YpoK)X@{_#B?aAsf5YR1Xt`C7W2S=jpJpps2 zx5cPXNM|!@*KZiw%GLq@&*POHG#28zj1lc3@(D%L$kOXSkD6tgw|{W?(HWWz%#|!@ z_e`as+1jc4tQ#ogwMOsnJVZXRj-CF~by1I{1)p>@=AlnQ`Xx8jK-K(0Ypyk=sL+2N(bYD#336tbJKxk9QFCSM`-9=(Zt z1-L;HYG;cWM1w(+oR9yJG*Pa~?`q{n{y5w&CL($yYF{y}-t6yrF89IML26SB77|*d zp1r4xiTnC%jTe`ta0cuv`eQZt5?>wc$g*xYaj5fP_=>K;i|p)axm;jkyEs#tQMY!r z_-534@HVkV&%CMNfY}mf^UBu|d&D)5dw9cpnv1R;Ei|>NSe6WJqbv$=&iZoVnp&4a zO4%hhGDbdWW23`8WTc-+45O(&o6+8=l8n1$2iGx{>Ob~Hi?Xj3OK59g78p;C;>NSC z8h{{4$=j>KqRxA~{D4;z)ycb;hCEL`+Xc3)^C#3pX2SEO^|9LQ@lTPNJQ9fx%qosM zngY<#T4z3oJB_MbVhkRRI}-P$@4E)u7>w6xr;t)sU2g%HTyTu!H~UdArY{i*roB1y zj6JE8-|z#gaebQ~LcF6hfXnLGff}sptY*5MSLX50MyCA(j$}(O41b}e(6~-;L)gQs zIPP9#8J)vpg-iVY>dIMB6pQFhL!`KTT`7(@SNu`8=*GJhvSkhN(_h%9JITSa=8StQ z#l_7xqmh8(_-f2B_nND)(EW<3V7Hs@N5`-64UVwW^0Ut_UnvrW$SyA*$;FLXXOF%x z>DhD%dj41rFdqp#t>^RX>C%w_XnknwnYjUUe4m*hXKw4o!M#81v(+$(y=#DBbyT;=2gQKjRNZ5zZXT zbyu2a++-^PY6veraiJL>K9XB1f97}v-zSQ1nIGbRYAk}oG#Ga8bpxk$a+a*Mv_IO@ z5I2~yyPRFTMXwX&E%=W^DK@fKsaW4fk9}LAI+Y_-$wm;t$^TYk2)~P4Q6oBpzig7L zSO#E$5XF*){m2Xy=a6*Hlbi%-CK6N44m|fm;_1fn)0|iF9WlVT+Uf=H-Dw;>#JfZwzh$E1dFfQH_7ZdtP7E9m=k2+D{>lue(8@mR|@a`nvU zs34v8Gh90tvcz7XpRWKZ!VYguVIprP&XqttCzgr@PL|1vcwnh^(d;Gp&?`D9NmBtz zuVICl_#kE}npa6+V{P-qg9dR)+I|&divqPRguFy#znBCJtDgIi(BQ~DVm}~Q+oVGK zEBaM0(@edc)XqQ|az5RtVc1=jLzsbuoy{-WeyYMovl+Qn>nHx$+^SE~3%-_vqB2#) zLj<*Jyalt-Y&)ml^3u_I!gofr?@GUifPz0PM9YeqJ)V1`acZ8kBCTQu3uZBgma>TY zT<@g7jLe5Dv~j1|gf+2h6?je*7_$fqR*y04xZCr|2k?`*^M&%)=REn3-yY+?L!!Di z#+lowrWU_DgA;dNe^kvN$UiJbW!tjc*yYMTWrs%HoIjY)tSICMS;8-sg8C>OHJvM= zO~}BNS<%tpsd1HlDh=&?q?Vk-;EbN=JfFh6<05RQst_5;Q&aQ>Sekfu!wQtz9_tGN zpzz1I%_*s?-TAjJ%1F=O^>2we^TE;J3QG8VP|k6>GYh+lHM7RhO;w+0uS)&=Roth* zTolHr<&T?ox?TDoa$&f`skmgR?kQ(~T&_=>n;)_I1QEYPR|0F+K#4LeO^Q?qzCJ?LJBQk#Z)0*evz9HSfT}BSu zc5-T`tKag}gI)+mp5dHiXG#>yn^NjJISaN<15om392toCvIEfR2J__i&!7XsC?_!3 z3^MS-_%15%3ogoWGn3=ndHCb}ddLZZCOLUz9MgvRuCS}Yd~rPz!RezJf#yfiGu+fx zn1Uk3Nh^#vaqD}%&;t>LijWig%r{JBPA!AQFr8Ve-Mf!ybLBlPZuA;&{luDeKTAwd6r z+nI8tzofWv{-dTr&c>z+E#~DYpSpX4;A5cUH5EV_2HubL6sSr zy{%3(=;Aq%;};qe>D3-kw#b6~XG`x-%cf#umDWUtqCMwD`>Q6hbT#N!vh${BM|r?) zYzNr<%4=b9Fl(tRhP_mG66F6#^}=@3yz~8GM@}=vu{>nyZ@#xS&t!8rJQ1}PY%c@u z`Y!h*Zt%@|lMp2^_*{MHnMyFQ;MShV6=LbH&J#iJ(eoKzP#yNsx|rps<=M|C$F*mb z>;F6_2BzR>v5g`6waazwxrIw9^cP%7Ci6lyl=J-YFW|V{xByz&B|h7WQmM!|T@LG> znF3DAxIg5?i7?XXm}V6sju8-&KVdd@sZ2>QxhNx|4jh!wqM|d>+4|g2o%6 zme_@FJyrqOTn0CJlE(LY&a4liH#OeElhGPi)a!XEDmxWP+2pvjEZFzLT@USD7Sv66 z_-elwX1k#?AaL8Zj0UX#?8VJie_2gKHjmx67@ptfuSQ*e27i7m-A7n=(|&A~VlHyM z!t!#9U-JhcYzIOTV}g?EMd5IVsOF5g>6&wj60cHcBW76O-1E`I(ac}K53e!;t( z*z0qY4tY~Cozn2}*kc$XaW$7#7_& z1#!%lu^ByaKr<}#tRVJuY)Ta5P0PSTYJ_c z4Ayorx{@yZmrEoGHk7O4+z1Jd@qKMZdvb#*-mA?7Xh4 zHc3FVLL>bhXt=o93Kv~u(ZNytEq1B$5JOkYmXKx`?iRU*;SSS* zs1-CkNjblx!6T+aI=(C|4SCnOrFAX_4yUgv}{{U-0(bKJn|`EBS|)b zC(j5S*PoD6yY1*=F`PGNjNEJa+wA_XWnaoS@ER( z!@??Mhwkv8o_<^RX8wTe&LSm9U!u?(oL6*nPXJnCsT=dbBjbK&+wPoKn=i!cnIOhe z?=shOgFviX_@xl~wb}XgUgT$;!V)h5YQ#vSqD}yQe)R~!wa1??tjz*u*A(-!A29gj z!5)s1`Z{mvO&*drwk<{L6FEI2p`WV0YnXpwPW$}SfxzWeCXRfi1oFp={Or+)U$H^q zzF8_S+HK;b^C3OdYwxDqSly0xLe_Z}8Rj5lC8G9!5EbYvQUL)Kj8iD)5tY=)h+8+x zm#A5eF1~z7RHlK2JOOT}{g6&Y_6{EnBCl{?uif)u8FQuh)E2?46`soBfP8hwlwt^8BnGgZd-}Z%kRU>(22nds>`Vu2AWU)>RZwNffYQ18tI>xcTHZJ)<3>JeqW` zQ<37|0ou7ul)#x>vxPO8=#k(y+gl^ekZa5?&#S-Cx4PPj!%lsIeYHGM({^eH&+@@k z-O+q?{!~eGz{G32tE+jDzgcpg-Q@{Sp_$SxN6iR(NS2rwM-RzU`#mUX`O76PZuYw~ z6N-PxYftWr0W!EI+_iUg#RBA&%DTC4P|=k%m2Se|JAt-2m2(8lr48k9u-h-P+ON#? z>g#5R&OAzWsohHHFRCi1;Skt&cxr4;;czZ}oyt?hndjX8T_}A43q7Xy+j7Bu0xbl6 zm-1E7gwu64nG7;<{-4WW)Je?VLRs!0IE(If_s!#g3xQ`)&J!UM`+ivf1|CdM-XB;# z8}~)1&a6N$V!SHw=E*$>f(_T>_4O#=^mKJWl3qFcT4`3=u+df`Yaen3602rcofi(j zT-Z7y{_IXXfIQ}7W&JvWqNYtCiO+a@JgrB|#p{Sq>}pfX-L>GYCmxOR)SnI zC7W;Y@vMSBAbWRUXW6KG(~I{eHAf)Gxo-fAM+ra07UJkqz59=wt{?aQgVq)d`K*x+ zN94uoAyCLh5+~Z~%l720ASuWH3g(7dc&Q-)#)at3qPrbah-dF8ox+`-J;^9+X^M|ZfLj;nN#H2YY0^=CG<0f zSjSWe_m+^^x9lywGgweSVg&o)Qv_V(mA2AcXX|zYSSD5m`+j@n+Ilf8Lt`$jMgHqL zNfu#-3{Sox@EiXAZSvn`Xu;XsFr#T38N8k06AgsAPwzVY8H6l(h1L$FKtw5R=VvKA{_apXtKc%C4}+OXE&?O zstn(2_K}HH#}Is4q|%zN((EorR{1?aMz30GweYh0IGrJDODOi4fR1&ynwXeqh`p^SadsO#;ztBdLUcP2wqn%1_x-3Y!I>M#q&--aeamr^^!x4t%tq-Z0Ahb)6opUH3Rtd%>E5^qp8#V`$aBeZf}XJAq3 zPUBoLji>Sqg54&Wwc%cy4UbJkl6Zo1MrtHmZ^d+`5d9jx?DhAED!)AV?yMLl zanqBvJ(2*1&RLXb@_343>Y3rY9_lTP$pd8S*`*DI_m(O zaRHro7Lx5q$1r7r)P?)v9?*)5j@Ch$SoZM8dceN}G7bPZ?Vr$c(q}7+% z;K0Fkp&b7e(@ofuMg;KUn;+2N-dnERx z^g-Za8_YXQ6MA;eL3KiknsV>)QA?tqo{t4%&*Ws@gj-m{K>$` zD=~xR)E~_56G95R|FzD3W~i{(tV{&D50uLnYgaL2jG~ z>K&k-eZ1=E6-Q};vn$CKc?m4M3q6K8H~xDsi-AMSWF+sbZqwG^U=$^;z^rDW zLGwk6ORnFJ9aqd7Yuc(+SyE@W&I&#w2%iSJQ%uWebn0`&7WA}9>S^w-ZxldQLjlV+ zXBzUYlQ{;GQkL;%&vLlx`%?PMIx>PiRc0l|nJbh0vzM^#>X4hWIy#0iRZdZnbQio- z(0q>S761K+B?3Oy6wdxT~o`MjkK7_y?QqGgH7PP za=642RRlY{^ewPH{+ruXA4Y{Dql~@>UJr`ww~dGbPlUW#~A8&CtQH^94fnI?SK69R<63t+jSH&eI*C3m5^-_ zPai#3YG+r^&$w7OPXDP=1pyt%Ug$1ni`ehp$gCZ*e) zVEx0F68xu5D~l!@s>X%fkib0jvG8I&gCq+XQXjb=G5=_M65|YEg+F`r6yw9xm)nM$ zz1$_)z|m>W6HSN;S);BbZy}Z_1qU3Xl=XcVYTveeRv?oNYtoQEuMx&~G9pKUWf&YI zV|XU!?55$#IC~#uo$fd##5)|Cp%rTe(w_pm#>|og0qhJiIL^5nq^f`Y13e$-pCeRZ951kR$>CO!z;LQ3; zX@9uK?FVA3utY@t-AthVX*NQ$^GeyQvV55Zt`^bhrV=Y=D19n)R^|*Ga{aaaHGA08 zf)pj@%nzY@^RN6ZNDd4g4iEeQKbWi$#B#|sZ<)hy&8`a+i6Y`C$hc$+QRa&k^ExY& zoC4i5`E$^rUHy^RH63;}B z7bKiS#1{y?n@JdSK*skfN*vMuTMw$-LFmub(M9W0ez7;a#ihB($&lN!YEo3^=7(^7 zpUR!%?QF@zEtw>lOvYBSTfu85DUIw2lPCDMm4LjMT|HUi#pKmEFpt|;z*pq~>4}~Z zHbS5KrGRZVw`w7Ivqv|)+-1$ybzYr$6^r62Yf>Igjl7p+f^LaS2U{@jUE1s`5RTTK zHnp?}&M$ClbWM;dr00?5;VIUbeb1|$ux(XqapvN-Ry|I8VyYc=n2s?u|9l!QKl!9U zqN_~A>sU&~yK^m|j??i-4VG}2oN1~cQ_rV;f7LpfReR67V_df1Csoq<1S#uf^??vCuIZUA)bjYbrdWl|SJsEn{Ee>bwQR=i z-Kwz-)cg<~}!ngIbihp^+}tXdNg9jRQ{cPd@?$29Y)eeX0u z&a&+YN~YXE)<`EnMd2ami3iLtOijPAi|RJ48sFmfx63DA?Kez3Tc|l>iBO9@gfA~1 z-9OtPbD9vhB}D>7ow|-V)(a@taY2i)yl%K4fodU@p(z-I7f{daHoft;mb%TLIAQd@ zh)R1~9yJ7y?Sq2H#`f$vPkLu>T~%MBNTh!iTNZu9Q!c?AJEv3?`vp9n_tByqZkLWL z>v}vgX)E?%IHqv8Fl?`AdRzmi8PDovjM^{>Dj0{W$ z;4I38BD-;Q)@Z?PF98@%i+2QTsXi&y+$K8>C{8u`|3;RDMUB}4OAhrlV!WjWE9HmQ5{ z*$pUO3x!y8iviPRWSOg$<8;chE@GKKb7J>GY`S3_AUww&mv|8S*U&ZgPrGg0*=wq& zOhC2edh01P|EM-)u0a)kb}oJXp2E%rWb)y1x~stwHQs7G2dGzi zalT8`B_v{$cAv&5ZSIJ+tz$>PD^ZJR%qU-5^d0l`Pvwl3C{HeA^f5B6f;i>D zs&;-An*PIE`M@^TkXW%Z<0Ac^7cX~( zu{w!KH<-ChCj0hWR(<*$JY3^YGBaJ1XO`zT0Lo}qXv zo|WlVc5H1;@L82ePvX>vr}tm?$6u^0BbX*bq`jo0)XbyP3dshHVvN0s?8)!VBkk-r24khvoYQ{fLCuB%tAdu-;9(hQH$0Qb870Yy$(H z^`=hs1#!;$wT6*xglQk>W5nGfLB;1!<9E*ehk2s$`&X5a*9CrdPVm_0c7)wLy^1># z;x)rcV zK4VCNHOsQ>r(TDH(32`Qi3l#ng5qW#WaelzP<>px9-;xe&U>BX0W$V15AqZx`QjZ@ zPohrp8THEp57DlyhmH7a>gR>tqX*yZK6_YDu=2TIrI&L7tLk{r@O0PaU|Dc)OsHMn z@O)`CM|p*Ld!unqr^>*{q;rKeED>ahbD)-KBJ-H%)aSaOt7VJKSp$z(lk~P-Uey-2 zfVeEVy)V2F;O4GLTx6G7&q-R&YfLySB7$rPeK>Q61XcUEAHRFU&^k6>->KP5Eo-@8 zw^Z!%VWt6TESGyVBkge0!{g*~&!xizPvz6-%qtqIceOYlH+<7VJ=NCXCrn{148jZ( zcn(1KoFmzwOw5?nXiKm6w=s2=>}dbQWe$j0-LZs9sfNhZ?^{BwHIp~{xihI@%c<=< zY&&kx8xB*&o*a>NVD(g%I}gh3E_)qz%Uhn(s8{0AVpW))7$Id!l&uQo+DB@$7if}l zT~}@@Z+x*@@rM4<%oIyXY7MGQ_U9KZPeD%iCF4dwE;N75M{joZSZ4n)R)DtGKx*W9 z%enL0D$0P_b{!oXRKbY*&6OC^vb`J?mKYMOEUOiNrf%=i^*Th=^KYnF1e@yo_8#wT zZ&RMPQ9lEVMPHyTr7uO3_w0pu+aM7t3VTlC4#cAlAZ_#uY%+DX_uW+P&~pt)YuZ`y znor!I<%Q|H*Q|p>a&XrLLn6ot-{~L+799@dD&MrMrnfwh@LHiE&x-zLCGeQXSG@^W zpin7`lndigQWbMS60~NQ%yb;1p5A?@ZZLJ{>gt@sY1gj!0wdyjCR`hZqePN<+((jHb39#Q|J zRX--wP-|&V>ImRd>N8q>1A$6yq%}P!G*eq>tuF{|)OOm-SA-5~C#|cS&_nH|^}Qza zQwL~+LxeX}8tv^n!h7m4ZDf@2f%=j5X^b#V{Y;yfJhC(ir3XzH&CI&Z{o4r^7TvxC zEfszJ=Jq{^QMA0`#td35T3dJ92-+B(C?x@;FjBV&`#0cUAMiU{i1_IH&(K2 z4D4Qu&^>WiIkqSVbIuVMhCNM(1Uw!alV=$03cwN3M%s-|9fQ5xADO5e9^T!WcV>{d zVJy_8TE#PG^3m^8&ZL*sKs-#etL*|Ww4Pl+IlG{4t^B-Gg;DuJ=&~o4+yxI*Bi*F) z--UruP7TyhT#T5+#qLyPYpsiM=M&#x`tqIDzH&mEu5)_b2?KUMpSdgDc}N~1r|iGB z2=#7;#skM?yO;#=SyL}d9xPv}l&To%;X^u-mZ##xt%hE^%Us7`Pl?HDz`5@(xc;5l z5#CDUpnhKLldo7MGhg2M&H=}4w*(b18^OmKGh~`(&n^eEhukk?LI`<<1)Qe8746gG7b9RHVZ#p?yZw`b1l{X!yHRS2Obe5%9lvusS&C0`ehSPp0hH;+XT0cZ> zVp4vss~Rcybu%3h*909^pEd=gwTH$)Nt&*9akpExB@p4IyF?$)t({mO#(9<_0})rn zPCB_u9?zeB48FwXxIj!Q>MMK(7&0vLMRVqI)GPOkTTZ^OgGQdbC|j4F3&}H#6+q$I zA6Oj(-Kg07>Lr2j|2lq+nY*=bl$sXpAzxCN=6^*+65zb#rw$}tx(t9pc(}Y+dCv+w z>k9*J?dXw{y0Uw3E$bBAgAY?*Q0y);&WC7{!LBJ>D~wN7L&nrQ%UH`6)~3p+HmM_P zv+Ljc3utFQ_r(E;ts~hEB<1K-k55}J6N}k~8lqclcgX$H;!r>ed#3OpRq!8J1I!!d zhyHGXYJM;g2d>ev0&OE*u(fvABe_n4p+*JO3Kc7?V&KfSQF)%WtKn3ix=iW9$dK1h`}cjTGeeOkI1F|-Zj0H-D*EoiUZiIN!KyJ zRYo6m*zE0bUe_vwm0Z8=+v1Ot+NDfVhMRu@T;+TGl#i5djRZ|_NHa6Mb5N7{{xL;8 zVu1IQ-XU&?vSam%6i08Udhay;^a)!}jmGEd)h3eOo2uW=S(%M4mHxR?RF#X{MJG^Y zwVw~t5S#hn&rOjgvj~S zK4T!+I#lP@s+_^YQn6@1u2EmEBgRBvVPd+;Wn(B5bHg> zif(tx#MIVn?^FgWR?Z;ha{O}{&UTZORsM<;_wUJqfm8n=L7crTBzye!Vdtm)<$p9S zL4mX>-~VPDQe^%Z%0P$8WMSdQUjP3n$dvIEOwkyPyXjb*X%8j1ZxY#mr&srA^6WXF zmlI*j?fLg}R9`9%KzfZ`BPsXk*?>7dY;n&>`(|#NUgNR3x5JWn`YGHQBW&I<`#nXI zMjUZYL2HY@sk0=h)~xC#3iePj|(gFhb`lw%oIA#0Ad56)W-dC>$7T zw4bY(cQ3jTmpHehcnSX_KEKBbCKi;=rxT&zX(BT>X=g+?#2q*M1b91jD>nP%+!cmg z`eX~W%Df4>kp$3PMfFoX+J6m1{aZPUyr+dfjPgt?oG79NOG}1_3I%yK&!cs=gi)(5 za6bRz*;fdLd~1xek@7Ck2}F25=%%lI*0L8C6&AmpCDyNv`nr=YDrQh+fTYJu=*NZ$ zm_3Wq4@Hp&BgY@_EkA)QKZgd?3)Lm+v7^0T?&~@ezd+{BuDuZ`3bb`Yv(OYLq=EBV zWSj(sGpjF*S1jm6k*iQ*AKtkg@f_1C{kmh8(*O~})DM>oIA$M#N52B~B*xEbVRhbFy}&xLv$AQJ#)Pn}7mX2qJ=8tJzthZrDuW2g{D@^&3BoPbVkDD)Nsa zYPkt{e{tD=Vs+I!v`3kL!X{XY6v|L&lC*Dm{y|dC6{94OM3^!oSZ`EaKkAz_#wIZ2n z+Gk!DBq)3%4J|^L9k8c3%c+1k>;vUe7BGELP~(G1bgT5tj|jMJfWjwrg=Ny(8*eup z{)*BGI}2idAPXq_!z18%g_86m3Qp#rZ>$X#$3_xihmQ0a!=dtwG2JY!0IG*#Y>tVH zdxW_4Ckht}Oz3}7FuFTCIsqg5O%VJktl(>eQ=+o|B-0x_3SF0?O0S`SicGf}rrvq$ zVX{~}bNE?8)+v(=eAYTgd33RWMVnYrD|hnm`8;wjerC+rHQ+^&>qa(#-G8X!t$^u? z@5fZGy?F2a4zL-;1(}s0PtN=V0M)JZO)>MXK=`SU3I_6=sMz#pRqyUz53ofxHDflfZMTp53Ugy7<Nh$4EbXeX5qFECnC<*IS*HUnK_(kd-kh-@!d7&!1>i8scAgT@tzpai-Ai#6po-Wd(k1RtzZoNrgMDTw=aJ6stp3QQ}&%|7H_U@&( z8{hKrVo|nJzq7#9jZcThoqFj5ChV+(6!uZZDBVP@d&-X4{DUjmYjLy#_&7RZy}`A0 z3AH^ky8TjclI7#%q2m!QkOlyu=y;iz-*Vm!@Sm|JbX4d3=BTt1oQ9 z>U0@FUHi|sKYCjD2<=x-KPfMn{-|%uSL#RJR)o?HfCPU4b1@Bo*4=#`&4u;eNV{ro zA-Fjz3#s;(`V?b&^eP5d2vTzFn>$>gS(&6GQ=i`ejC8ME8;vYutUxO!P2%c2qbmyN zJhuS|12cSa}52EVa+X-s;^8$u}JM{+sJe1#Ur+9IaC#FsSSGLibz) zO!#}%$1!evCL--hoQyd{!yF|N z^O3<^pkTaFnP&^z@~jn+D;$mejMdMh!TP5whL9NuZ7US%llP$yUd9U^*g5I~&E$mN z7=E}?sFW`b5hy&FuYp`6iu{3b7n1S?Ar6Jb`N6Q84$XzIYYGsvLc%qDW8@mVpR-(Exk^g@3M*$~l(oQHW$Fr4{ zk898?e0a?Q0v0Y`I|h~ADwHkI2iN+%e{#%Co21qTqsMPbh1HdEthB-TV`c+`S%>3P z=-7^F%Ql?LPnLC3<$5v+etZA+@_829b#!77o)Qu9y4A^W@*6$?0~zw04v;QD-dSWT zSjpP5MP8czvQ(57;JNy1X?8uZZE2Awpl0@wDR;oU@0y7y@S9n{`gAIL zz&g9hEN8&F)o?nAO`Dj$65|Ogh_KB#J=mmp8oP1nGc1ZyDTxvB)!=5i2Z_$ zM7wVM#QxWGDl_NU>J}CBap#ogvyW8CokGpq0ePDd8&rv%31| zpEI;tL*BcJ_&jg@oF5|b=$WISI@eHGCd55CRRh;Cxzo-8aB!<6KGs|=*SVlMGQTdvosFWivQ!W0`8)iLJi=~DEf4Hi=n#8rB))~$#3-UL$!Ty5O=F&L zfumlst(odQvCW>|LRqVBLY7C8ukNnM9{mI#e00W4=nikTRpA^qwg5tL3yKu%7i7HW z;JL)F(`&W<5HxL@BE{bq&$N8L4!1MeoTE6OAlL6~jfq(v;qE}o?MlFpc8XD)?T8T0 zn@BF>j59sQU$XOf^}^AP3c=1l(IRvdjk|p5+_4c+-|6!94~W_F@=xb7cR!Sm^PMYS zbn>4*e*zZ^i|3LG6dOZ3sc#MjN4UL*i4iw4Tk}RJ;K&buamT2WUdi8B=+lgcC&N8{ zJ(R9^*dsv!v%H%r-v=g9OCA#Wnb@+0_ie+2?qa-=qXmEH4j2=Q3jy2l`!)6>E`X>* z+Xb$z3G?5@j6%S#fuP17hSs=8I44Q1M>xdRtN3&?~T(%Oz*{mh*3CJ6KM*pq2a6{Yy#XrYByU zFqh#!#XEbXd0bc-1_JSYxr~^}Q^ma{X5Q_grA2q@5d(U)lLt!pa5YtFk}CNLx$H~wW13a{|U8lHtwdN`i4g~G-uDaE<4zbomseF)Rk*W{w zahy2v+WqFodPS`#vWkH?Spy-Os(ALZ1W4+Gt4(FP6lQllYQiZwVbRu~r0pJ@OOf;A zvI!k0zY(i|s^F1!X6+;>(`QlWo<{15F$oeu{tnb1VVL>V)|dXA!h)o#^o(j`d9($b z@=#Nqrw*z$7DKb|+G)P~LHFzSubIpbu63!l|0tElT-I8^7(lpNwQAu45FW@tSJ5yHV{5>%){})(#0I=Pdy4NE|+3kB0@t3ru$5zmj`B?{{rE_0` zXLln0LSMYW#M-~|?fiO-SE~~cr8f$y*nKB*?T(*5Zq%2u;%M+<*&;Yl#hxyx7&H=P zT;zycF(U#cho1G}K2E4dCY))tVFtYZaKs%WzA+_j z;4L8rDT}iS^6Y51R`R!dzixP+#Kz9DVyK>Y79L= zqXgu79KmniqP6F%+*GIUTe64k5}vXB<>cJpUO&)|eM58@>DzXAqUW^jx|%aq6_wdt zoryhZQa;CWu*=#Lll<5Q9N{%BzzHKO59H*KGaL~>s#U8I#vw~@3brhhCenzSfb-%f zihfjUJatMOWNsyh2M<3dy4SA4e?x8k!BsDf4`^!x1E{{hQU4uPl&1m2%G)gUj_;i@clZ-nE&xvY0L1kW_DeRHN&urAL~!f?gupi*xXX7TilG#FfXxPe->$ zJH2;3zHuWR1=~m2^{|AjczuLH{L*8tD}s;6yC-h>u4D7k0oXHcEPz%#vvv0$Qnfb{ z&Xve3C;t}kSHa5Z|3su7otV<-Sa~<3#wHp6Tf<@?l!dXKT4lQdj-Fc`bzX;$-}mNX z*sd4Y7LCGSu^bp1Uz9LDQ#?+Tc=d=z&j*;MpL(_Y(2o&xdT3>hfDcS57c#{*&G8#{ zcKD$9VA=gpa>iewTf-vl7a0U$139*rpv15VmnO)Q_pf_|8%87K*9H6pAiIyZX_m1$ zyS&UZ6DEm#x}saJGsR!kLOwMivt8AV{vDi=@`5FQA`0?aeB0h9=d`x)Z}=%mg7x@K z%rxWrhFPpD*(%KngbB92M*5Q}Et2&@nIcdFztM$M%Dx9&15xt_^p+Zm*Q5s`F2@=f zUyw(n4?KtS*AJn){-muHY!T-Q$$yEEUss8dPBWWA-wjTmiB5l~tG0h_20sh=T7kFy z4(|>7ow_OnPkg_@kyo^|2d|(jdMy}DL?xVal>g)~f*(tZ8j!K9X?FvzwpFfnh*}#*<46Zr^uTFLyK6tivby^y9cot1 zORW9;tZyu(CBC*KN0m)-Hb>WqYwy`DPWxfX4g`*VsGjMwHfVK@ zZou$}VJl*_TrEAWY0gNsLwYl$?mWevE@7;mEMSXTmCQ9<|BOJwD}f~jtZ=0#RzHN# z5>HzP=kd<-SAq79I98GPas;dLLE43PD-4;z^?!7*um;!*N~pk4O{%I{XgjC#&){QD z7DH3!W)3SKzhSJeLB^dX?xZu~uLv*19#29RN#u@3k~h11)1Xm5d>8=U`q+W0|1hFTm`gzs(fZu9sD;FOH|TCT1NKJTTV$q(MNd>w0E&|U^%)u zowOrfNmesGYau#*;^5TjGsmP-P7AxUT+N-$Y;6pW*BgU)Hvq=zCnT;0bODMi2p6}Q zlKwFRx}njS3Cq;X+``4p>Ig^P?YDOJ9#qS%6U5UtY65Qn0m&Y9aKz5fKcF`-sM9>8 z%P%5wH2Gq5Of36M!e-*X5W-28ODbn!0eV#^{X-p|uo4{?kP~Si16Yj-+8a8kIC61S z6Owpf?JtChr_#HMFTjAH;wu;qfc=JEAjgtx;0efiiQ)ht8_zDb1^M^mNiduW=WaK< z`$VHmYz}f4_Uj|~!)9Ymi}`Wc=KEKzFCYKfuwYcE?)nQ@$>Y(GmPd^xylCLW|348Rs_|8Q=!RZUM#&(5pdl<= zw$q*q)KKAKH8QyTOodQDG(NO!r9G-f;;e%Dgu0^C1Xkk^JJZpo~ a2;5BDjKtFXP)K9ogCYoo(70FT0r?+53*DOl delta 27616 zcmW(+cR1UR^Uoe;ViP0wo~>1T1y#gWd$g)GOM=!&%!;5?$EVxqZne~wme_QnrDm;; zL{L<1-oNkjyFc!^f9}86-M#Lf*L8J6M!O*tXFqR{I|vMdfI>t7;G4kzg8w(k|9O6p z99PR4v2C`3wk7?vfm+xPhl7g8;7n98^Wg8peJyIV04DMGl~>h#e0&HQq{v$Yh!ITn zdhbQ_mF0y((R~}68~?Q>T^pAJD^`H~)WM)Dz^PDDsP?JT5kM$8nxs`qQI-I}77$C= zarmt<1h*70fxRO@5yTtziNLf=pK5?3YDWu1DK8feu?4o^>|V$uj~ybu4}yF8D=V0i zT{ukZnk>pwW9@Crh!kB%o^ub#jZ@jl_l!l!!OA|-fjk00zitaKviR(=U2d?5FaLz} zE0O>349e%HZY5_V7G-5Ca7FqER;NU)kbX(x(vpM%l6%ZY-(W*R;8kjknSLj26G_ow zR{J_PO_{PnT}66$V34=DD&q{1_Hn33)^+<$)mM35uT3}W?at)-@kfyg5z^g}%f5l% zgCxh~h*NIS9v(QoDC4%;e=a-}L)j;wnDc!ve4;H_H;5&&W7|0lS6KR-ZoTaf|GqMY$ zT$KotbCzE@Pl2FD<;7pS;S)UF7J_yK{S*vvI)+#Fx6~?{;bi@9mU1*S@%i z30uX)OS8&c1)wSG46(wsJE^XOuO^m>2WQDS1lLPa_g9JZ{^Hevbuk;tl|GUq1upew zRmW6n^@>oMf~1M}c8oYLrUbWL1Ltf+%S_APAyOrY_n4AriP}Uryd-99{KNxi-8&Kz zXD+2abLsfx_xQ$)w&@&|gg7+p0~AHSxRm5Aylzb4B1MacyeoIQCs9 z3Zv7~@)l0Qh<@~`HPB$wl)p;YRHDNn6VBNUqV2bpx_N1zqj=v1V)+`nm8&1%ZqT|d z*h+nNW3eE(;0l6R>(g_KP7f;XRQkQIe3EZQELy(X@W?UlWLWoNz`CemmSNwfErJUA z4l#P7y`$Pi<4$FF?jBAj3WcjWM(I#APK@G5mWGaR#q>~e1EU(#H-RiS3+)Ek;+eey zd&CnePudeGS-tvBw4}YZ-xpdRFKz)FV?Ps%`=FS1)LG2Hg|;@RT*Kp2c?@CS`vDbd zk59^e=zwPq5yce8opST<`s`s7HZuxgHo!poa@fe=x7%y*nnON7{T8RfvST%qvT zxM6wP$KQ@>DG#kXX2@y*P>RnTGf zsJ71@ZJqnXmtD@6ce-B;TLJ!9IGi8h4U5VCf-_56-GHZIw}s>P{O+tkuf4QLnNEiI z*JeA%<^-R9&`l`0&HWdNbwKQ0pzYr9Mi;#8()rywoVKtz(T;i4m^tj%)|4PVdODqp z=$WqOZP@>3m)=bwtfFWpuk1r{7PKtU#_LH%ID!Azg`I<0S61jp513HHW$ws4>`{Ts zDe3lIA~9IQCN-Kgy5r@xcxiZ1dyjyGQ5v?p-nRHvIzi6i@E%LqUe`6N<&BJXG2;|0 zP7s4Hv8}!1A@I*7t#w+c>#uOv${DzzjV<4x7-05qql5C(V2?FPa54ph7`l@Jl6yNk z=f_4@(mt?2N58D%pX@H;LNQu@h!H1u@-M3HnN$E{oo3{DX zCq}L^I{t?CE_*oMb?C%!$l%dI=I@P;`5ITnP&-6~lxPCxpIEbyB}6Cqt(je#P_I%v zPnO#!z;Kv0G(qZU92JE$S$CVBxYvrcgWsGMqR>RgvwQZ&(R%YVotjzV%UEKtA1!{a9QpMjDlO_rBysFuO+k9j-N0}BmzYkw zei|xTLU=k|nRxHUQTtz&Zbo#&3UXNRs&IT^?bAN8EuKp^G<1Nrgs}#`+Mem_K|T(n z$k0yh9Pu^rgWt`JqtTOjYzF!MYswIVLyt$Q1>jwFwKR;s$0UI@a(9!7s@o>#IJblJ z!Abh`U=5X|AeNO_Y5AqagySEC9!K5^F|E*F4N*!d3iAJIADryN_uGJbUVL6-;=OBy z%)C-@VCw7lTrlFNPju0T`o+~zlp(kYr5Q`|snNevW)tS9I6`C1ntJhZKXPWEPV~W@ z75Tr>DSC$H8NZt8_~Y-Vs$71#4CB9JfK*Kc*>6`Qmt#$mxLU?2eK2 z^4kRqT>%GS|vo)@7-O?~*;WcEnv3KkGsE3+Zg~9nG_$R|mR?+8*^BUva#sUdW`+ur?#^lXGjL!~#NXpTv&(cXB=$=bg1z zQjGWm9Y6ISlpJ-g><#~iY}%PpFC#ng{j?BZb^QfRMZzqG&g zhGPzXC(6g*euW|cp_J~>CFA=uyZqp6l5=kbf8L|47Vz_~LffvJu&&I2(^BBld3wxu zm(?y@^7&%sC%uJRUR>wgZ5%{4wB?=&34U2nrVVai(OKIdGvD~FlNnk+WMpO5URx6~ zRoJ^HMRtZa+1%DLoGVJy`O}DfU}*_$j|frG7)M}_-+5|LLb*VRO|igzs>;X4s($~-i_I4Rx?wwLq*`SYd%6+*0Vzo0=;nA zTvzSufX6pFi1MflDhtle?MRR)Px7B(+Fk3Iggzuabb*c~ZF+p_9o@-8Gy>)t9#}cZ znph%mMy%7;Ncv#z(-Gv*Bc=XKe#MEs1o2$ik zT-=qgqlVCcr)uToG3+6~`SIeRXG4d@8?cQnEKRzJV#s#;1F&>NOEJ^^;?MD;lN6qc7{aolL^3nV9E#*EO)U zfT;uJN$3}`T^x#jz1!%|N>y}qL7=Q4IL#o*xAw}rQa-pxUXG#4CI@=FY zH2YJ`ym~})4K}t(iMrX+%uYJhVCRp93X~pF7(~$4-@eH$PC<9*PyDrX~?1CdVgNwWZ1amq$nr(E&kYOad zNX_M|HTWI>De3kN6;)!}-(1<;hreEhn*tXTWD1x?<3UsBk!@p*@;c`tj;UH@-5947 z4hab5qI!ywcyA2l*t`@QbFL`~ztE+`qplvNVl!oa8u_d7Ou|`&u}O(VoU)|iHEST%-169H}tdb*?z9uA#7{SxGPx;=gv`4?6q05 zoqDY~_?$}hb$Cula{D16i#mn5%=#;Gw(vXG`hT)QDfW@^0Z1I1&CQDShCV^o+>0#3K^!BC+2KdTaf$74#?{8G%1R;!U2 z{F&(jW>lhotX(dk6|m0U$(>wfH|#j-8a+Q+Q?xHYqO>-@(h@)jx(E;f(hTf?xZ zFJC;Dxt{ax)Ynq^^OKV#E7@N>L3!|}vd)%+OE@Vfk>%WhG_Gun`Z9O_>Uv?&M!MAI zyjsnnujO=CVf#4)DIP`;$rK1#2R@S`YlsnCypLBx>rZe2`JF&Agrc*V&zyB9#FopP zxUQ6d)N*&G+1t!{Vm`hbo^b{o$dy~W50~;jH#(}RqEk0U4N-wO5egj7`CX&A%(-3< zEx0QX^Uv)F>QbbY)zHq-f>+u{39_BKoTq?)Cr^Bf+&OObpLe7Gg9iK@8cAq>dWy^h z$ChIIs)Mob&M)iHDYjc`<4blUkxRrV|F84FmoD9j%!O4T7ET+}NH5yS{-`HkMvh9O z5`>wXSJW=Ams`#T)gR#_YjNqh1gC)eu--MB*Ad@Ty-!}}?e4O<>ZjR#)#=ObROaui zjUC(Xuey&z4XJvB=RESJm+mdQ>}@&tX}?lXA?i=L9`-Y}Ym}reiO=Rann3;7wXVD&`@;@Dvp@(@*Sk@d zPL^M&sidikwk;`CbiqSH4sKsdR7n-lOybcO;rUqTC-7o{wJk#`9_Jy7Yz7PcjXERS z289U*K&mQyk+m>}hvp~Qqwikgy=0xAe>eaP)X)qHR0S=Y7%AuQ0VmOFVYrD#?gaMmA_8%1jr&cbmiuUZ%o$F_Y{Cc-=HC=~nq< zBE=4NcO}6v$?5j}2g;#$p>E4?b}Hn?!_RPvQ)PnbWRe-;!6eB9Rz+f)MN}*)Y!pTHy7!7^wkWN0| zMo{P^BR-0ZZGDHxLXu3xixZ}gCAE}Lc=a@D@HUx67{SJ4kW<0MkT#1Nl{cA95z#pb z%i&4ki066fDQ(8eO!I2LL>-yTeU3gSgk2C9T@Px@dE$?cZzJU#^0z&f57mIaDpAPn z_foO^@k|zoD>8IcFqSWjl~YsH;}}lU56!vM*3lttvShe8UuFYhXnSOirEDe2xZ}dbTn}1(tM))~mts zdUD=AQ&A1<;1(u(WeEhrTC2M_s#pPc?)WMK{KUJ-2+*oo9E6PO6*A;v<3Mp(UQm3x z*wYsXVJ2e@4nl52?iNrgRG^{3n`ks1GzEfu{|^n?e_u5;sXV`St<_&_a01=$gxA4& z;gZa^OTZo2FI<0W&5ge#A0o&}9OrP}S3~`hUztRF3IR z((Z&pprm!8x%rj_s>NSMjZSrG9RYVK)-myJDkI=siOnlj6dh+k^{Fzixh2sZbtAQy zz-p#__s)#Va;Sg9bk}}c6!ZprEl{p$d$@?1^oF}u7yQyJ2>nk48j_arrkIHcaLwL< z!JT^fmcecB?@eX2T0jTKRy3@x|s~621Wpyrs()-)qUmJ;4yxB|52;?f>xu9dI zSm!&SAV7Dc6sI6Sg1qB}{azmIk={e<&JQz1VabON12Im&Ry>Y|70AfezS+EV6BKjF z;I%O8>taC$62r`$OqUkS7EOwRXnHa-sTKht@>j{QD~})ybq3h;psZA>#728_iE~H0 zXGMzTy~v`?JeGr{i7yHe8Wp21EGDsvd25Z<3gDE&33%1u(26S#7TdT%%}yyzFlj-5 zl%I)Gls&s!8n>;A!6o!g6V^s#Nt(T&G~y~ksOV?$dz-SFrXU~W}+Kt#r55;zF7D4=S2r9^#NMAxiy{SPPYr%s2F%g;!U5VAZ6 zDLy*HP^U@KAwDcLDm4Cd!Pf(8>!}Fw9yz-k@1H-AU$AuUZ|)fMCeLWM-Ds8t6Bl{; z1{e6}oSQ9YkUW}O-tEV96}$dYcbSvlC}aMvl~zTyNL6s`S60l3O-X10!%I^ht2~Z4 z{fgxt; zH9E7@o}DnA#9thg^w?lKCx1GEF4gFTdL+#X9S!zE^tCvfhdh#%R}x`AMrUT_*6R}s z|LaTgfSXO#CPu*YupyK}yE(wg64&1AIVnMhN!BFD+fE+OTtVB3XHpgB?2aSrg=8K^ zJ&~J!!+>R50GJGIAGv-1%naviY58|wTa7tbD+4jQa29S*2))Mwi z9qhekWF6dm(53h?RDXl)1)Q0pA)t6lD-Hq*P<)@L1$q>|P5Bxc&24$=*#(P_Tp-)< zkbpRp%LxyFXyxeuI$`C~X0H_x8va}|M?UubQ*6!Ir8IuN>8oHik@9Vz%ox@f{(Q`DYk`5P4ev9RUK=dUfg1Y%Iers5+yYe=)HioOv1 z{>zzL6q)svTkNyI$MC%KkgKPU9G*57(#mxHFT8R_?64hQ-2!g`Ttn^i4DP4}oM;4Aq8VCw>=No3t*A~#!U1xQAsmXT~J!I=m$7tcGkKyDdB zfD6U$L;@%+mwER7A+$5T1!|&As&s!mIktBY`F?%O6zX~A?f{qn=g9s;)X~mG?Wzx@ zA;0;4iXSl+kS(w8bE15p6@Am?`Uwh}%TQ|7O8?@ryP93Sdd;Z$YKFkgdoSs-u@a`d zGv&DeWumEvrxzv^`ghxui?rf+w$U}v>hq;t4(;nT?L=`r{y|T?C7OG)f?*Bc%*|q! zU8fq`Zh2)lZDmVHVzjW`7Oj{^qSstqPTsIk-}(E9bO0A0$fk?~@ER6IT!*Je4O}7- zaGFcF^d-)9KU$uAk27-e%f)H2n&7WI;*Dc2h{T@bz<)10uF(7)`J*HdPmZfgmCK&E z?s$3Okr9m=xgKRmH@5NySP~_$cpbszV@?>>0?&uLO8N$#Ah~w0>tbll8uATCyA?#U z=H(Hi5|Yv?mXc!h2@tPzo&$f?LXLbA6)){9_m!rL2zkT4VPP1Q&=cDXd78nbXq8AR zn*co24;9LAb;4^tnW#NgwNe>5hKgrELKDE;&rW+odLrs2&0e%}^Shjm#(}_^5`=OD zy7^jwBOqeu?(W*+PVln<8O+5!i+_au(CacL8OKZUiT!sfG=^X>aY_s#=q8$#0rK*& zvjsr}&rm)2u%RHTwtNH^M7$wOcrTT!#lTC9E6VhOM?+kM`x~{HX?Ht2&}&VQ$g$Vx zF)qZD>0Y$ilR?!LgNYS0F83Ixn74tUNzs4Uv7k9|SSJ|LZE(a$&&1ZkAUj9%z~yvk z!c~gKQtW9>olLXk*tk>>&k@06^@;mx_~*DWgJDo#kd(;tSG<^6gYO+w+TfE&8|km^ zYaT;s>&u{q3Z$w1QRlZ`Ecvd_NDqO>Y5D!dHgBa=D`hIa1xmd$C(mE1v5RjV3lY|N zBI28AlO$E*YcS7qxHTp_sM~pceqX*t`LZwtpT*lO(peGio|3)Bb|u<6%Rr_RC5^G< zV8hA_dKHuSI|7RH9G2~j)z{C^mpSq=pSy%U_Kl2jTEw5~pXdB7oTkOR7afg?>|Fc8 zsS{5%5)`&U-$$%7bd+4XjfBJeS;Jg**tOZ8BB(&_2qP6AsY&Aa6GyO*=iA@k!JjDd zXLnxO|lgq|D7gJI0!El2d!JI(xg18Aq5XVj|-I?Gn`2A8x<1Mb?WQt;Pewl zf&zuCx^$Vk`KBLXHLus}j;-`d@2$WRRS1uyo`_rUl0D;7Gm)JHNWph0NzSaG&0wFc z-*|oy3hc5fw|5h*T@FWL5&AmZgA_kxu@dL{Y{wJ-YGN1XkADT{i6MMVR0{3Z@|Tto zSuF3W{7O)O0d3<78GI%GPuHfF!+rFD$)0B>ftEXDb0wMziV2G$2%MQx8DgOpIV5vx z&cWwl@apW*rNNI!9NQUIx|8yq+-FGMIt5DKFfXqJZlx7&wmQAFVtdDAD$sC0CjJ8`rghSemYW8TK zYG3S$%v-iAGI+4~Pv>9O8hjSo1q4bOG%KZ27-O`NYZ4D1c@}todTa~q**m>_JWd+P{1wZoqoEuVJ+$ODKc(7nW$*FNAgp54- zRAGw*Ch~OO*%a|HlDF7^@4#smD`dKqbbN*8?G(p4mp>UvnPO!RqjXfoW^V}6j}8|O z6>#B<-niJDX9 zCzb=6=wHGoB2*IIhRZOHo;sx}K^OOX&CN_I%G01Ko|175c=EGJdzCTH8!q^M0~kwM z{5Eg>vuT)NT6aq9-mmpGq}n&#kyCHO;U#wxz{ihMLWKri1eKi$)IAmKv&DWaa)#^b z)wK)jVUQbzZbZVx4HjZ6td?2uv|sd;XE)n`Tq<0XE|=P7c}ihK|4&U$0T0Ah>j+X9 zBdoSA5H5T~^GB)>iUF&I80N?64quANKE&3e|3=2F_GF6KrDmCcB!oa5 z7?oz}O^1`b^Tlci_5QM0QNqC2&x_v`6DAylO3r<|{(M`5p!9XjX!SKAfTw66=jAY@ z_#HN`w14O%CRZH#L0o_CAOA2U?^B`ps~~;~|L`Y*xCfz>Kj*{n@AWJ5tv__cW$0M8 zan9DT(uXmlO~+vp&oAxa{qsq{VUl<9mn5}h&`Hr_{;-M$fJhs2FoUr`$$IKs7Pd)k zw^qaH83-F)PZT;=u=|{1r_Bao+dJ+;3w*w#B~yTzrDgIABM`RNHX9ZSYUkW(v!a9) zT7yLKZ3-dk_O@DKrz|gt0SC{LYm>*5Yv4UuW|<~6Qc$iLtWgP?l$C6It48F&is&?r z^bE`G5WJ^gYdw+87Sw?fZ-g_b5P`eleCNEh{#rO@|fj`()a_f913{ede3Uub_e zZ#Kg2+udDp2TZ@~xrmFWrcE0%2hkkdqM{^v1yEPeDyJ2a6#`HYWCRn%_a!=pxf}TXz@5x z9Vrm8#b80jJMaIgoE5EvU28SeA?)5>or&GJotMQmklD!qrAuM5J;HTdE^$wK%(yVr zVYsVSqTn_U*2P82^EgqD4A$-Gpw-(b&xcW48kSJ~MhwBz`Zr&iwI>QfLA1h>qGss^&D_W*iOp4nnS#-b z!4?5$tQ@cXAt})#UU4N*T%lx%XROEmckEKDCe={O2&2^zv;_JC+Naxdd41d8^=@kJ zNunI=(n&DRLz^g&kgi$8`)cm!A zfsc_)tW}S-+KW4N+951FQ>oDsHoXLs>a7n;mz z(l~#mgF@+j7q>KeX2KHUAwnShQx^FLV}r#DuA9QY_(I8)r$o(X^ooB_S% z{hCAk-={&(|EHv0U$FCVq{2Grn1stW}^SQ_E6?Il4dlU5DBzWEDt_f z5QDn`i$$Bpihj@h*#R8ZSHLlESue=Du;h@|7lc*<^1%r2(1SHbuMC_TBfA4k(R(Vn z;CQ86l?l?#7Hy4oDso@_vmW#BvuI^*eY6<2Kc%S(Rmiu+3$NlwIAH}1h2cjImc8gp z&HPQ0qI?b)#o^6BT9t=7qWPa%36USOpIhYA2wHD}Q-NkM`0p!Ul8F#Eaf;XB&7qu# z2hqLob5m?9cVjxvY;;HLP{xnGzW)L>L#-oQ*`g@!25j@(Um3?t!xJl1CLNg`*vKpX zdBYa1mYlxXfp_tl&^;w8e|a=iZ(M5enJm;bG8I=Kz30($ zV_yHR&(J7!QUe0IK^@H_GK}Cz2-b`8$6UDtB?ejPMD2(Stv~_Ya&Qq)CwFX4ga;$O zN)nh9VNhk#ejYZeTER|O{d&3GlBA3vrDzApZQ5t1Yj4Lc-i z3?-2|_m5saYNwu)V<5jt$=fQ)Lb86;u9Q4{6BV*WQr9<+DcqmpwAiTo4P(0w=TOSm z8hv<}lNurusY(@T&^X#cmeA-7cfMvTiyaCmTniaK@e$^K+S5VitYkeG-FqG9V_k}m zytQw86~p~w4^bRirhwGp#-y+w9o`wmUtt=8?j>(6!^OK?b_FTR-gM^`pI+p-Rq7eA z<+oa59jNJafs8X&rvdm_9+@q9*O8O;#OWqgXz7cKfWXZdwLBiy{}i`3@5m^-iP`Xu zeyB~AN?rCmO_{@hV=qmS?7^7nJ|vj&U&FH`Ksl`X2h8c}mhCj;rtSbLTW8yH&ro+c z%d_AdG@t^RZbmtji;O<{H8z#O)8$}U4=g+I>LP0@(84A>IOXLfaq$Bb$%la`OZ_&! zRC4yg2VVJWZ_Af3)lwh-f{)xx@s;Ce-ClZ7(yW*nGeBuru8-wSbra2fa%XJ9UD2cP zu&lfOGf#g1p zTqoG}fFiOE!>-F;&1^!5fdUwr7$x`|XT(FfnROiqR#HcJ3vA&;d10WT1t zsCFM6u8ipr=FWNlX3#Bv$3&gvDE=}=zN3n=C@PulTWyh@qy3Mcwuk^}oI9t0h?9E0 zKX(({webx5gLEQR%V(7CeO>B(nY^ln_#h{90tW_3Uq|J9(h)#5CU?fOKNq*%H2#)` zk;9GbCO$*w?Ni*l7zh-=!zOgg9>T)werL0*^t1a;#6Tn`Rof6wp_JdTEl&TE>nRMb zvaOa9c6V*zALaqxDz35OBy5ncT7jpjM^_c;@xQG(e!iR|!S&pPk_!LhXbMI&7@REy zZF;dwgan=t*cFL;Br2*as0}5rYr02cQtWH#<@L~84a{x z3yDJHJRhgeJ2IrP^86Mv(o-)hxGD@|k8-yU#A? z>ujIc`U9-cCvO}~Y;G(i>yMSmqQW@6s-Yi*)qR9Rxd5!kZ+nH99{r7*I+t#}8-sw} z8^y@wnKeIb;65>DUBybDW@6JhgY4`g63?Z#;BwaE&0?<3Ml)-YnhE7ONr-H5%2xwt zRCB8*(U;;a`|l?0ugE#PiT^HZ`oe*R4or}@xH_7!V~EGOte$zs`b|_QsX*D9xdR22 zA{7UG-PS)AIaVPwXi5A_$iE5I{+D#FcP@w1DJ<^In9g(3 zoSQwa@9h2uBtG?GGKD>+ea#A#78mCSqOhTG{Cbk~mF~)i=eK2LZUwaX#=gH|xPTI! z2(CC1@3IL?#hMXoFs59O3YU>Tf7O;K_HY*7Vg41=n7Y?rEG!<{=0>wixV_}D{YO6Z zK?PG0j}Qs$cx5nmHME{I3px2x@R@P$T)46hsNpy4rJrOK1z(Bb|ALG0J9Nes!UrwK z#7Zk1%ZCkr@_?;0-GE8eM3CMeG8UqgLKS$%kzcJR+**V8NHv`~MB~LLL9=5x(`Kq? zm=)Y+Zw#?DEbAXONk4mM3hd5|H$HH*lOI)o_Qs~}IY?L{3<8X2NtP%qnbJDB!#7UK zK&hYb|0vXm?f-oM@Xjq#-$CsLzM#OaU`WD?h-0WqeY}Lz?0OUW99*>^8sgBSDX%bv zq^zB(*{C`a+(TaNX3GHJV;yyAyy2%P+WRGtl9l4_swJait)aQFu@#z}qElEDB^gX4} zO<9}1FP$$?Q6Ru)0E(BCy6S4LZHX}ZZRd*4P^Vpe%S}&*oQ&&9t6Y#(@wlZ(^fu)2 zP6{MR6c%?}x>-tF(ARIC=ER!TVxIDfNNcpys@pq~_)u*`yVG0&$s-5II zcvj|MOU&QiyA~aTnnR3rP5};igd*Q-W_Edu#%ok155A$GrNCKNSB6}5YT6YpQ^W|I z9{Obcg;7LUaX%Y*-B~O z0geJ@viVoeSM5>GpeW}}-B9s&F75Z3FMFM-jEMy?|09h_I%=NOUSJ;m2_wAw(Nv=0 z0kM^&WDglS|LKYxld1lF!)0B(L*>SQ*bYnA%dhi9!K<6>SYU~L+*0&D*X^yQIl(*i zPS$4sl=6|0zhQ4m`kz*yzz9VQ@n1mg=hJ<6S{UEoHkSJ7rVSl<%jyK z1YinZz^(qq2PRb{3E0j{r|`u`qH}u~h1|4&7Z8V%esW!GysEc`WWLFt>w|GIvOUuA zI#k>C;}3t!N2PxIR7{z)$MGp!4^&-&!fgnuJ_b@#6`_0~!c1V%XdV(}xwG?D4PN-& zhQO=uS$_QyxnAdV{ItHW(<_kZXbjX6+db8j6)4Z*!BH7?0>7DNeZ2ak4J~|-*4g6T zXaAy5X?(PG!5bsOeZC&&gnev+^DADN?)9Q==XD2EZFOxJl-ZxXRx@5tmiLg&cb;3! zRqiQ0!>T;x*7MG&5sh5GJTgf$7pqdqY~lBRA1@-0$X4LishIpQCxSR#1(JK32gHY~ z@?a*bND%7F^$%WAKq?Zc7=6Hl$YX9xypoiYN?%WZ@A#h#y)Bs}D%vk_L>I|pePiU% z_4k9EZo?W=N)hUq>r}w-q1_EnxPdbuvr6j#<4s3&p`+@0DHvKm)PMffRUx^NUch2 zctqn_#n;1~9{s_*jNs1I-4`+HE@qm7oM-rf9vezCRsM{aaKWbs--@6yaia~Qg>H)r z+zV8mP>?@!JwSSc7NjAx_^J=~v?pE^?V9=ivgqnx9%iyqUY2TnqhKs#==G54P0!h1 z{QvSgf}x}S+f3D1KhA4b@{C5%k3-z%aA{0%_to0j+-_a%R-alV=6>wS|1j#Xbq1d7 ze3?QdL^nl$K`(ZZaF3XcN$o>}dXU>aTWaj=9Rwb~mn!>sR#L^8Eeo^-PxPeIn{eU? z6Lj$iK_{%%ZfUElqs>C8z4NXWl!yk!owwZa z3hrz4kdxwOm8_2IfRlq*7O_TLVc{g+vvLXc+IeDVO2~^dUB_K6_l_`Hfbx4vw zztHu{gikJ}EtWYn=a3*pdCVsT`U#(%_CI1EAEqAaWNtAui(f?U{`%`N|F+|mF_v(O zz>%zacD;C|Y8XDbU1iM9k9Pi)JDD#l`}EyNqX&SIVO{F4Em0yLtEg`I6eX%1Y^g&7 zZg8LMYnkCbmGGRujFA!00*eRtlXYL-lQ1!#!X-MH<4MP#9CR&Ez+I)9=PWOO5#h(< znP$F}6gIQYk*ol7pLPgBajM#Hzh9=&w;dr#b(qEEG{MXyy>wbKywe2=cnF6jJt+Ia;?wj43b|R?!{Y-NZ@_|H+ zD#dbZM-ibp$W$yb5>L{MM;dn)NG>+UT(a39sW8C4UKB&;&_A6@z^niRaz*jHe!F+Y zMb47ViD9A{oSlY7D&2fzs=V^eNNDkN=Hgb{5wQhJ@@Ls)R}@JY6#T4RaBlN&@t9UH zYoHBn)uf2$RF%ILr&F!o?)@wA^Sr^!$My3$)GIV8d!mO6=sxSbXyNrt<+3VI%%Da^ zhww;5p~=FY%y;0$7hf;x=mT%_$8P;uf&6zTk|LY+9bfjgq*rJOQdUcy;uo(=SH;@A zH5Z!QQ9WyE#agQXUpxK_^zs*1#xtUsM5mn6vuwNanqTxM+_Qu5sG*U_*&7ELt5mvC zu~xpz_kEF!d0QU6k>5}cI#-AY@7v{XN+#U)Dd|*@iOYN&+PIUnfki|c|IP?Sk5B#HlFj~CaM{FqwO zBayU@$`jfmbh`??kYgOn?ae^fMPYTyneNRS#-efjcF*n$oyVF{VDQVa>}taA#1!?a77P<601;)9fZCp6@;Z z5Q##o@%@Y9H2^c;y>HuqA)hiQ;0Rg$w+IxnafF)-x#d0{94M`Qp>VJzi(fO8bgW2i z-Q?B;0`c}C&C`Er`#^!;6rBWVum!n$=UR*dApY|QR!2Y1drxvEOK?i=@6){JYF~Gg z1+$$*m*KJ<@Ck8vYHiEIAC&c%zdkRsgk;wmE1N1Obt^Ta_%cEO`EQL}aKkZ)PfU^l z(2Qq#r*v@YuE{QINd+i?1EwPpl2lpVc6Z_j4#H>K+tJp}H3aAOO!mckiC=%U;W;St zWX}r)3vUm#Il9_y+1XG6qw=s&4qz>HmXLhGc;yt~){bM97S&7&@~cvxPs($E)$+i5 z7|J2@YxdZiThfZ!tF1T7?{TpnOCU=lc{lvbrZ5{L`B-pLQUvfg1pyCv)ur5-wZ*Cj z=fvgMZ>g8ntd#q?%JhDfB;lbA=y`en;EE&M>A zwhM%fY5~GX9jmy1XQ<%O4~T;4=M2oAzeRIvCMHXOGSFV9>H+f(!k3>TZ6hAA*j|7M zyq_kzl)s3u04p0o^8T(#u9O6cYlId4va(NKOk1h$ofN8h_17zunWEP7oWlCc)40+r zFfVGnUB(N_2UWd+W1~ZtV~qcb{owfyifDXMW=3v8#Mp#iX)^ZgRTea(Hq~B{QLxAX z)A=d#O^PY}O?VwQ2|h^Zi)>Y|X9sNuFh0ROE)tZTcA9(eTVqHn6A?HV43hf<8L{J5 zLBir2WJ`E3^*|&41Kpf~h5I)fOaAwrT@oe%>`7&N^yYNH-zFi+eA&6x$E7$oVpGvW z{*Au&W4ok>zlcsZm0G$}Bt3rfrhqy;rzkAlGx#$psV9}v=(p^MwJnYF19|7qC1w2F zCuwxV+_xYZfgHv{2*u1qyCW1-m>Ep=ZEsWM2f=1oqwSWRx5pTLq!)fe&?d6zS#{ z{Z^Pt%HZ#by)Fa3q z2pYsq!3Lk8Ds1`xuc7mfhx+m3_~-UHoWt3BW@oD?XDjP$N468P;*4L#otbk;sY_Z$ z^+kk~nX+etNVv?TJBPH8b-(_6|M~v!{dm1z@8|2Y46mT`RNtimq=@)GNr0`2nF)8? zDoZmZy^LcXw39Pot(W=loepWc6hC<7Ss%MgR`$yY#KLF6ii9y_qpi9C#s)wLh=}o_C*k+s0#TlSL5DC!PD{T%29`{e zZc5o1g1i@*=5WV zXB8E8zORk6Yz#Zw$v_y^bKnJyrDw}sD-)+wqdsnxJy{OZ_OZ#f$Gw7n22#yV@e&JY9y;pLEiTq?YKyj<4Iv{XR?vXpswvz z+mZon9x2D;H>(#N{O7_C_j-3-xcYnY&x}=V(0GJ}(%=G;{R+LlkbwYCVMMC#n>DW- zx}oUTkfl3j=}271C87GldvjzN)FVrw7OaVu!MW=)sE1x|@Yc>cFMfu}16R4Xw(&<$ za(*xB&U{66DQ{z5*H9dwNLGH_aJ^=&7E0?F#ZUW(RCt}BaC+!$_~WErkHal1*E=s2 zabl0D1Y^^>(jK{evPoFHqKW-}ysOFS1ZNScO~V8=vv@f2qCUyou#^nE|HUrpN)k@m z2}=lcR?+3MI9sgT@6&IM=gyPfHi=BIH?};{lrJS^Pl*v^JF%-nM2;mQH%%^*2-xNv z@#j#ZW(Dw?YV%Wt-OZZ3q6zV$4cCZ-jc)bmG^ZaR_E_TUYEP1oiR0&l(aDBSYC03ZhUt^}(JLBpDQWHw#cH^_KEXPcE86F~uxl_dqdrnk1#txU;(oK7f=^FN@GxLXR1dvRr2^>a<^s(Y-PTQA(8t0t46~c zm($1+;Wp*u%GCC;A#6r(kuxFelq2R8N)Z z_*P?aI)e<3>=x$n<?`$ubxNPBccn#N zy^KRP2V{_&Q13j8UG0z4Om9YY@RokbN!YcQEj?Cjy?Kf0>+D&FQf1X>*(9@dHLCA*)}Y2}DLRW^g3ot+g*IbrRnuj6r1 zhM8P{>0IKr$Ih-CbQ-D33z-51}mGeOC`*RgKgHc?wx#%3TsgN2&{71`uZX7p5#Q84#4<6 zhv)1)#GXtf4ovItj#`Q?1mt=gN77hNg&`$afiR4G@d&?9r8o~ilFlUm?}wI9 zc?r8PpnnsAJThS8)-3rGj$~|a!mo6l-GHC4T6?E0ZWy#`blxQ7PrQZ+9{ZZxDqhXR zqMGF6fx2k33A)t~K3KG`bVSBRBFAihuSVpi>meA|r7*d8w0uC}JcaEG) z`fK7vYX0Mx;7YtYcm~E~)sq+BfO{U(n&!2jYO!PSlPa}4@XeG%mSc?kF*Yv9E_ZrB_3qMesSt;- zpG5-$A=DAKGjAv}oXW;JH}Nmq>W-@Q*V%b&?(KQs>yRe@llGsCENk}J zfx6qI{g}ERjqJx#4OCV~J*pl^@|Ow7xEy&L+AncUR<_L2-L)YqpA(Rs-uW;6iNZJ+oxO>$0u;hhNd$Xd4Gt^Y%$ljf1ELDpbT&FMQE8;pim^jX<3a85A?;Ziv8% zu@h6JFp&jIRI1?+N)~pvo27Jy(FZ=w?Lba8vzTd7y_^p+(v1Tuoeb*pK#&lD5T0{z z>!q9w6RVb#+h$MAB~t7~F9tun=EHd?EPFxw%ei+Tv9~fE4+~vBi+}Ae>sfq+nRGjN zYU*&n64~<>Z9ZahF5wOjR^>)wyXl43R&A}*9#|V(Oaw=o=L>>0vS8-%u*t})vPqci z$~NwpPGal|cxVwegA!-#T<~$gRBZmj{xm&3R@g0 z+;GGGY-pIiwV6RIyujr^Qq<^Ui;-sHYV+-uq- z_WMB0$oV~r1pgcDiJjlUvF!S`YggjS9TTpiZNh2WiLBVT9itvyR-{lciv@W>@I<4pR zOx{qf4lbJHDy{Yc#P9R&E_vpvO}Knk28`iDP5PEd{-l+XZiAMBe#|bBh#&naDgi}OQI?jw>PPCv~ zS`n;?Hgwz5gfm1ty1fIzk?2InI}==pu5>qdf(Ox)?nNMY6Mg7seF=Uub`JSP4}udE_e6Q9s)Y6(w?b!2*d1K}C5k^cMzp^4Z`Z)qj85!>l6I|#3c zuj!p#gl=LFy|<6hPaL2R4iSclBlI_K3GayS>7!$W55#f$#7Dv;@e_S&nlM9T&_B-- z=7{t3g+;;=@e6(VD`AEBjm~5dR*7u-+B#u__?^D_gYc91i~jo$VT-s;C-3|v>=O6r z`v-(WB4ZqOT8|=)#~*mo_<0tKD8v^8jy_05g9iI+-y7DBOX!y1d1M7iH%>j^<{KSFtd$ z)K32Ea$x*hmp>O`Js$RwBb?vz$@F%m)!OLyC7fFrR;TcLetOOezc$88qMe6)TJXMm zwPBAsN=@Cj6sq={0w)4{BAptw(sMA95kJm8KTWFiq3T;u#fYZ6h1{O-UG@gbSw-rG z4(4RRV({OcM6oR%)W}dy?}HmucH_(2cX=>stT|#KyXw6TbtH+b*UiIbmbg;e=gAd- zI?u;De`at|d842CYwl1r?SBYp+Y28N$+c|T%Mp0k-X$iYRt7dhRwQp|G;pHUd$x3E zKIh=WyAv`FBM{Wwv&zc8&^gV4yM?{-nT>8Lmn-ZlS520kPf4uN;8P-R)PxE^yr$NX z(1bHP3@Pht=4Z%Nu>rr!?pv0Er(SYorBI-=Mk+lM8IfTf`?#@%CJ+Sin<>Zf2$gF@ zkX|Pg&coj?R^;q-FTQvS^;T3^N~WTVVNVvMO9a%lv0j|myFzXB1l)gn7_VfN-0kJY zjfza&Md56L2+=Edu5%nI`w9+6S$C`y{wyr8jeDu%)#RTC_ozmLd5>>{Y+{^Y4xpcX zIo39H>F?wkg%Y6Xe;7Ij`X#3P)2*`h@*jn)qC@m(=8ua&cdk_ zPH{X-$nFCrX=x&j0;&~V+!xGj;9qkLOZ{Q@EzSMfMO#5HKV5xLt-#5BY=pR4Q&$@xj7SrHe`^}KPh9v|zzIE^ zwF3dAu&2K|Su}%(aOm8p3_w~Bl~p?WJlKmz3+CL7E?{y!b3oW~H@jBmz9PrMg3WU5;J)DJJ{3T0t7*kU; zwM>K&>9?*~AH)&;%D?)`05zYyua2=FY@JL?r^Ov1m88c6Y03dHyn(>wqZa|PhMH_? zCf`okD)ekN6B=PdyPT4?dvzV(X+nb~q6&+-#k~b5VT$Q8;!NG5khG(4V!el=jY!e$ zG)3}bZTP*M`pzI>gPa!uSRlE2G{+@QJuc0sf6H@fDaS-dVoT&UwO>IR49H_nZK~ks z7V;CPsp^^6!8_W6dE+zVQ@|pqnw;My1YT(d>wUnXe_mx^glk4SA@l8Cl6mffXHOQE zs=(| z_4Fadi03hhJpwdL&CA4sgfjS)Vv{6z+2eqhw~BnvUyis&pov%#6uM-VgU}Fq`R5j< zeER96nF7bfeLOdjaf;D0X%9pQcpk(49HQ;$cR=3OKFWRfw6v*)t6Es6 zvSY1`GTf*3`+2rnV|zv3j8G9-6PA0+3IQYZFIaI-D%cHdjVJudvG~qdTr1@X zh~!yiSrP662)hwR3Lvt_&{I3BRdoPpT8mPIJMft(ZjpIx)2ps76H zEZ>m&Eqbe~nf$QYrr*1FywhPjXp*zXO1aw+Xg%kqd2ov2p{Aw?AxCw+mkmzmM`;Hp zrmaP6W~^U6wQw=NSs~-w!r}4x=j}d4uXz>yA_OYW?HYjLb4{V(QKa-@#Ty^XPc5U4 zTgL|yb@o(1syj7dy5y?yJ3K|7p)@Vz$wXWW(hp1HTGzJU#DY>o%{h&xBv&I%q;`WP z);LT1rg>kJ`XG|uv&;0ROa7%)U0D}d#(OJMO4==*gm9>BZknFI4=w#vz7in8xf$$l z8QE=ZH6E^*$hlF7)ph9&@T!y#?UUk632rcR-^Ci?uyd^4m2W#iO~iHfznxY^V- zp;UoyqbV;#W>||_yd1a$_x54)>j~D6g+rD|Q+mMUe=grs6-_D?h1!Ahe@+DSQ7fEX zW_VK*buw<`K1}KcQ@w*WDZVQi-DVFUE=bmFGK5tydp}o8b#VaH<8>HKyPpFvEM%7m zdutXq+KA+1_fNd}`~E2W%rw@14f8%yOm!WHy^mM1z~f&bx&W=E8-=W`f(dYbCbQfwI(8wLB?c6Btn4b zm;LzziU0E%TcwelFos-&sMy)41!RYzSUbWKDkyrYTw2;>Pmt;AbHuX=42#qufLvB+VVZXY3K3AcL~V_U;v!ma-*!-52de3f0!aP|*r~ zuZ(z&VQulpBd6p}Fq~zPF~{W2BkIh|i=HDBAa8zR-mFJb4Z@^no&4}kM=;2FoG^v~ zg7N7`d8jnjn(wP{oX~x7f$6szctPX?>u6O3>$zD=AuFh9ZA~PiTfIrhA&i$I&dk*m z#76!1VMxv^T`lW!lZLs*weKGquhl`qqVO8Zbu9Vi;N`}XSFhcYkJMOZ*nkzpBts;Z z&%+}Kl3$^nk@;K8zZK$aH07LCjtae|nN~#mDz-;x_yOk>6l8S)S)T&m$U1VULJ?05 zr`%`WflD#7`r)Fuoq_jkU!ls%4rK_$ensgkH8=sb}2Yto@~y_LBAaHBbY~lA39}PAw5?A*=`W$bB?s;%Riu0pqn8Z zEmus6E`@JaF-+Qr$})|rv%`Wrn^j3TtgSsm+J;tzPU1||6prnVbxc771M4+3?B?G{V8`d&b4js3oEBl`>`(~O)w%TpF7teqxBqPNJT zY%uewJ!Z4jrVZ|K^oH*k+k?I#t72q&;dFxW)wp*r-vT9LDkJ(hUgMwb0HBqze#&kA zS+4fA+&)$J*S#*%@aF~-rD~H3TK)$v>sop6cG>4jm}<1IYX5qR5JIN;mzQtj$T8s# z2L7l!&u;#l4f$0NaOdN`nu@{O4n#&T_^O^(fpnCTR`Y<%F*{$c_7Qb-$JRwe+5zN+ z?wQ>QeXTQW$SU-X;THHj-?3!0uhxs6xBAd%{SiF>M8vfc={*oe#sk>?KyC64cC34i zqa|;LUoel+c3~dmaP&?D4CMfLB90tq|%=-E}Z3zRZg+iJVhFlra zc#If`(orfqJLN65&({gmLh$ByX{ML9dyvQ|uxQGJ;;zI$%Fiurl?`cV?wecmW24P1 z(D?9r#u7~E5e%KQ+2iWTTYy4csrAb~%V;Ox^!KH4w$r|G$Z6jXt4xoPGX`+noYY#q#QqV9PHA5n15(DvMs4QNTmM6BkCknUBu{hvtD97OrqTgmVi1Tg&O`T1 zzdcwpeTk4{PU#d~$t8vu-tf^RvANU!##SMZzsM{4b9>ljrn>1#t$e+s5cAetH6`@0 z?dyK)QpBaLWu>LfKh%#|j)je10r7DIJ5Zo}-AdIT{VvFn=#i)D<;#5Kd2MQ>et3r} zcm&7-_LG7D)P?jSC)#6YL%<81okfwH`8o_RGkkY_bg~^V!Y!zDnJWv-&RF0z={q1? zFzp6EyP6B7Ooin@>Y^~_X}yll)^A(ohIwTBZ)~tt_(a5W^}WRT!CnuFtn-XrISs%* z{K(RkmbBlmzh~@8C;U8Y0rR!>LcW(y1x_2b?DH*T;O2#8bNrGhaU0dw8N&YfLFb`K z{l`4Id%%)sq>fe>h?NVq^6q*!E7 zpd-D97ykp1O8iR`DtKpiVo>6fV8O5Gyg0Y#YwVUTi{-lFdXDjZ!MpHW$9elt2NHb*VUa3^W5w*Vn{7DEAI;);Mt{d+UXZAxY-KQv-}(A!^> zlWmk5BD7BZ%h#;)(PJmwRUO-~>*|?riNapH-K{(XE~O7*8edroeChg4DM$;ImKkH0 zYHfO?cYR5Z7XJPd`k$siQF*~y&6Yoz&YLqK=VP3gHnT9EJ2h2?%8BKRdP$3th17|u zS*iTDXx;fZprv}PJaF$1Y+>C-2xs&7V;!tVd#EI95|mPEWqNdar=2GQ;N$kI;$bn- za^TV#b3q^RCHvC0RH$W0NKITAE!Q`RnjMkGxUmcgYLX4P^qTN6g9XXh>L){%w*N)y zIFKC}aqZJ4=W$HJqTb%zbDpFK1Q8fS zk^Zb-OrFgDSvibZS8bS)wPs4C?w3hhMQuP`aod|T58E~B&X$3Vm4)Q1A>=C=Xx{59 zG!IvN59o#k+_cPIyY3V>)U(GN>V^{c_z8wHo+0>{%Z{O=*#R4$%Ra!Eb7d2Op{XCr zCNo2sOJ44uZ`;mdA&F>Y$d!-g?mU~iVG@LQ5F32p_m+GTZIo;hB<(JFS|nwKV{Uii z;b{q!Hg-GcnE}Z-KinJJMyl#v&K~f zhRdl^pWr-e@n+vDvou$ZSE>F)TlEdb&;~uBZRp|?vXrOL{R)rYHBRu{DG}=zPj~)z zc{07QwJ=*z?WRD7WXaEq>qk#omRuVZ6DH=$wez*y*#Y581jw2Wk9tS!;!3u*G66sq zUiolc|JHe)I{dtM+voX$X^`@jY+`9O)tB)t)y!r?{aZjDsHDD~4{%!jxmm)=1Dqax z!ocq!$tpfN8<*nj0U2b=q4KWDZit^*G7&B7Y;VJb;&Hc~+}}cA64cyQi6V>=$MlDA zy*NE}maw`ScYY@Jor>xpY4S&jX(1-?YI^IPmv>9@Gu)oLJ1Av@QOTm8O8H-`|GR63 zLtf`#Mpo4-k^@_P+(jbGxkJzI;9r&8d;|bwS*xzh$OBIgbc?`E<|4bJiVLRq)S&`z zzJY^t=Q68LmGzZ*kE;f#hAVlg4r0$FijMe7^ZO-19zgh>8<~l)GY|n&)w@Yc_kw^3 z@3A~#{cg0w$4P^av#A({e(yXCkI zpThJb@5?CcCf3LFt{YD=;)0+XUGcuL?!e-)aw=|%ZpbT8XWQU`CF{%q@39Zr?Ke=VLKoj+1xk&Y)>juF zi$`mJAUsK{%6#7Fk|2tIUZqpifC`D6(!9Tz7$*qnCmJs;#s>(>hWw-iY(kz)Cz-bI z_xb&Fx+WJYFot@L7uJ4H7PsXSu)Y!P0y=MpP_5NR>D0$ocetfz}wrRNffKI->G+(c~8wt~xq4J_O}G(DsLi zeFATG6ErVt1u{;Z>rFZ$j6{Z~tQh|~tH@ub>TuD9{mFX}S*1=6>QtVcxGe6%Wtu3E z(G6-6QZ}ASB7gq%%h;|q?#YHc#jWN|>4GN^nOUq&#A*MKjkbT}WKv;D9p)_uES zEw}p7Vpc}wKUq0#-kkHuiD%DPZX6&<3S?kq_2AYA$`0^$o+!W?5_?j}h4&cb1xpcX z(1@sq3Yay}f8c|jR{xls+)9!jdmn*+@nj168+-ZMAD?1t~nXIVn_y*|JlvR%V$*l`%n9)gDeS7_=fZW<|9cn=Y2YYvBP2l?BSm@fA z#|GE^T0iud-j}>kj{t$OD z&p5KuF-tQJ4u)Gh=XM3H~b*R5!0%S5Gx4`j#(6aNM4bMS@cQmoAps|@F8yf%k zl)En5Be~4nT}5{B6lGH|Mq;Z^n!5w!IS~fWsn@NSPHE;3J=&L4qg3_#Bd4Fw|qq`?@4Tlk{a)W3MpFFZQ>$!44AH{)COac|*9{`*&D z+vh-EWi9W0gI+nG##|GH{&+XXlTTmX`&aMb>f3#CY9T4K(rLm)wrrpwZ8PHb4Bt;m zw*aOSoAPD_BclYa2e8G<_5 z^o2d-p}5*PZ!?%CwDPtQ5|z+k@SvHd1In)c{QR_38+y7ObTonI+$j2T+yFiI{?(tx z*=lmMvU1xFt$@kdo(OeM3Z}xW7i>-^D2O>9zK|S(Zx6fj4G4MMT!iM3J6J0# zB9YhQ=je$imNVBavRo#{SFil)cg7Ez1F`)Ec$PAW7D5Jk)%!qa;pfRL}c=v=&9B=Fgv&H zVi~3&@{1WycDLt3p67}{27%L@C=6w$A2$&~j%B)XLc)!8hA<}mi0D%&pi@{H*^a@r z3TwVVW84C7UBPf9baeIf4GfJq$Bt`jo6*MMr699R<|Z09gRw{d7vxitMXyuRTTnw-ZM~4yT}~#p?Cn1V~RsOgIc+n;>|}n?*2J!R{q~tU`jInJh;`;<4L4t&gyE|xX zB#0D_BKW%O`f33?c|}ja`UN>F9HAPZK`Wv0L*^{0z88#lt4R)NG||t&&B-)NPWyKRnVh5}TYoVLb}Z;J;{NyB)%>&i*I+Qk`NS^nXRrHVXXa0xUW|rL lZXT#=Jl*48AB44HXWLq3CKtkQG&(<^gFw=a%u*lF{{fGS->?7x diff --git a/palettec.map b/palettec.map index 8e0fac64..8cda150d 100755 --- a/palettec.map +++ b/palettec.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:51 Executable Image: palettec.exe creating a DOS executable @@ -33,7 +33,7 @@ wcpu_TEXT CODE AUTO 0000:5260 00000058 kitten_TEXT CODE AUTO 0000:5ad0 0000080a 16_hc_TEXT CODE AUTO 0000:62e0 0000158b timer_TEXT CODE AUTO 0000:7870 00000235 -_TEXT CODE AUTO 0000:7ab0 00007631 +_TEXT CODE AUTO 0000:7ab0 0000763f modex16_TEXT CODE AUTO 0f0f:0000 00002406 16text_TEXT CODE AUTO 0f0f:2410 0000010d 16_in13_DATA FAR_DATA AUTO 1161:0000 000001a4 @@ -140,8 +140,8 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a50* CA_Startup_ 0000:5aa0* CA_Shutdown_ 117c:0930* _finishcachebox -117c:0934* _drawcachebox -117c:0938* _updatecachebox +117c:0934* _updatecachebox +117c:0938* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b0c KITTENGETS 0000:5b6c* kittenopen_ @@ -188,7 +188,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f0f:01f4 VGAmodeX_ 0f0f:02ca+ modex__320x240_256__Enter_ -0f0f:032a+ modex__256x192_256__Enter_ +0f0f:032a+ modex__192x144_256__Enter_ 0f0f:038a* modexLeave_ 0f0f:03a2+ modexsetBaseXMode_ 0f0f:03e0* modexDefaultPage_ @@ -412,8 +412,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 0000:ae2c __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) 117c:052a ___iob -117c:1276 ___ClosedStreams -117c:127a ___OpenStreams +117c:1276 ___OpenStreams +117c:127a ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:ae70 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) @@ -547,75 +547,75 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c8f7 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:da5a _DoINTR_ +0000:da68 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:ddd3* sbrk_ -0000:dde3 __brk_ +0000:dde1* sbrk_ +0000:ddf1 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:de4b fsync_ +0000:de59 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:de50* _frealloc_ -0000:de50 realloc_ +0000:de5e* _frealloc_ +0000:de5e realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:df4a __setenvp_ -0000:e0d6 __freeenvp_ +0000:df58 __setenvp_ +0000:e0e4 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) 117c:06fe ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e134* _ismbblead_ +0000:e142* _ismbblead_ 117c:129c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e18e __mbinit_ +0000:e19c __mbinit_ 117c:0700 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e269 _mbdtoupper_ +0000:e277 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e277 toupper_ +0000:e285 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) 117c:0702 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) 117c:0704 ___Save8087 117c:0708 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e286 __GrabFP87_ +0000:e294 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e2b8* __init_8087_emu -0000:e2be __x87id +0000:e2c6* __init_8087_emu +0000:e2cc __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e31b wctomb_ +0000:e329 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e366+ utoa_ -0000:e407 itoa_ +0000:e374+ utoa_ +0000:e415 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e451 strupr_ +0000:e45f strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) 117c:070c ___EFG_printf 117c:0710* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e499 ulltoa_ -0000:e5d6* lltoa_ +0000:e4a7 ulltoa_ +0000:e5e4* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e647* _dos_close_ -0000:e655 _dos_commit_ +0000:e655* _dos_close_ +0000:e663 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e664* _msize_ -0000:e67f _fmsize_ +0000:e672* _msize_ +0000:e68d _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e690 __HeapManager_expand_ -0000:eb99 _nexpand_ +0000:e69e __HeapManager_expand_ +0000:eba7 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:ebec _fexpand_ -0000:ebec* _expand_ +0000:ebfa _fexpand_ +0000:ebfa* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ec21 clearenv_ +0000:ec2f clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ed56 __Init_FPE_handler_ -0000:ed8f __Fini_FPE_handler_ -0000:edc6* __FPEHandler +0000:ed64 __Init_FPE_handler_ +0000:ed9d __Fini_FPE_handler_ +0000:edd4* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f058 _bfree_ +0000:f066 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f07d _bexpand_ +0000:f08b _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) 117c:072a ___FPE_int @@ -635,4 +635,4 @@ gfx.lib Stack size: dac0 (56000.) Memory size: 00020830 (133168.) Entry point address: 0000:7c86 -Link time: 00:00.94 +Link time: 00:00.00 diff --git a/palettel.exe b/palettel.exe index 174e0dcc6756298c2ec2b3b18c6969002318eaa5..535c9f4c4254b00da79708100af8fe5120a8afa5 100755 GIT binary patch delta 35637 zcmW)mXFMB@^T)Fj1hGeq*n772UO^SH)mF4xvn1$OE3rjF>0Vl@Lx+}9D=iVbI;?8! z*)|arZBbPIzt{gB-0SY~J-GLM?sIP#ls60_x(DR}`~W}z2rw_s<+h3ZulWCv`CsM- zIi~(0is$2g{^aiiXDNuy`Ti76Ajh} zLS+!XhpXlOqmGcfN(wqOS-bM$B~91i-jDJ>bJZVQ_eIPrx_+{u6kxfO-Rq8Ant-xU za+eo&G)dIwK{y;k3;4YK)T>N7Wkp!1s;UFEnA*JN8B11Yq-R7A9isj&RGlVX(E+~cqv3pV`{t`SKTEwvr`XfDpxrn; z?1LP3Ek87WR%R_$3Fp;Q;By2Wr*xb_!0Akh@ zKrgw!dL74|NY=oC+!amMi6B(YxP{aLM$K16E^N<8q*@srr7w{>FYD@izSvuGkE)~c zcmJ=u#fy7FunmP|-~#K4Cs=KZRwOyF*`4VbHD=qC`j2omFV^$C?8X6(QcB#QZk6X< zw#2(86N6HP-^IxNc zIV@Q&kcYYk3*vEX{=T0(+PXc=$_$n2miRhYa(HBk>iQZ{@A$g{-hYn(-}Y{2A*2E$X~ z%02CI!yEgAXo{msT8MyPv#S#_EuI`Y&o|T46N2bEuXa;Pfhc8ZaYeDmUO(mP4n;2sH3w6432= zn$7GAw*{Il(e^g~U1^H+Qw462!&K1*c3+hO1tqxW21(4_QSIg@zxmAn^1aZcK)2fX zL-ZiI`?rm1pHnjv0OeWF#RUfRe56qHN*SuD2Wl?_=BUO>-6m4zG(Jf8%F8VYbdJe> zpCgO}m<7tzNc`34$|q+ou=fsU%tY%oTyMsSA0H>8zN8Hw+cEATGD72~E^c$})NO9O zQ7HX4SL_U{A+;lGzyvM-yG=6A5<#Qnf;oIm2 zaJeMG*hlHovF$#x|MqkA(>g2Hw?DUu#O=K-*K!gUw%P>YGH~jpJ6gttczFW z1<0fV>RReb5k2ZiFxdXAAh*yhqpCOy6H| zkM~GzVm*u{GQ&MOkjMz8&}JiARrns)W$eh{f43emSWVxPTu6(88u_D{Tn*x!)OL0s z;E{x)Eryrp-1lrzeDZ!kL3#uaRVLIoxLg&K25ldRHyL%TwR#TJtH@5ZhRTx+w* zNXM*x8+4aRR6LA%h%Hm*WBxJ~ayhd9fxOq^?_S)suK%O$!i}ZLjyI^sud_$Kw9`_g z#xJUS`{ru0{rlU0?oqHC7&{`F`N}zR+J-D9(Re*A7JcI;m2rGX;xgrE+CQ)foG(6B zNdM@SOT8DJ#nD0uCYmi|Irq+8yi^pmBethpx;I3)QBnETxr-HuK;)P%qW-#G9O3gIF5BRB3sx4RuLb@s#LN zmcw5sq^xmLyP$%qC$SzWo4_|yfL?P>b8iv6ycMU9KwI7ZeGe|Z!x4GJXXU*c-Is27 zEpEo4&rEXMwDi~}`F-w4m*;Wk2$!MJp&#JR(K@nqNUHN|vabAogVL^QbO*8SBsE#1e;i zcy;UUDm?yCa?k0ktkkD>7%rZ-78Jy4saG7oqR=FjhXap|ATGQ)QWG2hFIz=$As!tx zkt(SlZgimuAuX23ua5hC!^1iCftL)$1XoW8&k!@E20mRPIAZc8BkKmbi&ho5XC069 z<@P+OmnR$kB}xU>T0lLro5_^)D&$b%&EPCa=p%5fm@dX+PeR*l);JA#J%7&vr?LCS zg5w@$L<1U8!o}4s!dP}lm&&e{lt&+UpB%ldZ;3Oi+fcPE3DX&KriBLx{J6unh+Mor zIjB~(DX&@@YV~?BhgbddCko%A+oj`iL>AOyS_mIN3^ek%;$Yw^{H2?9Z{AM;`gq12 zalG#_RD~l*AsXJX&YJ#C!Ke%-R(pI`8$rccrq*LwX^$-Y=K?y-HVAd^{G)7vRu&Ez zZzUjH%>i`f%rQ7Qzaox95)kkZDH|K98)t=R?tX*ADSZ|M8J zJmuP@cE{p7NM)+(ee_GgY6x8BW)j#sQ+Mf*rU~Q5Lj3NXrrD|6&Bkaw z{JSLgu5roc?q9?`^7}kD*?c=!1=H0YvqCvi&leYsT%YW(L6J(lGH?I8BHJzve@v`P zTnj}kZ?-&SVVWoKNBIjV{Iwo<3CB@icZAj$l^#B~?Qs7nL90$OIK+{!W;`vJ^Fh?i z@QkTS{1R2yoAZt-ucIfhH|xMNRs1{OLW z#O%y-tOX=+$+VzXh$CFS?p352ad&Pn6PWA%s23@C^s(4SU{?@T^_Cn&Iy+`wR82~HmZ)tO{|93{Ddvd3B;E>7h_}rFCdUEFjVQWtqCR4%J5W)jq zzGU6~e9T9U0tkN$MiLcZxe_Tb>Fl(*M7mSJ6byVF?{Nd1wjJfuYwcp%405W7E5b{_vmmm~zXl1Qc`po&zxfC+`TWFRnH)q23B68Vp^S|o7Siz^Kx=xpk zd#~{1m%-lCvy;LF)3oMW0UPGPA<)>pgssGJVY&O2#?_C)AIg)k`uqG1~&^KYAxN~@Wu5y zEVsu}p(byX7_paxpjY#TJ(5@8j`>OlQU&1 znC+jX^dq>&O_A-B#JE$f?8UU`FHZ41V7GGGJ`O1BeU6u~9?oIxb9CC#sv`hP zwq~M-J@B!hkDSWye=Qog_FTo|=|)Q>mRwc}v6x;GHXVIVz8$L^ssGgcmIjPBWP&<; zOq3{rC`qGDb2tu&m-@^*by1itA2msTt&_Nd>5DP&4^5|+bCIbQHkes8v*1yMBjW@Q)Za$C3(=*CJj|k%132D z9MUnU;pn#Rkvc1w1Et68>SQ1uX|g2HdRFY~aG%bBubvlVXLG}>D_wWOJ5xi~!EjUc zHCv|%7_BYo@*JDywfsy05skXU;up4|&hl=lD~e_~g9hf9)wZ!>%NI2)+FoM=i8#8q zu=~C0Jx`Qf>=URh_EoWit^Z46?psod|5Mw1Llqv^9X?|h1zU;PU zPsCxpZCe+$W6anB8J32(iwM&_YSTLVaI9X(JDXNH9@mA@FQ!(1Qlv5&b@}={HW96OkHmT zSBR=4tau$k6%OUv{jEOwN;K?fi9)^s$D7;4Kz?sMEWUOtrK0I3Sod4(m(~v7wrD!A zxm>8vKfLJMximwH<33SyV)en7##Qw=oiXm>XqUlc_R>Z(7fNP|U&J2del#o}AiTLy zO%jXPcGYy=8nR6e3pyfTuFHGNLoWl^C&cvMz7GB>`ojNuLHB|~?NyzVS5-#W_p*On z4es2Hx%zYhNH;N5dX5z8vb?wLu_wAzHSZ={0`O_zb#53qVy{FzrxGI6<~nL@y~VUk zxOxTHB#l!rjW)hn=F`Kh&?=gnFloUmwF#)vcDatjx%SJ&v|ksGye`9}Jkr1js!b>w zV=Aw4DRy%9Lkm|meyk#-y3^vTmLJ~1%K^IrY%1)}G^_3U?-BD@^G5f|m;j+`4Ps7l z%4cLnl#5m2D)qz`wK)nl?q{W;&}UNDI9^MxPRRp#h6=phwdL{KVc-jw^;u+~uNgg!2CJKXEn%hL*b zV2DTvsHEy7ycgoLsuQL-wS4r%7Z>-l+z(viAf7~UAP;duVRxDFAG_zU8mwoc59nkb z5Q~_k{qodiUr1r<4cna5>(<%VXip(82kW{!+iR7n zPLQ1nVv0$coBREvb?Oz_+g?z1CTQ@{=MJdbgOoMPG%MKJL%aoqR?YsX5a|YPO>kQ3 z0P(}vCa~4^KqXV&xr`fXx2Gi*dk2p+pkQK{ujHL5%j#%_xhsQ}J1O zDN$)rldyv3i|qqcHEZ54FH%oqh#VtM1iKg=-?$BEH&ru+X0$`>58>@52!icWyNx75 zw*6b)ia@)0*_Ui)`^y45<}GbI=930HhU95fGAXZ9wm#3|)nFRGO+b%FIU@AtR=dC$NLDhovy)p<-8Zi8<;P zh2&mwj^@}orEC?F=0h(7)b;}a08yo-=Bke2-n|>B!WG2PDqw((W-AZ@QQV8>Wuuz` zLi~XCWXY%FFtLcxO(@`i2nSUZd91Bga&3sG z-wh>+)>Ik%XI%#D6dLszBwCw|ro#x!+h(0<^Ahj<3ufwA>P)vIqAr(;Du(5ru9?|c zi>sR(Op)(Kg24DKoVB%(EuuA8UXw!dX#0ZhQfZ)L9DV|X_J~intCb*=Tn)7={PVUr zZ*pc@4H{iEQ4s-cahmOdafBQc! z3(3g+3(*Yk6#%ve@Ay!%+H3&h1L--(Zq&<9ATIo8t)pN-`cJ;UJvin|u*zfqh%5=- zsJ~ar^m*a~PY?%$70047V0i%kZEC-t?;m#WVZ>iED;22oBaKi;;qPPX%%jEfMM?GB zg|`3+md3BeSYs>2S#T6Rf9j%~NUlU$97xBvE}JAA-KnHb;CZYJP1B+QpI+H3S1l{l zTU+Ja)hk}NVEMDYkmo!U5xY_=D%g0HxUH2nRw;iJ(X@CGN}c2zavq-cL?dRJev{xC zir*|!d6aI?jjJe(?3K^%YG6!Kda-SrUldq6y?|ZZ2EVBEx4i+|s_a;%`48(K-b<@t zd&|bs|FK5>)7>tbWA~<_GPnzt1_RJi`6=$#e>M>hb;UA*J~39}w|Ff8fd8qhtdoS( z@Gq4_?6o1WG5tv4DJ~v!GBPeQ`9aK^f2d~rQ&I+sUNM90=SoW`k^bbCh=9;J*Q6UQ z3cxlTzd+*>cwzmt?Hq6rx#L&bVW3j?Ykp6E%4nMy?5SQ>5)ap~4IX2?DRhXARD9{z zt)t{RF#>z?(k{pf(~%}Eu-I}o;4!yGZS@?{fNoddFo%|-+}m2>Qc6j&6zJ`LrT4bE zjW)p+@|CH^A-kkGAGR34qNxCl?-H1W0TAkO3%d@$2%=f7B4!x|Shg+dx;U*v1oK3E zrr0ESfpbA>p@hGA)(Oc7tM)Ad(tuu;+G!Jtwc&QAA&w;sLABNA_;lrC-|%3G$*&HB z%|syKItq0*`X(}mf;MF>K)|3#%>H7%0`k|0bj}osmYw25`|OjB2|?_4TW6j{)(7xT z4)Zn-43~ofDCI!5Y|6}pU}+fh$>eUH(y3&MEKH~F@ir?nyw1)Qx*fws$bxHd21?5r1)q3`@oLSM z*)hMHeMRiDmA#+&oPxdATOJG*aqo?S!+?>M2A8H1tqaNmgs7zIh7ti$#=DX6m7%uL z=e_nm@&c41&>;w-$8jH=o$o&vz;Qbd=U=)Ze9zG`g_{-fz$)P{xl1bGy@+6(c*)d9 zZ;3W-s}i1f7rT+vk(Ts5Xvxn4p+ORV{e1>`wO+e&YC-`Ty|h4H9R$-2fn!{G`zZkw z5a4-`Yn^{JmrFQCtPi0yeLuuix`WT*%{%Mtu<2HRw6nrFsY>_=g)+xGdGNFal;9@0j+`(C%5F&cltuG&nbs8%1XBNbrJ(l?f*hXra ztS0VrI$MfDrBVy0dRV8gKK9#w4Vo~uz#Q?*-gqLnT?{9#S0VM62Qzx=Enc*?KLWI= zq;1LQ%D7KDjGNfulOgUhk%v04h_jli3{rOo4}U-!wJWf?wFy z+>>}H(6)aq*t?`uHb-|JNIN7hvfiMo=4EZZM|zK_(=)X%Lo22|YJtZlc)Eb-ys z>O{&?_8@TrEkF8l>-H-93^$5-EfMiKt>7N$z1!);h^bhfrr_^{zYG!Sz9X#G@VsyXQ9AyDdTt+=Q*R}OPy zk)8d*OlZRWrwqU5?LQs6G@99~O|c}A3uoGrL`sPFb{7w+!xu+}K{NFyN$nkiO8D>f z<_^(5&h5?Qz^RMm9C;kTd z7rH&Tn;SerY<=s?5Py;fLkAmW+Fjs1OXm86l3#%IlAr}nkJG+ESgKO48hyf6vrS4JnM*a^F>hS{RJ6;nBNw3 z)l(&Ytj{u@L{3K{!C*w)?Kq<3WC52XL%knrY`39gUx#vrbA!!i#uH_zpRq>@J-jPZa}V!S`bO4~35A zujn~{P^ABm`6hMBOhv(t7~6N_1Np18f#^5D+l4IEw$O_nPxdz8wF|FIuSR8MIo95z zq$)_KS@Ku@%jXg&ds>jakm_H8mb?u7`Wbi6rck@W3wxX~*K6lfrGQYSo@5eF=yp}g zG;}+kE_(O68E8lGMYGI~w4_Y75TV;<2=%z_ny1IW4X)PTUyt!nqSOE@cY>?KBBA>A zBYS|pInwhnxY}}bx@UnBVeua4%i!pj8Oeh1${49SriXFrF|PK@-pj~f7uSq9X~P;j zSrY}&^^=~L=%zAc@Rox~5XFqQnJZmptB_2(wf5BwwS+}hL>d{?`vSyv{jN*8>*NvI zT-VhNTF`!`&&r4_)eXf>(+aMXJVGxaD3p3l(LW`! zag#)~_%<8MeRP%~`p?r1qvQ3aKH7QRDYhPwoCb79aYbO0nQB>B!iR_eXwL9COR<$sm95*?XPV%!)l2~qXZ z%{c)0`8YWOAZn+50Wv~e06>`12VTgLH)7E3Oy1UbKYh`!mgjxWCY^ft)~{p6+sO%_ z6AjQYkKw7~<@ciX@>mVw{Ie1!r>%Isr`!@kGb7XD*|Uj2sV+z!5L#q>%G5B;(Iz36 zM`z!I7!#SIt-(x;(TTJIPbMa10wj;9nWx4c_+bLj^~MhYeeYz&{a;Bd72 zj)uye_0I4aZr@r11XaQBIeVzSs~m-2Pm&u3PRx+|HGWmdK9H~a8Y=tVny^?{=ak&W zj1beW5fA*!>X9u&FCvLMOobr>hTGzS(3Mu=r7|%)Sj+!F?`c$q-S17$2RK#vbx^8| zS({L}$?$opsss$VN#z`er8tLK`3w%oQ!@VCReY-N%POZ;%D2Uc^Q(7;Y&M`d{24OXt5-St>EZql-)88r!{vAqAmL;JR`~R^`Y;Qz!ogdU z^8S4u0dCA6T^;&y#I=KFXE3ES&378_uV1YC74`CR`0lf#!-?D2CdQXEqS2f|WAh65 zoTAiXBi$(CowiV@LB`NgPTjz|M8$ppmyLf8>_2}*E=4x%HF-m-qFuI^^YGxs%-arO zOvOj!wrHk(731EKmGz~=H)lK0j#(>w)h0)^jqa+iH}%1cOhsiyfyb$8=naY`CFJXg zd5)1uy)b87WsHx-8CE+@Of>9sl4^j~>II1&`?wHyNF0~JYknNMPLRQ@FJ(%AU3;(e}gnZHh>tS5~rWca1}k?&2v=Lr=c1|YnMWyP%T zpGMVs58kJLGf*2PwgF4ZZBLM4Guyp@nIlAC>-gV(JzbM-@+TfwiIr&W|6MXu+G$!iIs`mgjSMxa$;Nj&POfK@=GL$+D2 z^jbfNM0^iV3a$TsvP#Ew3cFm@uk^88cK{3z`-q5q3eg0-L7%~O>eJm)_hzGTI@8w2 z*Fu^pqfwKm)KlD}Ef(;gEb8ffook{Pr}?YV^z0HWWOk%*I#*K2?-|$2ZlOmUbO{-w;NwHrGTyck zp%BF|j#$4k`bkFU-G4l!b5@mVB{|BY3VfgO%l5DLeRsjXPfUK^OyoVh4c=JtG794j&wZ@O^;tBb@A zFkX%To!=vp`uka_D27xv^@GudAS!!==Q-X z?RCSN3IIM#dtIZJ(hbM0XpDp8A=f;^F5^tb4Ad_}RJnX!7A!iaWXgkK31^V&j%xoK zuDm`2uj$Fp6=}2#%YTzQB618?6{SZ50hB9x@4bH(^6P{wfKtJ4i>NS5mq+?#E!aT- z_7!*IKrE`ss)U9uf5nY5dE)w_Eh9q`_^K0NU zoz5ViPo(Ru;G)a#=-&0R^mawnsyYa-^~tR{Rn=11Yj{9VzE-8QL+5-4@ErqA9^Ch& zVVtGT@Y7FGDqikMm7~f$$3FfSO|yJ+ho`b^zk^(SMPXhCoO?=-2nu;CYzb*!nkb_w zKWNpUD)CTBB6GGX;OS0L{}PWi5U&Bx5XBcZ5Tv+Eo3O1V@B_t4HW8iXw>FwV;D1F) zza&a0v`BE3Q$J}euvC8QPp3`^h?8bpl0J6t&fG%c?>jk2u`AiMJitW@ln*Q_qM}fx z*=NopO$&m)`hW;WU+`i5S@BQO_2EOz%!h5<$$y01Y19T-7@=aYj>yvCEBXk;bYncRki%2)N$CoJoj~e^;uAv34N+n#zZoEN`K6(w&|DvLQF9sonfoMS-=6snfLht z@ztJxQcF+T-W~-H;XEpc1E?Eho*Lu}j%Q9ms^vJlr5q!60cO3QHK08i!w~#P!pi5? z=%Dtl25|-cMG1YahZQ7JK+ zh5LGj9sm(J#{Zm;7m$!HQ76PQuO#|`T$bwF(r>U`xODdOj+b=6&cqIPDt7_^?#dk& zChSbewfYbziUs(g@}sOJ@F>+Fxk|Vx4F+BZ0B&=EgN=xf3i`{>231mvJzo}b10wNJ zNeLK-O+5!KEvJrLZ$hGu@8X$+nCe|?T?|gMJhC{owtXe}e9BYD4vad{K?CDcd|q{Z zUmN3^7^OrsFf%pPG2GYJH`LVx5HTKp$B$zYWK!$367BZM(J?M3qq1$AJd%*js3bzH zL-7Y!r}VP`e%_A##!lMdh*)lyAZe*NkSvELs4h|E5T^0dLafrMk4s`5C@5ugc;yr@ z$G8zWH%zdh2=GVKi3eK!7Q-q0={H-dFM?A*Q-9<|lPQy0^FV`l6xtzo#1{5v63nda zKG9ri$ZULY%tUOi^q{XJ8&K>Xj9B9y)M0+TEFo}P+De2HR;*|8$kuApWS9n2Z^Z7` z!$VE_vuB=-`m;u=4|r$Ai&XjMJU&~3Q&s{?<(_gbZodN%fk_Gc7!1v7K{%Ux0c2`I zP$OL!f>`OPeFSoPWUPck>C(cs(?|?c1Z7+gndY%f)Z5Me#?3vX#X^-p|GgmWA%6bX z_5zC~z#l&6Z?E2*Pt$^urgY9sb16L!#Gx3~qrAUaw_3xsJIdf%l+W$wem$$RlbGX? zY-uA_ClL`;0jYIpH5ztZRz67qdSq?ikFwg*DwC)r@LL7i!rgoKQG4OWo6k5w%>#Og zHFK8HDsj67cmVwMDiCjh(>_~Z;_}v8e9s5xP5nGu)b{4$6x!-ztASqjcYBw|xOjeCCGi`iabKlJ{5wSQ0d6L-O^}=ULOZbW z_*qnEG^cBD(CoRlsgSORKk8~VD$zhd{Mte7HPB9r3)CBzPW3-DIa8-!@ige}bMMm- zU5_l}&1B#ApBC28YTu8?R~iJVJqi5{%21V!Ns$l zNDy^-p9#f?#kESH#J2wkJM-p$%|WSha}UzdVf{Y6w!-f-s}&@_S4&Pk_ZP|BHZ!0+ zZ;}<@#qWB(af6mxSsWlYEm(eO0^~P(5|@>tSC(PRe79E35LUnTeIunCB(Ct5Pl*ad z6r(Z@1(bm_BbN)KwHQb%r@>N6A8ZSUm8Gi7D4Z0?Q`<7&my!OlCir=_2(J- z;&qF=-cY8Heq(}S;Nd47ZLhbXS8$gdlcGU8i>>7_U%f$ae_%Rfc+jV}(Fk6{bYLWo zk|*UvdtC(S*`H%Zg_ErA&be5Ka_q7Lu-3v=T|vsiozc5)EapA>wSu}ZwG*xj@0Uso*hTY z=3EaN{0X1BQuv-d=;uE{q^yIdL|pBySDn< zqw^$A%86m+9l49R0J*`mUm|J%s ze4=~3sm><3fAv{VmaW*M7J0nsediwiwle#;ZT+w%1GkZZqq#cDW_qe zzPVa}(>}qmKGA!e7)0|i`^!sx9-qW;@4l|UxE6QC5F=|LK+{R*)iQaPSU?us;cRfk zG#Hk$l6|I)01=N27+XkiQ{a~ihmChk+RDMk&m>L_ z)0{$n10KV0g8M^4nhg)W{SOX`?<)%F$`r{cZkW|5QHY`<{dP5Q_xT4j9-=K@JGpqm zpCEfuAZ@-_rW7%J{(*o3mQWj4ApM8%9$1WX=6?^W1H3y4(rU!IbD8yvOB%AJ9+cDvkNC;{i+aI-~NtJ7#dhaVmpeh&;jJmvMC@iX^u8@qp2?b)W2-WAe!BCysyA)S|X z>M&Ji0WGU|Vb2!t=~&tJ)O}}FTn=%Aw!)I0R^uw_nqBy=qge59tn0bPSWq=UrGvI| ztF58Og#;ujCtTaKJw?#)!CU8dd^fj@O05!2h@zMJKn%nSM%Ha?IejeyhRKy#vZ^G( zsY)7(=aJge>}P`FEXC;->&3IB!8#E0zW(I@p-he@G#7UN(Rj8%w7$Mngdr4TW3IKS zUlNQvnCF2PD=y@RmhMD%PRWxHy1~u1f}o=!s1gjlKi)Y!xn{| zGU_)ZcbyBmvCEz z3iLIZeqTWiiM@}7shH0M#O`l=I1K_S_mKK2YoT6K+%?7zU;ejKhKKdY)V<<3z7gB= zTU;pT7OuOow&CDXY~G$aD*5a8bwNz7%}HR8)bd)yGvRv3p=~`UZHRF|9KLi^^u@ir zF|@)?U0IF|>&AMSicZ`@#17sl*qWMnz-e7@2*Y0&I+R?nvw9WKgrsXviBGCdSJepY zE{W>Q#q#}cA+RI4IoMYtkdJhyMxt+l{2duy3Qhsp7@$}VyPbD%)z-hebN^lGkF ze-_1he5f{#xU6piP%9_SWUomjd+hCt_*2|71A5_S-;z!PZH?-2^adT`XtBIdfZ%7r~n`+Mw?Sx+r@0iHkG8nMWMed@ky(HGYC-=)V) zGHJ+2mm=fVRk9i^`??wr=!t4Hx_`7pY9E0QpusZL-mNy2opJdf?R>3z)fUrd{_z*+ zR6|CcqIeX0wXB6FA|WLvVJ#t%U(Rbm;_O}H$s8?A!IhIipSfNaaTVD-`d`qBD~Dck z#m~g=y|`zwrAfSaUPVRMI_$({qaM%BLl+bHQ2uXg7ZbS!PJODhwPKx8;(lPs?}>*( zCPM|=Qgm}!OE)2{&sUOHe1wcLQg-||pO~QJc?M5X$|BXcE{>~W;Jd3dqh26}ie*|W+pvh4GNgO|CwQ{)}O7{$Z7Qu5XD_D$sV5v<23sYFpE=Nf2x$Z=#D>T+^Jw3-sSBB=*|{ z4{PxKl4|*Cq-fRG@rZ>Zd3QXy!V*1Ug^92dN{v0Y>R2;nkg7;BFw8r22)_|}%Bb@S zAA%#UVeBjx84xVSi{R31@T&3&MiRG1^l!_*2LU1m7k?;8TRob8qjP+Luli#3j1X{} zGsMot8zbMz{rHj7j^Z_ESTXJK#G17pyyzF3=m_mZ)6UyH9|MWO3b)3{zXJ0}KZEyJ z=l|ig^-&&bX0>(LzcU!L$Hx6VZ}N%)0rOIZy4#q>9|~mulgC zO)BQ97E~nopC~Lib_Exq55GXcuQsNi)K(J*ycNuI7J9X*803NB|BY>)*IAVDZWTu-kTyy z*+4ff&TBDAyO~wiiVWW!u;f9+wdz6_&gvVEI-kB<#CY=h5Bbf4x{TjON;dL@ZZ=(> z3t5@^aDiwN70qSOhjV?7dxyMP25Gwq_*6u}aW5lq;MB5z5Z>G_h|W_4gRc>&sotvB zMhYH8L9c3pq!2t%xAfS5cHmvMAcjmg%(Fd~qmZQb3GFoY^6Rf7!gKr!3>Zkh4Fs@@ zgw8)ipYzMOG}--^FW;g}#IDQeRm~^cYkKR-#EA0O)G=3AE=8_IxT;MUY)q0dhF|sa z9MXdc{&4c)d7t%-tj9;W2=Y%lcOiDE$k%@0HqI~CWJo5oHXd6V(RHgked)H*^b99a zzFy#1n~fjAKH>=Lr*gJex=PtZ&wHc4a}Q*rA(w147=*e8m1JiX!mr*68;c>GVcHgl zSd50(D)(AhU1E~?O=VSp^~$=+oGmRi&{enQJ+Uh)DB+l%PrQC#;zY0-XGD*=KfqlP zBOZ&Z0UqF^YHSIS{7wc>KRH$PoOv6z-e_~Ow%9AYxhPgO($wHXWSWe<{fNbvg_IK# zM^Qz$K|=yM+{I4!%Bo%2T8%?D{mnuQF$vOq<>erV*v}E>D5LVHy1y%oWsP$rIQb1Z z!_~U+li6*(EAF&xu`^Of;+xXwRlX%M>;>UZ7_A?FETzvrtSZH;Izy`|mn#(M*;>Ef zdTdE`dg;Cv>LlHJs{O?w9l}oJ3b4D60h3#w3)NP*iQGNvW^eUJwFn;j1M;?v*#ERj z$uHi9E0*hJhka$bKKFr-5GX8~8hRUod9_x^UhIYYB&=|Nq( z@8cjb{Mz?s1sfVk-+m?y@i6g^;7;SH^eQ@^>l@=ip-!MUp_l)|WEz&gVCEIqa|sY@ z8~eKzcMNuPX=;(+?YGeAa@2%)7uHfkH%b*Al=;2eFwgV&sNH*$Ta9+r(kcHTQepY8 zd%H&GtBNCbG+oF}>7NiO!Xo(f>_lFG1J}fB22*H?@Zr(g6q({@row#)8Pfs)bTJ8 zYpC+!qv&n3-L%u{Ij5u~SE!6n+_~qkx^CwH+b6}Jb0g+>9e9Fo5`rBZfnUszxXoN( ziE~(8KD?1!fd;d~G~AU)GL~lzxDh>{PUY~fW^%ql-8*~UhD{&KEM7hb>}u$vbx_g- z%4!wCm6p_#Ay#cMP#BTq^}?R^dmGw#3Z`mW>dYwdK`QW`S{eR(cvik3@J+XTu&6*c zGze?b8(BuDtExZOY4=Y)PFD`PJI9qmg{XtXf>_ZYnLihPU zoP#nJ8q6Ure@g}^r@biwa79gxv(J^&iz_4c^sV#uoRpUq zKTqe@!Uao7bKibPd|qjumU^rHgAZ4MVl_Nb0}{c#;1N5O^Hh&|5Kq$)Bx-9PO7Sy# zd=4UM|1`0Z@0H5b3&33ELoD17ziI7dE~{=ZDdA zxph4jq^2UVC5o%KgJ9%^V}Isl6djvPa{9p7K{*3E(vxeN1$;*^M zLTKA&Q{Q;S>qKS?cTRo6?7E<-)2M94qM^66pPX;j5Da<#@1*c^xgV2dWlRe}j~9GL zhK13qie(p7X$x8shVv@=XXTjj`O3u4Y45ioxxu%`=CxQqyd<@kzpMYlojWU=wTPsK zJ-8D^oCI#;7bUls4F>$q>J!@Mqw?#7OTEKRXP8v8$2 ztzzG5a6?oO1*paO(lD^7sJbNSO#N8pml{qh^WEf1HGcv{3@RK-EQyP&(W_dGiqkKP zVMj%(aeJvk0Y(3=E|OkxpscY5K>h6IrvQZ#T+B7t=Lhv%@!PqxgV zFyE)ixO<{`_UoNo$()>m!1)$^D0r%DIOc?>GmVkp(YN2)YgZ-lA4S}-R-^WK5({dk zA7@rAbc$@Bl6#q$LF5ecR7;qj;IUf-1(oM}H5H4armEF~L?gYc<#an0sw#&HHU8kJ zOnFZ@o*Y|ahqg9b?EgQ8&ifGxH;&`C@0@kGv-iq4Gc$XaviII4S#gI7cZl2>X;Czc z>We5YTiLUV$Ovb*jIGk=cng+e?G6*`-$-jW`@aWCs`qn(*D9?!Obdcx}EVU z)|LcBmJdvKbUDs}BqNfJ;*LYxko@Eam=>vQXQDg+Phv{Dw2}!0;+&+MM9l^(LYVmo z2s#9Ul!HbdAV0kPAguKZznnSLUGIjDmoL{HA;xXK@q_689>W*QZn_{(IR=a~U6VKB zL7rFKt?gTE85Fkh!oFrw!>f^A{m%4V?c8`k+T;dEBBd+z4~fgNA+^Am^t%f<_KgX| zM}qlC=z6{GDrxIT(B&DD4~Xy$aYZq3wqD)>55_Fm>rUbgz(i=|7F5seuPVVIHv3ef z9h+Xf3L2qj^%7w`YlYyRMj}7+PYtBM{R~hXxMHT#Ij_8M6)=Aq6#wk!4-W5#>QmP^ zc<&o8#R(%Z1{ai{cI_`5=}yH;Ul`U78C{M-#@S~r2?KwJ#+L*CDT)3}KRSHVxZ4`f z2sAtNRtJ}SRj0vbPfchsRz9+xA2?)9<7UO9Y&J3>g8!8_MlfCl>7f@GeSa*79J8(@ zZw(ia-pdyWD}v0sPGM+Oa6+0P45JKOXQeyY%`ttUcMcG;)=^X8j~?x z?oVMty^^?cM;#8q@nHfqpRhzqu{hY~VC-j>OmmigWv%ZPpRc1Kb7EYocU2Xf<_u@I zKRGXP7+EI^mKUx`V^ng_JU{n{30i;O{8-y9Wz4oFa6gxja?Xp5U>Za<2FN|@kjBD!gK`udtV7!PV7Ph|- z4&WOUOB**o`T)YOXc_^B6$nCqq%%>uyuTuYvc$fGFf?L7eO(83H{fI8GJu6M^ZgJe zGcZiN+r`b=>o=I{|4Y+;tzGPK9oC_3qO%o6-~e*L$(ApUB_UwV$g^Jt>&ci_*3rd5 zPjJ~Np=)eWe&kUr5X0o^*;XB01%|B`5V$_zNP>}XzK~~)RCnReG_j39AXHajvXV=% zb6PGk=UL+c3G52x>uo@Hl}!|HH3~hFhf5Lm1x9qc6Ir-U%5{Im{vFOIH}mkN8WWDh zj#bl{*S*n8hV@BrPTUwcPDST?guwCU*t{Nu-tFMz5ATa z17NXR_M8;|kr9xSTRZi>cIr%+2DBGJ z1h2$GUF8mwSxH+uqJ1k7pa)T-MTCpBmjeKXY)%eRc%u&PERLft?vftv{%9Y#K}Wtb zvQuWoBr)5`YFx9`Zyv_=q1m@al*tFpo7!>WC5FgDimS6)=q#Za=*ve@60%IO1WA** zsQ04umq%mmk?>ZXsHT(50b0r-GPaYKXiWW3kO*UJo$(TjVZAXAXzO7RHd9XKg>Za1 z4|o9!I0T)@?E3`)G5S?{>AB2sd$C}#H75rTqs$??_2F3NXnTgwrO14G#@TQ2%^6ok z&nPFvLJ!@BF2SMn27aFnMzA~#|5NPNLN|*H>B*se6At_go+z0rxGB#rL=#m6bI_Ea ztfy9?C4D45hvJgTK0-`s1zWTw(rhTS;svSiR)59+!St`l}St}FqR9t%8oLsH>a zU(HpR+kHv)&quafIj(fxh`WxFB!qqb7yG7xP)_!prd7@h=E$5`;Q7ufms;B2Hj}hY z5VXK`bP2{?2M*)Wm2OKmF(od&dQv=4dYnX?VCUKgL`T1X5%QCFq-N2`7W!-#PwZfQJe+HWvYFBSdpdGF}rh86bmp$JkJQ+l{>Pr+_4a#CU*~$`Ve=a zqhbBs3Yba)=KeLa=BCIBQJS;Uf!kj}=dMmxDCy4ONcg(>|&yQ=EZGh6X>4rcf;^dQ*O6X1ow6+DA zdt1y8Px7bT=>KRbgfsUXdh)EPNrD;4wX$*YLKlACz2Q$eZC=a| zxO&JHEHo`3^P~ZYql)KQ3Z@=!l}v)ae05BJ%qc@@|+@ zB?^+W2U~ zQ6A*|YiE zexcimklkfvyBzbabaRUt1}_u?ypTRl(5u6n)Gd&B5FV|r6)FjgdZ(c~-Pgrk8lt;# zUEEWoO5=e?K5t;q>IgQzt+cvcZ&CTd&sSHwz-#%sU4of30S^byX~K&F*Tdx)tmOE! z26aB+UQw^VKQ6k++`A#8=B>OV#LYP}qlq*$IN~e0r}0h72oZ(bIySjNp-H zyA`gMV@fHPtc5Ms+}_Ym6u}Lm(t7`_z?7T!wSHg5Dulkp3FaD*F>7Aw-6L^ro(ppd zIabcfvGEjQf4=1jg5a;p>MZ*#?MviYjx0ZGLwHVN{)ed-&R;-c9BcIU9pLKtybwV`iio{J0bhl!kHGD{$G83*4 z2v@YYBhO(K#$IGgJrMO|Y;z)`F25K`tQ7B?y~E z{+_sItI%lP$|J^hc0GcM8Zh`+c=jVbv)eN{Qwt*sa5!>kzjmHzh=lHgV+{1|{U#|K z447AU3{#%M)ASUUIVSj4K&n`=T8TU`^kmfNA&&j^mB;HAi*;UuF&YFYW0ZKn^DFKn zV*nGU%2*<>yfeQLU)RcAS1&(qO0^W?2Meylv)=jY1J33pmCV8-f6T+4U4GV&ek#P6 zP4QX#qSXfV^X<@RGPGamRHs(!YXC1jUtER(0n)p5@Z;3sL4%f{!-GMhU$2^cClBJEBAcO zCmEH@t9K`Ub@qu%^&Fg?^oKH=$ud}@J@b)?_U!HhuC%c`Wqr3riJagx?NIMKt(nlL zt3DAWm1QjN1FK|L!&qd-TeDe7-c_Kpc^~jR^k{iktZ-(_W#NqoiC)nqRY<1OgBM*= zEWZe?L%B37A&yg~gJpNYP^%evH!YFbk^l~WNC$XTXBos8|NHm77BqX_s)JnO?!H8?E+&{M}df{&(CYw1RO>Mb-L=mRtE|_$4 zyI?D&7m)F@Y}7enntac!<5KTabG1Rqw}dH-fu_SlmFv#QhVZ7<$42y}!xHJ5lJcR~ zW;J|#q1$ilXe2}aGC9&|PA_USuRJkLnpL%g_Hl_97E%V3l*(X6e^Jc%msoHh;_K~? zT&B#Pp0rCc;m2&Q4?6Ze<%K0hlD3nm^$*3$bmDP*d;{F7PnJ%x<<%6N0QPU72;Jwk z!8zRsyK3*=&nGOyvCse;=GGReled9g%|Sh^Q5{7h4m_QBQzB(Lfz|eJgZ$N5Joi15 zpX9#uPKO5!4)Fo}iNgPTNAH zKjMBlx~Yg~Em1qNsMX0;)dvvn#hNikx)+;}mWLR*(>i5ez+O?45q#M$*W)u6FZ#@zT8N<4A|Kx%_;vx`(lT3o3OhjFc&qnh;H*X`UjGq5=Q?ZDl9FG_ zX}*pKdCxfpC4Rx=al}d5ZuIAzAWBc|<6m7c6>Ya#Q}{D>kFJO8$?=43alB2~ZR8{% z{Ai4&8z%(NbdGCiA5|yjWA;k+o!w*A#CiTKNc<-ZbWFO;WZ(6hNy0X|3k|{+@m&gA z%{e8IlU#aGSDuZ_^$#=HZ!&2)vI{`?kfRk(dEK#tLA^n$Ohr$cM&|2FTmd4xtsH<0 zlE_|WW>R%f2X4-VNI;h`13R(rpG3%PHM|FENH;kkfJzdJk6H3IqE?Cbi8xAc;d&+~fBm@z%Dh1pAYiM7_jveTw3 zAcA$9y-x>m8dId-T;im%QfV~~3;iOegb$+{+@ZoyOP>oR=K*Ei6mF4aK)d1{qWhNA z)vw)e^f)955p9tjqf72B$vIg z`Pu~odjlnCBFMt#QG&kh#+PWhRcgw+AQ$NDDP#PnBni9M2uv2Ba=ATu>38lFRe6c7 z`QAiJW=EV+97M@dMKs?2lUM9{zBirY`#)|)^?K*h%-8aF&r9h>npo$hvWP-i0{abO z9OD;FWVuUrTS!xPc^@YY$9T)iz1u?BVR5O#tfyMdA$poPJk)6Ka}($sL!(<@RiS|S zE-(1P5af#q!ldoOzU8hvs=T@DP1uDyO+Hf;K=4s%VZbi(>LK;*{zyVE zZp%*)v(iVc9sr>JK=^fNvP)TAYe34rSUu_cdi(^)a}U%)@~rGjcaeKj^aXG0S;{AB z#)SIc-J_-t#u+d8_$;>^hwnVYeedarJ924znfBuZOhf2_@Nt|9y_L?FoD{=wFSQ<* zA{Q%avMjh&#H%nng!e7M-S08R%S$&3Uhrvi5;a~!iissWbjVHmjg@Omv?^D30={l0 zJLZnzQq+>BpEEGQ0#PSxVXdI4;8GfO@z^g_ra9213=#D0^wTv29R_)wW~F8IhLpJN`;2npWn3D=mV$IFc-xyj3g; zy1*)SE%*m2XlEYyp%-uk4=N5MU zfK|LFxmXgJ`_i2HEODTEh`LM3h*2C9!0CPLz!m^{;l>D=$rF-wq$av9)lz!!JZa~p z)}a>N1n7(m^hRy-i|y^#KR&I~B_)0}ls1;q)Jm1yxQ&@^4nDlvfC1(p3-q zwXD%Y4c;QZytrCTev)xZw-Ya6iCNswWXQn(h^RKWyQCEceqn=naTUF6OtzO6Ng$** z4iD_U>bdVXb`&6{6Vg~(`?<6Wm9yz|oBP%)d)C#^+-Xm1gv2#)r()x|Ar65?GWVea z;##SyBubOnAW@m+#!=qz06w#B>|4&s?B}xut;`~rfh{##?B86siIc4`@_3=~an}2W zypER4&Icjwi!U0A&n-dWd-nU<_XsO4OyjAY0n$5~*&ll&fxNFE-~N5+{mQSuM^p>rL{!hn-bV>9uO#6wNb|HUc8DY@gMi-Os7d6VDqLByb=CRBp*T z7a!BlL3BZG8t#c9HZDcl;>$3O;Z(mnA5mdu>3o^+rnlx9xj9T?{njRY4)XOGroNQ} zE$*+$oD>H-N!|2^&WFJ99Np=2@3#sf{cj{q*X0@K)Etm;ERHcZN;bqZ8Q7 z9#_|KG#%cMO;n2`J*vwhboUC+jK>{+Kf+;eMc5hRyW$OUe^x4~766@lPlrN&f5CmAQiH(s{He#*4KR`Tu64&l zC(H6?Yu$)miGnV=%j})WiE*KR?bqT1OAFCa;Vv6Ym)#AA=Wnk#KOQA%5lH6x&f!_#o`U$>d1lqxF>hi~eAO$ z_667nguUKpJYvX~>K)teI$t_G_}mgxcAN)o_U3+kZk3?i96L}#`Nilw%P)8EU(-DO z-zJg8(%4e(c;ya*)R8uG-86Iq**6lY{u!_a86Oy#fzEv#Cb?SB?@@Z3%e)YA)b7mORn0NZ1e)Ag;9~l6Fy3*g^yl~vhmP2Dfi5j= zIdPZf=n%LeTKaUAT%S}nR#4g2-x?CcS$0rvLqF4!F4w8sak|#8lpf>x#=7GwllKG7 z88rs}=p*Qpb^?L)>i7B_&2oJ%KW{4*0h@uG|74!Vq{ZQuMWo_CkCR!ym={06$N(Cv z5yK6V628Vb4m#_jESHlNE+#_|miu;@Yp#})z%z!rkTeB?WtsT)k?B%vm3k~$kWf}& zeEb^kkW865TiC)*1|5xvmcG5;wnp7p`d|_D7Hl~m4qBuvQ@{Oy+d0TLOzgf&|5l=E z6_IfPgxQ}3B%5e#Xlao}gmqLkVW~*>O8zacwVLHTrtOPQFwYal1TlF3+fK+XCO4-S5z zuj$7@-}j{bQR~jOqmsgJxzyXUJ`t`TW_K<-W$Xqrj#cWJqXyCV4$-^rDts>ubfU<3je^4N5P7 zOh=LrfnUoqtsr=b4K?IxtntGfLa+k|Q2sn-0 zm^U6NF-N`vEqEy5MD$-sg+)nUfB?_-miIeERki0SFy<2Yr_8>KdlA`?>k!CFFywgJ zMTpEnlif9gSkLeA=Ceb4@vycGb0J3amKmVDgDcO{XEArdZ%}sxw{9uiW2yb{yD@|O z>sg@r!I*V144Zm$)y*ywB}q4)tZ?CuVqc3!Xa~* zB*Ga>Z%ws-pb~xS^^Hq$d#?Kj5(hb*=!GyyC*i!=t|i<*KQvweb#>qjQPA zh{p!Zh~j>zUGnA3!>Y#yaR}#!9rXTbHS~x%|6(M0l7`6Id(8_jM01CtpVibEyI#EQ<-pX^8ExT>n8@?ZH2>yt^NFpHgpAJI&~a{ zOVh^#F2ttePZDr{_1$rQ=4|m!1-Grm`ButmW^N)!3$qqxlpzv?jn3gkxyF51(n;rv z?R!ZbhB5g(3l|a68fyWv`)ouSo|T3Pb7?_knL8}lAG=@)qTp*Z?cwET<>50lr09rX zSD*ny<$=%CBX8voAkU3*amz_EphltjQiqV+<%n@2jLeVpbVU-kvW2J{sFCdGWrVoH ze=RYO%vh&N=!C{-Zw^?@84|VJA<~sO@v`uJ>6diHc3Ygji(FV`_`+I~(oG?Z=C=(p zq7bvyg8Hbrir*92T zvz%n{t>nEp7GIe=zN`4slQOEfm*p#eu3b$LpnM_TkDw=(mI&7MhxAR|5r143ibW+E zpY@r&t?~~sPg+puRFn!k#qw@RLWN(5^m82p&0phWiA~{)`nn<)65$N$n*@h2V}0~5 z#stOR43KVJ4Mk6`J+d~L_vEztN6uIrK`2xSR&5J+7h3@OZ&&m1BGOYMl)k}TDAoU?bp|ZT^ ztD4llZnLHHDCer<*U2`=D}0Cb{E?^hFRd1_DcEbRwHhtAmzm(EUFED&{kEB+{P4aN z>S884HbUFoS*gisQt$or2JL{mnxO2l6oi*OY~lt2PVO7yBWp}mDd)&tz2QV!1WDhx z@%ba-dC=I&QQTd9D_m}_ON6OPOGe&-Rnhg606l-rY_qk+np%`)&N(8|IjcQdaY$;V~(`?-l)%YjQ@u{3uoHE9Y+~2~+;pdw^2a zUrS5UK>R5rFgt~t`js`0sN+7kIz#XLs=oRQ^ygvvdSYTo_p3lUW~wAvYc@&z zb!jSs-Nuo1R~5(jq>8F1P!Ds6Tn|5n?eC~XPcd5Vn2r8djJM(_yL>!Ru@YUKaJfM- zinM;A`q1VM)a%8MFgP8`;=Q-X?Mbyz= zz1@*I`V~BVoYMVkHha)hjX0O;BnnU<$!SMFzFlW^*}__e^>PD=5dU-i9|P8a0CCut zZjIBto{9bOp~9TlcbJ*+70AyY8sxG(vaV)DU5S=-h>8=V4($TEhk&3%Q1{0?Xaoe5 z4~2QaLlF5aNDtN!wtRLD56%!&J{PwK&%e6>!|TBp!k;f7=phs$oG&8kAr>N@FCpn6 z6)oLuqB6bmkN>9)onn;>2gy?usESyu5=EJ+qKZ|cs8dh>>+_&!QMGljx|B0iJ$ot&{Q6j!R7JJy5ZN%iu^ z`cQnSe*V}1N+2~T7#l*lNWBz_y-W$CUJ1uW5Gaw68rWtxPP5LZ)VAV{<6E)Z2O3JCwWBd-t&qDEZWahuBAy zLTb@t>=VjU>a${O38j=;_8j|y@{;;rIktjQNqtp?t){%DzNx|1QYh57b=Z0ep@G`i zgl(p@P+Q+&-&5MC?H$-oN*A@e2ir^OqxKJA2Pq$@)Q{L9$}siQ2zHe6nL0L(ouEuo zzf57LDKk{sEOw4EPhD8VF8vDxU%z3$Q+`l?(y=R)RVrf*yH44lZf;?JQMReScd&mb zyVSjX>|e?O_3#LLOgSM?nKJ9K;3xboPef(ASyCNE*}+5?R!E&Jz}ArDV5G;3f{w-* zRK8{ZxYf-$#?T>?F}bd$p;G6{Q5ZLKrLAdzdZe=}*Q%s4{EJXWlnFN|9W<)QT`f{l zeyQLklrg@s(u5*)AkS3*`RMsJ&IRopPAGkqH3MlsIv_g{>QM~aR zK`wrPT=8DU+l{h1^(Ed0?lAsaMR%FD#3T7l6{|vvAn@WML$9aAt8)AJ2}ye(`{jQ? zw{R{8s14^fy8Piw6!ftKmjWK4U#{On6MiT0#X_azbV=Uw%Dt1a|7t8)^D<@yXLt&) zpxPoz`tY3MGkn6HQXghcmCtDx=UK|Isz;P@r84qkm#91s1}b#yQ(!U)z^Pu1M(Oc5abW`CXH_tXLX8oFCHf^M-QHwUm-QT& zv^beNFOmBWMRo%km)4Z~dC{erX3J)bmFV}LS9e|fdqYO5nkzS|zrM)PpWubFR#`S5 zhp3c(_Yniat|{FPWqjH-Na;R6i8F!UOe`M#Rb8?wo&pKKod)!=CnaTG&rZSiP|dtkZaL z(j@r1xEnvOi#E21qG37HmU^z0#Hr(C6_WY+1R-HoF8zff8SXfX;gT{L%HQgf>P z%u^hw28@?_INI3_tK$d1Gj|_W;}y)D@kbMg?=FO9$`dgiG1cR*cBg)2>hlX7;tNQ9 zQk)CY_JN0(`c8QTs} z{3Z7=;q2xxcwW66>y&<{%Q2A@w&6*sNP{|vEOI;8sM$_Cfn*9lKmQ) z?RztO2uB2ZtA3!WL8H8|<0b4-BY~?jE90QNOF7Gt*?pR(rH78ORDZE`tIKsx;~w~q zMnPv_6k766)^ygV@ZSKD63lMaSXQUn=IO!Htl0^eY>lZoV%!dCe3F#B}qJ_pXhb*I$j6fd*$zCi1a^oddf zpNG6uPXhzQg-|eAp{1;arFE<)9xPtZS&&Nmd7_)TFy9IRwhK!8PH;O9Kh^};Y+Vco zjQ|aP10Q;k`reG#uIu*4sqM-E<@c+`)xmgewtJAKEM?&J%oJY2RbMn8A5HZFdJ&j> zk@vFT{8KlAV|ON+61={TQzd1!UV9)I@+$%{;vN=!9Gxi)!yC=8`PqMJ+t@m)3=(=O}7f^)0Pw~U@#?xr~O*#3zpa@g+ zQ;nWJc^g~jX|(GmzkHrX-j}CkP#bO`w-N zY^8s{BX1a&+a=cxt{LTt(2^_nmX0lIHPF*XUovbPm;cYCArS2;H|W-L=Z;3PFIGDe zOYl@u9w*cw0@n%fS~z#U@2tS*QLb6Jv-#9eDT(kf{t)kmMTFL_0DSFVWlW%9=3$3E z&pQ2|hv^2If`<2b=xRDybc3U>#O-B>^l8Gs@23fg=)KT`(c;8(aaVXv-$d(%!AS#= zlNE<6Rlt>cP*4qjb%G8n!uQ>gwyXVD;DXaS;RA1eu&oDziO6z+>A9{);>D2c89i6H zMMBQzyYnaXD7b!r)+3vwdfVpN^`Nl~-EfJZGY%2ri1#`Y)$lh!>ldSlFW`?T>c{YU zl4hBxU7WA|DH;l4OyETUp%I)K4X$$4pG@U`G3_{dKLm*TIk5vRBmc<5SL$F-msbdZ zQ)_F!VO@%tDVtCYVi;WkUGLCX|5-xT9jU;Zh@COTT5WRWoqfX|T4#?fdL#MbTlTlN zyX&_ly~E#r3%3GEg$Umh&Knd6yChry3C{t4o8FNccu_B5UTomnZlqM!;v*flj`amR zmP#QG08(-zeZt!=O0n7cm?Z4Wh13&DGfEw9qBNZo{hB`@zYyuZo5;B*rbo^xpE|zq z29M%fFElqU^ybMO{U8nk z8Eqzka%7=7JjzLNv4|;jl}|16v$DE*@>~Q&KB!kvHGKu;WA0n(k^KjH&W?Z}tL=|7 z0is-`pS-gYBY#0iKw7%VoJ0XG(%9xM%}GCgu%u4gxnVR&C$br5ELS_;C~B3(;S|rj z@G5WgV07v__*6guHnV}5o7!pJBohcZ_d*g#0(QW2MFC{3F-G~)C9zM6YY!No-fT2j z6YESe=GaPNc-4!2v>!CV2}uVRvPs>jxiF)uAn*LDO>@(9wLxduN=e8Kkw-b+!*7NF zvZLg???&L=k=p>kp>mpG#wI0D_4&iA@-9(5j-s$Xb(+Omno`Q`em6Ijvtg0qbuz0c zjsp4p%}5MfOzHQUyAM^Tx8c3LO1H~_)V#w~8=$EE$XYIEC<>lN=m7Gg`oqqMY4A5a+xOhmW#ScdQ1)OM`mTe%$lU zOHtyX70MN`y!W}m-P3mS4TAU+zEAu#B{iz}!rt^odD^S!-7aA3)8-7vh_fsOK>9PR zVIzpgE0sk<1%V?Vgmi(l=9_G%lu(nr=`2(ch(;QPC$;hFUYCjIF^w~;ptyNF1XjZ| zZ5rmurnNAKG1-$@M|$&)zgG1Qz%I%Au?pF|3p^y*5llla-|~Dl2efP)q*A~Xm8ykS z&~C#xa&Zz_Lv0nyD+z!YX1E|+Oe)2_y=diA^KlA*kaBo1{W&U=7KPkjpTm zRDlfzs^YDB5b}$U`Rh6(E5O6C4{6I#%w;Ht&sKN0lUuGS^42Tg09xy_2YyVSti6<< zOadWgb@Rl?PbaP4n01Sp%sLv8LNig(o3Udw{NhORSsrZzjE#<1ZF1~hgYS)u?!D)m zX8HkGKX^r^0w9{t0N!W1vm3gHj&B&f1MUjb)c~zL;WYr|T?QY&yKiWlIDF*Gz%5s` zSF6+C7)MA6-#~5cIT%v`&VWqA)ZxkmB!F)fG(El8oj9u4@CRzv_#N)}*_zd&QF4z# z+nbxmzV&2(u$y_xcT08-Gw&`tjR6l@aDA9k())vBP5C<>jhNAe+&y^op@ME&%ufo0_%;>DAR??=?-8*bk+E1-dYN_^67-@N?}5M0tc50R*R^P}RAMkiR45ZPR( zSo%#xA-hEZ*9Utq526B607vnG0OZwwqXGT;7!EGc+o`v|np@?K%0SCOa^pPa>Zg$R z12N}6c@_JVD#ev#Y8e?4uDboKwz2^i>Xu3P;;YDF;o3 zUSUg>P_9bmfI|H;lM%Lpl6kjG9YvLqn$ z$u~+ab{*m5e$oTkJ?kesPz6|f2uRRCT|^H(w|7rg#>X0(zj4Y9G%S7o9R&~{s!?5a z1-`8r2RmqmWoaSPZ**|7)_iU00&hgHfDc1zxboUJY6vY8V4VAjo`r#cCaj(OrKhvA}E$ynohp}Xp#6*(_h^56lzwG znF$qkMLa5SIZi8NCW0R!D}MAwJd@>`v>tVxnE}i3K;CXin5de`cI@oP?#XaitP5q& zWQZW|t~zrn?T-LQ+s`>A09kJjecjZOlVu}Le?B|j6S)Pg?tZZ^@E^1 zw-8W*N!$F`eB7{mMVT?QV;pe4G2m0nkoZ-rkeacATHP)H+s5SN(Ax?B5k1SDQ7&lQ zbJyLe@l&a%k-_8VM|p=s+qhRV+Joq8S4Kl0pC26r=Ya|x;T_{DCw?g2V~~1#s2hnD z1{wV1xa%)*yOK@82{}m$ZI>ek-Z|_+NkAwhtk>WEhh61FRQBHXT{cg!~BrTSWYtAL2pLeq=Is zBeKEJh}v!;Zb(BPJ7uUmjLWvNsuKZzb2YA)K?9IV>T>P9U$QMXoNx zldoe;+-J7Q-T9oE&%(wQn`#XJ>b0a-kz*F`b|#f4>wq~~Yv9k{YP%lEAtsibRm}kR zg9RfVnyRW0pAN~EzgdJOyvB+=iK}0OM9}A#g415j*rB^0HT(mDXJs2faW4P*7^-pV z4ari!6&}w>KX@4_xRUC+NC@0m1RKuSZUmKT_*k5wDq6qZO=)0yrOk<>vf5#?;D7#O z<2Ku@aZ<{wGQ@ok$=B79Y^x9kCN}s+DD#Bk1=@GvEtrFF$lPR}Ye=#L@RV&8tXyJB z-;oGe7FW7f-`nK8_5BAiNDex2b2ca!W*WRKyJ{-1s|))%oBJ)Fz!DU@_UYTk^dZi2*-?Ses^3ut6JG<9Ussja!QnAHN++X0ez>-wZ0r zLjvy#8iU{Ue8LPAAWe)>t~phn>HGXl?Tg76Thd<|r`kk<>%NnmP~CwO2A732XVDdw z6(3ZPu>ChU(J;pn(suZB5nLBn#YP#3?|I?Gul$h`oBrFT|BcGsA&2ek4*GF<=YkrO zIkHn`7RSg_QzgjEtp^eBvLeL6Zv+%9cD(d&s$44%DEb3kT(`m)yID@WL3NJ| z7N$=ElZq`2RHpY^+0p=OKr8zU<4a^MX-ILsX zOwU`(5bNq!U3SdNXomrq5;x7*;9jCj%r=OU_1O&w?0UCuZ(C(6Spb4tv2FqDR+1zR z23IePrx4U%@|eONK}xxv-CV#)0|Mh{A$)!5zv*l@uUXi9vaHxJQJyJ&B3&YC5wQVr zGA6R0B%dYickepm9EA1T5Jh5i$Ur+j%T?bVsBs^5#)#(v(r}B_9v1`=cP?=)-j=*_ z1J0@Z4Irgbv%LG%Gp4s8EXgWBK!#w!R1j;2~D>QG$ zV;HPwzuCl^lT|VjxGY-fztWQQgUNM%Q{dkk#d1-s z#lu}Kp9bngWFpJ{NHjPijWZXb_Tr8l$WFJBjV&CzQwL@b>GuGtwfQR0dc?3AHt*uJ z4*~Qdxt8gVSJoza2`Ln^_K^{TK0wQl;mQ*jvPIoD?`#15VrHuLJPk(-)>DbQ)Ty@X zNmqESQuUkb6q&EA=}x?YE3c-Km!&s_TQGvmagxbLJRrntFF=gq!2ed7MwTfj0B}rm zi;Bx3ln$4?vBR|WaxiWvcVtg~e;>0Dw5VGa~2m6%{sn--Y^?riI*Tkj-=UkV+E*-*Lmw zu0K1n!7u$=IYDCN?ZU;19Kh2TU&OI`DEkJ3jT_%C01OdLCpJ6!2LryDTTo%~ z(xxG9q#%GRZhse!!Yfn+jjK!-1{FitjJ}^-I-i2T*;H{R)NMo zdYn+GeY3(T)W$k(#Pqh>7Zb^U-KVZsK2X}t5rcRI=bDq|O0SabQLcK}{(^mBWeEU) zl5Fn^k8^XS4|4l5-lHn=oT|>)*c+M}FTz^Lbi>NR5Kr#0e0kUdnQVFV&HwmAsH37L zi+rljRCnsM(Fs{v@F{UlzKZ3*5m$V5HdnYhhmy^tvy^@53y^pP%#^Uz3KH>Kj^$T- zpJGG=+K_%W0EFoXmx$P!?Z6q-3l#n)3@VqJ%4L4>G)PmdMI)A4+4PY2ao^|fhkL)% zKfBjdgAB-rR;BO2|AyE{p6_)L^w~GZovkZdKqc79jmlTf;s7 zB0K1w){OK7R9Po^kpLNdLWXu-E;&^R8i)V;q4R+4Z@tzm7~J(&ca;rwA!hKe;Hi$k z!p{IH>N8GVwXz5Jo`}4^!a6DHU8oi<=nsvjU1A4%aZx=SdUI1Tf$tz2u|9k*01k6O zf{b0|PnN=&^ZkZB$(5`B8HKLo1VdXL_~b((M~t|g=U}Gx#ssscuHNCSE<14JVRnaU z+`XVREpF{p8e{e4&Ctls&lg|8D!AW{I!^N2SA15hwL#tsR| zcQb~EGel2lIhJtKpC{$+Hjuc**%%yu+8A{*`o?BTReBpe07{)SP1o{vA3+KFaQ`f=)a zuy?A)DHR(l#+UO02p6n;hxrUGQIOn!JC1udtqX{erRl{Jgns`f=~d%nHliiPF^-Rm z-2gVos+$LV7)^FKHR5lhF!Q00)dNOmN){7xt~=V^d@6Sk@iEEUqq5t3X_s`6&MJ5y z)9PiHNGP2r$pj*jdXpsYC|(1AEdY5YQ;2K+@+a=G@ieu#La7%RnwiF7jDJg=?zI15 z6-t18#P&Bpz#*)we;xG!VEMXd&#_6zWzT($Jn=CHua|IiTafc9S}FbgaBc$;Sc~R36u7m_84wpfrP>)MC{A}EkY&- z@*uD>JK8Z9>edOuuvTMG13D}Gc_R>e|U;c;CV`G8&|Xe6`0Pr8CKCPC!+4cVZb%|_bAJ*ih}w{>xkG7Fa{g& z_Qf8ky^9O928dWNJ<0+AfQ@J&{soYYw_v)?eTulZ74KmpE>FN9@GIPrq3?7FVTpel z35ys5UAn~viis?@h8VzwXaeqG(cy~){A>g<7WJtjd&zb)WNig{(LF!DCk2DY1c z6innnqCEx{pdv}Va84Iw>#uYM5Wc9m0|3tno{Rp5;`@u1;QNIB4Ma0_L4qxURGAwH z3fOfupWBNu0o8`wT1O7L><+sDFS-n~(7q0G$4gNUs`4F%!NiNcFGjZH|NMWBhUWh& zkOL`!W7p#2s;(x~0gM?1Jya`9ObaO@$BJnq*81_Yx3cVt6X%j^t%kJPH zR^W#KyUKO{mK0H{T{xdCZ|c(MOzwa(?)z)81B+^rYRRZH&MRsSwpQ{wg+yH1ltV63 z)8?WCFLeRdU73T2=zDG%bUqM#VU~>e(QfdZ!7_pU0!&_=2EMi8BD0FnTJC%1rUqCh19h#W#2Pyon7E7*b;wfq4t-}JuG z1_}eA#6b)B`3^}MMgM=*5LXEYNB~xI(BiN)kh4kJii=Nhb;|+r%_m# z_{sk^##>g{1y=v#yAFW`9Af~f1@MX-s7Wa$t#n=Rj@kYMBpWPK0~pA%MpZ*neSkbS zb_=#)AUql=+TL^UaTT^8_++_h@?{PL!4!c9pw!+MlOj1h26BG`+7@8Z@v-d=R3Iua z0uf|Ff)G>0Qk^X;qd_Y{u=uuI(I_s+09E4suI{S(YYqoCA!A%X`vG;Qy9^g2Q9_!H zQWD1Y6uS-?NB*)0Ew)9}@Bxd(G=^8v_lTZ=STVylgfYX~DZ~HP1uoDje5LrILJUX# z#Q1?7f(IkTS^s|_RbauN2^}yF5~mV|kB#y?5WF2wiTDMOzN+ic`W6HQ8Q+L;-LP;U z6?hv+k7Ew20Z~VI*am7EJH~wkXrf#&y9fl3gu5DiKH0kl78rP4eh7znWinwi@qZ8j zV0TyLE(ju!E7>j}72NnObRL5ObblTIW!LTK9-p9)2I`Hv9RBOJCjSiU;@AWPAzcW< zLr6ldL>3$EH**7_Ae}%~b>X`X6e9xKw;c@rvIkV$C6Mo=B@cUZP>@D^DBvaq3W^MO zP|;lQOxb^<4-P-VA>;%BVD)+^{|Mwt0$%^?rTl{_TPpwGD();CEiWzqhAq=A2QE}D z_G&H|Dgk>ey6Eh~@!1x0_=@WzWq;Fpl_0k$bO8VdA%6|7o2 z*&=iJsHtjIEnL%&R0i~r2wMZZko^!9BPQ;ICSPG20Sg0AgfCDmK>;9lTrnjQVojb! zNvnT^53m4SO^Tbdy9i)M0mc9a0I|YkNDlx1z>tIp$~(*elme6hiwY|N5ECE8>V>Q8 zUh0K_@Fh?$4~fJ7um@eRBd6rpj@mIx4{yRS#7urgwss~lgz&+h(cbwG+7?af595Ti^7g^=wRu4aNr` z%PAD0)er;FulG^?&G`NYl(xh!FZd87P)lC9qIlbHFJo??2HgPwI#6&Sh_}7+kYRs- zUHU?;Y=EhlE{lOOcfQ5`4Wax(IL{UxM z0T>@6g8*dahUQFk-qm6@^%{;9miQvVKGZ`)_wof4NBsdt@QL_<88Z%5@*v7E2TL1H znDQfBUWD*qMMbrn*M;5>*NMg?a`0#}`AR6ZJ@1eMG04^kTiSowbSnS;Ug;zM0Kx!K zgl>R{PL7D8zbRF?^)L~NzELg)5R5mU-Cb1|!(fS?4U5G_^o;$P0^1RYqZ=HF*aF)I z7%NG=ASe^@stm!$fKmnVZpP?y0xn;Zfub=P!v_x#8~-sfGc+|eH#q(|FfBa@32^`k zev`|hp92)X36pK3EG%RxKu1v`5LeM1q9AW9a&=<>1>M1O07jq}0=~j6fRBCv0D|m@ zcZ(S+tMik^qgVmllOCiv0cw+1q~m`#Z~#I6&nPf3DaIlY$1#5r+PCBd`~$e=n*axZ z|Hyy;-R}o~yMHktK)ZVdIP!yhs`tID5$@iR1@8r#!wcWm$OU|#;d|pZ1PJqfDNwNe z5fRJQ1r#>B1s@1^9hCqwjSs%J2Gbb;j6xmn1CVb90izZFA0ZGq6B!XU{}6v2I2Ifu z8yEipm^dxuE&*?$KX`vYeQPepn;{{_Iqe7AzDJ>_`nZ8**y@Dk+y?0T<`F{tyB1GYJbf+wT_@33dVEh=mdN z0kae?3vN(R4F3UX0V$KtrfUH#lRBqFUYr8$1p=}V7P~?QH7&FwASYi100m?ccQ^xB z9Rs;^idY_Xu1bHw70bBzffV@Fq5Cp^&<8teT|0Vw) zGXG8_C>Px*da_x)g0dy|yp~+h1BZi(#^L5XMU4I5PxcOzqlGYuKd-#06c7+V$Rowx z3xMb|WbZz2cRvMwD3nJ%{Y(GT6b%IlJ#fr3P@O3lWW$XJBZTQhoH@%4BSsSrmjG%~ zT(A|y8g>kRXB@#R%bmpD69R;BM%`jCos#FWaGfo|2LGzQWeC`^x)G|#3-+&`5_ksw zcgw;YK>?{S)jW9cJa#|d9-P?)spE4%%yvVJp8q%n<7O5NQ`!sba}Ke#H|50&Zy-N= zHfD3x*UL^$p%i&OsZn#dcrNvZJ(n&3E5f#Wp!!D^MWV`(xJCNGz;ln{Pg0Avwxx!J z2K=lnsc8{|M1}DsDJMZ*ny*3hhLp;w6pJvxAe*v;)MZrC?$(<(u)XE_YZHlbBiW4+(GuP_?2$}1T*F+88L@j zI9c8mvLjrh94)OID?TfG{-jR6r_v5KOS@(FudCXt(+_YRtGIY`*3}cr(6x2CgyfoW z%87!{B3z44Gl~kP9BxCYY*;<4&&OQpQpPxhZbm8J}vO>CEVuliLW0 zgV=WP&?H~_SO(TeBFR;CBrw&|AA|bwH-?U<$nE>_6d(e4>g<2)Y%b7s`Jz$;-H2tB zr;p1vA{yxreORIyjV!v1nKH$Pwk;|%?`mslS%YcfegU}wybR*ic_{*L%to9}GU4+A zeL}8$N?X-TT-`eEcPzc1UW26$PR$zmZgx(S*7eNhUGSO|1gEm{aT zKiXnl^6WTVVP+RawW4k()6K2H?+^%fT&~|rJ=TgcctAP!c`&I`Q<^IS4T6}oCko9` zwhGi+g7~N>1t>XRf$OuJZ_pLrNsYFW6MR=Uwe;(65+j&YdzGMC0YSR617CDKC72h{ zxzZVgIxl~c&M>x?>gfLu@@Vq}q$q1ym0}PBJzgJVlo3#n_A~Q##!WgPHcUhu?_94+ z6u?iNVo!lWr+bVyKDZQ%6+i{F(RXpu(anccTG;np=+@Q%>Q1!OEOqEPnmcBfH?xXY zWm4e~*9)0r;&=B|JNPLBmHfRVtU$+@+T%KD%Bc=3)$S(_;|(DA@f9g|->y#Td1^{g zo9fHRHF-``3Ma@>c`>WAT(Me(N9Pmu{i)a)$ zM=@x}$AHYyp{iqBb)7)4SXAodCYQ&`zNJ>7XeQrr8BsyzTd#l;HEZwDWE|fGj8M9d zO3ypgI?gmo2dS>QxrP~N*5N8HXR{RvfegzW zUkoXkb%Y@W zPNOp;o8)Mn!)F`%a3V=I=L@<`N)L(N-;{>lDrqIwcqswLy8nH~&6m^9EwY_|&2Ivf zErY*ELAkRv7)=T2u@(i0&+_qcz&W2(;*Gi>@j&5?D zjy(Ne@a;D7I=dfEm&xA*HAZw&G-d$FgU$4A&_U)H+;?u5vVI&G;f(a^jo_P>xq@&8 zT#(^Ym{~qzkw)5p`wPj7#_#gpTd^iyw;D=LLVgeJbDfJ7KeP52U#!a8`nwhD^kA2= zbEeHFF27y(XA|M_{QJokOy$$`8^g_&2@{fIskYZn${+G^?fJE~L&dK`D1g8l$F4A| zSxTk&jdGH-ebcRiw$MTP73$G_8q~;)H!=)+H0!~CsgAm0OsrANAxfKERO`0n=KxX3>Z8{e%ASpXA%&INoEq{j>w+RgcUQF?4e@FOb+G?KH577CYpi7$@T zwxIs^$Yq1aaXjk=R+B3ncwy!2k#W>&pgIf^e$we)wu$p}HXVe?)qF((3G?S--Tv&d z$M^if|4X$0SS!-or%R8PEFolCh0QCR#gxz6t^RcgldX+g6I3ub0q{{}d84PLjT~c0 zu7d6VDyTn7dg;yva!YDU*#8MH$8)<|iLQTr+RnfGlSGYi(lJVJX3_8ospF=hgZRN) zKjm8Q(VSIg!yMYrR)|~t#*D99Lv&BQw{W;D(xn>Dm*Me=Ycxz5nk2N=jfq3*Z9B}5 zKWM@_z;92BP{b!PfzI6t9{q0=UD~Ys>sX$2G>v#q;poo?Q4X^1{hx5lTml*r7tm0p zh`(B^@K!R5EcY38{c`1zNW1;ebd}_}XlB5K#2wvzgUhIDDNz!?y8F!(H^;JD9^a@& z*+l9o(bl$t?&m~%8Jv1&Sk4FKB^zF@y~n-oPT6Pj6w2RXpx@8z0-Tuso6j_Sw|H^87X_j{KM>hw zSMT#hrad`eGY+)wig=<{S&Pv4RhtV3tL!TWs`-Gu+DxqaE@)JudBvPU+WsdmAOQ}n z^oYkvr*=$w>j?@)2dgII#J}h_cOP0n7eT2}DXkj6Lzi0RBY70=#V+tg!M|G1h?%qz zd8v_@K>Z&feg+yf!RO=ixm7RPLb!j%SM$UE=+fkuT7TDBpKir$8Y*pE8FOxsTr>q+KaQd|%OaeLkdN-Rx z!jg{(8Fltg|CfDmXdJ5|kjGNzZsQgu2D`>sUHx0?f4U zfTuHEyVS!3A3c2yzR*5YpnH22_9gv{EGW5zdiSf_YP|GRQV}CjfBva=pR%*3lhf(W zjX#Pawj-C-D1!;xA-WqxhEc#eF`K^7{zhSq_0hI7U3SM`aq{n`4LjVHvv)<6dZHhmG(l_iL zgBRsFH>;4TV?h7s3H%+}c&$p*tcitgAVI+0C&#?hlPA#4{SnW@C(|Hgn*Co=z0Z#$ zZM?3n8J4Ys?>3@k8yL{mY$5F02?SJO{G>ka1oS^kRh7^FUZzyg_ETsmprD)CkZ@Fr z#5==CaA;s2g%WDq=upz8mt@!EUq;Rtx6?AiOBoU(ahMx^t_@|Jxj*$u6-gNK895nC zJoSkZ_(F#(7x$Bm;~tw=@CZ14o2UKKR8Xq;wIYXfblF)L*@zf)^bk=RdjMuz76rU8 zJt(q-Z9KqIHsy@=*&YCwojv#ERmvhE$@$(?j%Upxk9x6TZ#wi8AV|_|1g(Mx!GmHC z%GYCKg=*yW|Ky1jth0wYLy;p4$wjcMh|L2b2|(`ALkqW zs&JxfFT_;*qsCVpN=in@#;aY-3Mx-CMD@?y$S~xZYgF(ljQ~4PR5JC3@vr+C)9pE? zzZ%T^#HTK%?P%vpzlCpq6&2Z1w1_MccYYWuLWar8TsL=~%9}b{s8nG7C~QFTJftaS z^XO~A7(Rg}%*Xrw2=)ED35nU#x=sRrHo|B>U0Y-Ay1$xY3ABiV$;n z$#WWds)X(i+5(urySG4ujuV$>hl3#eZKQ!K)+GaloA?a?Nep9NOA3mAo2wDbZIJP8 zJY`M;t-r22k@A9liPs1X5LZrd+Bn)v&C;sFpf&cPCO2E~ZNcMmH#ZtI{6H4><8HFKJ#TQeX@ya>6Pfa5ZQAxolE*u5NA>&vJ7VMwN|;M5JuNKp``t!{cM zv%OUCI^f+eBC~NBL7daUCrmff3bS~}>oinh3;oKVF}}Q->d4KYBQy(syH0Tv4Zj)! zU+la<Rm_N2p}Z&Nga>>}1&-wtcnL3*@wi(eLy%6ncYU_M~v zSo|th5?@6Z)D_j&93fX{%4yb-nmwr+q^7q8fL|%uQ^j@D5v4mkBxh!AjW+?Ma*M`Q zq9ou1=cv;n3DSB6kB5RS1#OFko{g*83d%Bm+N?&V38tqCS&-cWV;!=&hlAGHf3umZ z?ApK1dd4r0(hB#4+$mqg0tHSO-k%WN+AI*{CRxzS)f*_$oxMWg8H3Nz)`f3Ga;z(X z*T{FE?>=7E=m-^I=M`QjkYL8(XrQhw@tw!mZnh1uYeZB)*Vkf;l&H zePEvuNpru2HhLCTLqCqrYvXd0AiBV2-mE8BDwdpjTve?H+30CNapa%Fc=Z|$yK>W< zh+EVrvrAHJ=gC8jRsDmjM!4V@G46F7=O;~@bEB>XdSBfo=Cj8Uh1^mb6v+5=mMCYBsV zBbVHx0zZG_jt<=K5nf>}oSBX)V$#_x5=9NSLtv|A!4XHUIKs=1r zcRQ5~?#BKT(>D-bG<^JT@OQM^T?^3exaKmlGnM}HT3zdQ-!;z(s1aEo|B_G1Jomw} z+m1+A>8y)sA?RtfNKy5NBUymbH`r~)*Et5I9p3V?QvBDG5vnsMPy>2kY>@NiBNai=Be`E=YkcWe_+Bv5UJ8mWFgFJLOVpUe&MM9 zi(=Q&gO6Op0G?^6AHY+su-()4huwLAhlUvGg2yxVxOwB`-IBzUaj@J(Yn_ZlOYL+` zI?VGG$299%vPrX!2`nH8cBB;a-|2StjeRiN9l~yT3(4O72_o6xQ|=}@!7g1-G`)0< zU<_O(8aB_$PZ#ugFmb~FkzxE8CVL)UJq;t>dBjX~fZYdH5{yVLcOM>A54#WbScbDx zAUB^3zyX)C1al_I0`ZthFoTto*!>D^E{H~^!#o7wN3e|$&EtMb#-h#1H{I_1?^Z}v z^RP-=G6F7!)|r9$!P2pOp|!3a>@v#HBWWP?N9}eVOQY&ia63 zzzJpN8%5WEmYR*#4AHC2u&e`tW+MzSy{p+u0%O+veGw(wY^pE{ZKl1>$)w%Z%A`H3 z&dicHhnpj}qRe{yQD#xIDDwq{uvgGy08K+Hz2~&L^@5BtE`p2Wcz{td&COv%TA^$S1j5>C zx;d-caPQsoQ{f7_-%mn-RxRQnL{yiE5t=Oxio^1Q;!`D_ze0#I=xcBgfZT@M&o5Pn zhMn5v;lY8XK#;GuctCr70A}YSzOL9kP@)7=~<5F;nr%XsqtI4x_b(x>=)r1C|}X(gK^F^;_lgA@4pp> zyweYU?6bW;Tu6E+K%3Q*vX~7i`4egsn)Z%S#E1x5&HM{H+0rEdZVvQXp{6xifh#{G z!LHvtZ8?G3{-0%_g5Li?mOagZy`%Li$9))Shtb`Cuj=B2Cj0>ZD?$zx-N^_L5C2j@ zkN5LMcGm&pZ-Rviy6A~#aGuJK=PO66^5rpKt2T3QgA8*GCq!8n79G-{nAvQmrJQi9 zcr6L?LOVE}Y()!FI!1&zKLrPC(qDknu9m14m+I^;aqj7yUMXVz@nKPByc9DmB^P<{ zfhtkvi*!~IKj3G)oewXV%orNn8ag2jF{;}j+w>$ing#LvP@1V#sZrReFWJ_}<4;)W z!mo`sDQI_rEZtY3BKYskAFL|^(#HjUHd=b_&WG$Q@IR1Zja*N9=wgcBA%!P%2j=uX zfWzgPwcP!2tH+1o$v&TGi+9)20-!$7PQ;nh#B<>wPcg}i-oWM7_72cxv zO69ll4j+?06$s2fp+US{Rm`G=uidRJ*H2~7|XFQ3`mu*lOPZA%F>T=0F) zeW7LsT-0M=!tCm$<*3^a*16Q8Nir3D2Pk^5$*r;SF=NRm@&`TIHu7SNE`!W+q-g|! zEd&UaFEzK#u=4{1Dixhf5Fit4Mcu7bZ2&aRGoZ+{@_>dyzNity{#wS!pe%IOiF|sG z8Hu_lg7K?XToTGNrUuTdJ_9*CDPIc#g79w+#7tU+#kH3dtaSOgGJ;mc4dAyR;fdYk zDuvA7M;}Smk?B+EUi50Oo+NRUWryWt3g0VV+sPVq%!eUH$#p8D#F3paO%f~$Aw4tP z&ibbhsj}c#+D|Q5DX&g>^A|Oqvt&HARdN*@dBy|HhDREd-je8E_JUh9R3!puQ4v^1 z0hQb4LN;vadM;H@g~@~_C{d2prLXYhA4vx}&E;Q5Mv7QHiBj~SzoWyf5M128j<4c& zV0zl!>zD6i&)CqMvfQ|ndhk#>d4%nc^EjODxMixAuDl>>QC#FbqfqSeh6ksFQmZXi zSU0dZ7RyzMI6MOZ1*s(71P+73UAMz3qQ$KvE}cy4M}zXZLW5>dZpXdcxi%-AK*w#| z94{XZYU_F_vzL2c-a1k!bo9eKG%YmHU97OK-*ZJ=%c3yj)cX#;g5lVt9k|l3Fl^r= zeyxY`lqG7_Bg2VS1^;oC{x%)qmnCzc%_b^(~}m z!VJgfJ-dp3wh00nr@?hc7Lr4%%(NTNG^@i^21)C)hkv-*4UIL}5aJah;Xb7_F{i@( zmBMCLLG8k&G2a56uGS8QFDk*}6t}Lj272KY{DF1LV}YjYfu795qQhdgJ?$R(M*Y{! ztm(AE9P7=WpnkK0k%9Y-diH1Bzol*ZJ!QQeVwO`0^L+qnVp@J=J?RenJojvP`$h1v zGm;1Q&K$m(uKF?DBTnL=Gi`r`Y@toG$hKI@Q?c5l?AoL(nNG#6+9Wx3A+^C#Fh_7J zu|dYKNDqxwwTygzpV<&_>j2gNB~q-E8zy%X$xasyH7WXs++@z*@ojlS@1&a~ue}sb zxqQA!7yt?%Y#$U12@kIfL8_KdlbZ#1ln6hnOr?I-eMTR3Wa8eUGLME%@+dT@5#A|o z;c`2>Tz8G4a$y(2;N|rG1phdJbSUTMm>xqDf`kv=Hu1BT-BS!xw9+t>!AQ`wcNml< zF<)W%44JF#ysuFqxqMf`wq+s_5A;;gaXZ-`fHZqC!pbh_2B9AAS5$iu_HvI~COcd0 zL)kshy=({rOaQ&i72Rz!(nhLd-11iJ1{jiC>mu?kb9xwf_vxgVsBxCQG}FL_c^M50 zU;=PcBPOSvC+xDo|G2)K8a)QW!4XHQj*^DmH%9Z!+VehKu{GAPf{Tr`tpqc(P(W;b zUW|FrBEc$O5*5s|Vtdgz502e!pOZQB-b`0jvarc}Kt>o~a$1Ob`NKlT+X^L0xsPO& z9*P?R=CO$w^RUEv%`l=yQY7a#d-M~ibXE=6M01MF34apN<_WK9{JZ=dauOf1j7NzV z;GAHSK<0(X4S{p31aC2m4Nrjazn5HsOk>5Wm4C!R!f~Z_y!ebw6+~3xD3$w4<`ux! zF*bUk-o_LeF)35g;h*|NgF&Jxkz`Pf3XSEq&0s5v&0ixPLc$lf~uH?%cEWp#!K zo?gtQ-TlZj>kr1EhmPFO{t$RBvM1}{XxO5TN6$yvPvmz=NfU|!;2zMe^0ABZMKAk1 zNM|lhwMjhnyxY!d>vrWEW4~)@Lur~1s6h(TQs(?EkFvSIWM?d27fikV_o_LXuyXbM ziI`xUhq*hP&qCKj5+$u|oH}RYdBitM6O!PY*$lYa4HHn_*30^TI1?d*3&wU>5im~= zUq9jY_9meD_xDo*0N;`PkU7ESWrbq&btO8z!8oagGa{NL(!nQkwv~tvIHPYz{!B}R zovM(Oj2?I6PdrBDzShgU5-8*>7bV44QS4}Bt#Iqc)&IH~#uU)t`j`>bB%_i$C{>J# z4^>?zx?qOp`EK1mZV-JE^ry`I&t zG*H%lyPH1BX_OQ_Y{2=gH(f~SYZ8yj_}VtYsa8r+6Bb4BBqDyS(_!N$j719qgNM;5 zZ1e1Q#Xi(4UgN=lghl3rs59u0@6DgUSMcLc=Se#v7RO;~D)$L0zjuj~PVYsFLe?Kx zQDo7@p<-&A43T0}*U7QuF_9cSq3+doPLIdU(cof{cs<59J;8pk*4uS0)0K5O%N1Cn zIvX$hLQ;@_ig+>w7mp<4GqjE7WI3~T7TxyniivF0H?Z5P&g~{19XKc+8x9!o@&_PM zswI-;^6^MH%`hF1``Q(RG_EL*GFD9M!X|QaM`v zxN-MnYyFTr^9mUhBN0;~RDVu=hy__RC0Ndptpr27ewAYKgV!(POqvof{>T z=~BLAX?XFZwhbV;&gjL|FM@h5=6s7`7)ZS5#fs|@l8aa#ruh$#!ubzucSFX%Rx*Kf>p*DQCM{TJT_TcmAOEPD_q`TiRlI zzmXRIJ@u5j?(`x95m`7`xPV7`k7`a0GVq`6=NLr`afm9Pn|L`xJis}oes_*TwM~>x zfP+wfLlgjv$q=d!4Tih1+Ph>#-8-Z&;UBFCt0eIhgsdlAM~{{G^cM^W{l>iv^#kLmp%_uBDQ7EQXob^LhG$a4k6 z>HO)yeT>uEMW;lP;6+t|z*-g3o(od)zF*O5vCsf2fGS^7HPKmL1U~RJ8d7%xzlfM{A-0%##i$6 z*AnNo^?zbM^Inv8t)b`@^wA&lp#)fc=669!f}d2Xv^gP`A3t36Fn{qKG=Xq)3O^}L z7=&$mrRr+xZVtmsedF?ZB*ElTJ3E|Prc_D~1PJW~$9<{t`%y}DCgB%KdwLf74<|s8 zzWujQ7hu+hwDIRx-E|qBiCa72?%MU1$Ct(H6~{*=d(XWn+?WGo~4Z zIghk>A{Ye~gg$`Qyzxvi6YP#HecoIO3jM z2@qZkg&q&P{YpP1>YQH0i&Ohstzru2Jg=<%ml2NhTr6-`pZ*^U;r%|&NUS(L-|Ja% z#Pd9M4DqFCXsZ2I^I(MXi>P0;e3S$vFX)JZC{EOSp)CB+Iqjb7BG}|>5xVJ09`&%{ z-1dP(6-e1khZB7ABxv}WKn1Kg{=?^i z#jh#}lgH{)g^N93c5Y|knV*eU#qchCCA1H($-^FPy>a?IrimC`$w%QI4a@~GIAGX) z=+kw{xn#j%)~8;{git}WzitY^2QE!ax=~K<|KzL}FIA`fz^UxubH3YZRT%(ElZr`&Wf#FJUO_Obs z&(|lzy^|eyN{nTp@EMeG509x(vZ5=EcYjD-W%>nDFFRCtpe95iCX*n1EWN@doI!{1 z+}C^XqW9)+e_4>?{Xu@neSsu-JJC~fHe?v){H2k5)uL9!+cDp4%?gBFLu(5tt_?})OFKcwbvd55i$AN#xxXX%7!z^A;K=_XV$Ew= zcX^5}TPO?p+X~uHTpw`u7sOx49IP`xQB1E;eytI%#G^!p4bG^5R;NU~uNE$6Kni#W z)RW*FAM%>%6PQX_ey~IM8Yyc7QLx)UKyzE z>5$dC3Qs&Lx3oY41``;(2hUIG_v;(xQiaTZ8wUc=M*x5zrgKZL+75UYXpnRO)Y z$Y^%Rxg(AL_-&1wy@$e+P*DTOKp8?8M1FTWpdldztV=%pP|+*%Ocm|~wgq-JIxb1! zJ?KyWouUjtCRYy;%B=)h{NN^S@4%rT<%9e<4Fo6=Ufcceox-KEr&pSXs~v*qkcfMA zk}NQIUk6NS?R}dL5kySw!AMb5!$~j^nJ8Y48kz}6`!Xm5s_e_raBMnA^fFdS3VyCx zOyV2wRQg%J)TC`~ByNlnZw*-jC4u&+jxW#vXK%-c+0c1F+MyC)oF}DGWVD{#*}gH} z=*@9hx!eJ#h-1Lc`G@UTAL_Kuv}N|s;*psh721~90=Sv`x3EiJ5IKP&&G!5{2ch%i zZQ6o#BdFN<$0U^>BtFHt3O@H>{LrB>6T~K4h5NRi;R~~GKlaiV%>*ThM`Kw6z@zIB z&v~t;;G67KCocP?&T9r}i#xH!%$xv0+dDFc-V-O}8fUyF@&)+eJMts*&=Dm)nNlHb z5`qo|f$p?``)W}A3X8f6eU!x0Ctl{Z_=XX}<6`!3b~<*NnjUePp2XN~?UUzY&QuI+ zAI9aoKMp&c__Fzb@s|=_#M$Ejbvuo3IIl~Tm1ZrRb8PsGlAejN@qxC!uCD&!Lo*

y<5X=r4Nf{whNLg z=l!}Ua>Q-b0yz}ZcnWJ;WY|CdOB4gAj!X@gck;FrDC zz$i~;B-H(ppAw-?qGvyX~3{vuh0_uHMFhq)SU(}`1jfyKZ2Px?Ly9s(>qTw zFL#b-!9ZS0Q*EkrjK$wL3Hbo(?E2oT!aIAr)K8kd&NiPO$T1pIrABsn{X8&L_ZC_{ z6Zir5N8b-%H7cEAKVU2g_xji-K_KO-mfvK}aSScuf9-vJizc2O#x8C?PcY>$X+41_ zVtY~7A*l^caL;M?0-u9Pqg%Qc?gw1B=h=FW*DdWSU%aUPr-o&`+Q^S+b-uv(UwfEk zBf-{!NyfDKx2nEJoS%IhGXfMPz;6p zXs;_NjD~w}e!*_yT%?=>QEa%SyHqPzKYR_}=YxDKy$p_plgHKO5+} zPw_SzttJ=A1@sO^mS&_&q4KBf@Y|@W)i7PL2HLh~`ndh^8fitpgG6nuYjMF>-LGJ! zBOohV-%1ePynWE=9zWR7TAx>q!3(6JU7Pd>Winf?OG-;|W+|YLcX&Tpg7?EE$53Xj zbl}fPMA2^MB&ZJwAC?o55*bDN1FgIsgQJ29!ZiI!&6}582peK{W|KO9s6EvEHqGI! z-z9YrDtmQE^F!S4-UKOc9ng3ZUzcY4*ILXY*(U+?J|y$%PHu0Xx6i2w>I#&(EBqk= z>u{*?PZA6u{n{4Zblp$J4NVL&TaHTh{z+J+Lk0PUuCaOZgm;G$*Ell(lIceET;JW^twogM+Ru$R3?y@L;<(3LEMQYDzDv zOQMy^-qOt+{LZ8<5UAoFm4MUc0reEjmMk13Ec$C8qW=^#w;9qz=faGQJSG2$Y%eZlhLz#v;S>6$ zeT+(yNZ&D?BS@xrFwZL%d+3qEhyoV9tz}-7rez*gr}uEorfQJYLttBI^0Z&&9w_Xy z@#CuMC*9@%<>0L#rzzXZAv}FN3v_DRkSr7{XKB)v9nPhSfY(jhk^(@d=>~T-wIv_( zA*=`VlVB0zhU&*qsppBf3_k47+FL5>1%y zIwm9u`8D&)0m$qN5n|SE=+UQ3i_|*I!@K%fq~+cyWu{P=QnJCk0Tz*?G7q>S3C4_SGMyXDk=ua zG00^5hql9g=g&DWJwMl!gIrn`t@*!Kzi=Xh0To{En!6-1|E9ZTw#yIGHS_Sq zQhfM0!)p$vmlHc|#j)mef5?nKgp^%YB?~^H(J^<_{GPwS3Z7oxJ^0h*Ev-=(oG9Pj{TXe95r&O#qy)xv$MSrgb zg2n?jO(<*0mrXO|kD6aXy`p5@?L4HU)TMHFAo9P=`K%3RGCTge4HDyau6ow;A=SQb zoRkc`%&J}mJEbeWBxezQ1jcOci+X~J&VS8a^@SLl+Y)$VmM&_BwRK@XrbrohZ}EGl z6rIaJUH^|PJ%BPfeRIvg?ILT8ysQNQF>V4;Iqq~BI1-GC+j^v4j4FU6wW0p<4kbak zdhTFP$q7Z%RWUxywj@C)MvP8wmj8axpdh}rz{ksAkq&c~isj>L)dT*DO;%&BhoUyG z`nG;;`_~w6oA`@mAC<2WZ5^sZ^C)GOkwwOx12vxNAlmYd{6c)o?hLNo$#h?`1F|A@ ze?EQie7iXMKq)|+zjA+^)9RzQ8AxJvm2eyK+I2;vy}y9{W9MH86=2R0Q9Ij&Q*;=( zL{0LSfZ4SQhp^8%$b*HgVgjO5X8a9DF+gTg4gjteY!Wif=@%hHeom4J_*m z_Bf64c5etS*aN;f+=Dm1Og@HIjM(IgYUwM1%kv^)Gc~+6ai7^?TpOh~!;t<^#Yy0# zoxH0Ix`K+~oAkw&K=0V@UBhX1gzkPUQoc%_(~ZNho*oE~WnGal0to{pjOpbDNw=L; zVIS&ySKlt=*9+uxU~8!=_t|POfSoUot<&Z9*F<6x4V`J63g_GLBC;cH8A7hNX@~d* zSprVC7Y=aq9(Lfyv8v3Juoue(x8GsFy0I%$j$q8)ZX~#xUTf{f{ix>s=z#J%a_g?l zZN1VDnTTzayodPm1t6p3f;EAJ#HGIef%sgQGEV1nI?dl$wq&FBHwEl>a zWEzU#OGkW2S$e-ze17;NqU8Eu`4XyIp#L}dcJ-@dMI}4;QTAIZ@~JqOT+vsmh2FJ1Fe%A ztp{YIEIZh(B%u-VcvCHz?n4G}68lg7B7*}P>U0Q_(>aQ+(frV4tqd)R5 zrkNp$TY=qabR*PQ=M2(6MRAq>jgt8cglO5kx~kD#qW)E+bO%;x)|#O5sA1;kHY`CF zT)4M)Y-oWWsDwyogY9?34q(`I$7|_`I0;ZtdJ;wz&S@X@Qj2HZ;I^o0B65VJSH$v! zh|QkD8BygzXDNdLfe#RwmYU->kM7)kTf-|lH!iP=K6KCYT>4DgV(~_(pQvEhB_83p z`QCryeOgl7k1F1lN}cKoqM5ur?`{m1|HY6r3C{Y!Yla7nyXY`$$nmqv4Ac1oZH-%` zf-XoYr&DrYChy$_w_n)6HWGyMcztWBKDjsgB9sO+BxeUXlh)GKNLq%H-C^uRQd|4L z)1vL$CSNXN@?wAOB=Wp45!>@@rz3D&J+?$g)&aJtx}VKgKGw~D{1HTP`FS&f<^t6J zYy@2XmsCSXf7t4I$Uf~?@N0G-gQGBW{b7*;KFWN|PCbq1$lVv|#(VC>qv-oD&t#-* zIh&I+2c%DwfKtrZ#UeZ>mCR2?`4pdRek^B9tzfa{hm{hHd_bRqVCWx&Dty zNR#Mutn%_ffe=FoqA6>F27IfiOc+H2;L@F*L7$;Ejo&MhUkp$dRV|;NuyCF_&pNNN z#4Ma7EMP&AyBF;%4E1^vAMxpj9oUKAoCr3cUA0AZatbvZZ;AT&ingJ-hpoDURAV{~ zHg4VNGA!*V2Uvs})s7ohN!7C>cP2fMyYqJ+5=z26QW(fN$yHV*%Ruvg8ZFG zx?gPH6{C5S_~fZl*Lb&0Sc;~FI}Kxwep;}M?E6twtkTJu@5TJdrqtm^|(Yysq>>@qwjoR8|^4AQzH?i|BHx)s3y~dUT`c{Yw&m0;GMGd=MH#q zl7B$6>(b^8=DjJfCnMfu-}#yHnC6RjcGWLIqEe?J+}{hb z#mYJbkXNdv7f?#392d3i1* zz;EP3K00A|i7H7*jva@$u>EU|<*IJv8Qz@3=uqK;bogOSj_3yoL(%kC?R-p%-wwy)QY_& zPhlXkoOm+qmE%}-(oL_wJUAkh!aq0L)(&AcK|oq?^8#rBZ=L_7JO0|7%TA6IHZ~x> z`2?V@!?h8-bHFEZYL+rUo{Av=48fJG0=Cdyot72~_?BU3n+@T;#A)Q5*V$N4d=#nU$HdyrzCa`6j^` zcQ9`*7cnW_piqiAXVz2SPW(pOvDWOtxC@MccJW>VSk9Rn556L+88zRN;s$xfhY3gr z4koEIlvla-ZXY%jOeKc%e~cmF5jiVwxF^W-N3D-;G^!ti9=*u?_MGS)57ao_J$f&* z;Uq&Uk%b zzQS(=_Qpa56#lMs&pwVB1Xc|fMb8~v2FDx^c_<85KJbj#HQ6SeQ@1%HDM2h~JI9^z zo_lkr6|~$e=1t?yz!i8ZZ&ecQ$o7k-N1jY?To&W7!o0G>0D)?gg9?&!SUH7<1YV6; zO)B8PNhzE!kf*I*J42>aQXCR4g668HyIZKF9swUk=%6|N^kEAi_zWCMRv)va|8El> za26qK?C2O)=*5SrMlVMGJ*btp^9$&ZuN3(v01r?y3J)s|WeBUpj;yN)HpQ0?UXoX{ zZ<^x;!Ls>#mX%myT-MiOr{Ne&ZrRs@x_^7Jwk^o}=M3`kh8-2}r}!!mI>#G8I0PqJ z1(D>w5!9{1VgM>SQDI+;@hhJBlZ{`C$(WGCmHRgQ%5dpGa^=GJR*>>!Z6OHThiWvI z{HlzXBhg{)ViPRr?MhJr6V$CCUg0+J8}0l-@tx**wD5`9c-O}do(xTcNFG)W4!r;5O?2t?@q_fSe4ubQUch(l;7rdN%>D-*C#n@J$^VJO>kY|w#T6MJX>>Zd%~v@54C zD{i5L*{F<)hq=yK86$XQfZ~_K5>$aJU>|PhM1PzhjFd14=DF(;!{M#dnv!k% z5mL#ZQU2a*oxmm4NZ6M>VqO8s@?0&?rV0t2Z{?Y(+1Vm}VwYbqnxzgPLN)}i^8Jtc z+|Z-SVkOo5==iv%k=0Mq0VpO9Dto?DwC+;~gy~j6ioIn~#A8u5*awOR`1o**jjhPv z;#0|s98k92Lie};Uv%xbq->S{nN?DQMDC=I!m?Ty0l_$h22-B9b$VMb;0bZhHI7T7|2j( z62zXw!*F-!k>k~d!n@=M@Q!#L`S?#VCGov5TjpR+=u^z(gLsiVzZI@h2F88LuR#|M zN?=Nz@z-$NrpLIQ>Tc|^DUB1Sis^gTY8-zb2RG5>Hz|+C0+b&2gt#jJX9%oV&N1b& zF^2?rW*;)l7h__|)lLT#cpg0?*U@^kbP)4@44s8n6W$xf*Bcv*0UO;NBP9iuMnzzB zHz*uY>aTD#8xl}0}v17MxaBjota8YT7N6zK|q;aFb{Wbvjm|)8-&RBd8Vv621@ofTZ3img=IE-@I26?^M@05SMQEJ&1hMy@G%__H(SlHx%99&VxM1#DS#le?qc zt~A{@Lp@z>Y3aK;2XTOuP0)~(YWr^4LfROv(JM_ zGnZ-JATLC=%8h{9G&Kt_u9;vkzD+y@hH`B~7YtoBNXlwiR~76y4b$SVI|xH7#Eh{O zf;S(DJn1Reo5uge584}YC0>1AZeivc-~?zk?)i5X`jJ-t|Ina&v&OM9NXn^FwY%*z z`$q<=lhLvR>A@Sr%gCcxLZ&G2ml`Rg1h_Ho$4>Nxiy3#UpC_`+e7&?{z+VluU_rCr z$EH}TC|a-oVTwO5@iJz!fSU5!nLm7;^~Uf9meu`x+X5}(LQD5@z6pAD_2sHCo7d3> zYYFB~ISm6^Lh4^l&@%VaIi2sQ>sqYizY@8}obw(ThR3cq(&}voZq^ovlNvx!@parHNkY6xW2xiQf z!ePO}OVICZOd$V{{J_jwiQs-#6rig6;F%qKq%X`^@8o9D>pmWR?5pib4<2_4Uj3LI*Bc(HE?Y-OG_vZyrl7`TP| z!!xbHyEZmcaR@gFkSrQbX|A5gFp1RI6)hZB1I_}Wv|p|Qo;<=+kgCXC8@tvvu#3e} z&jC-n*__FCgxF&xwA}HL(2zk#0tfoV-vGA3k7&}Lr;jrDRVWR~7AJZlV>Q^f7nSBK zfPW)Xj*&@`m4xz`Ce0H`)!Proy#gm**Tgm%0eCw1%J^L3lNZ`5NA$h`d!;s+p(-tH z%KJ^Xk2O`Qa?Lyo4@qp6OI&1KU2U9g-2 zhlktZYyq{n9mh_7Fh)`C9>lkhL*U2)dV(x8v{ggV?(m+l?$KW0#RPMr0_bn%nCzFW~y*Q)+Yfly9Q?@eNm zQWNYyiH`1eK!&3IoK<_g30CZsfxY`3#rnEWE~ z4kBELmxPu|LX|KWJZmKe5G=uobTY#)ce-?R|5-dpX#+vMP7g034~D@dnD3lP2PUTE zrOGr)Z)Wh1Ut>do`*4yQVrnxfVCQtFev15>mQ3O5PSzqCK*WkJ0bn?&ppnie4U-&^ zu`m5Ht}IGZEemCasO>x@#1T*1=iJ&>8WfiHzS}-j3#si=HsmN$UN(82?Na zWgZjE#$6nQWSXbJ2_6jn+mBMhVN1@~qtB1cLhV3~6-@&gFcGhdAi^(`a`#vw+~_a_ z%f1GlZ0>)>Tv(k9=}db+Lxn@pp%#zYg})$l^yHNgL~9DWQ8ou*F{T56)z?ex!`mIDtHi4kwG^RC%;T_<6xc zBy&z5cLp#$67X8x{!_HsNZ+N;;?AF?0yW%4qzM3k{#Pm;9HuEVuRDZM&nGJkcFAfO zz1ufa3E=yz)$)YRfPDvZ!Il(nOLbQ9Aso3TG8ArSV*WO8kuUkm9BJFtHs}>L1XR^# zwyRv|aMIyBfIR_;UD&TK1ZlxJK4T{EfOl636HiNbN*ESPCI zi!SmVw1#KDO!!nf`97X#mm=uFcL6jF0ejE)K+nz2O=39Hfc>Vwx{$m@$>SmHVTT#i zgQ3ARRFgr$ueMUIntX)GX@l+P=N0t26f;p}PoRp0tYum2wg?4)(J}1iHI8_?!+NaQ zn!X?{uW1dW3hM(%!*3kFndnx~KwZwDbL+#L!3$KbV#~&Z+{dh+;aLcVxt81s3h(EW z)DHe`YT6-L9J}Il)!&+Y`WOiXN1ZYv2#nLw<1APQJ4%Vp*YFJ1O&xicE)$}Hbld1H zPn$dQNPx?-@=48xPc5{sK1v(PVh@uK_+me^dU;$0{Yb2(doRoxzRe~oPn5h(8Rq_A z@@Wu!Cez2L6>>AaaC{c_eRUpo`A2=vIWUtoD{e8t&|Qc6`e>t2SCculgc(Ld+Wc8b zi!dNS+IrqN{u{n}-PwPn1>txk^8Vb)omg}J;?poiu$i8O3v-f z9_>S6ONZcrOf$T++cNhQnHvm~81|AWhVmGF@t!Gs#bNoOv*f~;Q4Z_(S#c1p=NldSQGH1qW8m;h8I=m~WY|wtrtxl|P z(Ld4;&4TL_mIdB>|M{c42F;q+FA3GD*oVeU40uHA&xyD-bjbq7#=-Utzx+CLz3u%M zQpmB*y#K|wb%7t&jW?nxUaxYxjnV%V&jZ_OKOe?F?gE$%+LtvNwOO1~StNDYnQQiu zc-&hvo{3%ltbWQVNdn$Ld~zmc>5wqVSy+Ct(ri*dK>Mew-UjWo;Jsq=e};+%20ykf zL^f?mV`lp^KOI&~zKt?t>j&bf5#hx#pb7HN&&Wx3XYQqNxxX2llZpE%9y95b#8hrp z|3wMKImsA-p8~v`wfYJ{ocM>wfYX5_#SUJ**6nNr%g*cCmt)g9FX*HVJ7JsF22FXU z>2$%N;>03`)~oP={FbnmIi@H05x9h2J+9#{N+T!(YLTO@;JoR(6+1FKxBY`Ylnp|aJ*u_wO+}CMJL8Bz?`Fwxpv#X=vsGv zs*dF75Y(7+t%f6>>$yKd=#VbX{gfoyl-yEcdWMZeD8`5YgpFcnT86cZMgHy)$`#6XF1%3a#3xINV`lZcQd*gaKSi$-I?fOy%;m6Ofo(qRI}UpU)uW0 z?bm$(G!#G#D;xNWdND$Wzp@D3frER;Q;CiL8l4`LmO z(aXqat8tEyk%%HGT~37Oc{DdIdb$vn59Zr(|*bp(igc=1Gz7}h}aOwRV z>V$5XjLHRTg)=}E|7mL{wqQzyZIdjGH(EY2)u+=d0{H_^PY~O5e z{+J`%A9Ki*>&cZDIH~)dcYHu#s|IG=sliTrSl%2|HEv8zrdH-<;D5l=c5-opPlT($smt`CJ^jTE;qu5Hlv z{m$9ywS3mL&gjD?SkTmUMYCPIfH^2HEU@6Va0ElS^>}>b7~t}8_=8JXSDY)@gHx~C z2Y0FGTj|Gz)7#x}z5&78!!B;UbzkIDq_Gi8ND_RWlP^O+=>ut8J|nfCcSsgkX5Fl+ z*&1J8(!{ezrmL^tavl;mXFrcBV3o~iSOtKPeJ`tyJx*aW%5yw(keuJ=eHk7Y%f`u% zW=x5Ud)3}|r`H^IJ3TD;d8qrzr#ay-bgE2a3yGU@FwY@5a&Dy1x@si1K}MSBT3Gl^ z(#@Df#bzL+1yiKnKQYVZZ3gkm**1P44}w|iXD?hQobrGsKveS{ZyPoh^Tte&tL)RAaW=d@u=@V@k3Ly6KsIJXhm>=Xw}FtUx2b zC2tY@15&2DJlLI=ZPw11lwn_Ia{kkw<-=~o!hc--^?r^=V|qcyU_V9{dj0Q`{J#$a zB>@{Fit*+)pMe~g(*v}ZA~sGK1n%%*N^5#Y0vw4IrGokHERo#*dNS~lsg{ErY{TZiP&EWI%yZ-}yA zh$jOKZI`cd7_`Tv?jVf!VI)*eUT4peWSCC?!gkVs^3XGdgn{}Iln*V|E=7*=SD(t# zxj0_yGunDA?RUfVnzM&nc$YKoAcbhV<6#P0G-H=v7iXmPF=H1{7WK=2!yI>F_P=$; z9wF;8gGGrS4$O3Beomd&^#zTPsKKc|;3G3m+Rj8;g#Ev9Vkp_?renCE^)i zfyzn;=~LbIrSo3>LZZ=nKZ)EvqRM%tG)Sdih1{%3q9@aqLqUBkGfiVKV$>kU%iop}tJ8%9T}eKODaC(zs-a zo84oZ2wFw3839!V+hZ#sKe?JiU=?}h+|gsRb-7K5tVI46pAU6NNMA02xUdhtONv=_ zW^?WIX3}Olb$*`#K8pwWoaw=DQhgXAxB$J^o{Kq~xnO}{{zs=?K;YlXZxoxUo7yGLrz zsL-rrm(Bn)Ir{2rC0^F%LU`Ykz-SXr0S%=cr@vz7AaIZ}OFJQ$<6XF3f+WmjsLt1+ zMw&~7P%Qu#$VcSH4p*^j#kT;9U3b5#F4s1rQ_sTKO_D;Lj$x@#kzn{F5uJA9!}i1S zh#TBLYD#i>9#tR2od5pjTbz7nyo~7+uElsEGySd_v4-6n@(BSOwCWm?Ku3l_dui;q z!=o5{INFZPL%u*xgHCU{pXtJ9K&g!)d?_`&#p z<=Qah0X4pv#eAo5>T8QGv}a5|=SQDlF`1*w2wY>Ig5$S997u~v1xHdgdkmX$HMxhj z0S-4#66ngmwF7#|q+!Dl*A31N03@}4we%gICP(vS!-7I51I70A_mtygS)IVI2gcbJ z;>DsismkroZ(M$N_DbonJ}rzyGmm#N`Q2vyPL?A*(MiSt<1f~y+9+t#(44`EDO|_h zwZcvx1jv5yonsf!Z*eajtgg&$H=H&8z1i}X7;x|f^I%4jnYw0b?>z)X{)unCqtEi$XOS+rKa>7nb*A;|8be626d z0LW;s_B1fAW`O2mfBgok)dTvr30if18o{@Q0JJ9mGZ15bo9{&Q7|AJ#IaTRV6E(7n zi~&JPaXf4vbSLY*_1o-epMfDP51`@Fwqpoyb`CEI$R*1xR`)L8q=c+(um7Pza2(Yc zhO$)445o%uq;+-QIZOo8!+HPwH8yC5?u79hx$;@qyCnqz=`!k?@jl}DLMyQimw**a z)9NG5*%ALd!<}N+j`{d-l;_aXNs!LM~`f4^z%Spqkp=F5#z`E7i!73=FshglVE zfWHU@%ho;R7z;jt-k?U*ewdL#T!>7s33M`XZ>{jQAa*m0>az2+ z6OaC#bZx3qxspP-s`)czZ9@Y%VrKrn7s2`noZU!)gG8hRFp3$vd&f&opu|yxT`>iC zR)YI+W$ulq5^c^!t@T(VH5e(LE@9$K9W5H)kP8?tXd z$TkqfYLv()Rlf=^r1v==fZvak8x;!tkp8*L7vccU>L{Lx`HEawNxbx^j9fZ?SaxHqAd$S-eT32V7FusANd3 z=2#g$_q*9KlvuT?lAmn%9>^Mwy;|lPgWEH*B@SJb67(=p{Y+*_tt1**5?gXT4xtcM zu?!3%m-q3x7`T-b@A)JRa2~`j=2|4qJ&zE+VGew1>}jpK6C-9MQ{g4MdI18g=Xnmk zU!I$!%$oZlSY`ChxZZ;kIRk+NeS!RCl<5Vbe-Il_9Z zOL8fYu@b&tfirC^e6IsXY=@GBsKK8qlImlq!9vB1NJY{&RP|>p<(xb}ttq}NDd)su zAGUMsF6fh;Ep4zqjVznS+)Z@Pt#_7|k$UU*hPI~xe0I%_)-Lh=3cL~w3Tx-*G6`W9 zW0U!^NuJ#Nw(V5zyX&-VJ^2#@uD0`T8myZ@Bv7~pp)bRKYcavNu>D2~2{~}t)iJf# zpy|vn@_QhUZEUIJJpn9YaGSX_QI`7_nH*fMW);s`-W#fN8plt=H;z+~P#YD9YGb*A z#9{%Lomf)Q#fvk#&Zp}&*+M$hsA0Uz2$}BUP`>mXs zn{8?_Ry}@on z?K2EF`*gs1Q(YidlnG%lWWI(uj&V%IK( ziESmv%DRw&q1t>^#)n4i5)BHa+TOmbV=lr6@#GXcyr2ha=XRNTSRQ*S7UA?LkJ&S& z$u(pyxagNLUW>?Ft>g#iVR=I^ih@>H5m{kQ9wh(y|NT%iE;?f6`gL!^5hR5kE2nzV zpD@JC-Zt!Jo7X1nq{aGxhOlnHn%)(opx@DWBLc4FrbV=}ky%-crwj7B`2bf_v~*P+ z70xUD(gA2%y3cZ5Mb{o*wO-YO4z1!^K3$DTF(MlC*+^X?#mD?Ha*L_|ZA)|vzSVmk z%3Q5l`V8uLm07o-2BAjwlA^~IEB0aAiN~w9SEcn?#sSvkMHW!eMxGsNXMe`9RhHZ? zir$--XLF=Yl6NEdzy+SzN0*7u!$kU#X6=*00ah%!x_9$qFKFv0Z;ukmY0C^iSCy-~ zz6kR2K{%P*5d^f>KoZ0+flzvD%Tah zDt|l1@B2aJz&6IH)ZD_c(SN-mHAJNJWBDe+X&kU&;c~?fJ1;8ce72#OY>^f7X-WM@+iII zGjQJf4^!Zv(dapaxvMLsbI*0}UQa{u#dV~*#{v=D_PGot@}b?f944sOI#yy7Iv0@2bGzm|(Ml z(WU$n&Qgq7^Sd({E!iSNQ!YhT9G^*SaY6VhLwHeA2Ue@+MyS8zXz2N2YNqLc_uu(* z4TdgPqev8tG{c*D|K{Dsuhqt8!bk2 zJby_Up`w!SmYgy=7CL;sYp|Ujc1)54uWJGk&zT%W1(vh_L3RV##&w^L<}{NlSCcpQ zIriPhtB=KCRigJ{?DoP!mtOgURqx|A1q+YoRmwct>_T(IX>xXS!Mb3Q%}Z2PF8Qn5 zngX*evA^(v*Ne=qOmDG$vzMgY6i`IO&kA%k$ZP zzhtK;lGWsY8@*TXlqinAb$R!&bTu-*?y}0vRoaExibI{-(D0Yv-@u`T9E*{Ahj$)s zn`D0enes>yr4Wip4Y(F{C}Ynn0JI2iQFIn}AZT56U<`j{gQt=^7_(V@E}8{;F^=NK z$iNJ)^BYfYSzYF_WK%XgX{5O3|45vea0KWcT5-E}hX3|o32z3>(d>HwJ5F(DrQ(y~ zgUn2?%&Q)eqtc!s5`P)}fR29PM-ZsvbM6cj0t$vcfWh4n!5j~eD0j|at_NstcT6zP z171FNY%uNtzks`7u+Rfx5qHsGu?ON3?vlY$52R(hWIHg{?^bv3lR*6ns2N3&5?-0E z!oaKI)#&OB4Nbfj{RBf>2d_)lW9S>;Ptpw;MyK$n>1P zPq$`Vu)*8X?HB}myaU~l;pB{Wp}R8N-0>cCPllH_-iPkX@bkw9&;uDk!T5{xON@}q z_)z*4Mp!rrA3?v$h`ff6qDM1gi1=7~93%dJ`0Ml=jD$pd5}m{#C*vvf6h>+qKAnD( zL8aj{=$VYHYvdOqXHQ~Wdfb4EcSzKCATc<~bd zivF5WQi?C5zhRVD;4A5G8CBJII{h7^rWQ}Cqt`PU8u3l^X2$yu_>c4!Mr#|so!-Id z?80}`dlzMRclv(}<|=-T&SI=@;5X@8jO`!zpY&gho!|If`W|Ed5B`9D$T<3oKPJ)HhjP*2 zr-Bhr#jE5w79G^t8Q|K#Aj~k}q=u{++mIgvjXGudu0-!u2Y2fTYdJ&FImBJNAV;PE z<9rTN^Jxmuch-eqc2ttTB+}~r@2*7nqEyV!K94QDROI^z%Gz9Yz%0^Ql~QCO1^q96 zFGp6qC<*|&+8Od?*|{$xyDels!61-|XamGZaXip0cx9)wg)8=_4n9hq17 zxPV4ueZj3nym-NUr5=?>iX#=QXHpDO2Qw^H%Wgg0&C6qLA}JMmyqXm5?ee8R5&J@I zyPWQQ*E;#`?b2B9A4hXi}craS@}dWg5B{^k!T96R&Z`9XwkKO`XOPZuq_1E3VOsgnif-UX*wH_x2*ou#+&R^E2rwO>ZU3@iCy3MJj>50xtigTE5|FyCkKDBvQC3 z+?_M<_Xzt5>q7Va!raxn$0h5@7AVh9c-t<3)u&~>kTQXKa)PuVFUV-AxU6^Yr zfYJ&t=Ap121j8A8_j^nA3CSRKe8zLVv*fS*5e4_!%_oaijqP)**{(XyJ$lK>J)fDp zN^P?wl!=u|(KoJwWZ!4@JeAXe8;wAqihadv@yz0e_+n0YO5ePsu4sp*8Q+E7v!{+B zKg>@34cmfw#J~LbqY-fG$Wpije9Es$=GZ@ZF#I9n!O@~u4jYiqZS5=~0)xi>TFnH$ zD1pgOY^MOkPdKZI)&x>TK1PGcC*16a_v&#ZJn`509mSiUj&@^wnU~ngOvFh(RO`6} z+IY@9L@xxB;YtN!i=0t9%p+O0nHg)<_5)NSzDPcQ`ktgquuGe)gaGp@XfuDl?hmwe2DFH{F_k|jJzOz) z^6*&7Z$Lfh#1~^*r&}`^OU0p9 zK9goQ(Jbd)uT!}NimIAJ1`m0y11hWwT4gQXOFhgr06zqqxCl_PFvjOdc^W;uCzZFZ z_NE*l!T(!J;5|ret9(J$D}NfpVnC`-E=WWIaVgxTln1xM+gKwodn8hW$QH)?8wthj zLm(zpcqUHmf3xbWS?AtyS$3#HQ%3AH&)@Zv9-g-&9Tv4@BoewUD*8G2u-W<{5gp$5b3fyGsO|&{Y)0$uu{~H%L()$r>vIc6{_LAVCQOP~ZDHf3%W=L~ zls|gd51p8rAQs{ZI*CYAzW>{!k6b6`AUsJ>Ci$fwv))A!7Yjp;082rZI(tF`4G@HO zwb^TmTfJ&N>5OLIB`UN1?Y%x`qoeF63m+e$ff=rleYBc=#_0F+3S?dOJ;Y#z?D0!g zfogXpu})SMh{wdC1D(7+ADXg}0AC!xo-K2f^53z+0)O*11lTez>%&|2DmGFFbmh}U zklzqT|GI)_r%l5U$=0AJ_Nt~LP^oUeT&qCH?gQst5+t)#4HYnoLikXzA2~D@E?|L4 z7a=0-yEwO64ZS*qhIuD;Gfl(!yvd|WFUZQQ<1W;FYa>ZZ(pqvb!s1La$V-!W86q7E zJwfu!JiP|=c70fV*SF5R-BNn>vTSq8-CV4YLx3N?gy#g?*%|qqB9NIh3EvIv@_|nu z$HiKPi&&?sbr%&R@NP1FKX+6xB34v5Xwc<}0G&a(`)e*`43 zgY6cP`HTt(HW!Y6pT=yaaZAs^Gx zQ^BFP-KKm*GD}rLuga9LD;0!$r*b|#8rK%EAY3*4x}0c5&Zcl=JQ4vO(#Tr z&d!HWr+`5$81rLhfKYDja2LgQ6{bguY&&#Uls{EKl?(XSGC~QyN~$p_$dww3TwVVV z+OC4DvIz+xk20MUZxz3e_#$<|4Xdo0fUhyd=WOZa=DrmPAza44)swbfSrK|S$tTSj z_HHH23M3OOdRMe>>sD0gpeRHwEE~S^Zb#-eBUFl}Zd~4S#uJ1_W{TlyawI| zfO6)%!!9Psa6U<)khb>;j9cjz=0!e|p=t>q8$aPDfJ1~WTvMFsQE3~PJfZ4Rko}Rw zkK`#d6tY--sHmhiE{4Ueu|#g^~nJ|OEO#tl@I zUO!62?5pInVb_)gG{2xYZ%Yh@XF#q6T1pqLnhS`B__&jb2Z0WDrqWqoh-?5HT=LF# zCNtp&giO0MvvED*gZtDis-dY6qz;*@UgRI zng(!Ll9r*09_Fr-I5g<*8o23 z)DXbMKr%jmc%VPD+5#N;l(GyB$3a!l+YKF#t|2){=bK?ZGbftwvwgiunS06q{biGq zw~o(P>!+}Lj8W_))G%{&c!n2uIBm!JfeucbSsn(AYz_}uq5tmw5570NhZ2|?V*3K> zUU*Wz0wB)`(9hT`!;bz-f2+>Cmk?!Y0{oi|!vJdBEP-Ibw2+ODlJE(xo6LIPo2eDo zBM+q1kAN1vIhZn>^@jwRf@#4ck${hDpsB@f*Rn-_&a^;`MR|ta%jSQkVk~6G1ptuO`~Va%XLuCa+{(A0_}wtij-Q-~@)soTE5>V&@=^=x@SN%C zt>}AEQu114AWV|Tt(uKO-Zm&xIu#O3bx}Dza~Xaz+DbAk4v^N&qa_KD{;Q~bU1{7f zNC^;inM*U-k#s>b*-lDI(htDr|JPGaLo3KTUt3frln+^d9C$S?%od@x8yIqhQXYL_}^<8{|jXTPcU)#GehI1Ma3y@K%bm-_&IJ(dkiR&Jhnu zsVk&-a?~b(*P7p#&}-i}&a>VC^XZ6jU~a9`)&(9T&Nwvi0b*^L%c`3{Ah4~57U)*h z*QWLv@FoX1DY%MfD|fSs)HF8+b~{E}be|G8fpQJfXg?2htI72dh22ExX3?YKcag^b z#sda46mWaH?QY{@A~mc(>=}bY0ZN1b60>e`5;^>=&h#a~hE^a@nC{^}YeR*@D)^MH6G?-dGy1p$nbU}rq?!%7!o*dY)StM)PUySl% zoy~D1J&)u;Ey`v<^5xmLukvL&S4Yp7?--; zQ3c7=>8VlPuHn1^bwuG>?IY&&`tW}t*4ll>t?94MR>4P6oyWdVa(`A!o~vZr3>`A) z^k^Ls8poND>l?N&WRHNi?=+JBNBj9tw2j@Q?RSIZ1jjCJ0=6}Qz`YR{Lmj1LiF%!C z@D*@dC9sCrOa*jI1zqFhoDs>m$Z^EgGz}KsDp3svHuL<+#90lgCv6F8l;#trUKKO}ZBQbHTfC0Fv@O2OPMQVY*BOp3(%{d$!F-^I>AYQ&}^+Szr^- zWX{J2h5qxK*=amptee)Gm6zLJj&&wqc^ab?o+Oj; z>vb8&eWi$W(rUr|-sXTs@aa0+&A^guRUX^?43^ezas}J7K1C9fc@-uP)+^}571e7Q zCr`gY=-kVb{$9bkxdy@2M+b$lYme!*pnd!BOOQ#l9NYR_P~t!6`^>}DOKi|>Q}JL) z>6xot4bFD`-w}ZzvKwReY~Tt^e%Ul=P3rFMGuSLCer55&!@zZ;mA%cN%9YgRz|i?O z4^skr7o*TTgNyTmJ7sl2-!Xyz1~O0r+y6P$<^#`eMlFi23`*Bza_C2%4-`TIgoI@Q zMlS|Uye^SnV0fUR-n|~ag6wp?q#)b|?GJao=9tSq#W6Xx`oPgO*&Jzno1q3Zu;N8lS4Yo8USvsp6?B>5373FSh|%F1M9gi-cP>Zv$5oO%FuZNcVb2 z`CdtBnEE|FFLhsV!SrAJqK|5DgFv@0lT+XPe@@d~mYa7>)~3Nb)8hOVn`01tW5C4s zv+K0|T^%a}GtrNIh>sGGtd^UZE{=o_;5{?gnZgSiZ%?D3@DrvGC#0~;PmsJd9nQVD z0=kPZ^NX7;`~r=cFC5jl*z%=toMd`2W*P51d*SRR795LC4Kf=mbcSsUg>bnK6oUy> zTMbRwnM2|M;>xcYQHkFR+16q&&T)DEN_|lj|3V^@KpOYS{BNcQzM>)#nS*&>Fwiup z(ko^f;i2_g!~q#%oE`joFZRgZ!yUlU9^QmL-kmgi#Jmg0s+LPu4@X36lJGAs>UtZO zCWW#6yw&vlJYnCJQPmlAgtxJM{u4DFivV23ia-|Nq!(2(yK-?RCI~IJ9eYW0*JVDV zl>O!x4+k?tpkeN|vP(a|s*B~iJFjql2AV__ARmz8>h&OJV%gws_!-OOW56ajs!84X z^ac|?FTKOI9rYxrUV1{JdXV|m;Rw!_QDGa!O})=Y7VQNVJa-Z&Pc}K<3-8-(deGGO z;SJg~WALHNj*A^QBTT^3qw?r~3FGDnrL$(TxbyIN(LBnHUG-B%HfbS3D0d6&O_heD zd>|q3J&E7uODE%H)L~vh8UP>(b_RJ1TVI*rBrH@kMJ(h@0q&Br%z_DJ7Hj<#&rMu? zRQ~hJ0_IdTa|5|Oes1TLW&`YAPGI;^2t`l1%^Oh{01}9XWBCK4gCHMs{V}wpz1LF? zBo_c-d9(}15S5+Q+yw^n0x1PBg{TuMbNnjGQeRVX1CNw?W5$2vt>*Gbu_=wW-apCH zOLeL_XCs#qB1Q5QoG7?02UPL@`7p@Q$5D_w+MMaSBe1c4EF0B?whcKL&gFi@ZF zo#{{#^r2ePBB;+c1G;pXFvblEg`;q>2b_OA@@K(d|39K@=?Hn#pg-9Pet*!<0mhG~ zl_uNe51Kl|d;g&IjUTroymg_`+RywY4#Z-w-t07tn2ZXThipcBqnycbJQs=AZtOqE zMyPe*>CUmFHAn86Rm&jCoV|cjeelp34kuCs%(Q?Y74gO^40_-UH@?JW9~N^r@R$u> z{d{~BL-ePJK_S|gRXi&Co`ZRHCpY^vzvLaKwZSUssqHN>FFM;{bZ}F0uld31`M)Ci zUz$1ZVUtv@WAl0SOv0De+Fxl$_*Yjm8RCINt91Bqg0MxPZ|os&N;?oIA8r_zP5RaO%dn{;YO_HagOna9a0Q^J+sh9G zka=A2Z{ah4MA?rjt?qZSk}W8MvK9E*7#KlB4cV~Zx?OobIT3Yr2iMP4JZa87y zr204FbXTHuugZG>xQKj~Ck*jFO>FrUCa8UcI}<>kbZ`(b~D z7;G9{@ahoKagf^nJQDAP-Uj2 z*;;AgNzqZ%4?}o!_1@8jcCpsL7_x~ z^ND{0$BIsQ0#Iw%SF{1F&c(tRvC(ZO?iOJd0s5);%SCjG`V#9**g$v~HyifFg&w`D z0o1exh*&T^$^rm@jc6g}1(1!mV7ktIinzBG?_nw<@30{73f$-ll+PKPyzE$I&QA;>KNxcTugG!88?3Xk09Rd0p%bP=XL~ZAA#%`qXf>!40w%} zM)3)pvpe`cvfK2jjZhOq}~!#qh7FQ~W!vj7SIo{NU!`-_&~q5J*=Q4B@kL7**_nFa{<3UxJ~ zHj6R!0fyXGJ%hTt4!Z#_E)1;D?+$X3$J9f5$MS}8!Hd2Ne@3?d1mC)g zj_CjQ8fKsd)BK4AMn_3?1ZoXGjdoVJi(&;xFM=uH|MI>70Rx7>-uqFXChUrX3NWZ& zx$ty0iw%rUr}j;BzW)Gs^3m>4d(I0{5>@C5{RK3t(l^FOJ8o8Tu>y>9L@0EPSQG;P z_cJ290b#=;f0-+i6z1rX>|_ZX>>(pO?llF$2BQEu=m6yE@N_wZX~;z~?#SR3xQ75P zz;*w}mg#M%|KOjqZ|X_tGVTD&?rZL`1B+@!s>z_xo~~*Q+E(&96-08{P(!*>)8>M) z|J4E3cA10d9ecPLbUs0Rhn9@u(Qfc?!N`K43&P{lfBO%Lr8CtClz=a2(BeW)n1-#X`0y1885CBtmX>002q=o`-1ygY^Ig93dMCKm!vXuD}Wx)cgdy$Mn7iL@EP? z!~`t)fB85`YeoMMRZRaJNC8_z;zBjTkV($kDlH$tb;}9Q7!XY{Q0m4Foke4g$>IMt z##U|E1zZ2Sj1GYmaAN|G)xwG#NuZ_;w{%^O*}(n;kQ=a53}VR1MpX?Wfc88$b_-$H zAUtTN(0g-nz*ZL8_+;m4WylT_6b1(dgWgbFe~F0XG8l3U+FM}pM(xE8MS%Li0!5M% z2t!j5NQJg5L5(X0_`$Yt(V)1P0awk6y5#z)4y*?@g^yf70d?%By9^hNMG9&(QcK1Z z*}D!I9{vZ)E!#!#PzIGQG#gjBMLNOoy_!1ok ze+VBLS^pG;RfC@dBptvGNT*5~kMcA;LLKl>iTD=2LaWfM`W6rrX0IXL#&EzNRN?E7 zA&d^I1w|i724HGv?Z$lsp`tKwy9g45Lhl-UKD!2F7GYiBej*)6l`@Aj#D5S4fK0a! z>%0l(ilH$fNI@DR@U7qPfjp1_bV6q0e^&OWOy~lg7@$lvLU#fKw?J=aL;nvI7TYW? zxCn|v6J#!cSKKY)bRG=?(0?8Qm#65q9-oka8q&Hq9P76GCjSiU;sjs>gk2*ELm{pS z5L*K`wsQj@g`ELgYrBLF6pRYm-W?472g_7)B|`6zl@IN6P>>jWqb7h9C|WUhe?weF z@G{wq4?9003y=f_f%WJp|06Px3smd>rTl{_TPoiw|L!atEiWyGE&tOk2QE}DYA)Fr zDgo$)E}%iD1SRW?xAZZ*0_yrjMf<@IKq+kL*{|1tI zF%=wHOe=V*6Kou9;RwHr)0$?^6Al83R0qg+)*DL@6mf8LX z=PUhC9b$`BY}?={cO^RA5Bvr(qQEOA5RaXS#*4y6;E-JK1}WG#8Y_L!RzkBoWg^b_ zqNO@iwp`OxJO=cTAX^T+{Xjrbj`r>*5nqHG0W1zs5idZnK>>kxa50q#3RNIU=kkOB}O%IwSllnO;w@+>O^Kp*ew7ONLtuIhyY zCBRU=4~fJ72f|&zkEi60+F>y*55K}NOnwm-M%pGZ6YvJnc;5Ly+FMNzsOt@62*xlJ zgSHF-Q!(BL7l4tg#dM5Bf3Js0Y%R2Bimwo@(;z4#C$P%d7%c#CgsFJrr)1_1zdI#9?Ve~oL{@{oX6`cRv# zfGTD#5FK^?vIinJgX|FZmZz8Y!nkB5^&hGLkSU_Sw-)+fg(Dmp=pm8l6jQB60Urp! zgBj)_lja@X)fhIt8ee~HEl}&L0^0@{D@nZ| zC=a8AI0(5F7t7GBY$a zHa9r_IWR3f2nlfj2~Lx-qMrj1zuA*hqbw{mDL_XdA`n;69ikv_EOK>Y00rHVa{xx5 z7XrSLEr5?s004r#hfa2Y-LvF(5#D+yyxDd>^W%zpN47k#_|Jg2R3bzR3Iq zR^jAc_{;^JT>EEf-Lz6QM08300O9Rqui27dvg6(1oG z|2Y#G5jGHi9XS6M93vYS0hl=bE#xi%Z$AroK>vRw0cREglq>%p8W~em6d@1WAQu2N z{~#PKS0pAG7xqpoPa+)lL@CVx|FuDcd$XMs@!|8yjpG55G?0 zE=os0`JGAbwjdM+>`WOAcF)fi4cztwI41*3+sGV$1X8!C10{j>&SpsY2m99nTM-3& zt{Mqc0Do(Q0dj~I|1NYUR1c<(?Cnx2Clde8NHGr&6gXP{AqzVUHYFJv_81Kj8wCFf z92y`A8EowV_oVRctUInI|1W-6BmwMHlJ^!Y6cR{a4L09$YAPw0|6u_a=eZC8@b)ta z3pei<+Z71`wBm>_5dpIlyDke*QF9Che*r3!w5DqT9+M!aL|&Z;K-L2g6;ncH2BoyH zBQ-At0I~%VJ2(TZ9R*(iE4nKLERt+4Ai)D*ud=q^j~Q79QGuB$eP1!p76A=pf$Rhb j1ON)I7~BGc1Z{;h+X@~A00H0u0t5g60001xlftLMV(W!F diff --git a/palettel.map b/palettel.map index 01573f8a..f79195a6 100755 --- a/palettel.map +++ b/palettel.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:51 Executable Image: palettel.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1259:0000 0000f0a0 +DGROUP 125c:0000 0000f0a0 @@ -33,29 +33,29 @@ wcpu_TEXT CODE AUTO 0000:52f0 00000058 kitten_TEXT CODE AUTO 0000:5b60 0000080a 16_hc_TEXT CODE AUTO 0000:6370 0000158b timer_TEXT CODE AUTO 0000:7900 00000235 -_TEXT CODE AUTO 0000:7b40 000077ad -modex16_TEXT CODE AUTO 0f2f:0000 00002406 -bakapee_TEXT CODE AUTO 0f2f:2410 00000bc2 -16text_TEXT CODE AUTO 0f2f:2fe0 0000010d -16_in13_DATA FAR_DATA AUTO 123e:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1258:0004 00000000 -_NULL BEGDATA DGROUP 1259:0000 00000020 -_AFTERNULL BEGDATA DGROUP 125b:0000 00000002 -CONST DATA DGROUP 125b:0002 00000076 -CONST2 DATA DGROUP 1262:0008 00000102 -_DATA DATA DGROUP 1273:0000 00000597 -XIB DATA DGROUP 12cc:0008 00000000 -XI DATA DGROUP 12cc:0008 00000036 -XIE DATA DGROUP 12cf:000e 00000000 -YIB DATA DGROUP 12cf:000e 00000000 -YI DATA DGROUP 12cf:000e 00000018 -YIE DATA DGROUP 12d1:0006 00000000 -STRINGS DATA DGROUP 12d1:0006 00000000 -DATA DATA DGROUP 12d1:0006 00000000 -_emu_init_start EMU DGROUP 12d1:0006 00000000 -_emu_init_end EMU DGROUP 12d1:0006 00000000 -_BSS BSS DGROUP 12d2:0000 00000e42 -STACK STACK DGROUP 13b7:0000 0000dac0 +_TEXT CODE AUTO 0000:7b40 000077d3 +modex16_TEXT CODE AUTO 0f32:0000 00002406 +bakapee_TEXT CODE AUTO 0f32:2410 00000bc2 +16text_TEXT CODE AUTO 0f32:2fe0 0000010d +16_in13_DATA FAR_DATA AUTO 1241:0000 000001a4 +FAR_DATA FAR_DATA AUTO 125b:0004 00000000 +_NULL BEGDATA DGROUP 125c:0000 00000020 +_AFTERNULL BEGDATA DGROUP 125e:0000 00000002 +CONST DATA DGROUP 125e:0002 00000076 +CONST2 DATA DGROUP 1265:0008 00000102 +_DATA DATA DGROUP 1276:0000 00000597 +XIB DATA DGROUP 12cf:0008 00000000 +XI DATA DGROUP 12cf:0008 00000036 +XIE DATA DGROUP 12d2:000e 00000000 +YIB DATA DGROUP 12d2:000e 00000000 +YI DATA DGROUP 12d2:000e 00000018 +YIE DATA DGROUP 12d4:0006 00000000 +STRINGS DATA DGROUP 12d4:0006 00000000 +DATA DATA DGROUP 12d4:0006 00000000 +_emu_init_start EMU DGROUP 12d4:0006 00000000 +_emu_init_end EMU DGROUP 12d4:0006 00000000 +_BSS BSS DGROUP 12d5:0000 00000e42 +STACK STACK DGROUP 13ba:0000 0000dac0 +----------------+ @@ -70,13 +70,13 @@ Address Symbol Module: palettel.o(/dos/z/16/src/palettel.c) 0000:004e main_ -1259:0790+ _gvar -1259:07b8+ _bakapee -1259:07bc+ _page -1259:07d0+ _pal -1259:07d4* _i +125c:0790+ _gvar +125c:07b8+ _bakapee +125c:07bc+ _page +125c:07d0+ _pal +125c:07d4* _i Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1259:07ee+ _inpu +125c:07ee+ _inpu 0000:02a0+ INL_KeyService_ 0000:053a+ Mouse_ 0000:0592+ IN_GetJoyAbs_ @@ -124,9 +124,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:486c+ MM_TotalFree_ 0000:4902* MM_Report_ 0000:52ba* MM_BombOnError_ -1259:0950+ _beforesort -1259:0954+ _aftersort -1259:0958+ _XMSaddr +125c:0950+ _beforesort +125c:0954+ _aftersort +125c:0958+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:52f0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -144,9 +144,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a30* CAL_OptimizeNodes_ 0000:5ae0* CA_Startup_ 0000:5b30* CA_Shutdown_ -1259:0960* _finishcachebox -1259:0964* _drawcachebox -1259:0968* _updatecachebox +125c:0960* _finishcachebox +125c:0964* _updatecachebox +125c:0968* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b9c KITTENGETS 0000:5bfc* kittenopen_ @@ -157,7 +157,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6154+ get_line_ 0000:61c6+ db_fetch_ 0000:625c+ db_insert_ -1259:0494+ __kitten_catalog +125c:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:651c+ LargestFreeBlock_ 0000:65aa+ _coreleft_ @@ -182,76 +182,76 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7b45 __STK 0000:7b65 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0f2f:01f4 VGAmodeX_ -0f2f:02ca+ modex__320x240_256__Enter_ -0f2f:032a+ modex__256x192_256__Enter_ -0f2f:038a modexLeave_ -0f2f:03a2+ modexsetBaseXMode_ -0f2f:03e0 modexDefaultPage_ -0f2f:0446* modexNextPage_ -0f2f:0516* modexNextPageFlexibleSize_ -0f2f:05ea* modexShowPage_ -0f2f:06e6* modexPanPage_ -0f2f:0736* modexSelectPlane_ -0f2f:075a modexClearRegion_ -0f2f:0872* oldDrawBmp_ -0f2f:09d8* CDrawBmp_ -0f2f:0b3c* modexDrawBmp_ -0f2f:0ba2+ modexDrawBmpRegion_ -0f2f:0d0c* modex_sparky4_DrawBmpRegion_ -0f2f:0e76* modexDrawPlanarBuf_ -0f2f:0e94* modexDrawSprite_ -0f2f:0efa+ modexDrawSpriteRegion_ -0f2f:1072* modexCopyPageRegion_ -0f2f:11dc modexFadeOn_ -0f2f:120c* modexFadeOff_ -0f2f:123a* modexFlashOn_ -0f2f:1268* modexFlashOff_ -0f2f:1338+ modexPalSave_ -0f2f:138e modexNewPal_ -0f2f:13de modexLoadPalFile_ -0f2f:14c0* modexSavePalFile_ -0f2f:1538 modexPalBlack_ -0f2f:1562* modexPalWhite_ -0f2f:158c+ modexPalUpdate_ -0f2f:1afc modexPalUpdate1_ -0f2f:1b76* modexPalUpdate0_ -0f2f:1bc2+ chkcolor_ -0f2f:1eda modexputPixel_ -0f2f:1f68* modexgetPixel_ -0f2f:1fee* modexhlin_ -0f2f:205a modexprint_ -0f2f:21f4* modexprintbig_ -0f2f:2370 cls_ -0f2f:23de+ modexWaitBorder_ -1259:04c4 _VGA +0f32:01f4 VGAmodeX_ +0f32:02ca+ modex__320x240_256__Enter_ +0f32:032a+ modex__192x144_256__Enter_ +0f32:038a modexLeave_ +0f32:03a2+ modexsetBaseXMode_ +0f32:03e0 modexDefaultPage_ +0f32:0446* modexNextPage_ +0f32:0516* modexNextPageFlexibleSize_ +0f32:05ea* modexShowPage_ +0f32:06e6* modexPanPage_ +0f32:0736* modexSelectPlane_ +0f32:075a modexClearRegion_ +0f32:0872* oldDrawBmp_ +0f32:09d8* CDrawBmp_ +0f32:0b3c* modexDrawBmp_ +0f32:0ba2+ modexDrawBmpRegion_ +0f32:0d0c* modex_sparky4_DrawBmpRegion_ +0f32:0e76* modexDrawPlanarBuf_ +0f32:0e94* modexDrawSprite_ +0f32:0efa+ modexDrawSpriteRegion_ +0f32:1072* modexCopyPageRegion_ +0f32:11dc modexFadeOn_ +0f32:120c* modexFadeOff_ +0f32:123a* modexFlashOn_ +0f32:1268* modexFlashOff_ +0f32:1338+ modexPalSave_ +0f32:138e modexNewPal_ +0f32:13de modexLoadPalFile_ +0f32:14c0* modexSavePalFile_ +0f32:1538 modexPalBlack_ +0f32:1562* modexPalWhite_ +0f32:158c+ modexPalUpdate_ +0f32:1afc modexPalUpdate1_ +0f32:1b76* modexPalUpdate0_ +0f32:1bc2+ chkcolor_ +0f32:1eda modexputPixel_ +0f32:1f68* modexgetPixel_ +0f32:1fee* modexhlin_ +0f32:205a modexprint_ +0f32:21f4* modexprintbig_ +0f32:2370 cls_ +0f32:23de+ modexWaitBorder_ +125c:04c4 _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7b82 _fmalloc_ 0000:7b82 malloc_ -1259:04d8 ___fheap -1259:04da ___fheapRover -1259:04dc ___LargestSizeB4Rover +125c:04d8 ___fheap +125c:04da ___fheapRover +125c:04dc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7cff __PIA 0000:7cf8* __PIS Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -0f2f:2454 pdump_ -0f2f:24b6+ colortest_ -0f2f:250a+ colorz_ -0f2f:2570+ ssd_ -0f2f:268e+ dingpp_ -0f2f:2700+ dingo_ -0f2f:2796+ dingas_ -0f2f:2844+ dingu_ -0f2f:28a6+ dingq_ -0f2f:2946* ding_ +0f32:2454 pdump_ +0f32:24b6+ colortest_ +0f32:250a+ colorz_ +0f32:2570+ ssd_ +0f32:268e+ dingpp_ +0f32:2700+ dingo_ +0f32:2796+ dingas_ +0f32:2844+ dingu_ +0f32:28a6+ dingq_ +0f32:2946* ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7d16 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1259:0000* __nullarea -1259:04e4* __ovlflag -1259:04e5* __intno -1259:04e6* __ovlvec +125c:0000* __nullarea +125c:04e4* __ovlflag +125c:04e5* __intno +125c:04e6* __ovlvec 0000:7d30 _cstart_ 0000:7e03* _Not_Enough_Memory_ 0000:7f35 __exit_ @@ -261,10 +261,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7fbc _big_code_ 0000:7fbc* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1259:1274 __argv -1259:1278 ___argv -1259:127c __argc -1259:127e ___argc +125c:1274 __argv +125c:1278 ___argv +125c:127c __argc +125c:127e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7fbc printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) @@ -288,22 +288,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8318 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:835d _nmalloc_ -1259:04ea ___nheapbeg -1259:04ec ___MiniHeapRover -1259:04ee ___LargestSizeB4MiniHeapRover +125c:04ea ___nheapbeg +125c:04ec ___MiniHeapRover +125c:04ee ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:8437 _ffree_ 0000:8437 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:84a4 _nfree_ -1259:1280+ ___MiniHeapFreeRover +125c:1280+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:859f+ _null_exit_rtn_ 0000:859f+ __null_int23_exit_ 0000:85a0 exit_ 0000:85c1+ _exit_ -1259:04f0+ ___int23_exit -1259:04f4 ___FPE_handler_exit +125c:04f0+ ___int23_exit +125c:04f4 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:85de __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -322,7 +322,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8f85 __doclose_ 0000:90cf __shutdown_stream_ 0000:90e9 fclose_ -1259:1282+ ___RmTmpFileFn +125c:1282+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9154+ __ibm_bios_get_ticks_ 0000:91cb clock_ @@ -333,7 +333,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:93ef fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1259:0098 __IsTable +125c:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:95e7 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -368,31 +368,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9de1 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1259:0500 __8087 -1259:0501 __real87 -1259:0502 __dos87emucall -1259:0504 __dos87real +125c:0500 __8087 +125c:0501 __real87 +125c:0502 __dos87emucall +125c:0504 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9df0* __exit_with_msg_ 0000:9df5 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1259:0506 __curbrk -1259:050e __STACKLOW -1259:0510 __STACKTOP -1259:0512 __cbyte -1259:0514 __child -1259:0516 __no87 -1259:0523 ___FPE_handler -1259:0508 __psp -1259:0517 __get_ovl_stack -1259:051b __restore_ovl_stack -1259:051f __close_ovl_file -1259:0527 __LpCmdLine -1259:052b __LpPgmName -1259:050a __osmajor -1259:050b __osminor -1259:050c __osmode -1259:050d __HShift +125c:0506 __curbrk +125c:050e __STACKLOW +125c:0510 __STACKTOP +125c:0512 __cbyte +125c:0514 __child +125c:0516 __no87 +125c:0523 ___FPE_handler +125c:0508 __psp +125c:0517 __get_ovl_stack +125c:051b __restore_ovl_stack +125c:051f __close_ovl_file +125c:0527 __LpCmdLine +125c:052b __LpPgmName +125c:050a __osmajor +125c:050b __osminor +125c:050c __osmode +125c:050d __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e14+ __fill_buffer_ 0000:a063 fgetc_ @@ -402,8 +402,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a523 rand_ 0000:a565* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f2f:3056* textInit_ -1259:128a _romFonts +0f32:3056* textInit_ +125c:128a _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:a590 __MemAllocator 0000:a65a __MemFree @@ -416,228 +416,228 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 0000:aa1f delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:ab7e __CMain +0000:ab97 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:abe4 __InitRtns -0000:abe4* __FInitRtns -0000:ac3f __FiniRtns -0000:ac3f* __FFiniRtns +0000:abfd __InitRtns +0000:abfd* __FInitRtns +0000:ac58 __FiniRtns +0000:ac58* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1259:0534 ___uselfn +125c:0534 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:aca4 __DOSseg__ +0000:acbc __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0000:aca5 __Init_Argv_ -0000:ad08+ _getargv_ -0000:b038 __Fini_Argv_ +0000:acbd __Init_Argv_ +0000:ad20+ _getargv_ +0000:b050 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1259:0536 ___iob -1259:12a6 ___ClosedStreams -1259:12aa ___OpenStreams +125c:0536 ___iob +125c:12a6 ___OpenStreams +125c:12aa ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:b07c __fprtf_ +0000:b094 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:b1cf intr_ +0000:b1e7 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:b1e3 segread_ +0000:b1fb segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:b2ce __LastFree_ -0000:b348 __ExpandDGROUP_ +0000:b2e6 __LastFree_ +0000:b360 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:b459 __nmemneed_ +0000:b471 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1259:0022 ___Alphabet +125c:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:b45c __lseek_ +0000:b474 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:b496* __dosretax -0000:b49b* __dosret0 -0000:b4a2 __set_errno_dos_ -0000:b50a* __set_errno_dos_reterr_ +0000:b4ae* __dosretax +0000:b4b3* __dosret0 +0000:b4ba __set_errno_dos_ +0000:b522* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:b515* __get_errno_ptr_ -1259:12ae _errno +0000:b52d* __get_errno_ptr_ +125c:12ae _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:b51c __GetIOMode_ -0000:b551 __SetIOMode_nogrow_ -1259:06b2 ___NFiles -1259:06b4 ___init_mode -1259:06dc ___io_mode +0000:b534 __GetIOMode_ +0000:b569 __SetIOMode_nogrow_ +125c:06b2 ___NFiles +125c:06b4 ___init_mode +125c:06dc ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:b586* __set_commode_ -1259:06e0 __commode +0000:b59e* __set_commode_ +125c:06e0 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1259:06e2 __fmode +125c:06e2 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:b592 __chktty_ +0000:b5aa __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:b5f1 __freefp_ -0000:b692 __purgefp_ +0000:b609 __freefp_ +0000:b6aa __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:b6d3 __allocfp_ +0000:b6eb __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:b883 __close_ +0000:b89b __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:b8b9 __flush_ +0000:b8d1 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0000:bb02 fflush_ +0000:bb1a fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:bb17 tell_ +0000:bb2f tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:bb28 lseek_ +0000:bb40 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:bb5a __doserror_ -0000:bb68 __doserror1_ +0000:bb72 __doserror_ +0000:bb80 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:bb74 _dos_open_ +0000:bb8c _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:bb9a isatty_ +0000:bbb2 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:bbb6* __get_doserrno_ptr_ -1259:12b0 __doserrno +0000:bbce* __get_doserrno_ptr_ +125c:12b0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1259:06e4 ___umaskval +125c:06e4 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:bbbd _dos_creat_ -0000:bbe1* _dos_creatnew_ +0000:bbd5 _dos_creat_ +0000:bbf9* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:bc02+ __grow_iomode_ -0000:bce9+ __shrink_iomode_ -0000:bd2a __SetIOMode_ +0000:bc1a+ __grow_iomode_ +0000:bd01+ __shrink_iomode_ +0000:bd42 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0000:bdea vsprintf_ +0000:be02 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1259:12b4 ___env_mask -1259:12b8 _environ -1259:12bc* __wenviron +125c:12b4 ___env_mask +125c:12b8 _environ +125c:12bc* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0000:be2c _mbterm_ +0000:be44 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0000:be7f _mbsnextc_ +0000:be97 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0000:beda _mbctoupper_ +0000:bef2 _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0000:befd _mbsinc_ +0000:bf15 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:bf4f __ChkTTYIOMode_ -0000:bfbd* __IOMode_ +0000:bf67 __ChkTTYIOMode_ +0000:bfd5* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0000:c08f __HeapWalk_ +0000:c0a7 __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -0000:c2cf* _fpreset_ -0000:c2fa __init_8087_ -0000:c32d+ __default_sigfpe_handler_ -0000:c382 __chk8087_ +0000:c2e7* _fpreset_ +0000:c312 __init_8087_ +0000:c345+ __default_sigfpe_handler_ +0000:c39a __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:c3f2 __EnterWVIDEO_ -1259:0704+ ___WD_Present +0000:c40a __EnterWVIDEO_ +125c:0704+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:c416 __flushall_ -0000:c4aa flushall_ +0000:c42e __flushall_ +0000:c4c2 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:c4b0 getche_ +0000:c4c8 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:c4ca __ioalloc_ +0000:c4e2 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:c658 __qread_ +0000:c670 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:c678 __qwrite_ +0000:c690 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:c6d8 fputc_ +0000:c6f0 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:c8ad* _heapenable_ -1259:0706 ___heap_enabled +0000:c8c5* _heapenable_ +125c:0706 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1259:0708 __amblksiz +125c:0708 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1259:12c0 ____Argv -1259:12c4 ____Argc +125c:12c0 ____Argv +125c:12c4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1259:0738 __Start_XI -1259:076e __End_XI -1259:076e __Start_YI -1259:0786 __End_YI +125c:0738 __Start_XI +125c:076e __End_XI +125c:076e __Start_YI +125c:0786 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1259:12c6 ___historical_splitparms +125c:12c6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:c8be __InitFiles_ +0000:c8d6 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:caf2* fcloseall_ -0000:caf9 __full_io_exit_ +0000:cb0a* fcloseall_ +0000:cb11 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:cb03 __prtf_ +0000:cb1b __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:dc66 _DoINTR_ +0000:dc8c _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:dfdf* sbrk_ -0000:dfef __brk_ +0000:e005* sbrk_ +0000:e015 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:e057 fsync_ +0000:e07d fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:e05c* _frealloc_ -0000:e05c realloc_ +0000:e082* _frealloc_ +0000:e082 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:e156 __setenvp_ -0000:e2e2 __freeenvp_ +0000:e17c __setenvp_ +0000:e308 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1259:070a ___IsDBCS +125c:070a ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e340* _ismbblead_ -1259:12cc ___MBCSIsTable +0000:e366* _ismbblead_ +125c:12cc ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e39a __mbinit_ -1259:070c ___MBCodePage +0000:e3c0 __mbinit_ +125c:070c ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e475 _mbdtoupper_ +0000:e49b _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e483 toupper_ +0000:e4a9 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1259:070e __8087cw +125c:070e __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1259:0710 ___Save8087 -1259:0714 ___Rest8087 +125c:0710 ___Save8087 +125c:0714 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e492 __GrabFP87_ +0000:e4b8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e4c4* __init_8087_emu -0000:e4ca __x87id +0000:e4ea* __init_8087_emu +0000:e4f0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e527 wctomb_ +0000:e54d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e572+ utoa_ -0000:e613 itoa_ +0000:e598+ utoa_ +0000:e639 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e65d strupr_ +0000:e683 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1259:0718 ___EFG_printf -1259:071c* ___EFG_scanf +125c:0718 ___EFG_printf +125c:071c* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e6a5 ulltoa_ -0000:e7e2* lltoa_ +0000:e6cb ulltoa_ +0000:e808* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e853* _dos_close_ -0000:e861 _dos_commit_ +0000:e879* _dos_close_ +0000:e887 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e870* _msize_ -0000:e88b _fmsize_ +0000:e896* _msize_ +0000:e8b1 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e89c __HeapManager_expand_ -0000:eda5 _nexpand_ +0000:e8c2 __HeapManager_expand_ +0000:edcb _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:edf8 _fexpand_ -0000:edf8* _expand_ +0000:ee1e _fexpand_ +0000:ee1e* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ee2d clearenv_ +0000:ee53 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ef62 __Init_FPE_handler_ -0000:ef9b __Fini_FPE_handler_ -0000:efd2* __FPEHandler +0000:ef88 __Init_FPE_handler_ +0000:efc1 __Fini_FPE_handler_ +0000:eff8* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f264 _bfree_ +0000:f28a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f289 _bexpand_ +0000:f2af _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1259:0736 ___FPE_int +125c:0736 ___FPE_int +--------------------+ @@ -653,6 +653,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00021630 (136752.) +Memory size: 00021660 (136800.) Entry point address: 0000:7d30 -Link time: 00:00.30 +Link time: 00:00.00 diff --git a/pcxtest.exe b/pcxtest.exe index 7fb40e42337acd8c882da6fb199f2d9505bd69cd..50f488101358f317022a33480af7aa3aa5a6b47c 100755 GIT binary patch delta 27002 zcmW)nX*AT2_s3_SF*9~%FxKqZWzD{8AxoCAOH;~L%%H*yB4d!YDQU6PFDXUITJ|kU zhO&)aX{JF)$oBbv&;LHS=RUj-?mhRsU*~0XKFxACx5M%}dgCL*@5dgS?{jd1{ zkp3@YKu3}FI)|GA$n;Iw=kI7aJ#aWEZxn70&uiKH^K@667AYbW_w(lK3IPEDgd7t0 zK@imVo95N$<>srv4~6p0w|e}X>sMNEeGhsS2ik1{fjR*@H!pc|jJ!ACWOGbd+V}=k(Ue*MhJUjci@GU=r{uKi6?0XRvpf9Fz1mm=!bM4YOd56? z4X~{k26Az0w{C+?4t;0Z+HR!Wt#5vPuk^~*BKV-~;u5E%omA35^cXqo6ZPu0n#9wgFucg-ExPmP#cHkCZt{NLHC1*ee@ps+LB-*@C^g1n)S(= z^0P~se($(XpK8k+i(aDlqAL~}H|e8|5aP}aFulFoUoaH8++mP@z8YcW53u)cUd?DNWDlUOM)e3sitC7J!5;_!1PPWE<6P@nJa* z-d%x2M4n$fq!;DaFcnw@ms)Y6Lva=;MR56BoCr3eczD$8a1i#3JEEhx@oM5DeBXMP8+?%(mtQTpEs z@0R@fyR$l6Bpnxn;3@+VZ(2fq%F>>;^{IDoh9&XB?VRsXbSD`$62LE?YWRJZ>kM#f zZbY}>C?3NnoedkBV1TR#M4&64{t|!3s`z4JlpnP>Qm&05jYCnrA@l+6`0$Q}P-PgF z+a|cPPIukiI@KI;$uT1^&aru;|087wmcb?q9|l@f%q*9o5Wdt=>t+Y5Y?yh1{~sFL zb)ON_2oml_#=PSFGGC6RAVeY38v*8_d=_aukL#3f#O|N~pK@DEs8wGAUwVqj7C@%z za)UtTWHtqb-O^GnQjaiKk^m^qyijuuKVS^A9c}KA0p+jVzRN?hd8Vi>t;UJcm7MVu ztBZR#o}JH~ZX#P|0Je_+^RT#L^HY?n7SA1+9>5#4dT-6^&O5X@=kPhk3=z&2ENToD zvQ*vYmG9_LIC7(@mMa)@NW?$t;r5S+DAd8jXoeTQvO<8Xpp=*uw0~Nk$2=Cjor6i3 zf3lm1bKBYFLuQ+L6gG;-$)a&)>SExi{f#0iPGX(U8p_jJ(RiBnDHqcBKhKDFZg$V% z{e`gYtluQo2a+45o}9RGRe{ARV_M4yNk--YUevk-7;Di=*;O|re+)<2RG)x9vKPFvbN1u z=>Os<5L<%`OMWD0Pa&U-=#;K|&Fk_#xxH%j(BkQy#`p$O>lA-0UJV|Z+a9+pC>B2p z%NN5&z1fY3f<^5o`!yVH+a+8#$QuiWT&-2iR;bKRv*MiC&R5oom_4mPHuSg5iQbjv z)z8>Pe`lP`unG4(JNwNL{#<40b_IFdmdM_K(%*KYC81!yx3l~|AymU!{VeyFgZ|JAtM_ixhP*OHd z>E}|PY`J0@b`$WY`(2-&5{3skCSC{rx13(b2=_!7h-uwY*C&0~`nWK|UMq3=_9;q! zf_(D=zEb%ND$KQYN!=Tl$r9_=j^ont^pU0SBJ?R`Hs6fa*XpAE>jmgkARWY?2uuC> z?%^v5LppVEo|SuIW9*nX-o_BCqN(0tF{@UiI43#UYua|T-gWs8fsdct^z)H$m%32> z&GQA{ZpYLP>@hBMH`@JCPmPCFf#VYl<(Bd#qh`6)1{G^4dcO;IVYCoF8fi5g{6g?_ z;FtW@QHcv$KhPLL=Y4}_zwfDS7Wj3!KdrpQ{LZIvt)e!}kV))e zf;-tI3fUib-Ql~S;j)tDnC^EA{dDBIEo)GXElSE+9P;St1{5bpAFeg7tv}_C2>=U> z2RPMF77B;%dp7!H!La;BN=*_CItRG20GK(QnqTNhpYLsi{V|6xA{@Kht1+6XI?@HE z)RB!OAqw1#yB#-427|6&YHvhJyU*89Gf}$@WdM#VKs-AAQvI3%z3d64077`%M~>4UcS;m$eJ#UE&5F@WN@y* ztFQ7o;%n$w=)`Ops5u!8reI|~M&k<#BPB;Xqk0gD1;5@Dm|y$F$F9h7)iJA}izQ#Q zFD%nLeb)YPbEO@@-B4HJo{1_?PxY;tINE1i98GrF{|%N(-)0^&eB`Ekb<>jC3jwwE zeKQp^^ncp^ZZBmv14y1!|E9wm80DsuN3kCg+1$F;3xyeXN-RbVBM>4DVpgX+4L=2% zNZerb%@@?4th8NU7N_kBuBMf zJI|{sIFaDMDc?IUpltDrJGy_9&Jk-Abrm^TNOwJjHL?(RPG36!Laj4inP%ik&+-dC zrs0B3?Xf{b#5clO>+|LOX>)Yf4ZF`DpK)aGa9#!rNxGW|fZqpt$5Nef00-O66sl0i z%zSB8(#>(+vV7;s!Txw{#gV_rZ&LWgYt>lDw~rs6t$O1*Y;~C*b9wuMK!eN)H|P;^ z-6`3DTW;0Z4Sy|q$@Ui=YbpDdT-ij$mJ_SpKXYSOmK;SWcCZf`^TPSGKF-shEnc(x zlfDEj{UM`ngbxXmqe}EX9_Outb&XCwK1-BM1>L6#Km?0nf`rhuENJqJvYASY(IOU5pREmZzsD!GF{5d+G5({4 zlg5jMa#Vk8f$FI74$V>;LW~FZA&2DqFQ5w9BF6jLyU=t?S&jgbunXWK_znulMDQDd z*}Z+I_m`le3h-$VEFLlmlgGe}cw<6dgTgG?CiR?wdOmc2`-uQ#T)yvjZ(6n`r<_22=4FY3{rC)Gp%NC&F7y`@#sq$B`dsHq=@bd)jBh- zkZd3{zu$NGGQ&+U2k<; zHne4Gojn`L670%_sv{Jw^_FRx+b15vXUqGDy~BxULV5>mRz&V$uc&L_pJQqb8r0`~ zihh{YoSsIAGa<3yyHKsHRnDkJ2+Pui`n^SBuH{~;3<8EWZA}UoCac=@0nDkU|3` z8fvU2W){(|_i*dso=KdAPfPpht+ zz?vmAiJ{D#P|*8+umurxKe}eUr!(WxAh!s|5R=oHijBT`5j1rOlJNNFf_c1iW5zwk(yk(ChM|Wndk9OlpJhHg535@^ z-=kWZ;dKD7;eRr5M5HsrbQz|;C7z)r6)rKVY`+16)da4KSHB8~NWQ<$ITZlRkrV0hO_G)L@XI&VD&yi^xO7~kfC=9P_)O`(8hob38lj$M*mc<8q`0UM#)Wp%{F^;^*|uNYHO; z*HkwQr~=MI?mFLp`G*bdTh+QC*ZA#-Ma?gcJ)~b{x7ppc%jP*!+WN?T+b#%LgvMJj zjDFFe%zdrJU29r+8!p{MBd0oCJ^60LzAo>JUdzXbfca@>UM<}y;;;#o1Lb|xYe`0y zHVN>Wc$WRd<98aSJWc<_3g;y^wNYKZKGz6qYb?>PYOfX0NW z>T3y^|C_uFTn4vsJta2>cxmHR+AGBeAn-aJr4?_AD`)5zPC)>@&erUxJNRyLDM4Yk zI1ix&s{D7%=gsn{26kAzok0KXw3jr=8&w}Tg}pD1n?7o-yD?NT^W~kO7`4a+?y#+v z4<68J7Jj#%X_TEa?Id`11$;20 zMMOKE;gnH2f`YBatAaPEdYe$Pjj&ElgQ@Dd_*RbN4%?Pbx=3D?F9BsuCY<;CdZS2V zxIV7hPJHy7c0AP97vy%D15>fA?V{4MOmrdMuXvopwT z`DHkS4Ef^BS(-eUkL0!4@p3oYt1VY(t{9Bb3vEesnkZOF8#yK+u1fDAGXnhILP6<| z+eTp^=M*0G9Z#(tgRx7hA6^b74V{uv0 zL|k{>Jet>GSqds@wQQSCL)i7t(U5!=`C<3Ee=$;s^=*3$ojyx(0lp+R+>g-|0vQ%W!tmHO?!Ee!a>dwPooMY6`@p$YSYG;XVDRHONLqtN# zcvJz>uB2^rjr}PDhqzPv@iLnb9Cq~-O0rbr67AL6Cr|`%1&LIS+QZ@ksY6>RjoV=qJJbn!|AoPQTd@E*%O(6{;461V z)y_YWgV0~NwcU*|TC318I<%zAUpoj{H_axK2*Isfdf)n%R&9}=3BGq^m z-tep~Cg(yMne7q-@Fo}?j@vhngBB&v0DQD1ZOBZjc7*VIkG^WK*S%+&!&UrzVlBJ* zM+TyjTlz+bmuDyku<3$tN1RWjNd)tIaB08p>STgKO^t3SP+J@Y5*3g7ec1cU91&5M zAI%uaDO`#YJ`MsoKP3B%6tdOaW`fL!XbHklCwel%-S zQNZ_8l+=}IYT#LLK|l!tuh1xz;-5QAr+((#yel_F#I;J9X>0WEV>84H!Wv{X^lD?#_HXSO zuhN?qlnQujR)zFd1W8tJV?edhmm(DDxZbF6`~Wq)@sn1PYLjGBA8bZaMpr`ZuFxNH z<2RJn@QPXd!nuy`tE6upJy*OJ#lg($Sofpa?V@fE&n1-EHC^KrOE@)^g-3%aPQxn%%d>F8>V|%qJ`_BDD!H>Zhk$s5|9X&<)#X?W z1M)cVulcHYu@=>DyO_5N$9(V?DrczV4{d_m9PhBKH%IIYO4q%QN?;sn1VG0%Ln-fu zoZF2iFJrM`G7r;+L`oB857e}O@V&^Z^DIj4el`lSouwF+pZhIjY1)-=^ii(I&YCH; zEx}JhNGct^NtVRY#;o-h6JYpEwbr9P{)-fOtQ4my{2rfKBv$C_c_=!$ znIyZ9RPxaBldHx5@?|Pvo~JLO$xy^~yNjocx8xD2szt#{TpPa!6Ea;$dukgN_Vc|1 z%Ts+u@8glXwTI}90>bk320(JC%#7!>30%btd7iEbNbNM~MYmnK@OL2Wo@3QES>*m; z_V;C#j>LZ|S;#!c!5#@5Fwpc{W#@`Yl1bY(FtC38n5{~9{NsXMa;0X}g}!Z>ZzdiK z5_|@P7J;jh8Ya9S`Kk}j4N%RHQ6X?Gc3nf3O;tljNV1Q{e7oc}_UaLvT9;$edl?_8 z2#OfNC7vb}Y>B0PqIJ;Q@)R^=(;UO<#qRjNe05&z?7wGo|A_uAA6S0NroEx(et-P( zxU~7M4R7+oeM!M-55Mp)$DV!3%GX7Q;4~FErS_x5%!?vh>dn8qFRoBQwz)=GhVQ8k zZIp#Xna-SebUO(9;oGS?tuJSZj8ex~u&Dd_;`P(%Npbt&!XHu(@~_873CosBzrN9P zNp-9P^JOKq%XcbswwrEWljCEt)PH&tC2eD0q%A6zn{38z^Q>{4p#vXIkSVUf;wFsnn$lr5WwyBJ65du zp32nr7w1n^1+9BO#z&U^)wjbLWPp1;z2A0c-Eib*sTTJO3aPG`2Mo*iCkU=KdqUJM z@010H!OBo%8t#MeJ2}9D7j zu1E07S6*x87s7CR9d3V>qXkIGd`*i!GrpGA&ZJ#tV!IXw^mEJNVPAXBk2ACi^Sud6 zLD8PB+uG}SG#zB<$?;Rd0#&rp&~bd;MUK~Jvci^2NA@qIxpMT^l=ZCZjml^_A$dnzt{BR8mjAVc`*hE{&2r%1R!!9Vf$h;@#R%nvL`t@uNk;Ri;AI6PdA zv00bp$YHF@aejZw=RcB-zc#adb??zd0AAC5QfOdkWKJrOnht|?ns)})?g|fw*(2T!yehh*ZSN zoUlce;8)QhCv77L0zJ~wl@?XMh^y)L_*9M2Qg(cW`AqW=_q9_xnOS)UWfy~){=L{& zzuemdpMW|}jX`EebGN_SR6XB_em1-@@S^&=Zj@YR(edDf2~diSpEbcKTSmY{!q7VaIHeQVK(oV_>_)NqprOERHo6U zc^t(pn%}2J{=kf%w(yar-6%PSm#;O$XU3g@ft-)e#|aylD1rM+oHE2A=A1#Bclcax z=AtKg(B<@uMx@;LH&Q}hzAv1vj9(yLli;7HW>oF{xVfp9-XI{xIs5vweYnE39h$zA zQ_%|Ab0Elb&O>GdQeJdBpbmfTGHy9YuK(}eHoNJ@#+jS45@J3VTRd&=BJBP>{^@>M z5Hu?ce8onV-yl)Afsb|O!ilo;tG<|pwHmFbV8DYOh~l-@c6@V7SA!a4M;W&Bm+i+< z{U!X|;f6KIwTx_QvkQj3uId|d3>JC{Vy;a&_fhV$=%cfOpOq`5|CjH#V0YgNee_); z@G<{?8h6n=({Yu}R}~9;E-)1L9FSTai(X6$szRi%96QQRUkDk05^Swl8{xVl->L{x z74(TlwP#PunTN+I0p`c!Jk5_#+=Zs74OT{!gY3u+RI>rumU{+tcAK|+KLIztY86fi z^>eF9+?Zv?I!%3bG$&^VHAPa6bIcCc2*`Yt$)zc*@J{ef`$@r#Zj`nvGGOSK$1;`BMBIbUjAKn%qF(~LuS~faKC&124P?X3wa7B&PZiI{ zC>@7^ojZ$8tg82<9OO-|O1!iC0ZE?5qG2(x)3CjxW9jl&1XMQc!eDVhxLIZ$oPwz3 z*b}CB^>%3Ye`n3<1lMT@_2ahb0C~wM#Su}sMgC#UTYa*5Z(2v*q0VdvaLWwHe9g*` zC<`vy?f4HI>8#@fOgHAitvt;v@rfzReG+Z&EHha;NgVGvB9IdoP3x z-?$0`g6oUkDx>#t2`K$3Cakk{m^A5E|tmq0f z0-gEy;FF)YM|OW#y)HoZh*j|R_F-K8T~Ao{`(RDV0UEW2;3ZvKjnY61j6sA*B1|!y zuf!DfZ3-035V#ad)H#|FGr#4^7?Zcpy~^UUkG6UpC|n5k9g zJ(V$k)&d|Dbvuz$FNN%YuL{Pa#Ir^gt{!ExbRkhI9eDcC;ciLi&j?Rjn>qxgb-5kk@N!XiUg}iXuL!F7 z={QYuHy}>K+#&9ux!qT?y1}6Ral+4r17?+3$WtOO9#Xi?A1?cF1<=^qV_ahnoJ$oC z9*rTVo99ttijyeRMDjm#UvlkVSBfX;de2cfhg8dm{0e7*}C$aI@wlGwe;bB`k(*#qc} z0jX2Mi#(mcR|TyB7kcr2W%BWk)ND{yrEtG10dnp`lzBAGHezlKlySWVja)$uXTk@T z4N5Lpq8I@T{|g0nc6+v*T2_FnI{j(ieVcf?PTR{5-gl?8H1=G{=G8tLaf*=8x~t)Y z1yx8DDs#m!47JAZz}+<8FB3|PP6W6s_qY*&j$6`A-gXWTvpKz(`QOrLmlO7dGFqz* z4+&25z1e46D{YnEk>^hCX(+q+u}B)f8A0m#mjDg!+r5Nf8M*Y-B-2V*SL6+O*HQyb zRI=I!F$B=r%6F}4`rwR4rK0MV9!0@XhmcGWuYxanOr(*%;Unj{YNV)>kgj1U35W|c zKNB04aP_pJP~|Tl>do$%o9}yrA&3F*m3!?ipUfiuaYpdl=7-YeIMZ9?*CpoKVe2cL zmK{rB?~QUB%us>=pD}`KL{MJ;3+66>4~kV>e>xFX zL>C}K2w1r{>SeuT+wH`vf2&TSv$lB?-Q59|HeNOP6uqa^!AWF;W^g^^Ik*%<@;n>Q z`MCA$)DxbJWQ*-6M!b#x@8L%H#0h9izE5x&;U0%cWzmwPez{RFLRYRt3+1ok$2T}j zo2!-rTnsRydj?%gNr<)kl^G-{y#gaub@sG~04r}gwFsYRk51tq;i9=Wt3#EKMs-3mj<{qdI!;^zsaxiP zrSxO(ELj>>g7u&`L~dXoBgmdzjN@0t6ohDgv}NnbhCwPCVPv@A%pC z+w-Ph{IOjF?Sr#Iypwyc#n7(>t^siHw-??yEc#rxnTe>I>vj~eqkz?9}7Igg$udQGN zmB0rNaGs2|Ud{q1S3LgUmY3}K6SffsSl9IKPU@w;;-)Td@of=@tM+9OmC}zmfggm5 z;Wt$Nas&6%RB@MoRUQ=90RF@Op@FT-XpcI9MYns#!YuYJK0QU~0q@LPI(pLJ+*`-> z45?wrSo)5;gh(SeYNx}~gB;=Z@JJoPzwfzcMv26zxLWmV|C62F@rV}a=dE$2V0FVQ z7EU1BqEUA6$Aysk3cY2=Q5Y<1xRx6|-T=|ua0@R-1)7c0vas}znk)F>DQH5QDxd!Ef(;}6q{Nfr(skFbDYqsdfW@W8+@RL4 z9!{j(q?%u29<&G^LW4X<*G^_Qel1s{p?@tn-7`J7DV|BVH5Pxh%ZZ-=XJ;nGAG}FO zzdIkk^YTpSR_>Wye0HEqZEv52LjX2yfi*f#A6CD#ISQH0)XJ7KkP2`ecv()PN-mYa zj^UmL?r@Y; zgecrk>0pRef*|&5epD*mF!})2JajCzUDy@K`Iy(r(FA$c$;KOqB_H>Zb#z+#{mZNDL-}5y*%+iWxW{q6*0MeFn9E2FE}k?VqCiKf}f# za1w%vL=pK&R5TNVCGwL5n1Vt?;r~vys2EY4gk$0*h>|2JCPA7gLy~36$rBYw2kt5= zwX?W+P0e>8pHNz!6!>kxHGdQAO8L<5o`)5w@;LNa9@HY1voESQ#7 zL~D`_)7Fk?PjX;7IuV^oN0>*C5s#Bxn6A_lL^qN<)5DW^lH|qo_96O`h)h3!VgM5iQ%Mk%<~b%NKzCtI)-?GbdebwMC>@C;eq^ zY!bIf|Crl5#9h)Ja~}v+j)Ojol6W{QtHLL7pw+mvI53`$gFamr)2+{(|J~?^k?^x; z-jM|bsjmD*VDYmPgQsq2QW1fM(fA?;$%*?Ebm=8H zXh44d{7r>lfzpfaEpB&@k3wr?mCRxeo2K2A6E*4TkknIm{Qom8 zI&iw-u*m39p;auH4nwbh6>=o}0-Us8Pd3ba;nunXf8yVwmHDd+Q8relD{+r`Y;L-p zv{00W#!Ux^*nrNhKO7K@zqISD7(C%2ufW}&@@}kX?xb_{PuZJOxa`(yc_TNuiUulN zC+hy~B+R!!I??rZ+)I|Q-I+Gz!ScjU1y-+E3(=5beYJ`21~4b?OzB%bH)-Ak+@gQv zt`U4RILH9c>4+(b`dQx0q*RI2@ zm6X`Ui=_i7LF%`cZ~k-D8NgJx+xe2%0tcF6qFJ+f@GBoV;u&J|hVD_(eYiun#d!cD{i?Ja^R=|W0KWA#HS$CxNQJuJ#_mA; z<&5P=wRr-WLTDrChfbBxhu^;w>~F-QVb-gNBRhCitHHccKEEhxOH>u=evJ|=?PHl( z^<*jTKR&fY-)b>5H?0DzP?1Jm9|?h|J_-y9&U!$nv{qbd+R198%Oalpl}_KLS*k#` zps^O_Zo${y2=EYq`GHvVH==bWOR%h=v(nxJ8rGFSrA?usRmDB)jMAv;x)dvxxqNJl z0i4GIXxpOkpLji4v>9gMEFuUl<4#W<@TGFEs?uBBOS~)jW~o8T0=U$pZk0#G_%Zw6 zvS7}q=7WfzXEqA&XPJv{PsUU}|7@(-4S5KQ6W3_ydzTwu)>-K2y1T90lQWcM*j;*W zBxC?1*BG>&3!xqwG}<&af(!nkXAc>-WIvva1^G(Tatbu+RN@ zainO=pVtyP8Pu?jY-z{|c2+~qMw?2O%w6-5*ZC(`7icRhz3|en4{dD!==IUW(##`8 z^tO7^x6vxUTa!c#tXeJhjNS4^czy7!fz4?PeK3M0bEPODNPB=cgvwvHO}ucmSzk&s z)Le2m0S2?lLV|CiAmd+Nv!tQ9=o^_&=uYfKjIckQ3I;{-jsAxykE(z|FSmP`(fFUN zbUD5PHQ2t+L5P7c7Fhe>)}_&!IhDKZC{S zZWK901;qY+WNb-%NYC9_qxUc0zdXuU9&os<@lY+zZm4LYIs2O0d*$eXobiyYG-aUZ zmBS#c$c6C60f4V8Z+ib?{fPvATYd)s>5Dp_II6GS=4*-_a29igAJBb%%GX^Q+@evK z_D#1LelL&j@;U+pNs-{h_Mt255 zYxTFw;S1o{bP;Q`3Lo9s4<4oG<~7!8>5ab4@Uc1NpFI z*KWNxm!~od@!K=JpKb;qgwHM{zcA1j)@c6;Dr6B2DHkxV1+1#OGsNEIwLsAhH0Ywi z75hfGelFhy&R&gkUs{cDPunHj`!`27dAIbgY>sn29zv1+E%JID9{aqr8*)t$qKd#T zt|Uo#_-yxZ?12{vOAR>0#SI{phgjlqFfnOVEr3?jjh<9EZGv5ChG+4q=az2GRlz`x zKN4@0J2?Q3LNQY?vI0Q>R%<4oj5?21z5+TChNxDDrxYZ^pTFwxS%3#wr+0c5m3RJq zF8}ac3XtFqCZ*+04^iy44;+{Gsv1XwUM`(A*?wwt`9$uuF)^^RG?X@~eO^}po|&e zVUos;D|F)(g~&s~Sx+AbXUi&=i&2aFl4;W}v-{kg{gBa+7eH9q;&>1)c5U(p*myl2A#7+>Mtc}1bUL>TceybDL9arlVLHqNpQXHUe_I%M zM0vLG`BfP|PMcF%qr`#EqMTZ>hZH%Jm9X;LS~-*;q1 zrogNZ>HfO-4wP9CBD~?bKMduV0vX1JljZ`sMOVb>CXQtp-&QP-u+ZUB}Sr+j+Z|V2eO_jQKTs< zW(aQ0Dr6idUjSrT`1);i*vKnhig}7Pv~C+PT^AvbQ>t`Nk9#uWLUlDje+{4Jm@l4O z%J}De57Ve3&>x0rX`~AO^3f)A{eI#jKsRnRfJ=nVjXdc$G-oHv^(#zr8VT*uoB@3;kB&9~!9JOF`2hkq`u7awIMR|bP zQ2MywDtPE?r20|)%#CYpM+URJr|o#pOH*;U`Y*5gZ;T~*1{$3nUXpEukpguvBp>Np z`D>7*W5?0&agFG^;5Y3jUfJ3DBmbKm0wEs)w3eoo&S(zUW#z63oI0vyonF$cWEr4g z3=59=DIRy6D&c?3n8&UEcROjFyRqY&xyn`By#XGpx62tw*5!WPJ$nPWzQ9oYXR&riGz_jO3>z1cy$w!MlEpGE(6SOXSX^(RbZFIlsj^z;Qw1HTt9-EcYx3uSb z2E2FXY{D7!vp9W=)WuA(@f7a`%O@*W<5eAJ>=G2KscuZ|Cy!3b#f)VaS8TTAwK230 zm#rXW98zkVi*s}e77&9;&x&vLD=tK#2IO>loivT%pEl+khiFfwCWF2o1+D^l12U$x zxidplb%5waUV)rQ5W3du-h;DyF=nRadymh8q;OlO1g8=IPZCMU&AXU0X#Y5FUBV*z zLxQpeHO1(PENT4~;=mO zjrEXmBTJy_lAGchFBfWHD?bUp35_t2357GcI*`pA^mS>f=^h_#bm;b0FXK_UnaIH&;D4cp=|b_k&QNP6~t3ol-aV+*`^-FCf&?epppbk*~Pe*QSMf4)}@QCC{nwo$5VOFl1AlV;40=9+|TK&UZn4)cgr3P-vN&a)L|0>R77t z`5|(#NNWHStbz*B=ZJE?zIZ5c=xV402Xf|?V$*R>yvIOS&48}(<~mhF66D?csb#JA ziqh%M6HDj9!c>;Bk%!fVkLqN&1OExEaR@DCyVmrb%6=O1=Vs}tB}iAOHsVef7<68t zL5x`^?ocl93P^GpS35>c+qp6N9GVaI3kQvwYAL=CwMR5r>;g$B!U+|#MR<~=mf+BX z(2?f~Ap|}P`{QX(7e!vy!lrU&$AI{_X3q91m$n-s6@2wCcZRe};qO@=uz25e?8aOq z7KthEp9RUsirm!RFtCmlo$TsrI%>j(HQ=m|3G1!2!4>vc|a39Dw)omyWYxx?2iINJMN9HE_`UUEMroB8=*)@*Be(jEvK{Xe1 zTOGg3ANQ9#-5bc5g6zFflHe-w2pVHCY73tUd0IDs-sX=>LKML)jQ5b-?k5WnES3;^ zW_xOvyS(H0iwe(@m?7-yiUtM$LdDhZp34|QSH6o1IXoKFGL7Ihw|HsYXs8+PkxlNn z)VtJjmI7(JzS{M^34V3bWOZjo%noy|dDmUo_TPGnBJjWj5@IoT=YE&_)RerniiQd< zntXHj#%039=y9a}{w60vy~uLXkYGK&>!NK6SL5V9rC#vJDf2@;0`5C;x9Gd$SCe2$ z!S3{ypkFn=V6R!rE@xM2q$`Zo85t(Hf~&e|QjQa}BNo=?=6b?r04!=vo6IkB^r3MV zMe10?!V=4ygJDj_633|%>0JPC9*!yGMr-B;eg9TsoW4=A{;(6?YZ3tz+&bUNdgj&w z{0~W8Ik9oApzb)?NN1vGy;=`*r~tS1lo0b^9>K!>Ss9$$*6~__@kNpNDhdOHDL+xh zqfr32HX4!&I%7zSe%her13y*S9w)hh*i;2V;`BFM4&_>GT#H-NT)ewxF!5&m&>|ao z0reKpk8!`=kWanPMRP4$$onQ-Isp!!N~P|v22H6dcE7ym=?-C~nwF0X#D?)roL5S} zw;a;M8D=}-as&ihf&R>n0x;Th$Z@Fr$|R?_xlTUD$20mRVqVW z%|XXHunkrO(!by?eY+^?aJIDdxPMlV1~YUQpE`R$adt&sSOlm~b#jM(aF51qP&4qd z5ON77DvUn$L3x+g*JGe{ph)JOpHRa!^JvV;L|3w8dVh+y`4O@Q|7C#e&iw!~*P@in z3t~#fL|WHd?^@q~Ezg!y#Di}>_dkv|6rFrX<0dxS+mOe2f4aD7)-x&K^kOjU(p}lx zqe1eu}|WvQfG! zSE2+k4690Vu4}os&p<2@`EKVOq>MitS62-metI3-jhFmv4xA*H^SaH6$U7UOll7l6@j{|2j9Z9?_ z2VAuj_();A=ZUhFPXGBeapzdWZ8?@H;|?LSwJXd)jlHrH_$FKWUFf4=N_f_d=Z=?0 zS4i3Jd=BMX+M?O&F*9PO-PDT6jV|hW6mb3Df@ zJ;$v3v&%A2=0`rTX|AUDR7?zj~UuHC^KZQME1WY{X7f1fx8cRxFucAh>0#H1XU-*eqFTVH6|`X zOWnXCv&E(!7pBP~mm4@&R1lfI*yr81YZK6MW>IJ(2tTyAjvp|u$2sv*mN#qz!u$j_ z(yC&0K^zz6SkzXAZ;AX48lUJF z-jIq19C`Dl&$QaZH|?Wf%qJ+RaQlq@&iVuG?2v?1G%S4^2_)dk1}FVc!at9Q+f}wd z_UbW*JZ1HmblQntgkaPe)*PLQW(#Io+zjchSb+y;f3HzbQl39}jDUh8h1|hj+eQ+_ zCvsKZ@_C0b!j>z4=V^ReaQZC1*tK~+)l%P-heCLC`TJ@Lw{SH(+)C15Nf^=uv;NNX zi%^}kj19P)7Wtgwy0rPWpn#ahUW!OvlX(Y6Wyz@E@ti{DIc+lDV=!vh7@wm}W|p4x z>q}HA+6aq{@(SSxwjsUOU$ydF3R4Sgtt~qAWUE$q@d^O)h=sqrx1YxbroZdhImBLA zIGR2s$yR_umTPBWdg=RiLcbX83kB7;4iA+OOM{1cCt~y@>>VR08TOOeFAhACsoyE- z-cgf@ybKs8 z19;KLfnMf+?S{{{C*CejHb=~+_8ybb}QC3?G z3hTU;()M+cZB7l(*WqckZ8rTYE=!LhsRi4K3e=CMsx`>m5GL<)Wbx*+u#Mo#^7ZJ?{VM5q4H|vXBT2!2(kSJc82%tZ)7LL;y|N$?A%g{tgUbFsA?6n ztOv2US=PO{QPzp&@%oofbfaer)Y?rQc@Tap3>Yw3kKnj0e+k3}G6Powm!lY)g-py} zSb`^3I*MJt68eqn5SRg!X(#y4VTDx*6#fNJ&e_hRvqgE&Dd!=- zk?N#p@HnxSoO3Zml$Zz0)6J`2J0(Hcu3E(z`H5My&=cNp4E>hItjq3e& zc-`beGY3VT+OmY|^|5AP;qdC;RQz>Gy`Lcs$Bpale zaX;up3zR2)oK4-Ztp?4Af;2Z=Vn5=E=dH?MD;9x=(SmpY>(njJFep~Bxi$AjbBPMgQW(sn0diG5LyqBVB-n~<1?q;F$0<4n%>>lC0A<`c`1g={_Q`ZkdO z<=-2T653XU(5_!4B*EZ0ANHto+Cxi_)X(o}^N3;MN0s%tE=N~-874D%ynQmH_4+3t zyUne%pDj9RzZ#vkW)5lZ46$G;w$WgC@^E;3c;fWR?{QoTd43q!`#d~kfvaPkYjYUh znxKt$gFdYkA);rxKj7&AXCvD{^F+F82M)#zUU3Lp(*VC#-AF*0bA>O6KO=PQ%@q7A zd#0S|`L6K=m}(S8JlTIltIcu|z5*Zrj%32ME?@x2aR;aIu8Y4FKCLvkfBvzLayW_o zq;hI}Yh`ll+q7b>2gh|*))DV@nQz1yH<@u_*F%a!w?8cZBt)=-IIJuvf-x6+BDkBQ;R4O-7@%c-?^M>f-go%DgSNZQk!o-wNJ0qMs%kXn7 z0&vQdDT@xt<{g5?oQP+$vniy4<8CWZh4%hc@dwKg6op6q-+a6KKtF(w8!oE4CV0!jYcItkBDd?p5glw1c zw|!Jm^iRdo0hh0sAgma3^>EhR1CK?6Ho`e8%uviD*z@9y3^jM^oM`a&s=x%B*^R00 ze+Ei6l3H7GkNrL5wrBoI?Gv?c+5N{TkLTG|Ze6kzw$oSUxyUAK0^?Gz{%V@H{BA|Wi~2u8l9eB(luH@G;I^<`7=%*Qw}W#v!ygdNh_ri=i+nC5>&pZ zPRe)p*|A1EIdns}aYyW3@ik}O&2Xf>!*I%_b%1A$ER16+yrX>FyC&;h`J0+45Qsu` zRh#JMgH!MavZ`f{)1=AHt}!mhd8~HSA^f+A{sE{`&3Fe^EnO$JDJ04#sDS(Epc zScK3nrXb13hY{kS_2?l>51=Gqtzg-VQTp@)g%*5{>kR{x>J!$rvkWN}3zL;4Q&mIQ z7jNeY-=O1K&C-iRA(?z^EpH_%pD<;95Tq&a1M%1r-#lHh0;_^?r9P!kJatDcWx}=7 zCB7}>B7}>jt4!O^i+&zdX%fT`kU|MZEd0aLDDuhFM1J~uS|W!TrOpCd_2@+wT#8rS z!1|BORtrLjk6Ltvg+(QzWVI6}A~7pp%!U_+l1~GgcaasXwUJ<%N6xLR8=sT;|6=>j zS(x4a@A^~Slaz_AG1>%B-H-ATC5Z1aM1TS;JoO4E(82C^v|hpUx;6LHeG}b@BsSCj zo7NY${uDy}bA9G1!wP-b~Uur8kA_+P(QW>8!) zI`4CPhL3$}_3Z~NLtWjDIb5G@_0HWT-oCKmqSgg`H?&fsM7vf=8BFqk0X$J5pQvnm z>ux;T(4gMpv7vsxByHL(XS4|I>m+Iz8uWSZ6JWMdJx0m>+GcCWNawBF0TTaF$y9mu zHQA{f|H}AgpY*y5*tX3j>@t?QlAwdN_2*Q19hE)WHcR`3Us;RhPUct&_{86?N%-|` zp7trzcZjkN#KY>S7LgTQ&Y8;`<3K<5C=P?^!iV5`a*^@@(2xRa#pX}}aWFiiR$xx* zP-)K$-9f$Ph7sxDO7oONrd3YApsig@`_gtkp8})(WE|?uT$hkXWJS1obElXO<$dbZ zxK$K91|9lOzgEo?q2W1nV5{?vT(0J(FITloVII{-zHyLe!gH00-rDJ2w%!C2(xmDs z!Ym{;I6UA|xlsT_=|Hu{lwe(kid6;bZ`LUES`N$(TzYnfm3oxK>ZJ{utsA5rY6ye@ zlBeizrag0I)xC(L;3XeT)6OsEjyMac8CL$K0>V*;LEkaw(~?P1&K=N}_WstPF&WC6 zAsZZ*IXZFFm=38t!o3s7Amb1Yh@q=UrKI;Ez$2aGt#O(UNq44%RV4E`4)XBbJa+me;*Ez&e5 z461hr$fX={iX^yf@yqpwX}S>xEztpTjz?@G34vR1xn2X!Si)e!et?|Zk+?`g^47Lo zFHxUN@BG6v+Y8aul>KtX<%R7^dJjR*{lu>kxxTOIll}w`{VBR!M|vegf#U6^741gx z@Khc_`}tEQQK$fJZ^fx&pSVh=>_}1Cq0SA@!ugM$nVdyyUJyW$UEw^(Ph_V@^y~4g zF&v|gxT@Dh2Ds}8I%du3HpP;&pTEo3K)v6lX^H6SS|iB~Vmb*W-)nVZ>rq^dz~~d_ zrPh(9dT2m3e^s(J7VZ7&)V>xFJqejP;rM=>H!#TdF4|Ma`2eZw68*1Z!d$NE=8hDx zeCamG-|d9Kk0LOQl5gJ#ap-t<7YPyzH4FD@Mbi2uiX#`Y%d{k|g@upoT&4a9zb6d$iOe_O{O9vh5um^1 zh`9Bu)S69$s0UtOrNR{>y#dLE8YE!Bp55XV8N4IOu9J^g-o~~SU!Fky$tax9555?C z%!4M0GptThYk?W>W7-{-zuGOHETd$Fdh$APHY{x%42_CB6yV}$z!-9v&yTP=UMb?# z+AS}JN=G@DyJxpm^ixhRQu`nyD{ow4Z;ocS_9z~rN8=kGa9Sqs3A z87p4r0%4ymf1P9EgRUa;<}uTDbjs06d^67q{NI05n^e2QC~)`eA9psuQf8>ZEr-wd z7oVEeRL#ilW(abL1`R$pKa6{k({(N7#b3zK>)x+l?n4*MNGVa0AZ~zEc4)8IiVVWmZ6F1iLD!RUt4H2icEL@QX`GCE5B} zdh!L#`6UE7a4KUU#*TKOhhlb3^0$8nCASy2*}b=>4Vhmof1Xdd;$_FZMU^fll)kmU zgjuI2q~*ILGGaYNenEIy-Q&>@bKnZ!lC#X2P_ENkUoWnF7C+9;J1OWWa95U-OCso{2UbaLvd1zwf)jt9F!gq%m-Rot zsA8mn+Q0LKef&xi#c@?;a=v8*CZ~`*nX8H6mBv9Y_kcCPzH)A6MYf@J^{-vpo@nC6 z4OPyT*>2b`UcvF=@_o6F<%V|$TS##I8@X{z=QH`AY|$sgTd(T2i2TzNr* zKTHx621xVR>haH-JT=js@lm6<1_r*|8cG8x{^#nmvxeDP%_9Degdb_7!U4aLGVs~C z5s3frhmU*>Ig=&(458KGGog#teQ)U(-mKjmLChWcJW%F`h7uFrwtX4JICq|(l}S6NYD*4ieZs862=ejNkLw zfdkM8@!`_#!pD(dvgzfvG2#Zgn&M!u0J^I4fD!}anWxd}k~0oj-PO=jl*xNioQoXUQRPu;r0fc3vghlB9CA zRr;_{BMZJYZ{Oq%Gk0=s@^)Dz2mKpZRJBA1mjG%UHskZ(%9areqh+g<|GLPdiHl`S z3r8xrZZy)5pK`2IkFbGx+1p zGSfKcGU>)8`tll>GsedI?tq!oRcTeF#Qv?C6Z7XyC2`vV_NJUEZE9Cv_=%sOFl)?r zR?(Gce3makLSg!XQ6-#GS1Kz!z4fsbE`dLPp7DYV?HQb>-ko6(g9CWzx9W4cU(Y3d1^4@)*ix3LS7xD^Ul@Rxn?(j1FY|MBbZP&@Pq^7+hV@W3DWe%?Aih zOEPEyy|ZyR*ZcPpW|ODiZZ@5;T{I40PNg+~p0pv-rvRq#Z05o-iM3odTr79MWlU#E zusBXMe_1TwpM;T#FqQIZPyH?{H44eQ|la z?Koh0nd*p4YsUa=riwv}GM$aleZCSN#rO|zlxuA_vpP&((QG(^#YTo#BF5mcTi<=7 zGk&FQ{I?zuFP>Rm+y@afXT9|C;DF3HTQ}!bOcVy)nFT(8j)rFK-$q}Fts!9o$?|MF z7UsIR$FL^Es^^Dlenk7M*vx!Y#Zj!$3%JEYTwg>6#3KcJK&TtvhHr~c#vSGS`h>Qm zi;KN{h1@EpoLyw;qXdaBBKycwY@#gn1mX(M?VQEDdV4m__3YKND)wik&AuTYe9wpo zi{>`e|5kKySM-<8I>}Y%ZG^yV|glwI8N$-oh|g2y+FRZH}KhNa6EE?=5SxXxJ0{aPgEOQcYR zFq>t|W>HMIqv6Cle$$fMj~pRcaU3fw`UY*YDF*jzo3M`H%6sBsHwU>^hBO$udCK(! zwoK((S%4FQ!({``m*kG(OjwW!ChU2Mm9=glrpwAUgEMwNm9cn&%jTW^r$Q_VSm{ul zY2fiMcxS)$(1Ho~k1$=kUrpG2N^aSZ|6kEO)n2cZMG$SxcxV;r`RAcz`NMLtp~+G2 z+@F*0PzwrTv2^^a(vK~J3cVu6(H^RQMN&eAA7PYIr~unU-M+ntB+1jW1xI0xncQR) zJpgLhE~?mEt4DuSQ!EG`^WqRIPS(0yJMf8}(sza1e@ z-JTL^4pL+DZa&uE^wtOGvkE+t8;4Q@b&^`Jll^Rz<%7S|H5azuoIs+7nlM|kRySMGSoOYZrj zo+%e1M)N)#VA52oDdHh$8&X=|`esYzwaGqaabio~`KQ9JPQj~})m=}|PezD$5@r4C zpYNW#IQp`pL%#~Q`?o*1(xpMTm8~-(Ssyl~&5rg(yZvrd!Zo$$X4?q-$qGh%sAytv zuxm7?k*XgBlRjMtjyTV5_jjhMWoDQ@jCEp-@zw-0!AVFf&b` zs?;GAJ69g!BxxoRJuTpZNNr?Z(96U7>}eLHbEeH2vtMaD#`N zL(8nkV_EW<&xv}ET0O7Ro4k)XOB~GwJrn@k4KMtzy*g{uRAOu@ zSzCc}+}EY^jSDDm$wqaDVne*eObzdYx9Rvofo6>=l)L;CS$K(<6i; z9Vcr8y^{g)jD&J&BLFucD9)#Oo_|^`&43DdoIUvy5N1F;)}B{KZcLyPwT%2Qf}_aN zFJ~m@*MpB`)qi-c9^cVdR=B`V5$v`yR|&F)WBFQx`(?#z^g zYEDSCBM>cxsk6;Xou}!VO^7hSixZjwibfN6+52}Kry^mn9mpGt?B%W<+Nwawj^q)y z9oYQ>;0-FYp#5sMBp6~CjQTmy?G{DZ5&arssMirv*r$!VAJVBgwv&{3+NVns5wCvF zPi$9&9Fy6t8#5N?uNyrQ?*+VeMuc7&rl??k4iB51N`yZDvThO5or=(xXenP(59GC9 zI`JW%S%;l^e^;I%LZIaFUpj$bn+ll`%|mxHM`X3=LwPA zTJ}ns%bkzaqkTWsK7~DDs}21p{Xbl460iC5&CeYZsi|~Nn;d7zvlaxOTaWkULB|~n z?%jYd#QWjavqYdpNv)gvZ@noStU___D2$LDugM_9vW$~6ox}U;K9@m(BIkcWBoW^^ z4-9}83iCx{L}mA<`2J-6X_hE{IZxno%0G&+5)BhW!Yz&jA^uAC@a@*{6Q6-a!w&Br zbeSSbVQEE^sIiW`VW&FD1;^dBc?UM{V%gwNnEH2#f6-r$+Zh?D%}`X$AiAm39Z5%A zUZcZO7S$jVYxRM$J?kK!jm65Nuf3({jD+-dWgq56!NjMH+cn&@wN3~zu0c16qV?yG zE_c;(9CKE}IU=J}+f`P`;n^;lPd9z*_2y?MA`1=$|1HS_9=OXK@Y%K+j)woEgv%bk5b6j(;@je zbc*dccv*yNO&!GjW3E7k|M0{SrlQ}vxUZ!lHGFr=zTYcn7hbe7#>FWFi!pvHy6^=8 znHoW6p~PRWLV^5OzdbHP6&HE)MLT@h%Jf@4vT~Y;Q@{Ljrsexc>yRn0!e8id-5WmH zq;7t@PFTz8TV%F`2xF#p(KHSN*uZumIAxFH;sl`30Gl&RjaSXDhgSedbs;!ra2DJWDu zN^d4Vfo2wsx-M}w&b@XD`M$=#HZUu_`%KBor;yIt$`bD&H(LV5`W9o=s(>wa>Pem+ z^D6=gfAH!|RL0!F89I)^{UC1X%&Fyj2|D<&5;G*zeOA1;>WsreCb5;62o@7vepJlf zuZ>x@VjN`x{mckv4Jd_Ym=O|Y8bi;g@;YK#z1BDIFX z5@KSIe$jzoWDrT)KQ@-c<$_PY|0`Y`;b-le*QrL3z`S$z4_ix!@;k=m>R_tud`;Ri zPw8%vv)^devS;r9bXg9=PCSQyU&8H_6L z?1?eZ$()D(bQ4q=+?OBH)99K{*^7RUYN&3ds2$;5D_yBrWvh-`I}zfta4e}+I@6q) zQoh*O&y-|)@?zo1v<0+Mq6VyVw-rNFc+aG+vS$hE0Qi_deB6xN7qm-{+Q!Y{EVK8# z;D{S^Ov|E5pC#h;W%3>5M?U^^C1Y`{VOhbLp6ljbO@ z7heHzp%JF|9r;0S4F~cKvQ&T4RXTB z-r;_jIbUN+r3#qiX8w9Hi=S%N(8Q?Enta?EhdA<0m7@`x?pTEC7Ic~U(bH=EERPHh zpIJ#+-=}hAy5{3my|UiC-6)?*p=chcIu{Qu0{So`^$u6~Z@zhfrU{>p0r^ujrRLHs zMD|)cb=Qm;C}qH7G~gplR9F+ZF6b?RoPTOlRIcN6SNXcB?l;@`c=s};*l34CTV0LQ zt~mvLdB0csS42gA0S}%P)!cUw_@&+82K9ljD6Jfg6tb;r+B}v1!uK-AA4+t*Op@T8 zYd)^D&MdzyY^{BaH)M%i15!;2FIa$y2wFoNYYlbg7^bsgWSYmy$X}#wmPC@4LWp0@ zZABVGZxQV=$wjJe?(YImY8qru<9!0o9+*0eNK{v*faDEV+VH?t`@ajWhMS6;AI&n& zL}Irgt}(!ul-|F$_p zvWkGCZq6g1vv-B^U0-##bQe(kb5BXVH2EJ`ROx)v@OdS9EUuXHLxf3`ap8^nfRWir z_kF3PYE)|aOkouOs_!JqPUzUN{}ko5b08JHYv**%Gw6vce|Xu#9f7IP6HIZU*7#Gi zeLu70zsOLL+zubQ+o5vlZ#-F0(*v()I*03GJ57(Qco!%+4_7#$P@THThbDPm-{4M| zE~#0X&3a`-tfyS}nL55r?{xNSPv?IlNm%8yO00B3xwBaGi4sjqd*WV=r_;&sd2zwx z7OO`=c<3!)^iF)4WU%qiI=k>zmFhAzV3cuw?@|c&uy%WBUXanzD zlCwSki4o6x4?-xiYbXgv_e0@;2%6F0jfGKMV_6JGFwAz#o@XHh-;;|H7GuoW7x?j> zMcICZ_=?yIARQdR@EG!q>yL`NR?uhLJiq)y$GWP!#nKz zq*reQKzLi95FJ!EyjLHqP*DZGy&GouoYO zCAAW?H+ffxd;Gj~SK#{tbG}Blw9B5deW0by4=??(uv}F zt00A~6$FHSp`KBQDG-t!bO-U5)Qp%FuhH%~s3m!7?H~wlt?=7v*sWq6L<&LH82~mt z*k;faeO{7@0;qFAL;W6o)d7@;{PO2jPLSERBC+Y}K35^Aq`Ezqy4Pq6J2#G_pv@a5%xi=NT@>WB@thJelO$q?R-S5YN`FZlAM`l0{_bs~kYCW?X?dUy10-8KykB w&ndX4E}~v}B*cgCiWSbq$A{cchC@o>F@CB&NMB$bk`DR*tCD5WdVKx=0HJ2hoB#j- delta 26887 zcmW)mWmuDs+lJR0Fd9a8%P5r+kS>F6qz#l5Hb9AIfFNUln3z8aQBe_?^px(25`u$K zVr>o(5C>Av`#;|M!+qSJuTR%;pXV4GTFHh2Cj%-WW)LU@2AL57!4&NOj{hIo|7`(? zBf3TMNSiK!yeVJRKq?qOA|XW#q#3fPWA9Jpt}ZEBL@4p+jhbo!0RfZ(8utzcp(&8O z>E3RBO8js*&)wQ8K)87>LH;cyGZFH>4FXXEZQZ;T32}-(priE>MYZdIw=5{}6>15y zLLB2F@1ywSET@GZiU7l+iv4sP;`)@z>6QHAf}v)`CJ1(h#?(g&o&aAL7dp%ojJ3@A z@@WhGu4{%K0%!6l4&Nv~KjIXo%Zigl3h%n6*0*4vb}Fm3hoE=ot)&&P^c`l>t;ICbC{P(Uy$=%icf?WmE zZGX`;#H==pygDXvNfdSXS3%g7Va$?>v(h16r`3-J)0#LFkxs|Vb%!t^XMz;8*1PXh zb#Pk>q9|CTr9284RrGn!{K3PeQC|9N$Eh5UEso*+(IhAE!qT6NWRkbU=NXV<51kjW z-U8&_hB1l$Q@g1M!jPx~gX3Z124-|nU<1zp{> z*k~%emlU=DFZ!nf8A>~}n7hQQG zCQhDH#Q9>#&P|r{maje2^;*Nf#X+DMs5qpTLK_kf}M%*d}Kj#-XeY)lCl0vZ*%>?yJs zOCK0{WSKp=V}YqPzj~BO-E`1Yz(-8_cx(mbAD}_ClFDhP}$T|=3zYYSsagkVn2$#E5M@` z))A&aPv*%cXKVokeSjSdF<&MSG1xm>Y9m^P=Bl71(TNjgUabvA^KCbo+uOkTncF3t zWi-bWb7zaTQn6YvZE1ON&*@o1VO$&GXigdUA7CDlSY{s1Aa;1}Aq+sy*qo&mr@u&Q zvwZPKoLP2cj9_UkP3W=4KIcWc0r47(k$E&{)w}`r?+b8bFgpfuK#rGkK!Q;%Ku~(C znisr(@F4L{)A8*BWb)Y8-4s5z^j#iwewFEiAo0W;d7PYM8$_^j3MFT9QVgjmHHnxV-hx6Jtw>)Q2320Aq(Z|F2 z<0Y&$0}H!lS9%5Iz94dnu`<+M=7s=b|3dm`>qyt`+_l3+A+X^q?^N=YiZiqRv0S%{ zwc?^qD-n1NnMVtD<&!xrw(xHRikG&vr|lQhiE5?2L}v&SG6Q^Mpr@<`kV zfHMlEq#t?3W4#Fru&6Mr?of>tsyOvq@TS`hKG>%n*yiGOR6AnxD}2B&2{B49{u^`j z3dXs@ZA?fq7cBTq>S=To7aeQ+*Wg-(+y*f6EYsfwf;DQtowgljWMm{19l~S56&k`e zT=F_9*7wVb^v?mLxX70p#QnCmzlIy=p7Q=#>!fc~%qQjoatPgV^P`}fj#GeL+9KcYADXZ0gV0$@1i^0mMDQ3D8PNJheTzhOUs8pkxbX5P_pha=^kqz9aW-;^OnWgaY zI|JZR6~^+T_4T=pO11#_{)gYgMh%NF?4b!yhHoAsjK5a3t+Q1*g)I+a=j*Y>^A6-8 z8ba#N0&>a7q1&YX6rM*G0PdN7P7@+FAH6vH6F zloL#ttX89!%<+E!n%MF-xt_-B|JdlJPN*@Bq|x&Gol{*>s(%BI?0E*ZcO$iVfs@&C zo&D*tfPZIds5}?z*r|$AMMgWVW1`51-7xFIZF{{a97nkgwGY!ruE45FMY^U4}Nu75)H8_PDW_QJXe8tCkPd@v- z0hP|)u0L+L;ij(yY;uQS5W0Y=SXJQb$_AOeFKanq`mFOr24{qw-ABN7zw2ePyE`9T z(YbAbGwg^m6cL-WJZ-2O6l&6Ue|TmCP+O?Hmx-H=@>QjUp6}EnqKg(5I zl5tsmvBC0<`u$=Na~<>2BNDy0hpf+Yihf{y;`@_@BvvS((Gqe@=Vg zgy2lrI^6c<^793Y90nRu9ywg<`)L@mXD#P_U`<#0B5E9asyO_#!Iv8mXMD zqz)>iE4w?qR&fF3S-9`*il30Q_Mhbayn)Q79o|ITGRYFAyPMsO;;bIQYbVH9%mGdL zD9&$Y=DrMJOro&-#cu?LMFJ=K5cMDW%d6cg_$C$HimvzvLYt8D{&~Z!)^FUr(RO@L zgXvfhYY;D+T!Pn?Ka%reJ`w3V9D0t6WJw0iuAJ72LH&GiI-;t(2E74iiXTUE`J^Fn z$SwHKJ14e(@MU$&2Lg--v&Ihqg}~F;4;uZ(q@zq(m_M|Uj#hl|0rP;wEHT#I-GpZ! zl^3u=Gj~B8kKchrIX`|Qfg$?*>3z7UQY;dJ*iV8X6xn=;TFz9i_Z?yRLanuekru&d zNC%Rcov~<<(l!8ZxG&>a2O`F|R0Yj>k}epJG8;1TH2oSxO9b~efP%3?2V={dUyFHM zjXctV2}=D`qG;%TjuVZNY7N5&pl_$_9N_%mPg!Q+wbvTUo64C6&RrG5I*J# z*bs`e%|Dr6Zeed;ob@_9HZpGH=J_0aJxGWoGY60@rrd#q5LMZZ`FUMO-t2#<r!?rNP0XaR}mCX@ZWe}WE3yp`ixGlyB#%LErIqxUK zM)`5c#R88- zg{-Z0=b(YDDgOrlp+5Xd+V3`PCAau`C$@ZFmlAV0i_3FgpDRc44g-evM@=K=jZNmq zefoP1Q}Yn#5W^@OCY=ZS4gxJ){&X}6FzcJ^UqXQ31*;n+>aQCA!0Gh0Qwq8h%gz?RSR}hQ>NUIWBQ|{( z&~CCK`|-Q&kR^DMC1t_r7hG+hrn75BlI}ug=bJ1S1ZXLgL;?Doj|S9^DBrKfPMoG` zveA)w6Be8^IB(E_u80%hv~k`X^{+h9n(S%%FQGy>y$0wy`QnqGb{C6w@Ktw=fX-Ah zuQsVkDVH2CSdzsNPuKePCHZO7W$Zz`Z6I)%ze<78! z1l}?EdYX9~nwFE5fU+W#Dg^)UxcCm0p^a_O>MoaY|2@Aq%VB7ZNMfIgH{p(1>2tW_ z3m;LN**=VY9Ht;}fr^8O6O)HPA zA}pB)lVnAZ38=n)Oq;(YD$&+D=)6?s7`<^UU2zg?I-PNUSt}8;d5|c4#qTdlFv*R$ zI$zHVMfO(9eQVk0afC+n+n>+AzS>NJ<{b$!F+yyPH7Nx$pRbUJ@R`*9X0M@FENkGG z!@Cy)Z{y!UB+_QWg?~4hYN?t}k-PY2N;va{qz{Uug|l0Ljz$yAois#(ztbPbKwY6Q z;wvGB#t>KfAI2&S7PwDBYXpj8?mTV~KW4UO4U>Xp|IWZa@`8dF?hDi~?_k4a5OBLR(7&Oq=L zs|ifjGE=TB>?WP(V)u~8B5adM{P!;<7*;T+o%OuWy%(-ybcW!UC~$PFS@l3=Hxq6p z?DA>4IBjwldUeNQ2}%?BxhQiup08lCzC|DiUsTce%N7D$^vz!g)PAY=B7}Tpy=3jr z6skf}&0HugcKcAUb^X-T*&KC#+fKW6m%u5#Zhfv-#^r3;*ye|MmbjZcu!Re_^RFok zvV?^Gy2=i@@u*~lx06U{q%q?v46E8|#FT%J!=WmCFRLFe!br;(p`(2Dltaz6EOm= zY9Bhs_Ibn-k@hFc245$+Q+9g(IUT_M3S8%|O_4fKtXBYzH|r6o+k*6Haic+OwbrNw*QUpo@rd z@K~eQLw%lwQYU+idBk>$p=u*d>GaRgbC-!EW&Lc6H=mVVF`*$w9_(MRAiZ436;ptD zUC9Arl2Ujq>fPUsJD;7jvU9>eA9~ObBdiaBK2jR<{mhKfv>nfCYu)WoSTS}?%qt1+ zDy|KTQAxPg;K#oUi-C*li@ML_TO$v&`2EH_dDzCR?Yw@`hi3D4uEmjXr5` zwePuz0294zC1WC(4YuE+0T?j+`UH@<+8T5YdiE45FGz_dv>jMDsSa@a$v)h6bn-b* z3O~m3#6gudyJTs$Hz+)rI?(&nN4R;W-cnyE0u~T>NMu#5IGC<_Wwoy`ge&YP}H_d99nh#ZbM+S>Js??vy-}YQWX7@mnSeMN4li14>7gD`+|f zs~Tr6tyXMrac%I;;L>(?8BDM{870c>Oovv(zDe=GrZ+hib}8-C%ZPA$ieFor#4O~ssKZaHF}hps$Pi)s{bfi=#>6*?(^l3T648t2917d-wwz_ zVXxhD6pHx!@%5)`Vn*GcwPpi2)RE+Q7&2j>pW88pE9)7mOS}1r-2KPb2OY=nKXBJ@ zWMDl|;^ei+Uxr5m8+ppQPkI@}B(YdY-Ab^e%_+P5ht#iMcM)y8)-ew=2{$aI5eBMiu+ zFGd&6(}a$ij`bz~SK$Fq_B!cRtHm)5fVzZn#a6%0*Q)P6ssmO z>u#VKR>;tJcZFkZqsf4n@E^>%a8MHdnjrDwzoha5U-GvMO@8-5$eebwl(s}3iXCsw z*Rfb-SlDv%B-EYwcdKD_j zRewBDeZNH{Ot%Q-e70}w2A@x(S^Jra%b|bhiDF0Wul|)p9}yhGmR#K%RZaBDWb$-= z9`$2CE9kI$6E0HS3{5WY z1YhnLEXAg3{2f8vHFMY|2*Zrp6^E*X74_)-gL1`cpj2ijQF60nfX83a(HM6u?RNkYh@yI9hD61mGsi6tkm8WGlV+dlu*mkSR6 zGM@eO55&LY7q3_ux^rHB@Zw0}76BJ^NUk&5OdpKB!b&Vc3`C ziYREc7XZI65>_E9g?UkiK+h?nZc4OVfiG`pH)QyoPqXsmNB_$d2mJ(LBlohcr`e8) z9s5$>TcihyxkbruaU(X7(ZT=Ayrl?NS=MeJ7VctU86w!+shG$({H} zk*w}hV9>BQCCkVULi5wN)mst|&PtZSW45;0-+(>K=KsB@K&|&|Jm@o`%lg7r0?+DU z>zd$><6niU*JOI2-L96Sw66o1-MklQ;lUf?VUI6I|2=&05H80`*0b_WWIx$KXnIuR zgRmfMm1y2IiB0}3*e-q$c6F!ndBhgtIfj7a6J{!ap8lVr+k|_Qwm3S9B&~@mX?|r# z;5zJYPjNyks~Pr=^w@cIBe8T~FO}2j^f7F*uDdyIpQQr|SIcENoMla~h;R+kIL^u7JtUBO^Lfb$j_mt7eAA#%h^xSY!<_B<;hK>l|<5Vg_C z(i{1Xg_TjBkG~6`h)*IF@@SiR4tcD)jaAm~_r;^m`59NYuL%A(auE#d_lgl3=UR^{-zl)|K$$f{-J+a)a=p=kR{?KB?i5TPU`7I%4@_kx zJu89$f$tt-Yn3hBs3eVAeX}S$TPG+wZkMPF3q@6Tr1Yt`Fqd%s9#QZ4Y}BS5_*lap zP(LqY)m#=T3nLj9GQX5gUl|@ka%bQUb1?eCBK})Be-(xMENPPaGUr=`zCujy30U&> z3?##P#EM~*FBfmpWoV)e;QfXo+<&jpL6@2N`ccjVDTBhk88f7RYci0ocm)!9N@q@C zlJjdyeD0DEO&@w|&e+I2k=X1O8{?};RbCj;Ep*;pk5SO6iNG&7I8A~Dxm8ZnOfH{5 z+?6#=}0Mi*|9jo`kW8lM70L3TM6*OXq$|{ZXq@|HB_Jk&OA8vsdta^Ljz6 zq`nAd2&5WO?i0}W(Og3UiF5}%D~B!YX}TGp>as38rjSH>1D9TeDL)_o?#i7@cr|5lO0M) zt;?4lE?Q@aV_cwTrZL@GiGX=zqN@3c-9%4wXQI39t5m?!hi+ zw+_+e0NorzuDJuTJ-`;}kjb6;;cEd_2+{{C_3>w zU}yV>MErHu`ooc7mcXeS5%azM+hTT4*Zl<^PHIn`G%KgpC?&iFv- z<-AwiB;(3kBU3U8k*fs~#XT`MTiUe_b*#VM+#7XvA%DziAgSu!NcV^Shwzxz1k}N2 z{ntAC(EeRZu4IK=ez*A7MzF39+!kxI??}-8gZ_NMIGjtKAn(mdWn+nnwmx5%IX`{Q zRV78JbbaYBlP!txG%Hlc8YUZPEhPAcdFq=AsQW5q7hRpUPdfN{nL~qOzK215Yak`x z2)YrDoW8})+7`L$)9C5_Y~BYzVIQJgCn~W|!KAdYfcHp7`S95$Bk!ZJ2B1`wy7eP* zGu`wfT1fG9+x{xxpWKDXkD5s{K3m&GF0s-Gea(@Y)(@+W55V5ybHQziYR>Kl%0F3) zww>C0sd(Xuiwblfwp>im+;|t?bH{aR*}JzF#?tLv(j_ zSX7d}&Ig^|yR+}v&bzXoLy* zi<}X=gOfCVlL4=XiU8JE4`8gK8{FZprLKYPJqjm%P~siIvc5$tIlz(Wi5-5F?hEeY zD-?zL?C%-u8PQv1>70rC2TG=ZqlJazDDH}Dw%FB<&H5=H`~0(#oqVY~N&munOmElm z_buc$ya*T(|N77Zj4AC`ILq!hzXMB>Pr_&q3J+g9hT=D|lLRpFMcUUe_Mh1}_(?I5 z!$NeSlzcnsGr~3@bC+}>hu4CNLo@o&7)1Y~WA33ul&9P4LnyhEPdxC~+?Jrl-(x4rZ`%4nxt5WK7I`syjolu1*_SPV+pj*p%{E4| z#U+N~2w$_!0b<}8uMS@3!7;c{;t0`Ktm*Fg$L`Vf z!@L$<(pSP$IeJ0bwf9b)8bSulK38%|YvsvdkD>bk?e2 zNmA-!hcjdl@hG=?W(0V`^{c|a)?4`c3QM4qEF_! zqG&}S9+u9lhl)j%}R{U2Og#)rTLfGXsk z^qB|eSc7l2o{1~Y{?h0W8VVMd;6FlpjdWcU?kIleZpF-J-5CW*Y0_>)KDX?cScXvX zTKhC>xo&f9Q-I9}>ce>rTZzdDvcL3$KguGXUqKwRbt>3O4uV~00lTu-HAiq084`^S z+;itWyNG(JM)<&H z`J%O22>o<0p=#N1trl7U7b(CNpjb1DloN5O1NW@$yU(XboVYCD;*JN~MPHKpubwOT zfH*UJ%A`UxZ@(JbSEcB@wUl#jS$ zV8+HWD8C_BNMC?R%gh`5CGwiLdYZkPonmfJi0Hock07cW?}$Jl3b(8#iLo{)oO8Zk zuUoWC2szRm_v9X%1Ovu*lWv$hjuMK7y=|KGa*MZ}9xPqnH^ZYcR&tmUomci#PU@I_ zVPD!$*40+Syvs58plfj$RmJ#mIQ#W|qosfP{9Z+c!h0MbUp62MQak5>nIv@o4gR6u zZg`bXP!$SOe*L6?aalaYGAN|_om)}d%_e<$^{973WytI5f7wC%SsJ*@ziJN%Yl9Z< znw~+OD;~`POKx|Kg-3^P?&zz)#e7n3DjCQ^AKW}@UpoQeYj!9q+@ zn5nVTFnlE!^@5b6!(foGdAF~uV}b#J;kbtSaVaYQC4C9NgtfTqmRlE@=FXFrA&p3U6NPYpUbL?>F< z4`6k>V;JP}$;VL)Sjv}39fb<4{jkd`UQ!t_e;=vQ7Kv+VSl)RqxU=nrk=p;EvU^q3_fV?)-{iZdvsYhqph2r{? zq*aq0t#vY&twF)c?AX?V?!vangYMpCCSiUW;ipc@fa;^T9;7eN!ml&6ugmigJ?BURb1b|Oi=n$XK^2*#C?I|GD|{-m8xFb@3>I~= z@Q@i#`6LRtPf%6Y!x_CD|X3QdkS3qda{(?7-*Y4WU#` z3Y|jHrNmY8MJn@10SfeCNcV6P6b9>VdjDY<5e-99QFJs0&qD=x>3mo`KUIJ(D1;ZL ziqJ*H@ZwY)T|yEsMU|$@$l~Rw@^l47yb@KJuA<87W*5!3--Zoq#PDfSVOsP9+IT!w zhpwxK*QXlL4<5oFrW(?XjPWK^Q~D7zygAi^e$*0gMYX2e*y8P|_H+kFyc5-#e(X5@ z1ob4{1#rc?QQheto_H^+H{Hhg7(F}!f0}xRe)b$bl6szg zAqpQ&jiJZJ;p3?n=?RH=0+mQlO2(&9FVQcj;?t<<^b7z`qGr;wvhi1_IrQAC_&h3^ zo=?FSPz&ir*YL&E>+~Bp@wceA>32#1{9Woj`uzv^htx;({~qH@sb%!?3j7mlCH?6$ z{Bvp*{l!cCD{3|Ubq&6jT1Th8!Piq8=#5SIW@-z)m4<&yeMfI=$9GUW>0RCU9%?VW zuOB}^9i$J@@$ad_^ba5LBh*p)*f{3;5Vp$>D*2H7WE%}dk4Qu-J|b=dTNRA#z&Hm zIP&T|Sm98u(K1>P83*@R$(MX-`!$*7%O_cFcl@?IL{FMY;1`bx=fMT_&Ywzn`QE_C zY2?&en~jev#o?7TARbycUrmwC?#sp{TOFfLA=ZC#k+o;Z`c4w=*_;L(GT`iiiQk$k zRq}W47v|-YrE6&)eUf2IoCeQ3Gndu;$YSF4AtJ4xp$xN0Gt{&)EQW~_wRMMT9kqAe=@KU zk0OHpl;`_`u~= z&EnzifzZ;YWt6%CEtRW-?lE;+F?YN4#*^B#qN)!h6*^X~GLosN6DAJ()}auUs_cBA zk;jQ85CZV&E1(P0_Tx59`-x1~Y%Lfx!RzHhC_Ko%9|EI;UI z&nz2Q_}IvH2f2Tec-6w{%N|&xO;>jkA9L8*w&Ak;a#U@5E1RyIn+tzfkj&e!`ova{ zk64_0?nTe)rxtmg3V$-?Z{YHKI_pt36AGn3Yh}on`xnwCE(ZA@bSe5Td{i^V5AA)x zwe24I_44QsSZ~=unaRU>h+THw8>os6hcxr7gZkNM2N@E47`DYqe{-cK&ipV^4up{G zjDHStdAsx0C_Wc5wwh6b2hL)sj`dXY+C~-6KqV8-bmna+M%aSJi#YbC^LAvZR$w|X zT}(Lq>OWze;9ptIV!zwDL419lh$MUBNtkVBDN+O%Q?|5Ffe}Opaa*b6{==bHmcDeS znF%Pzw8j1%{ZiEBQRBjS_OfO~GvFdM=DJa>igu^5_TSM3Q5U`!0nQqKv#9EJP5npo zJA@UxbY+_>Ug&qL)M8oe+npqK2>?y{>tP%vJR*B@}#=<^7KCLFxoR0umXwraF#Y~;4}ojhJa zP0xRv0Acva7Vya5GWVE_AWOZi4Hw_o=n(8ID4k9fg-VF?C2Rpb`LZx;W?pLnw@W=w zuEhL%O|jP+gS5IjgskX$WNq`^fKC$2G7lCp*c!;*=2idQnI>}BveRPE*sW-gLr3O~ zY|dL8grY{}$u+2%g%Qp;`3FKH7*GP8a&iRoF6#E+4(>jrSyv=9|FaI^+C#(!8@hSMZfv(c)bDgf4A>b5?#4Qvgc7RG|rQHAQbhLLPlT zD^bdVtU|V)aFrZxZ0tHL75Xe8OUWvHR`72(Yt0p*c{fMRMFFGta3PCW*b4#UCeX6J zKS!*d)Zx2pPlC@KPKDBrA1vevsKq(aC$oeZ1D9+j-yRF*-282@In5djJB0oBjx%JR z|CrS<9_u0mh^!!2(AgP}>d{*@IHEcV? zX(#JGuw@1;A|PVtK0m(l!u}%YfErmt5R_;F2s6c`bPTrKEfuljjnYCo-usn~eE8Zv zp5YaY={*wm0{b^W@y?@+63`u*az=A@lxTZ!TUD_)@I5a)M*rO6_HCnIuEtlzpr_Rm zgyFiO7kUMbHf&ZY+IrK_w>B9`PcwK_sE@G0wJ1RQH1;%ogm?cVZ9*0ZBvS*Wm=*N6!l@V1k8u7d`de(( zNSbwt{B+{mJmI6fNT3Wd1YEy3^(@nqc6?oa~RR& z!L#`9(?^Qtbw9>8+5EKmCRqkPe@1QivSf|b*z=B%(XL3k8!xf{UxzQK==F%ddB$uRJ9RY(H>xKYZ=<_G$Q;;wPsu#zEE+awMPp4YNbwQ@`)ZzI)p$TQ&k&NC$wl zAspkBlfryjaa(Ngzn*1T>0>>gEOLA1ctDp8jnbxc%Mo~}=^$*NtoBq4O*?kaf%|*S zv?~K1!L#KgpF^(j-;)p?}5vfg5!e>JLf<$5U1$7NkB z>R$R4o8{UGtL4#XX1Y;|c8bEoEv2LPOrcM!xM`cU`gm=3cw#agg>{{%M5)V2u97A0 zDprBvl|1LrM0EkwGJ6e{Go9Zun`hw{u+?L&sB$U&rks@|U|U&WzT~x>{LkqwA5C50 zLxfZZO?cCHLndPHi7%RL9C|pb!1LnwC+7^!W6~9hKV-WE$#lmO^2NT4L>+K(DD80A zDNnQB)T(xG`w1o~gO_he=-rL-fD^)+aSO?cCw4*`c`*{Du^7S;&n?a{zC*^6Ah#(IxpRfC1nIJ6fxGJ3& zH%Q}6{U6&p@XcMV5slJ-Hp{rzf)$|^&G^H%c`ECGfZwqt7N3m%f2XvK5h2Nc%f(Gk zR7)QJYs_x>*LG`z&E3K0rm!`42cTR1<;8;|fA!g)b{}6y@3F^}_NF{HkqYy-pOjz7 zOf)^Dg7*9xR&Jr8!{)Z{wZZehF7KT$<(9t2hPcT&L2<9IDj;LeqGMnA61qzj(McFwN0^A2*~?NK zU!;y-xquI-6TXnIZu;RI@LoLfXrK%>v_112Y<@noK?9ztvJ_alj1|_edEqc<+k37W zcoYT|7suO+Rn0%Lqep-XeGo6oqg;E4tejlnsP0zbUwGm z(PUP#SX4)FXRd>wS6XwM+pc3FWtE7==zrzNevE{V*dRY6c9r<)jdR{}(w8;`8X4C& zrumP)0xx-CzJ2Au54$xfB5wr14>RNdq!$G}S^D^m?_-pjWM*-a!P zi8=a90@9@vEprxUo50KXxO zuH)T1(wV!EfM|zv*O2=9LhTi{w%z^*e{~q;O{zHbPu zrKfRfBxGR@Ew*o6$C8WoTd~gNklaGOYQg^^ZgLjCuqUfiaHa9;tp){M@6?l~4F1E5 zZY>sdb?S%(fS*L035)73K)AEl&^UhvpY2>MVa}@gn^XL2^R6clp78CQbh{$ z(f_oj$S%JSb?eY#)_<&)N2NV#ieVir(HujSKPOm?9(_3@P5QzcK(n?KY^d@5Ix5v& z-RD5+a&m-%dOrF{q*lI{lAb$<$=;iWkutVT-!`c4qa`-YDwbgYE=*t7=r$B$Z~@c$ zq`9`*UVv~?YjwK(IA8V>qe?)~Ix-S&8md$HHrEcdWw8sUVd7lX&5&ueQaTo29_D_o zR3gc!=?Ndqy0vud)jVRZU<%AlO59^@udzwHnbN?Ozw9%+B%<-ah{fpJHl6E>wOF*? ziw_XR+ys&1x`zR#Rf1?Av9C?r1QFAUgX#$zbQE^^G%B{jn(yf~ioZ1>4b@qtewUB^ zq!%i?>fz?5G6~W3b3=|dVvoY!@ij>YqYDcc`~rg7A>JMt8cYYKaDangaAWetLWfU? z%o8yR*M|s{Io`bi)lhaZCq{d!UGs-bk&zDQ*gmK&1T+!_`IN>372dB}KoALW31{ba z+3b~_U(!g$(6Q)U{gc7JGBAm|KhBSf9q4Rm3Oy3s5jro^{;I>fvDJYwIdCbx=jTvE z$GJ&Z*P40X+cw;+(ATvcu}prx_9wgU_I&>`##H{-USZi56_oq#GINS4R_faKaIJ(J zyLOjjW&nmhn!Z2Bx}-(gnl+5En%+IEYbsXGGOXZf z;9sy`OhnC!y^;T_ETh`^DMf4%clt_4)&++dsD&D2)!e{tc@5F9t~<&vr}=2Mk0`Cz z`eW3mk;OObpKxY+cP6_Jprv{fzhaPcc@bnegC;x^KIc@@EPrh(@{`6=C>PcaN` z49Jd!EoDLsNBApg9Xh^^;oXUFu42#T5}1hMI@cYtsO2VpU%#>RWBty|w8K&=IzH)t ze%8SYg_ZNI#qoVClFJX3{wt9))6hA^wAJ7_XBE!GT~9Hq((VhU)7hu*@=VXDmQG&P zGg{OhTpX;@Uv%u7L*O~QEc`drnaQk4J>RADyT$k&@@B8d#ms)acrt+D|KQ?~lkcv1 z+$xCmKNS-jf6FmfQwEt=K^FE}4yxPsrRwOj;E}gU$|C9LaIsa%?XJh(MKdEJH^g=gD}PU<50W7&VV|+fdf~|ODqa& zgB9c0nnZV@b7mLM+9S$V1lzyrFBkPkf9yzEAo2(|^_qwHkVhGzQu~%AoKbTvh;buB zKUA2ZJ=`>;=gWhwlg5n?y&OC}r^aeCOZ-+DS}NlZ&q^4n1zK+Qnk_5P!HuUL)U2^z|moeT@POpH{ve;{*t zHR22^`rSJ8kb6Top!Hxu%#_m)L~}0!7fRKYq`goh(`Zaqpok?h0%It(tKL<4evacX zFmn7*{__gV=duibhXUGX1RLDj=a^)Jis|LD`e%NzlzyaGrPUpRhi82NTd zs~>8mr`XRv!~_dn$qWZ>_9>MDbq`s6vBYEld<0%|v4bQDJvQtLxClADp403-eA8-C zRaC&Ha8Wj}ApWx(?!qplHd{9Rrt+euiLT;e2J6htVuv#}rY-{O|JT5I|5N?HVf_6* z+d0Rv&avazdzFlky(&8PIN38h<51xoP6`KKrL@aPA1Os;L}iso!$C4KlMcs9GUNU2 z{tsS1+>giox~}JSeGYj`BTS%{vnIb6t=av6>mhjIP6+iA#lM zQ|vdW7{{P-eQU1sypPFqtV~z@stBk3>ZNCA4WS+QP{t+Vf-O{M`N3kfbbwfT?;|YU z?Gjvd6k-M<_&!O>yb_E2 zKqA5NX?+0N;JqWFwA{^MzfC@PX`$1yono8{62o8Vt+dZu&txzCiP^eNFEXxN zw8@c5xL8tXB)*wx6`90Cy&>}I82coB-fcP{qHd;q{lD4KHTW63Z4)aYI`Qhsg*1wT zC_WQmkHX1;eU0$Wp`}Pk^rgvgkB8=T;kiT0g;=wxse+CAcL?+wMWG*=PgdUAvBD~r)2;a)f&EN3#um#+inwm4UH!s781a|cE}uyZtP5}(6pko}iw zwoD5)`Dm0Dux;`IO7*KaWl6VIvCtaxbvCTLiM81S=TYH*R^^7iXpU`e^okti;Rm`V z?!z-zBNAIjwKvqFSA;>{cTu@K#TQqq@$lkwhaRr`T*WY>@K=%=cuS@xg2p>DCj1z+ zrv9jJ^<&kFb)-#qI&Fi&ebuH~R9SSt=pL(VE}&m%lIQUm!1H;-DLSe>7c`uF{Ni-m zT$;r}T9xKUi3r6APvgC%Q-k1~*E zj?_YmW*#br{9J*)%ukU1=Wm@Rp`~}csh&PP28RAM5AsGCF8`%Mb%zCgIdKj7-_Mup8LR2z6rzlNf$BtJk%=37R)ZIrrv+yoY_8h z{KfftXFHxAkW+m3Fcl_Loyl`q7gvMX;Y5Qk&f!Cpn)rpYhI#gdB8r(p6hxitMok2) zS>pxk>hl-5s4q~WMI)X+Zxm|63+4^7{u)8!Nf@K;WQ5VqW`b&-uuyuAB0;cVRAjpP ztSXFN4x_W$ASqK=(1ZWgqX-3aGOU}gr#CY~fq2MYyzDS~c*67M+~@oke>O69wufVn zX2-^0H;3!G>XCo=As9D+)t@ol#}99=hbN78yGTV*_(MU73i8I-(C`x9k5(WYE^>;a zJO^%cjMEZfqOS+!Aqj1exs58yoXAAbb3ZWFrwn@Mz}^1$%xPpfN&EInM~90?fd%%$ z%5dxG=jJOFeok{689xstWh}=UY)mkB-ZPwm8iOHu~AU zwuF=Gr(NjmjS=QB#PXY`k*Jw(fcirSo&^V3o>9lgu-zKdm(r3P9U!3Dh#m!K@^M8% zM6<#dUw=b<#a~c?o#_~!ffJ*#GAV%r`Yrae2rgn+;s!!oUqPu3Jfz{)aW_@1k1OHT zANvFCe54)McWiffgS#=-Jg)A|Yq;*Il(n*`I7af^RvadEINQ91D}T|nxmu#%<>Ey2 zUx18+)nV}_Il28vuig$iUuEKbfYqF1Z7~tas=I&GnFxDe+mY6`XRj4(0rlt08ar^S7|G3LK`Fo$v?&(Xb3<>m^7?&kF7r2oyg zf+)55H1my~R&{Tw-{n&k0@>08cxLgvfkrQ30bHi3oK5ZscL_V!eI3f#V$lQm9_Cov;f&3aK3flh$1!DDtd7A#l2yyTs^7x}Lz;mKP)?2>n#|2E zx#PidbCCIhAH~+*C7Lu+3wXmfy9sZcJk-tJCG%#y!%p(4w0#52Z7EeQB2Io@^&NE{ zOQJ785X-&XB9&Ht3{j5NQBKw;*SB}P8FFp!95vjdBT_9*cA=xS9PT@4?uvCRUy=%E z{w34|_B$_?Hd8Tw)hBBT6d_${P&u_~aNDu<-ov*^K3`Cz{g-|2NF8_;IrKcpl~$Jk zt0=0=vX1fHkQSHNp26<3^c&!WJ&?YaW(*|a81~yag9YQtOCW!)M}^WnT6_IODo6gP zoh6;kox{rmUthS@`-c=5DC49+Foo4Y9vX?C@6z|RF&~2Ni=aF3CpWt zSAnaDdV(+uSSUTV`&)4XIiMkexEq2)C(&!s${mR#*g)i! z3-9%Z+|`8P&=P!9s5@Su*;@5a@>K+FnYrnj;*dfXHyOo>*uMX>6u$!JtH7U*<%4$! z?XVMmaVOAksQccpu{sa*#RT*KBX%AnU2o*ogg(}bZBpIY)u?}L*>`)G`-q49n5hs{ zA0!ku)W^fO;weXU0l5l5G&nKga>P%n@Gf6mCat<3GH`Lx3e^xzZ;=H_;@QG{RgPE?dCEIy2fKl+6j ze;t%%zq=y8r%|?ZuEOkyF>;^3Kr59gm*Ey$#9T}@n|N_1pAKiP{oFI0ID)!@v^uEa z`&!VZH?ME%phI$K6s*@pW|&INykXkA=V1M8wzV7QkYQ%&4g1Mm%!bd_ zrWqM~VwERB*?k4$zo;zpvIBC^qjP@IR_#?b1vCGXpIB%(S4a$TzT-bsb$F|E#H|f^ zC!?n~c}VefNed!TamOhA3{9SwYL@7151(g#G8c~!?;PXr{LKEOVm3^q zEGw%NzM@B45bx-|=~Vg8(RG;UzAUOzSQPC^ykm#yR7rDm93}=YBUB1cM#mBFB>wGG zadC_vCZ;TJsuY@@r4_Ub{hTaRi`G-FI`Js$CdtIem>72W^?(X!ULQ#GKKo5&A2-vj zm(RCGKcm+;&k$?lDQy zrA3YIyl^M)*`h9YX;7_*<8BC^DU$V2rblKx0qesl>P1fyWoK$h2(elP#7Vk%t9hB&XNgD%7V5I<1ze=BiHBCJlQ^+C7%X_huR0Aoq1yt+ms! zh8!N(nBzC0|GAZlKxX{xd+o+kdPi&l(Y_Nh8t#B)z48-(O1q`nG3(~Mj4i_w3~Ws6 zQQ@z^_f8Yt{YdVJPq@5yEN2#F`0 zKZMfrU0+|{R-0kDtTzFGbaEijg*|l;8!Z;!La>ZYiVAKe$B=6BV)^v}g#UC;&{9?%JELM0?5~18NgmR1A$I8y*JWhL0B9OORnXo)9PFd}R8miSF;mI4GKsMk#U~X#3~<=oMh#~DJbZ9k*Wm_{`mVP0Uy$md~B9}^Gnk}Havf4a+IYfJM@Qu zl_;(ScK>R!E~MmuT8w4p&b(C8s-G%f(;GNr*_$}*dF$`}?p!n4d-6QO#3w6$$sN(_ zD|N2bFW67*qSK{fxzer;VA-Dy4*ttmutQ^ zwg3Be(s|(NAvFTag-bQ`rm-(@&pm>5r->9mBbk(p-zMg)^0*7vW{<6x&JG}#gnq`a znSlozRe$haW30$EKGa?h+_=h@*SnHW;}l?#s!q&$iwfXa4rsjQtswDH)$%rNC|uHA zys(q;`I*RBz*4DF^H-+3hB=_|ie2wM0hu0GP7p zceK1rRGgHk(~>lZb&E4EIX;|lT5v-gHXnfhZ)2apW|Wv$Ss*H z>eO#v%-;wVoGDTGnFSK98VuZx;N=T+3F+d4i?wigQXBZ`d2@F5W4uH#z5p*VksHdx zJJ~b>AD>@PojLzyWQ#>I{G@)UE9R@vl2Kpw(2FH0ND`B{RdZmesTCgTR(35zz~os9 z=znPp5NER|m+S!bokFDEyYhsuJ6o*?AloRMy($0ic`4TCp&`;LrbgBZMu(nxnC~A` z9~e5GfN^kfUdw({1N%t7Q~&nfWZ`K&xdC|>l6FFbIIdD`H8UXvN-nMA1tA&K=d#KyFZ*A(2w&DnR#eIaBNREyHKBgQ2I z)?EGJ>3=65a;9{F`X7zXJ2*Q~y409D3ttM#wU{oybn`Mi+m{!XAjD6b&E}4-=fSNc z0y|jnXVIO!)qH-rCBBH)3()M3`OPJZ-1C`!fd%tW?(-oYYTMF!Zby~9MgzWvkB0qS zwME*Lg1=tMzCyIf8|d@rtDfW$`KT^Z6kjSkBmkv-Qq6EtN0 z-D%M135hKZv4sY20j9+M$=Y}me<$bqC7|E><=UX;;BzqR+XB3ArnddMtU`A9 z<@>(O*=QFFl-577SF=vtNz)fT$D49O-RL%LAsoRRZD$@F$vqx$y{%rq?3=`8np+Oz zc6H+Z`gFP9bU>@d3CIMokW1i{aiB+>KWlJ4JRf@Z>!W>AXL7Qyo}MeBD^ z-%@5Ki?0SP7|;A(dOUM(Iw*X+pp!3XQl^{W`#JISE=QArm%dtz;&APIP2NF$uFa>^r z^yxt+U=S&%ev40M{EPdhi>6)Fc^5#>4+{YHrePt9dOu@?xc9x$eVY846 zS$48I@})El1s8j%iYOnqxo{tB%v`8G@FA}T=|9OgGB{niKg&GxiCp4^?t@v?U>Y)a z!+UrW*!hLKpb+{1Ket4P6Z_vJH{M*RD9KL)^eQU%Q>CXx+Zo%(Up%c6kA3;)RE9_C zrBhlNrxdKmP~}2dQ72;r%}mCMQn#g+4Ohq>hJPYuS6kx1nFn#QEB}iuTs%#UlU+56 zBb`Rakzc$d$3Kg;o+*mW{`1Jusu7E}^;rSuO4GIp^GAXQcna@dv(Ub7EE18ae6j1? zMB)|B9P2;2aL6~2PA$$YyxeJ4yxO5ND^gHQ#u*9hTIsxX^b*3wEK_FM*Dll1^yU{i z_?)HW>u$_zX+&O&(b-6gyo2t>6j1jv>X50>G3?!3QI9|G@9oo`Yx^5AgIIZsX?U>yX5l*8M&IMb3DRo1wh=ucdeJY1jYL*+D z@>#vofl_%cUC6ZFS*YOXJ^S<2_TTr)4&9U1_`>VUivIcTDSDvVn88ueH*Scw>I*sN01``Jj$> zX}Hqs(;Zp>>DI$#;drjrUWe|V)ZRq*GN|?)tF1Kz2)sB(Ss%hZ^XvEPvsEwTk&KyKDsMrf?*TVi zehd;~M8Lzo^1E(33=9fj>gf$(F*Jagn#1TVmt_F2;Iugh3{$E*~4R?$rf$)bNyDgruCuB6<_U-^P%HY6=pTQgc1jAoEtLA7-lsORBth0T)%12 z+d3KZrVKDT=O<7j$stws%+{>7jxdu>#RZf$SD|07mXZ3md)2m@AxjQ+swakv@Dvplnz{gvH06`#I=X>T!ypOj{#aA;b*b}TXV5tUa z;X0We;6d(VX?54S7bALuIXzt6;!u!ptNV4h-iSQ|i3>E zDH3fPzvX@N8yxPx#hW~8XfwY>SRjZ6Z{;ibZ_x>N0gD~|@WM-Q{(LALxcTGb?Kjc> zTc^?Cz~J1|EkgsDq0eD@)GfY-Fj0wmJ>;zJop$MMBU{fdn7$%mFOh zuZ-@C{mEwk%Zng=Dv~F|{y|ndt4(uO;lUbCqarFHy>X_+uBdqn3h9U@S~4f5A+T_l z?uIry!0S|>SQJEu&~qR5(i4*c%n8WKreQUh;>Bkocj1D1LrdK{eK+>9+E9<5o@;0+ zC}?*hUp8p!lD3*VQo3tU)7RW~Io;yS3u3LHzEuNp{yv5sliBy-A^w5FvvOzAoA(<3 z`&IjqHxL^fHKcK8iWV;fZwNuGB%JdXqRaFIg|7a~a1{m|TEVXOB_D78`?lYA_2RIM z;B#y=MHv4XWp|#BkB2wq(qEf@@d4wnA&g{y?{iqdEb3$c8GBawuSjr*w*|q_ig%qx zlGDICOL?11UqrNdgrv!JS}zQVT1P@fx~`R1*&U#AKbdw4y)u-?c8&f$L);mnPdoE? z6z7V4tj50c`GZM1MCmoxN!GRojaJgfla<^uZ;GeQ{%c0N{v((e)C%DoZ2P!hyLvGe z&TXwCCdsMdNe`);wSw!+c90Z5YLrZu`29P_s5&WrDNa_k&h1u-2a#9y(Yb9A(m`Hy zPxMxUBy`Qk_6j#6Bi4|=Hs6n~*nzp+zt0XMmd5fbw8;{s>>K^4L&#y1qAhh_?D+dUH;nS%8RQq+ z-w@+i`djt-(F8^RcfOwv@_%`sNZ1gtYnyW0SO_{&;r2f3@yUn2)0y@qbf<|22aj9s z5M;)RvLywr#7_>INOlqNDE2G88BWJnFqOqoC(PpZy@ZvTQxLK0BKc% zptk?7%oO50_SBQX^<=4m&-;FY|I9EL<9hm#k4_)IEzJmKsJ{R0iYB_z2()=+0lVJ1 zGU<(kUIpT<0>{gAkdyT8z(RZPjFowTN3Gh{lc|wD52QW!%ROeWs0WveNEs_W@TXn6 zX19G#F-~Ss1%ggfp9Si@Y(FU7K}&wdM8i}59zG5UiaJqG`tbOjFlD9{`k+px2Kn4F zQoDa@pNmhc;XBLk(RPI?{D6f@@cPenbj%v3F2FVW?omZV6cj+0S2X$nXz<@q#6f`p zx8h_Kh2*AV0}3r4zh0UD*saAQGj?2OO=iD|WrSJW-+LnzZ|kdlp$n62_a!DYN^s0r zx^i8sjONIO!xQmX2Y<8}IZG-@f^uGRNCbZDz(MVwqDhlFCxRm^n4@s`<}2 z8vOX@Yiql6-h1?`V^8i;ML4KSzX!jbrTf1!aGX4SBvQiTIY_$psZq?Gt)W!5^f|!E zACYZ_+OF8>A@$~N+jDh>kn3A;i`}_giz9YZwm!DE; z)9X*UjiaRHfQwXocPn{C?c2rDHA(lKBoXNb2su{K3eGRj(T%Ug~X8K;@j2n9lO( zGon9@t{#j3h|>4_d%Uvw4p>oXb@Qj(Bdy4^j0I7I)F&f+esSB(j_N2^7znc%noJIr zLl_HI(t(K4%c}b#uJiF}BU@`1#l8^$IV!u-*Id1F_GPBPSoJI4!VAP1gz5%kzD*YK z>Z&6coXmZKI?7+U&3pgXMd(>tu`;>jKU?@7tNFqoz}R)gm{B^HBCIv8}96{`cD z|7I=`_peqybDRC&%CR#PPL-1CZBI(z2M%$o)%vZ9FC8tiQ$bDwf+t|GWPC z!PzQsidl7C>x!omQQ;$JLB5N};L!scDzn@-FWO>7!{GmAakYE(mAew7AW8W2OnOi*DyJYXT{nR52xabP4n0>D?)AOGhxr~6L8;mj__f9 zIxsUx6W)Jd5=Q^rQhW)yOKGqb?I-3@nCaP}*E*Qp^s*6l-`DZS!+yRADrhRRUeN9@ z$mM)AIm4o@3#K?dEVGGdQ;>2tLgA`(Jin9GjZz-EJA=mPq%A<+CY$aU19SsO^6rtV zDgnVr^<7PkMEe$zn5fg_$XJ#>J6kD<+YLmlI^L?MK^0 z+XH3aj{MIp@MPjhbie`G$FkX)5B?}$6w^4=;np!I;9&D2n<2J^?5dqQoBU!uqA(s^ z;(x@>WuET+z({oa_Cfj$v667e`z6|meQ5RTvmPnn)zfb(DmL4e9n-enJdgEAY_pA8 zv=L1HMUsa1@nhS=1??1>IhuDNC4OW`(Mg>WjN;eq@G5Mj@S6`djEMzpThwQCqB_P! zS01|Ho=K{>olubp+H?#lypXkUh+3#f3=q!NAf0m+3Ks2^kfb-ih5mZ$p*o&S@4SdX zyVrC!E6ENl?yFp&@x(8p!#dq(l9Syo#M&&C)z9`UP01a6FthW(7FM+k8hz36Qj$ix zY28g!P?~2EWfrd>uEHn zPN4pNb3E2@OdC@`%5*70zY%wz_|e%c@hFc5fj)F@+R9&szy+mzer=!Z*H>1{zNP5x zYP%Kp&Q>MwMf%G*9ytc;o*6B3@5ddCep?>(o{Adf<|JSA?=8?F>>x%Xi~(VNfC!ON4g zo^bkf8drn6|FwkFBTD0)W0_a{r_TR=9HSVN{K45TcZFHIQV2#cHGJ2>IU2-Jj=g9L zB_V0d`1RJs*%K*P@5=EqPIRDL%e_eiqD21QB_03fRtun)hD?Ok5rQct(j=!MHaoof;`6^0`@Dr4M1+bm72Hxbv;$<=+JYS z&c*8rKDd=C+bcyBwKKH(_~IYvC2M=#tmx(s2c!a!!5aQdS#vM7VE_n7GE{zkk|O%k zSeW8$u2f-~;btn@`J>G4e1R7ZYmBsnC~m@L+Bx`sDb+8%ioknb&kwB(3fhC(x^B12 ziYYDdXq;sl6V&@}182xtk~F$n`WkEogS)aCBRGD&cy3bXC5B}3)-~tbq0N71X26|H z(bsas1wQ)1-{AdSsg7wfWU{e-MPY;Vq^qW~nFhQgjWc5|NAC zZGw{^cA5KlIs8h2M-Wt7oVoDS%RrVKPC9NWeU-mal%L0(tLysn3jI5+n3M>)k}ibI z8VeTualr$NGlEB1v#$Nz>r?5oPixuBK(#-DU)}2+zuet-FJ1IQ6-xwo#DCUJmu{Z^ zx8sQ0pCInXijoE54{sIB{a1-%^Qrb6vhM!1Q|krxu`Z7Dr#T%`QK=0Kc7;Hc zBJg;&<8c#bodqa|zDY%`{}V-ojvdO*9@*fjZ;-Hnb`~ft))lP~5}LTi0O~W95N2ac?g=1J@Re zUsLRezc^{m5+sQoUNs@{jC)ZC$iWkp%n>mx8QPXw?C=lDLw z!CT@uE>EG8!AdlpZvK=`bgkq%N5HvISOg;`x3J|TTp+xl@!iQRtd<`Rx9}F(2VMqr z0ILui<-~IN^wL{zsR8k8NkNH8T3-?Xn@AC1%GiiTTx>PcU@;*n4$~W-$a*SP7Mwj% ztgW-3egM)vsHbmW7!X(=#2-50aWWz@sv%k??o>m(T@r0QIpy@3YiRgc;F{VH77v^- zD8egw%Gr@|(ni++v24I^ANUjis@55F_=naVUXdQ$$NQBvGJicBhUWrv_D4zrB6Z z?8|-VGh{EF(%x?dP3Fxpx^0_^pi1+C@vYlCTqwQD$@1Mr!u`F+C1T&pZA7Yh1~bkp zL)~@`KYUEyLOw>UJD0p~UWLfHFlYZa3Q2axXCXR|Ce#TmbJ28?G)Shv(vg42F~~`o zD1%P=Gcr{_9f|U!#~@B+?Ba?ICy+#OHvmZU=F!KP2$La8HN&$9b?+2F3(l(Ga-gs# zl&EM=<}osQj3a7s(>>+yR6D{1Z$MFaJy-BDxc!W%$shXed8FPp*mDt-^hM6&{ro=0TlF>fpA)O8hnuvsw*m21Ac1J&E@4(_il^IU6Rl*7?V*4TU;&0 z#6@{W1tbtyNRkS^G&wCU4N)a7^Gf3L!3=qE+>X>mOYlA_4Tcvabn*K6!X<^xA)LFK ziUB{L?4lx>)HbT`*d+uV(vfsiQj}1Jg0=adt#pAFD?K=znnEbOJQ0*>-2r=j!3!{Y z^g9CNsirCnYFB|!4N(FwDR|_@sPO@N+`5>{5eMX+7(Ipj)I#M=l$BaFy68gc9hIWoSMIk` zGQ^mB(q>4~$YuL}9^doBd7OXY^?W@~3k$fy0;)OttpF?lKmZ6ZBg*5Yq5gOL|H%Gt zg8^sYEfz=H@Fi+m%5VTRmgF_)<>sp-00#3#jxidKR2!Jaya)6p0A$+%fYOq<3(!f) z?{bnQ8*0R(y!?VsS&n0h%?w3=*mNx4xS}ABdFyp?q2qMH_DIV)q*97+T{F;vr%KLu zT*_y7FDod|JSi46;(T;#-hd7=Y#V_(ZVaOV>6Ead;$w-!!r=80VIhK@IL~~(pwN4o zW7I(&)NXP|7xCyG6-&T;^l}r>z~x3uvFNZ|=`ElraHsS+@QC5cTgtKQwe;r+v~n%{ zu?;G->Kpb+47S1JBEzTtmNc;qP@LU?&)GhO(wWGV2;sgH0-Xf`1>RkN8~}+O%8Vqg z-UHpa-tEb41}|$80PfDB0a*Ey@{0$Pd4gK8)1%+PuSZ`YhAd^t{n~3mk6ASUE8a3M zX$J}hs74;^j<#A~OMv#nBViFCt48;u$6Euo>v+XT7y;?N6)7Yg%yb$p= zOXDF+ybA7ZbOE`|l3j|}(z|$?1!s`aFxHeB?=8KM9t0X47gV5k{w(vx2EQBZTkp=qvko@YIq)qr`|75>U{t8{ny|RxH z-XJn(m_;1lv$|F*h1y`X32l*G_USwA_lb@Z*$J_ZoFVQ<0wkM(7vUZ4P`9w|SO=H$ zxzPFf_$}V z9XCP-llXeF(%ZRsLslSwV~HmqP=h;a;aWr)OI4l};RHKm+){_vh}`wJbf^FeMDO0= zu;FZT#NZA!sdA%WO2Ovx(}U-Jg-vaE>)~u(dz)owLWSk(c|wP0I>d;_9{FWs%kD2i zZPOML2o`pY;X>tfs<5jDm)-Wlh|tfZXLMO_SWbcdZ5-|V7@est$e({(GO9+9cvLA+ zH8+qeeds8KaeB8PGl}(mKN;HQw$BI88#8}hCef83k1o<;hy%HtaG9gY%@Uhq2-`0z zbKgmyL4%h(BWv93BcNeJkkQ>wW|NhQa^E=U_~+N6?a7(gvUV#Tm1n*Mpi?2qY0Sv| zV4e5E)?}(6+s;cu>psjT%#oP0@w(g3ALUaAimubrxz^Qp3{B_A#5hK!2yAwBAvkn6 z28G!By?1`jq#siWNF67|>xR+p&={ZZQIkFjlbS-g3Oa}!kVO~b-v=NI%o{KYRFG*_ z{(2ORx-Kl;jTc2nQ%MRNKe)|G&D%>T{|=^pBYX9Pp8KenVhxlyb?x14iQ2Y)!(w9_ z-9j#Z#cdY91oUMPT%qQ`!TB}+i3!6`CeJ!*C0fROx(_)FiE#BMvAeE6?s_{gOUpYHH%}*YBoVf(4T67WYufzwtp- zNY11GSl4$gI?4ZtUkD(6V{vOUI8&*ZgNOCXzFA=RoekZ!>9b0Drn5E0fj6BOkc4PT z)D?DrUKjE=Z|;H=qYB^F>_;e{VgAQ8?iyFT z*=;6j({lTtXi%4nn5nn*-i}WnJmNj+BGA^P&aLxZ_0_FBg;)_o9y9pEzV*&YcGbxz zH882zYg{4~7JB-mX0fv)HPGZ=iKl=l9DfBaRbQcZuDWC-)kN%Zo)d7tN)eB$f@vRa zbW&V1$0}y1{a)KCwjAuoIyHUVATiYTBqlW9#Uqk*6Sg{c^20<83*#UapsOkQP{XO@ zB~`22+KHNl0F6QZwx1S8t~aK}vuw&ZiBt$$irB!*3-IfqUA~&St;qkgMT);1Bin1) zlMAi6Cp8BIJcB4sI~lQ`WQ8WCYfHin!cGpCgzVRKofEmE!P@lSO|1p2pfA#agkHg;h8fj`_1tH<>KJG}9a zLq%QRgwEu9nf!dmX<$IDu=so=MvnLjQ2PLwZS$*>quRhh)8!unO1(}xej~jPZ|CuX zeNt|7u1k~)&uIl_@dUaE7LB0ElSycFj!<{%slQXQwKq_xObU_?z9i?#nUErXYqF6c z)Jl`f%xrLd_;?b3!X0xKAct!NyU}%UaFkgaeS|Cn}vi_JWf4HWns9fY$mYU+}) z2>hxK6_(N>;CqRD7+gj~{M`yZ-6Gr|z}6w`$Lu8ghPu$UR29@n(MwHRQ)_cE0@QQJ zbjcz{_QPY%FM~$%4F?%F*<17IlguXhm?G8+7kJiK^Sjj(%)&qnA5?noBs~y2DD?u> z-|^|uUuKM^mYa1j#`JKOvfGsQv(Azk^4_HrWK5S`*&`xFLWUS;@M$;_kyaYB5+Mo; zCf4JnGInDk@zO$RtLKD^lOil4?-8yftp-?7J&!4lu{RrF`-);&U6h8S@BWmEI{p~j zA9&t7eS+QJ@2IjO#BL4#_E*=W;CTo6}2cmGeZl(?MGxC`=dG$vcpDkSa5-%XUtRfwA5{lj!v zXTR!Kt#A$`Zt}H(j(oCl#_5a8$fD^e=$3*!N;H0kd8LK4x%YWdDrL#&qnD{-QGb1f zry%^lbhbH!!!FV?cfP){ zw+r31I5s*ACk2jnDiot+8HQKEb?(eg4)VH^srkrwpjvih>KBb6As~Y>4D5~8^789t z=uXRpEC<>(?vwwC?Opqwgq9)&j_d*eU2+#@ro{A>NqloLyW5FF9jplB@_Z2ONZ?jV zDJuUji-?ceN?czUPUxn`>6ppYC+={~`x3#-wcgesBV9~$e= zGqI0<7*B&xC`5~XXB6S$Yh84EKDA3({}TfU=U*|{B)R%|bc*M|jgb2IcS2I|ft*)e zf4Sdf-J4lI1ztxnj>|4iOS1tXMpuBp!DT4ouMITTH>$^R{6zA+<8a%BRgTsBMzxPo zj-!S4W?RH||cs&vrR-%f##oHr_GBNb47XyOL9+Gd~Sz+cGSo zELSvpsA1~SCvo2t=v`0ndsx%9K(ikR<^emN_w%Ay95vddt-qzuu3}6wTJ0SE;7B{v z+%Ri|0jLxqo05n1hUSTEno%0zDBmMHkl&US!J?x^Rd}ZIoKWe!+f8K97-)O3BnbxD z{=N<#@P&-f2LB3JS6n+Oe$~xhSeQuT{klt{I7v*2wR!(@ZGbB6f|)e)U$=!=YQJ_7 z3=HO)q$A3iw?06HL`iwT^nAJ()_>X#JdyBP_ycJRY%^(t_uT6Dw@YkXLX7#(LxVqU zbgVrP$XVo7uG@>(kd`laH0lmt=be$GxnX9#->yqJO21Li-ST8qp29}J%WtL^6_kv=WqmYWgxzTJv#8a~L6>!;fP(aK1K)BzKd zOcd6NrK1;E^~N=u2=b6KYnfgKrAOI}2fY-!5b(A5O?2|I-Vc5x5z`wtf%h#RJ$tWa z-tF{!7vS&vE-T3`g+HC_)#Bb~P`&alOGbBr_#*`k@u_*>8lP&dkqb2M~B}WX{M96csYV73v*@v!gUUW2vOu(6QIkm-dgKzkZLkbsi+peNod zi;|x3j2?g`-&=Wm&+_^TAEPGM#lWJ5ES`4xcv+Rvg^S0Jw%6KY+)WIn?jF%#8)>|= zkl^>3B1-V%ow>h&GFiKn(52PaL|~0qOgo?_v2R4JTrJgBS=fn zsitc+v>uL>mTn&np3(vtP4DrKC-FBWGm&Z0UDqSnb@yD89GEW-A_Uc}R#bGWi5=?(PbTWe8ylwLWq~7Q zPrZJd3HuHTNaksjR6~BR3m~uV#tF8{xw?T}@y(g?!=-NZD2~4#`N{5A16!Hn9fk7A zDjlxB`+pY3Z>%|r66_%#biRuelLnc@XFof<7PvFM7!>(oe)9w$9HJog>G2eM3ux$l z_VGomd6bcBLAlDk=XI=$JQKb9qg@e7z4MdI<>U@z?C~EYpot_KP`iFs zH;lcJeN-na-YRi654OktmBGba#Y+}?Jqdsyc9|qzAEa@p|5nYZO5k{eg2=TFtiNIt z6`T8o%WPfBrM2sh2bi}8FtNHSH$Eh%FLg%AOSV z8WEw%w`mm&w+QJ0NF7vi`eLkA+W;7SztV|V2N~T_6=(zI_zcOhh*kaoyOl!nix-v zohie;qP=B{Sq$MAezm4)#`@?R#g~!O@p%I^jvhOEGGf>rN8%wmRlWZlBpO zpB?X$#~p~SF_^3#$bzWC!%t!^0e?+yGLzS|txe${~kj+5P>iJZNUr%LM znGb6Y1|8%FLCjfy2<6k;Ui=z7_BhX3Fh8jUUS!H{Z`sR$uNfYvTnF{zB8L+ z&V(lggs+3NOB;p%7Wzd*H4ZM$I{g8TzE)xqV!$(+;ubaCs-dSqiI>O$6Ci|2Sm61E zhIp(S>fM&q0}wF0v;^Y?+${Zx2_n`z1KJ%li-E&>J|Y_pT+`VkCO^9tH6yzYwrj9x z)={!(8?>?mq&`z(`vHhUR}}$+PahRqANAfZxZ0)KwN;sAdwze`RFNP7I(Zh_xHhYH zl>L3FG-hcFUFbXSp_*l!4ch;{gLKN^UIc1qcYs{<^M{>UD-n?O-x@#;an=ZoxBDTo z-Ds}ia%ra1aSwBh4=<|-S?5En+2S#NekHebWLm}(>@%sCq({Jm(IaV!H7N6j^!p60 z1i+zR1Q9;p6`0VP8)2ipo*xM9mGfWX#`6^c`}-X(!AlmJNx-AR?MF-@+apS`{){Tp zGyy!#>2I!nb$i%ur;zyJMe+OjcL0Obmh&QWO-@>>mgIL`$gyWyyfo5~A}Oq6hv=^X zighP_0s~VF5&r=n0YU6n!Wwm-NK^ro?Pez5Oav4(i3gq7W3Fiz!<*Um?7+hAdh3l1 zK4c&AWw$=Rp_r|jDg!Php}I~U$j?9bE*LEQIHd~$I3dI)HqhCc$PoLa)bVwlu1CM6 zYuC2SXIwS6dKOs3Q;)r$&L|#&PZPfI{pWMcCCJUAowQY=1R2+4*v+)a>mtBy(EMfLUhZ?|}SE(PT?Tn?zI zkcv4jzY7X8M3!og@`yJ)06Q{KV%83!OIYx{rV6@Uque>2W_Ex@G{;^uxo2;D7Haa9A)48df=x^|``}*1X^s5-z zX8Z#i+uG7S$p}EhrNn%)WT#}t=AInc`mI#u3wu$p*>U<25KeX2l(F#{{T5c)a#e*9 z6c<{6u&-3RLLhB+d=}sZr>w!%4xXTSbG(ySR0PC#6C)gKz+B2J6nhdS$hM80-!%-{Q`Y^!~KWp0x*N$MmElkwob z)B1r)@Y$>h(WSA8HcgA}pg>hQILu8-w|v9*8z$fRqhlub?}HOxzL)dNgMA^yN}*6X zqDa|SFUXBxPr8l}N#IEfpW2*xMG&%_wv2DTJ?yN>&a0t86(~%>EsKIIuz+AnAPE>LPCig_1`VjP&@?_$;7AAW_BlCJ7igO{Li?m|hdS{tx8!wF*0Sooe)eYBJh`(QH)X`p zkn|-8heu6pDMg=AQKm}k-O$KtYcq$0&daGwLGOV62vWag=*eshSuE2pEj_}$n^zJB zLROfx(9$hs72ratlu8Nl`Gi5G{8Tbtyw>mPA2(99S@+0$LG50fO7b5MPl3KUQAzV1 zJo0$XJ95>{DIq3G3AEPvhmxR4a1i`!2*(SJNZ%1Wpq==67Q_&n$fVV=TnlV6fHvTd zA83Kqv>uNLCwLnFgejXJG9zE{uUMt_1tjJIKOU?&a3kunSrAbXCTy$`1j%LTJn)z6 z%Z1-X+1~l-kVi|^GWy7O$Mi6Hkt(R#0^{8Xc`xUQIRaf%w}6u!vUqzwM)a5zm%qcP zyNQmwcxAu)c>zo6J#)$Gx$q*#qxJ(J-o)^)IrS9vi>X}-yy3tbVf}qSC^Tn+ zWIxqj+Wz?UF|ED)&fl3YankU)YCq$Pr=RzHEtcXpL=({}_)2BEZcel4zE=CM(90VY zfI>{JNgz>qfsl|acfNqi-wl9$h^T8ue|?9gG z{d>*p?#+hWORE)(9Z{My?l(MMg6Tfgub5*_~EJZuK)N6#~j+Q#!#-1*v4ziap8+e zLdosbpj%dZRR*DuDnth!9VjxTzeX%;rMX%|B2xOy7ZmoR+Dv5E>A8!(x2 z_;R?o(0i>Z(9>mCa?6Qi01kOEWh4Txv!xqO5(?IYC2_;?;SattH z{vK-=$^5+Q8@t!iA6@2UGWyR7hmS@s$^^7$K_I=By^Mf; zk&)`E3(*R&nkfY)>o{-bH-0f!?k_Q^!t|BL`>~+9f+_FtS;Ww!vQmmIUJzM+Ph+E( zB~q&-W3sXMNTg0^MQl_>zYGL}Mt1adnzpQ>8T}rg{g7xi#|h*YTMvHU3e^`mzPAxd zvDw>mtAo?)pXQ*`V8_`g(EQuQPfLpQYEIMhaZdP4za>Lcg`D9t21(O^bYhL2t$=CX zb-5!b6BV6I-@Z@oHopdWF4J=Lv>o<0QaY=#^wXr_xI^=xBETX1i=M*TID19n&a5!C z*id@b-ZaHBf#4RCwOL(t}BzXL+C#0(!T?1<~xh-BaFWyYr7Ga%7}9Dw*AzG~`Oam%^|mdP_U z>CUNY;riD|xr0m?X-)YKiWWyqK51yBDCCFp{{mU+6E0m)_#ozbQ7BApT=u^KzXW?{ z8-6vv8Q#a@+y4#nv)9bkw_kfNbDbdw)+Js?eG7kLYG55WEBUk)FD$|Fcor0IF& zhH$V-rkGHZ528Dd7^`3zp1@PJJd@yQd6JOp9@}bTO3;pu;>;n8@yA$U?*O~o?E6XR z@5wgdgpe9H|71=arNt?B%+V6>V9*vtID@x1>MtmFL9UOaw8M5~UqJp#OGH>+J$1X> zUqGfl)7oF9#W(~p z8?jW0Xi_}`c?#@x)A+5`KA_E>NtT+j|B;avi{fvBKrTR@9ZcGNP!v?>)Y(JcB%tFc z(@+A;pU6D^3-iB%3aa_yoN^Q@*&L)t6?lg@&7_d|1$ft zFH|{Pwe@~Zx{6q8fn;$HrlKWQ>u|>=@AWo~c+!RZH9MN5db&J~gtdDmp3iizSLok) z*az1cwsIvGD@^e^EeVlAXz)Cf)yItFt}*h2-lJV|g>*`lea+7GzxHWz@ik=UUboeE ztgkxixRV{%nQHrf2$4mMEyVk@*7G-=asnIQo;O%{K$}WD^Mm@23Ox2lmJsecJRnNk zT*d1PjzEPjWXfu6)e@6PbMJpae7taOJV7&Y+k0nMWURO>OMtsoI7U zkcrO+FA*|tU2=IsTdT$R51JojN7Mp{<&#Ih_GWM-I}`QsP9Lqz39%Kn@=<*;l0Qsv z^}It_3qmETjm1$MsDqAe=b+dz$S|?bcO~cVNoGiz}j>tU%k_y((vT`p_EzGQI&X_lcbNmuDrXaM z;&)>%J+6#mg!88i%bZ7W*aiVU_kzzge?t0IQ57BY0KT=kKV2}uOM%gkVn|Anmp1{& zZZP=a8<5BxX!yF!lQ;!L{yB$c|9kdI2Nlew@N=bFBjmyRT%yFNFk9jD`~qLFGuP*O3#SQ8}Z(ADZ`yF=gdqkgkA8*yhr-^al? zVnTprSbS(#(ghWS?~2dK{1-NB#bBLA_OlDn4oGJiAYc8Tj$)mlF@d|xb_$J@`d7w{fJCHN#RwPY|DGcL zFVJuQRuE(%d2xn^`TYq=74a+GfhgG>Xcgr6sUs))cLG4^CB*%V$6do2-$K$G6CF91 zQauRhfQqpvu@Vbt2LhL1$CWt?oM`$xem}$ zC`tV z*y71=Mad0@&jZG_%np1L_$@uhEj~=HGj>I5^sx(}1ogdUQ6PYO%a#yRd(4pd_GDgH zZxo3UO}4acdU}s^8Z^q?PrPaAgfA-ciauu0np3>%RCbjcVi9askj+Sxyv9ZPcgCJ% zUE%U2UDnY$20!ay$R@dL_+eY_D`0WW~EeEess< zfXYXjrmjp)dH~rqFrDE=GBqvV@r7GCNoVygEjz3R{Dc z+oquUCr_h~FYFY0H#>?j3PE0b`OLBzM=EipjFAoqaMaJYN-Vrpge$PxvttK3*rN?H zHDb_k)oPoJSs~O|aW|qVPp9Lr8{_-rz>&F6hlm~Z;I1QKEj+u7FfNf-wlEpP1tu+& zVQkA9Be&%lBp+3YWUC5&GIar-^7Dyw*9SM;*H6p2icdkvNdcKeRHHBg^huD| zkbf#sJLrl+z#uHovvr84cHW^TMw61CkIg6K$Lb)JZBJ_`91MN|bbkSU0D-zcQ-{7l zKsYD`2FD_Bd=!2p7KIa_2nu0^aUv8^F|0UFf`XRBO5vm_G8n8ZPL3k4fK|jPQIu7J zRJ&P4j_rH5L0>eG(V8HNmNpTqgVUwx>0=FWh7_Yi*uywuiis)K40nWLeiUngv!qyA zV{LG@6uVA6!tXk48_?6>xy%uxO-qdac3!B-dG=;F9qv|^~ar~ z1O#G(aKV(2^Vm?_1qv<fd9EZj9pb`JJBE|)^i!{*}(D1}AX8@OUh$xUo2?iS@X1$zf~mvZku zwhZ@x^6(M%F|M3a@dR6mdrEosANDz}icY}ku%oy!%C~Xs z1ddLboWe42)0CN6>>O^MvapE#j$5MqSjPUuF)6GSEE~5?G8%kq-L0zy^PPI`15zsD zxbJOwpk^`yFYq!hoUbu*PWuN5JC)byeeQZ&-m&_C07tt}i9%S-e!gs0A6g~}r}6P%)EbWVQ*gI3J`14B>`Yjv%#M?6LF*W4t{NDh28BltxwfxqC2 zI9Sn+TXi#gg&@1?-J)N#y^mW=yOvQ?%omZzMcsv$CS> zW$hYubR13~+=kzm{$T=yO)C2yibH1}vhTTzj5ka!W}I-R{*=FoPR{GBCr!C2)VGSi zkG@}@s{btjtM20d`4!DUC=AZ8UHy6f-ob0yax*_4VfyQ!W%#86agR=G+z|=R2l~O_Iav!))JgWZ^%Ib zrK%ONtCa{LJMOnvZ^`|ijfEfVc59>xMk}|q#n2Xtx`;1Me)z_Ie)R*?Pw=f?Nu#NX zj+B|T4sHHP$hpWDCj&g~GT*9uH1!`f>=02L)|YLre4#&9Y0QT1WVqM5MghvW45TpT z?_@=BSEDCSSW1%t{-7>-)bzd3_>kl;eu!7ZZDg;@j z>M=81>7U5{zDC|L0c@DpLGHroeIKI(5QAe7FzkV{1_5@ZsV%o_jVuqVj;y>zBw4G2 z!0`)KmTuRHZw1*fOJ!cX)?2aWBaqzs(TfS*L>)U2ud^0r5@XYN*R~QJ-8`IrK(kbg zZ#RarX{24QSmI~)K<+%qw`@TwP(0C{JPh^avaV^6JKVkVy7{gJt{+81PaQkiA#R4` z=0dzw&IjJWe#zuS-Osi3nOTXgefnii1tj}WIN|K;*1_?@#NH>djxPI#1I&Wa-0^C`C(5Ny^Yq9%hd!+imeBcU?Qg zlhF;alum+dKIq=LlhYZY~Z8sE3;0i1Fv885VQc8 zJ?(SsdJQvKVK!tzxy!5 zlxZOzKX$(Mde>f%aVhw}lDl{GBE|nI=eGrXW$BkL9aRSwmLe^bhzJVrb;gLes52?* ztpiVTy>r`t<&Q%x@7K-}j~92j?K4y3b@69ix7-tMF5-EH&&~(CCjbGj%Mjl>+R;V9 z8dry507QCdeEE=0;H(@yUeIq!khhU4M}SG9&V#bS-@O{@_o6;|m@K%Z-(luZa^A5C z9Vx*&$QQNTHiIyt^iXpGB=UU!`*_F92|ZZ2(Z|x!ohvoP>=wmAsciQb_s71`u9h#u z;sr$c0r&aTPK1Ll2OIo=-@IN#`(04-H$)O=ZvH808edO#-gg&QEHu9Fh zMea`YW|a!$iP}HruUEzVcA~tIR}fLjN$<}91Xf93e_YCtXq$@%oDDjFb5bSGesVUl zhM1fYS3&I@TYf_Pxjnlbh#Z}D6@+S!^DeQdZI95O8DNL~ zl}GShpZ`UCS@7`)r~J$lVA{)x`;u9LAL%MyX0UO~?nS%1-r&mKNY=gqnUar|a;1p70)WJM$IsUCjNTJ6Qf z-P@)su4dQ8ffv-`!C?L1hz>zC&!`#{DhFrW+NS?km(C~qb`2E>%hExqd{ECi_ZlWK zNA5ecE)mME_*G5rwi%uUqBwgtW+#(~kTkY0q#!UqQR#1V!bwn89@HS8N&BYlAu80Z zdBhJoabq{7CsCl+p|(144x<&E*e#C3>XfXK%{H>%9u`r)^}tbH^VSQG!JIU(bJ_xz zwa3k%XV&m;RIP>w`p0iJB*IcvvR4zHKc@DiBUpQ#RKZGMSlQ~0MA-3hn=0Z%;1}V- z;wrzZ>*ru(cu%HokA?N~boWp1q5@9dDa)xAPV!?qRUxRs`Q_-QL*j`#MoQ7^@KJ?x zFJvB~v9Q7SsOsTVyF0p53GZ`7>e3;AFNQ094FFTY3c#n5%Y)A|OuKey0kABM747T1 zD2=|!K8s`d8qA+pz-?*bjBr^`5lXEAk^oGV_m+5~-S7Wue;j{( zu=_tMC_vAqC(uxwR`nmCighjskMebbc5Yvl7a_6 zqUQUbb*raKktM|x-E?`+h<1vE1%f(khV{D^G*t)r%(FV?*SBM*qyip@eHLQ-y33rj zMZptjbvYNtJpB_45jt>_SI;cZuRhO?_-A_;OEnb?O+-=wH+^azpmU7z>daW9y)4a_)cTTz8<69% zDX9yyzm~fhDR@dG%JzwLyVAK09W#}npD7Y&?n}*`HeecJgA~A!f#E&VT7N@8zwh8%l{s9p|N! zWa_W!Hz+?U-;bTF@QT?k>VoJ89Nn-zDyP%5)n0MIU|{+1$kOwQdqbFIMELNo!6$Rw zcIao0<0z3Np)V7-w0eriQ!gU@GAD(N5=Bn&2EEER#9Z5iue*DrkIBEZ_@vj<$%k82 zGI%E3q?0H;0A~~y737VmChToVS$(*iq{d25X|l$ZmK%Oao-8jG+wyqU2`F+q0nC4G zuaMak29NRfIoePz47Wg_gG2aE$~`R4M%U}`jz0`&yfiP`^Gy{|TPJ-<8mv+pLuWrm2Zgat z0}NzFsCQNfdfXxq5_&I5O}v)+t30R0Y5lBJvDkIJy~SC)LG}_=RL@F!!8QSID9e_O zCVmCW90Rn9?k^}c4$gXY&t9?HW;B*;O#vIMd3jedHf7o12xGJ&lzkIy>@Nr9&nImy ziFMAOeB7-jtY7T7(`6r}hp{~+XABm(n6g5b1SmNpvv9VjD`{(CD_IKpkY1UUGS6#Z zo?%B{P9o1RmyweMS(+*=g1%lncF%?wlohjs0LXjfG5OCvDjqapJ+YcS?al!JpQ}h`$~F3~D|WMOHHk6jEbSjj zmF}l+nxy-^RCRLOrNX1!R{WvJ(HiZ>?Kj9*lDIe+r(r%{0dr2T9R ze%7{m=|tRX%dV#Y;g$9DR2JD$sWSP?L#tI__^@w~x?ONF^AYpq!}6ZPiXk0Lu~HM2 zKWCVY9(~!vO@<;{#AY37&?jQ!=S^6OyZQy~l(kEt3hH_Aqv2Y4XAKP8*$mdf6o{0* zYyQ4LoeP)Twy0bKp+gMyO>YAMMiGeCr_HrB4uW0@Jm-a})5j%C==5q?VCHZ*%)C<% z^ZvL1%xYtwmx`EkRkwhqN(bwuj6RqUIIV;MoU&5JWooY8e$@_{B^FHb5(N^Nd%M3` zq@7iA$Zl3^%9T>KH|D?^oWDyk%4|*{KP>e^3-qAO`T}S_^B(2mYk>eErlBc@gxg>vwYB`!=HPj8gyaJ#i2Q*}i|mJx}sq zy2?}Dk?$ZY`0e{>chgzL-?r*HhtYWa&Hdb~Q`7Vi_#H0uL!=gPW5#64c4|Ld-&~7% zJo1^xwewYDh)v#oOV-Z*nJE>>qAJ&&9Jm5qX@tC4&x^jeAzN2tb|zm7-ShEUCTYy^ ze^l`l(2_WBMCLIdo00kgPvqPmw)PQZf?Ahp-pnZE%-i_Esp*}pJ|6qKrhgerH>oJ_ zo0^%p9A`_M*{grVljnc0wA1@}UJegx26yFL&%Sr26K|R}y#*~d@;!X7VMhn^Ao)9t z*7Rp&F=N*&Wdp*8i{>i}KbQZr8bSXM&11z-iJ%OCi81(DT&tds-uZ4)!T`r;+k%$> zyvd0=Y!$^xFyFke+OqjZG%r(MMC|oJbZ7XPsk*R&@!D~R16(Ze zZt-JX@^){GXhy$U+ya@17^-riWuMa2vP}#4pX&#_8JGLx7WimHo-E9tmK*3#*+)qV zDGRA=AQW?N^FC!9ahLg!kB;MHe~<^^kz%3I|NA#s-)9dR+eItOL96_-uMbV zJb}-t$uda7{|?&#N}Qy3vF4;LFq7amR~kdCsSaoA(o=VmQPIV|9qOCW$c% zN4T99wTXfvLQVEn$GWJO*p35Jr(t>cDufRIm16>h1xXVS)&Y;pK9a>#Op`qxf*WJn zPw_)}nYVru6$gkx8&2#|_BxgwQcKmFcjFPvLB|HE$yUQ`c$!GXt;1T{*Xwz`uKDGYCD9uviwDChzx9`VkCFvji_R{Q zkFpNL4^1fP=bPn zf{iyG92EscS6rTVdU|mG{I;&Mc=|k9ds}K-Klp$ie&d4*A$5MyyW`Oy>ZEOoC zeOYyhw3Clw%*)>>T!O4~f>b|!W!la%68+;6gj>&-;d2IAo4ucyR^TL?c&U!+QOoFg z_@}eE&1hG)vSqgm^3UQfg|*ekcu)>KfR7KuHsF5(4%`Kb7wsnDJ9e;$4Km77!p>1? zp#GRs%Q85n1TB(=1bX6FSO)+8wDUjCh-P#+X?U7KG%I?Is_C{{Nf5g-h6gj;5+8Aag2bt|hYz3F6Y=WDD_wWkE<2%;Wn;I8)lBQM6ztw#tv(zT8t-Quq?b3@E2a zy@L}`QU-~5N|JzSyPbv?jMCf1Lw6u%oi$Ksqew^y?=n3_%=v=tnqF^O(>X##3j%7# zicUPNw@rJUcP=AJo1UP=E7(i6 zul;sxJ=ovlF(4~Q;bBK&_Xyie*DE?KBKG7Pwzv_1dS_Yt)2g~HICHJl;lQf%@>5E1 zVRyVd^1`L+=cGFj?y5NCPVGy}?Q-=ku1f#@L((2)wM`EB-1?dI6YH`JWZIW{u9D!Y zJAmtK<0T|L7ovS!)qJ^gIoZ&M)Th!|S=yU`*eUJSjMy%vt9WvFg6(?U=)zMSPzS<& z#3};~LypxWh?#PrICevPO?*Y1LEPEMw*Ac?H;I+RMd(7E*)~o9U|)%PPV2I(pi0TR zMOi1%*1=vFS*KnC?!Z5aD&+`k>|R#NM-n#bB=LtVA}!@bomQOW&(K7b`;n{w84{L! zpV|$*rm92}j*?W$<8IN=^7M2an3ZQ~Q$VEFAH!Nt$061HNsW;(c*FfCP`ODtR;?5k zPbE-eNe$kpHeid_NxW|2Zjb7R&lCfDpBpAttncfiDWyMLk_N}bhaqyWLfzNqgYAD>ImG3&BIFfgFHk%AQ9k z6syqOns=u;S3l}!oM99A*S(x#53zrQ@#JiC*6crYFp zmE919S=}Fh=YjZ5#6vBQ^G?2`F5qFQ2a~Y){s;%*8e9BbNYoR;^w{k925ZMHpakX} z&5(iYJ?)yFh=cMTjz|dXt3ar?Zg3{R;JF_TDf3!G%aD{W@2LxjVXjZgTk~BGF0@h% zIV*9zV=}n))@N_qoxRi_E!wG@jgEV>C)9WPSTF_Ks5d-$GCV#!VYB*koF$pGFbo`i z86GlYsoTBWZa=&?K^^Y~y;}viJktCC4|_Nh$qJe;)K&ZOcuemNv!Epv@NLy00!pl_ zJb}C!A?t6a;NNgrvcgxo#us5K5g4*)qTh&SyZI7)6+ZqQxdGR_iUA;J>>bCul77m6 zUTtvu^4}54{yg@x@`dre)yci-8HHMR=3Bej(q3Cq(_FKzQsZ1*Pwcz>UH=Wnart0~0Vx?NJt z$(~W=ON(yM4nu3DIx2ilbyu6Rhz|w^GE?pAx=I{LOfp6= zHnr++#`!DX#&Z7TC9|gHn3$`d)sG)E85OcYrxg@;jTUklw+r?DB9GI?ltONUITGr^ zgw+zU%dvSTamrs+Cgr;OZFfgJm~}$-S)}b;@HH3T%`&IH!?4Pxc7m4-%nYNRdqsG= zbxqd0@HRC=AoA6f?ZP{cFTfwmsFXU)5GLteV{8r!SgnW?_)jCK&6B=g6=y6L?0mS#pU>K&k*+%UzBt;G80x9tUdh{fBsFj&GiMz6z^^ zv87bdCMw)e%UN)(G_mQ$JcQtF$x7pnE5cs}m7Dl6oJfH3DD)dMI`VNTIQh7eGQg{NF&1v+uQr|acC zZdtNd92x0MBrq8d+_k*A_v<>;FVA~nSiXN?N9TPEfBUx1SOQLdy(@1FA0m|M{SY-d zSCsXBYRp;Q1G;eno8;(^VKdo~`<(#yB5m$7lO5-*5(y!?lQQ0y-v5r-YJsuhZe4C? zg>`WZ$8P>yokg)lX}{0w9ajC^>N5bChq$<^+TWUM^~yWox_@=s`BWG1UEe}6(xp;D zZ;}J_=ZFaYOkq0Qa^={E{&kthh6Z>Nw5W5ekwVmOlc-^6;FrVCfXQn07&-4-yR|-p zoW@=E2Z)CvF%&tqb(yIeKMGjs&R!s;ky#OGa3Su4!rzyS6%3kK7L4`%7jL(2I>g9|Mc znnU4zIllg#X}QxC*`IqhAh;{mg*snOf8>zMO{xy{n~z|fDEJlV4P^r-V&2T zWQV(Wu_v1j<$tED-zy3lgAV4lu9?FW6voNUAs zBAhy*Egb``Lt|2Jhr9`K$5J{eS4a|-%=%L)Yg|+CyktxM3HtIp^E`;ew(gy@kEAUB zJXz*>_OagQ-&Xq+iL*T|WKk5PV2F68$Dn-kaT7A-UK`toSxg-_RP*LXt`-WFjoi4^a;n}HsH5KRQ#FNjbS#mi1`+MJ z;_tQE(e)^{Mqu=X({k&`ay`_)nzu4h3yb!8bEFAGPC{nSIlLd|4hXbnbx^U)2N2Up zS(KdjlT(w+e(Y}awXoFE2rjF~A%mujg4&EjTTIlVXs4^3VbY|6p@RA5vO(%ANJEIN zLeVR7oY-Q`;-gxj)B&;E#KoLaO>s*>LFqp?DF1~$5`=pb3ru(PElwy1&`*3s)bdSA z&5mBgW6y6AVG2YqKzy+V37Fw>T0DtCf5dUx1&Eb>Z2RrZ3DmEQ>k9=zNztnARB;yl z>V#7*FvBBEhyBVo+okiR*&!a>j;syK+sEXgQK2V%Y|IT9eP+{zk=@QW3M{8O%#%VS zBb>_Ia@wB{kZqPI{g9E>x6V;=vh&v!B_~=0?t|x?crrl1=#w%1=e&lD8Q{ASEn4Rc z!OfMm8>xS^%g~BEvo8z%-cO&JJoSK4=;kpn?xcsEr3AH{R2?l<7?W!% zXJrmD_}PR52dhj^VqWET-AsP<8#2_^_wCz5`QgQss1|?@oFw=Gm`er~7?Fq=mJ_3> zcwzt{5~zGbiAq&zmy9I@Ma6)GgqYB{(8yp2`|+WNr>>;PRl=ocqyT~Sr6QJy(Y_Y# zdq@n7iwis#5sGR)W7a@O01T(eY^Mp=ffO0JE3&IP0kVL0DDqT?!?RQR|B8xM69A?Y zUk^!@(*d3z)vgORa7go9@Q=zMTL1M_{X@cWDuh<~fD{(UQEZ%VOk4`V+SlBJ$A8{8 zF3_G;2?H^VwGBBDv2BvO|1&VLqtMm%eK>W;G z$^S1L{nR`2^-HOCMsZT1sLov=k(u^{A2_y1aFtQbU=E7^an9JwfmS+Dm85K-jyihq z6*qn@!C_5ma-n4eCM!=)oXpd}aLcnmGd~>H07puBS#nuW@9ItJq3}rjcDo8|OE+v2 zsN)~6DLb$bG^(N)<54W|C{VFBJI>lfdeDV%;0685$rJcC!aSB z&c$O#jES}3A0d_+{WSTvQ|lcg$|v4TkE3}xxJ={Pl}5`kRhKyVm==sK8y)L=UdPP< zIdPc7|77`0*gvT3JZjF_4#T`U6EB1<(4;*|K<1hZ;;$+vIa@JveF}9j05}`g( z10%y$J0W!sTeI0Np4AM%;e-To+k=>xTRJCTZCh({i_DXM>hic^KDnOhoBGDFk?=Wt zY$H}!5JboQsa9>7>VO43{$c_(xI#^YcG6n`{=Z<)MxmJ7p$ZF%%RTmR{|_8s3uhkQ zhvz*5{SqbGHMlyqP15XhKsT3?Vvu{BOtjHPKNCN&&+e{<29Y04_B@$0zbqggBry)g z#E$TDkEw3MV}A}N7=Ght;%P6gfqq#KV9>wpXUSG0S%gJ`#A4}M!@nef(WTNC8!s=BmtfQW zxrPg7cQkLpyzu-IOC0$1rR>#)-F#TK=8Y~Gck^T~GpmPp31=JT?Hc&;zm=aGhPj#W z_H^0`m^&82)c9^AcfG6pZx4p6W?jepD&OYX*M+^^V3RY>xc>ZeC#U-y2T!g-3)dwM zo>H+H_p8isr_vHZ)4iXcTH$yy^$KI?6^VOLZ2`VH%Vp%R#u0xn_eB@06S@D(TuqFw zkDdM42Jpq?&CaOOXdNVDVGZ_qv4&nOCRrYG^H~6ODZ;@JsXSr2rc|$Br$mD-GbdhD z(0NE&3xQMr(sk++xi-GVoei30Qv&x$PC1l^m2syVQpA4&%5w&;U?-X6SvbYNETb-8 z;>QvXKQBGx&y6noS7$046|Z_& z-C%k1Pu#D$-kBZ6b9Cz^f1auMRItH=O33st+*q8`Ui?QaFN7&N`li1ICYeK2<-C8- zR2f4k>Wn{2dUwv?#aD9CD|9EtdVlR7xv|wSAy5|1HMQ79tl_2+-CjP zKQ%UsnX|ed^3`a6Y+?^MABVqo46M z$*qljsO}{r=78hbh36rsT@7A|X_d$JXShR#l3kCVj+g99i*`=N+-80Af^T_>C0g}b zO{++34u9xE$(neKbM>q zdoE$#T)K4qvfU*)CFI)U@8R;H>?D2NB1Y1|&&~cV7f63kIM21hXx7WoqCDQ|;p^0X z`Dh+&VRS|Rw2H0*o0k;% z)`yE53}FSg-=d>L9>d#NEQi7i@||sLA~LMAbbA^xQ@Vo-QvN(ty8AF_fsA#U;xO0Z^pIpR3=sV~M0MK2g=D%7e}awK zZZ>%qTW>}_xem<*8njZ3FKZEn2qu5*xw5O#KC;s?%XRxbl#na=wl>AgHME3bFfa~`F z@rpO3;8VLmX@0ngDRcUId@>PvkId8LijZq|<}O#9GQEh61BnPf>MTn(59MH;oS+E@ z^+>Q@l%8qo;RyhXX;Ho_O>S87()R`zJSUw^a033@x}kUQ;`*)^0Ny&W5JH@H2T;b@^-3=9=+wkg`Vdzda{Ia_jAj^JBGGCT6{a@b5 zh>roE283niwE6y-dmMV9U9C1*rl<24O@G5n**lCKxV7q)^_v+F;!sl^Vo zmT>)d#Ef`|!RrwTr(Tp?XEH_(2IfM74ufx*mc)MGiB)^$ijs zK2UVZNZ%3+@m1EMcJ)G9zi}zZxwlW;AI%wvSG!FK%K9@~9HKEH(Sbm;Tu+&6UZ&g7 zG@1~hfF~<70~C(rI=~J5ahM{)V1FQQ&2m<{{*b9_e8qpnrCtBP{wW0BqCyHgZgh)- z!TLd{A0NA2BmM|~3)Vl|8GOB8i{+nSy2jX_ge)8HE)7Ji+9O|)10hmWR<};nSd5=e zz}{s{U<|0Da@>y1-! z_fD!akm0Y>E8A&bX>{`jmX z7fiJw_Dj4^mYWP}eogzjVTc-vkF-cJ`hVx&K=}MttPck|Mm4B!8@?Fp%d(X%1T9Kv z-8t&<+TOh`${v9cu;u={*=SzM%9_UPb>oOluTX*Y-#~(p&%8SZzzc->pfSQSN8>yk ze9hM_UoT*ISv7myO!VR)ibsRC8su3OdvWbb2ACj6>V^}uGk2yqcOCrH!xg^p@&E-~5g6prQGa^V|we|K+vKC-H~| zuxIohBlD_9TnRWBY#ldjT*z(I0*_dQ;!MF3YIXvSKG=PEqW>DRLP# zsvY{Eku;*u;V7Q(bXKHw=`Xor%UG!kcUaZy@$Z{(wR>XMf6|@y)_U*xKds2P?h@+c z)wy6{D>FNyUTSlgB^voXTd;v$rgBtQu#Xdm9A@5~E#hltZp0eKlXzGb|^`F(h|GC}zH;5{bDI(!ETQ7tI~g9OOkY>l`4aL=Ex zIGU=TE%qy9a#z_BTT$=GR(X@e?0Gp{Q#0rAtTqV6i+Lzq zCdvD0B+%8VT^pp@O)3g@gtDQ7yyiLDzMkIlh1X)NJb|U=?0!{GdLFUn(v-NTHqI!n zHX^GKpAN`M?ON|flgW{c3||xT!E~u=pc?colv?`$C>l!nNrJh)(b3sbHsX4|IfYd$ zTZbzjl_jJ_MdD8Fr1vv3hr#KP>k|fH=e<7%tqnV;ZAky6R;@RXw}yQRMXjrc1z@-` zOo$Ka13_L`;H9mg1`i#k>Z|fx^W9I#MOc^^cQ$MqwhtrUm(aS8+sTEI2k#y)yFWRZ zZu=XF#Dg@x{j2s{txdtZT^gUJ#@aB;RxvsZr?;v9|?VZ^@BZ`fvViJ z1B=z{u`Gc`m|>6b$|3}^F@nrS;oEkhK!Mj!cOwY*61IS~(;NP%wB^%bZWCAJrmsnq zTt8t8s3K2YPv0CDy5n7#mdm>iMFUU-xl?++dO3v)3a2Q>GN z{&~Iu3HklJ=m$w0bOXav*LdM(pJ=$Pg4>ISy5}cbxPhVI^06T!wntKa&jI!0^KvX< zS;eerz+4T9Z;FM&mON7GMNk-7@_K@vt5w`A%;H>x%NxG$vlX;Gev~%51aO?PD z7LCF5SbO=R)QU}|B`U+NIGkn%(lI3Hr>- z`oD@Vtgpx(5Ixad8r_F|mGN-kN*-yKJ<+bB%rvBv8sM0!llGz9`8yh`BYf4_9xyoD z5&wtDRE#6?H2@fxAG1G|?eq<>JXXTSSw!(f5-(2@!bKCN2_dk!#wet3Bp5&pBxw2l ztwTbEih<2$Y?^4bWwj}tHhV{3<)eZ;)ZLg%1*&H04c(F=T=C-!Q#o1 zm3R4u4oVsfdKwpgJpJ2?#TT1q z&~i3c@T;4&2*Oc$Hf0TG%&ZNdqfV3Y+Ow`<=!#z6?GMAoV(;_7Fjs6D8+<|7mlv|L zyUjBLFoQ((Zv6fNZ6Xc-Vp#p-^%p!?pQV4c;UMmbWRwdbS|#aC8o)eKy)kZpT+3yF z!`-`|F1NV8W7OK>FIFHF?b|dy$dXvVyum}2A2em3;adrnu>5*2UqrMIxs>e01NOLz zw=EgtC}NXMjC$jUXRT>5Mbnuojh$Er3)BL?bJTymt>G_Q^TFYZ@yS~qN9Zn>>;hg- zWAC1AyU1jXV_qlAp(Q{VR&uY?1)9jSkl(cK-5DhJjLO|Sl>PTEK?mi)WG_HMkAtw} zEtq?2{XJiS7aMHB$!eHfq%HT5=a#Wfq;+iU%hKD?jrJ$jLh3d>E`O-1!nDm{ z!ppIuf9&%&A2hf^l;Nw2s|}|GBHf#=Tu5{A$;|xK94S?h@Zh|+Jas{xb$&9(d-NZNMk9Pa;UcV*F~4?+Z)#l^zaKROe$ns*Te4cy6zBsojZ+%1O_1xkgMEtMx)YZ7%pBhjk8y+np%gMF5|x+zRNV{G8{Z zikgCfJSZC4TRb7zirq4ElDk{tQcfbq-2RVcBe%#I8}R|b@yEc&O_7%{Wb7xztTl_T@s2RJ!(G}$v4(^U*Kv)9WBvIB{6l^-(rFN`b`J=D5Nq3~ zVftnVN}iF;{VTbjdkqekIWInj-J-epgEhC))?T}5i4jHkY_jl5O}qpSe`Iad4;NDY zZKom7!8uTHX`5ZE$3YdSUu0LzTXI;nDNe~;dfh#|2~Dem>sPzI)2DUbarmiuPl{qJ zANFH(VL$8g@KuNV14CXPTb`~#{xd0)rTlQ?Bb%*Q&>3p59qCDIr|+R0lSMkao_C`+3kVZoNavLUWHrkLyl*Yb`0a{ zS#Nu*khud?IQK1@tqi<6(b@a5J5D^oY`Gb4OM5=z5p9Rlo;mhxhdGb7z>3TV8pRF_ za)%%N{!d?f{UAZsx18+8XBk%Z=9gvab{$0>a@k@58OLmQE-R?-GH09hXGSdc5d>2t z<53)j4un$REa*vw7ZxTTeaLVCL#6lZm=O$@&^q_dZT>y6ix1vRD z0}CDrOLyndfdDdoK=yl*E#t983fbsEZliBZJi|XO-s@{DU^>dfT{_XK7#)T1(2AXm zk4DFo#=Yj@GYMd8?^QWK`^==-NpqP$e{}bpoMI^FaRd*07n-C zSOBOnPlzFq0~7rNqq$ItNdy@c66VNyJi7~$nLNP)U~?2j=2C$) zNnP0?1;N&K=Ag%x+0q0j%xV~-#d4}AB;@DZ3 zMZL%{L2d)A^NGBy7M@j%EH(10^}VKbADQFKDM2S`P)a~1Km}jBbiL}*HDqpiIgxzTG$4U#74>}K_6#e5b_sP6qH7~#UK=c zQ6lQb00D6zvETb#@A+`9^Z9%@cbt2b32tSARh-VZf=oeR5Ck+Y1OQjj{|*0N(*Jb` z=nSG&@l>ZxiHe3I9Mm32@f!7V^;O{I;^IDNjtNn|*LB0<4d_+^Xsr_j$|#8ol?KGV zfh{3c(6emVJvd6na#rxZ5c$2Z{Lm-{S~8;o<|zS0PlvuJF2v3i>`t`ePzuTF^(|mi zU?(>pyOK}${vo$LUtTO^z#egJ*@TVKt(w4Eu5^Q8iDaXqVjI$!0N2KZ06)=M1Td+) zc>ydbDSN%OSZGY9wFA{&2HKD^-WV_%bED^pf!Df&lX$K;j;Z z3)HA=)6{3a!A^h;AsXRz5o_-aq9fbFABS%16%7kA)1u$z~d`J^%3<>Pl+2KmC0TpvZ|zv46-J~tlpnNMMs^}ik2m_ zidcrs9Ax6Umn=TJSB;3nzUQkPIw3a+FwXytW~pkVhdatC4FJqESQ^}!Ez^B4#5xkxE)oxk->+f ziQJiKjvNA@&kO*W5s1hr^j?#SMmpK-rLy=D@iZ&gOe+#V;rH9j?71+!`-`_(2$o3{ za<93)^2%nx?7rodBl{=M3OiE?7TEx*(~J|6P;PdS*gfO{HP`@H6PBA+tf8X!yUSw4 z6Q)w({Ly9YSpo-Y9M)5VH6kNrI^AMZ#|-lF?&S`_5@m5Zhfrqq-R+UDn#b>8Ea^cGyf9F_aGuC)GcBW425FCI($I-WiM;cb7>r)T_Sxa=2e#72fH5O@sf z76pfitX5mD6+*tJmbHiNSCh}RFx7MGRaYZsMRDX>9lq3WBIvGZ6t#JS8C(zi`dm#38v};jG)6`7H4`{)fG zPCFUyC~?Lv!|7Q2j^W>2k4PcJKV^u41|FT2n*0gF6=5LH0bDIl_=>KEANOi@kT;3K z$*b_M?@^0KmxtavbdGFNR1RiDzu4c2w@$p2(DQgN3@4No7Y&7=uIAHW`m-zj%I42B z)$0pECr5ocO-~tIPn*rOY%V3W*FiA@&&hzld;jG4)%@Khd9RFqOM8 z;$YC4V)GxqNe0S#a{n?A=7uZrC%f-edvhNdVp=KLiT^F?9fGw&CWN7K@{!ppo)ww7Xf5 zqsnXhSgwn1{56Z7Lp$w0I=c<++B(;$vZ?C=44H>&c?ehsT(!XYE(9EV{4cvb3%zXt z%lBG0{K(9xc`&nR1y&iU|GW!Kaw*L|6o|iM0QXBrQ3jln$rf;#Y z)e68hI02aeFVR$>33FyRu>oVmpK@0AkMyUyBy?y-9co(q@`eXnyo36=!%~tckwv8& zTMlZ)mr*qmk|lZ?9SQLNEeRfJWjvcmAUKdDSZGI0M)*aA}>aDzUD z=~f3E-dqONfBus*FUBtbzVMmPR_cNPTE?ie{k3$68ddR5wXToW?pSnv^4+}nUA!Vp zYv}TySafMp8yNI4jv)$lzqfhfQtVjM7w|nTx^>Skcw523e^t)n_1fO;| zKKU(g|K-!irIB>;)-c;jJ_ZK?+JEJ z6U!Ph!HTaYv)Vo*v|Vf5mx4b^NAq0EL@OW?ek1GE{XB*5UEK})P=7U3>O8M}KoIK` ze`eHo3z1Cn!12uAA__wHmVOs^i)`>azeXL8k`mK0Pv!3ZvmK%Y{iLElc6{2XNBN_v z1{-QSldTu0wUtzn?tAHnU(p;aXlG9rO^au&{nNUQct>9rUzsr&@oJDK4waXA5D`Xc z-t0c{D1+6{*NE>o8bvqbWM)J6LJg*s`ar%W8>RkaQ$%z8NU#2GFXacP0XERoi&@5e z8oQ5xOm6nb6^BVr>tB_uXB4r~C=-PylZk)^6|KnkKh)y*`RMd9@PI~y*VKSEeNN^F zPXO;>9rf@1gDv^Q264y)dmjXn5uR5a7bYlj!(%x6yQB}y2yj_hzTkLYz|PG@bUyPL zfxMGQ+89Iil}>9>jDIzdI3|NbB(8P)fvIx=kirKT_vSn1MQ5!R6~}zWnVjEM!Xv*Q z2Tr5W)~yLWMEs>V?S`&^c0onbTQFjVXZ7kf#bwG}1dY%)@Yf~q-w`((HhTVtBk=9J zTQ~D(%WMda!A6C!FEH}%p2dlRv8J#E}V~E zO8np2*$AD+dcBNX>?cR+;5_!TeND$^N7<@qe$%l}{OoAi;_5cNHB5*;@4IZk_P{i4 zpV*g=8X;~UM~t$Lc#kaG0M5|pX6~#rt}*!M@Z+x z?2CsY?#cbIZV&M{#?8Df=i4A~_`h~OmrRA*qqCid*sX)sWJO>~b{G#+XIfx2RbpCC0se$byWf>;F3iw~D{5rjlos*Ih zlgD0+0XEv9wim@q;4wa5Hza=!!$gI?zJ%WE?EGW6gXpgqnztscyh47WFTvg-y06`j z;$1cbHpFeKD3L$hRT{L%P61&uuDSCI-WxZ>4JFc)No!oddE-IZIY06{3|64ZO*&{= zkWr#h9$8Rp8Zt?Oe{ST_40m#NEJ%CCJ|+@P-jjFsKb%YyQ*}nX?D9J^7A|S$hjM3ajkXgVKyOGde%>SH|2;F=_V#n#i%Y{ zR-%L!oKE+x2jT?73FmJGE-`G!x1wU)=o(dx;Qp`c z>Pk(^5^o1hvV>aWHh#}@^D_Ut;({860;4zXdjI>ZIeF|Te<*v-_O}L!7YfcN8Mer+ z7k`XqHt?RZ)$qQ7(2l4p0(lu-ztr=@Ls>klc$R5ZUiSpGf(Yc z#?_1I_wj2NCuzfgh0^r;gYE5wohl|D@a~82{U$Z@VD$0N9@9R#&|uy=<<6cq#U!RI zfL?4s7cXL|Z`;XGiQh}GH6v`_$%YHs+|uN`CSOtnh|Mt5{RGE(@MF+FNqy~b^uhhl zEC>iY2e67Epn&{ybcnP@lc$v9UowK&`X;fVod@^G=%!APaXUq=^;gH~dQvj3kz4xm zGZux!>SuxZ|p?u*-f60gdvRh|~nXsA`lt`nr`3q|TrDoW>esW)2e2 zeH{aIW?xTfmd-76jA3`Ap^(UwWvHR9f6&Rnd++CV$SO-!chfQRk)BKYe)C7#P5SwQ zO>%obDxT!XuSq#?KHXvXME-iZj4VMua}P(5kB(psxu>H_t5u z6AdXt_)ADk?ghBldgjb&j^}Nvi2bav zv(RHI-5D*|XwK(CRV)TM42J- zah^c;Xq1?mXKsz!MlP@4)qTF~2^klPluJv-|734wyP_-D^E%XhjNVl%N!3CSJ4wj} zF;ws~K6VouDP&s<)mr-|SUifT`boxmAviqq-BO{{_53g0+z5HO{zZ}Xx7rf17`)oaT$vk@+0Wy2-VLISowb=`2J{|MkTo;qGsrSGH&~EwF zafy8umU;nGOjJ+>R8H=2dh-EK0%n~;wuI;&X7<5Z zo2Rt3;-$lm5=fojcy8?cZoJ;m;V+mQK8_tt)#f`{`l=%;$a3B{qy9?mxc~su2zUSsEHr)Atgw z!$miAgt1YQpjg-*7a2iw+W&#d=#%v$PnXUcm&*BtqCcn&8B;9i(hwZDX%rz}`T2EWw2o5`UA^CfNmO0BvEzkV9#d17L0(#X}L8h9~62&dAAVXY?Y;rKvh>F&iv zT?d}bzwq@0uGVwCxPI4p16lV@L?IYGioTz1iwtzj_JVq%VXLKE$ zt#r;+9s(LN%mYpk!;CS#*E zM?iic)Z{m@%w^82i${$$bp$x^5mhija?t;$z~%ECv6hL znH!LsUJU|&BF{&8HH|Ji{P_)@OjIDoaADQgMNIo5mCs5+E;mvAA+1Qsu-%J|C|n(v z=<5aX`w;N?o5@mM;FX)>Qi0?~r>=iC)r-Mn&vXR$8aYO>i3}cA9ekc|gG+wawB?q< zqUor)H7Lbh;m>&xx#NR8Xw?1TX}MG0huEvZ%E3F8*7a70u}1PlQLwUS27P@&MTYe) z{-*KD4hHMH>8_k9nGHU?vWMz+051L3$nFNK>E?$!_*WvKiCb!5HaXUSi(nluc+g~` z_S#R=xCeWHwVCIZmIs@1BipSAQs=!(s;C)LzuaZB}d{<%9etC2Wt3QKLiL zDBW+l0`!?k@Dp$wV<`p%nvrX1k=l2ZSTHzd?ACvXDrD316!1R)d#@HtTsB0BF~oo7Mekvw<%nqf%P ziNWv`6z$(J=~1MZtg{eU(n?t@g^_Ut5e-r{D?z(eLM#|B>8Qei;kzR7(K1Ny9H&Fu z=1#IwKHk}nIe=;=%s%3wKbAk%jv&70{&(2N(RtI=y=zZMLH?dPea?EoQ0Tp745XNF z#hwC;(7X3fOUEpH=@J?fZJ2;-c59V8&}b9q(QMDRsfXX8QhP<+aOp z-LmX+`eWRX>A3=wN@yKq{L5a})$@*DHORGP(*L=*v*74tg#lUp+Ub7}@{RLdAWB)h zTt6b!9i;fwl32q5HdYbHp7m&%@{!=ttglzz)+|Gk>BPT(-vmGk7DPW~45|;L>y+XN z+6f4Y%Pq>9?tSZAR)VX}v&9xuhoHU#wmG<6=;swF$v9ZS>{KhCuJ(A*VEHPjh3u2J zRI7FS+0(#Y>h|rV-!qw&Xd$y)i_8mXao4!6Mfv&MJaBPLrU?DF?#AK<;&}<-9$z4Oxf38N?f4& zfl}_wRj{sJ4ye~8np~nl!Ad7#&o*}K|DkO^!Y+JQZJwE z32ZwIl1nspFv+dI-Fv!DC%RSX`gz}+!zKuq=pAA8MOs_9Xsh-wr2G9q#?h3(zg|ns zDKwA4>HB%z_S>UV;(deEYQAX1dZE?H9)93$mp>T^3}0MLCvVRCUjiS$0JqasXcsv0 zE1VK0Cl67**#+w_k%hh~I zM1iQn9g>2Ih2nVo9i2V3&f}dl=+ZHnAaU2*^1m7Fp9OWEZ!RL zsQ)&3b52nSJb6Q@gk1UM7DIMnmLO7xx%&HGil#B4FY}G&^?}My)ZdvNgNZ_7CVS%q zl)~$Z(CW-tMmI;kZv8htAy3BM_D=yqhJONn+xFBmvG#A^064sgT|0y50omA)A>2NLY5j`zr?RFHbL0J z+%JaY+!o@6q-mLg7d$r`gW?sV-OBgQWnNF9aE^aZkSs1)CkZyl zO2@x6`3Jf;gZlU|eGs)EF#VNkx7BpbXZ`ty&Enog=+7ttnY$mw#Qg^4+Uw958_Tf| z3X2WoTjyGT9GllKM?`P!GgtO4TNJmL9F2EOZQ%KsKZDt;0E7ji>$zeaCci0c`ALCu z`yN@2ed`JkZFy5f{L6IN2$ugVDl1N8=KwsrNvzpLeCA3jURha4SoM+i@zn5j5@N*mwFC9|=G zR{!x%{bx9rG$4SF^#-z#Ss3tPY^+9*ZC_XH@m`2e_^)C!e20;#_q_}aD;KN&Uq@rU zXe~@mFmX2*%Mc9NVSN4duJ}wv-r=?VEV+kQ%7CUJ&sl*<$z{o3{+Uqd0NZT9|4?vs zqS`N14jwrxca>=e7;h1lFUpvc6T^)>pEte<)GnCyY7R$!Xi`)Nup;m=Ph;MbQat;HIgzCq3 zF0LJnZoDmp&8>4`7a+8yW!#FLR1F*RWPOVL47H+ION+V!~> z)MJgz5{RyGF?1h!Mf_5^?is1W=oPv1F9)@k3QyMp1?ZZR*(NrMZ{Z%}9(?Bba?}ZL z)#coU91p_8pwp5~6IpI_MN$F1!v0a`@FN@-CrT}|w%uEu)+ivB^JVy5Ku8OM< zi4+iWy?2=Xd?A0Xw57f+{z~Ot+&;)$)dx@7TE~)+tj^4S@|o()vBT(0G58P_7sK(i zu5UxSxMk#ltQHeZ-#+M&Yslg;Ixy4aoeh=i5Eh=97FB7Ke)Y&h`t(FXJdeoA{0R6q zkLqqjRr8b+^c#{H|29ge7M0KQtUBQF;+v8Yq4&lRLBq@XiG^ig;`%=)mor770uR9W z24tUm0$>(SPEa;Gm$2tyc82Jd^PFvIMAVF$XCqG>5Nw!Xpx>6Pdx@CDIm>WjUhrp| z<_Pv3lnHHc2Wv)fuiK3xo-?&S)dZ4}IY)^VX1TB^q0KB3@{`%s>T9(?i)4d}af_0T z&+_81!N)k^$CY9pqzK}MmT-Ea3z#)tNP5cgA&^DQoQY#AVOm$MUAuHyeJn$>H7v2~ zd=9KIv#wI+Q=zKi@a;)Q%I{U;$tI0PG zGY5qg7&{nKt#4p@4@-O4TZ7c6At!C}w~nmSIw-MNOP8XjeaL6!y|dmG#@MxuC#6Zj z3YNr*`{_4ZHOR-iw_ogzADnTfek5m8B9t%ONX0=OA|KDXIsrp2*9S8YuX|@)sB&oD zvZWW%klu96CS!My=+7}4%Hv82b zR=nNckeTE{0>P)(BgjNr^`!!$h;KHJg#R+jY6lB^?*+ej3D#X9=ngrs$jgPhbqO+| zeh5xuCXaF9AXLY0Dq&FWN?B9^4>7HxG|VW*%VN#|kocl%eM;2yLh31ZnC5})5ZV2& zX~dNJBa(OP-oCW+oFr7=t^DN&;--TUFi`vh`tn-F8IvSsTAV=jDewL7cwAGHiYalmv&wr~*| zgD?#!0F7Vi*qURxk=|&lezzZ&M#$fs_A}QG@l)qLbJ~LSjdi>?a$R5NflmINckRqG zhxLmuMURV=_|0?nq0D249^5ZPy0nRk6tYybm$Y9kGFyu;3L-#$B{V96(H4(=oyG+Y z7s}M+LsF(2-4HHT5B<9OEu6f0MJWL$J~A6*)Tz%62fVzxY%j~Ke7Vf4hIoP?x6t(^zk2XkMiR;-)gIn`|VVD6WSCX)aBMAguL3WGrJb9`Y`!) zIDU@I9b*_%RPSvpA#=!#80(tSbt#GP*UXnr_~T4`<#B!GEM$jS7ynMA$hOgMQ5R~J z6lgLvrM?H>OXV<~jTKL_>a85Xaq0oLitHgfE)|xE-O6C;e@X9DfgNt`X*L(JjK%9C zawq2UqsplF;XKK`HiO=x5iEPO-q-#9sB{ssFM zr@OWazsecS;TLX7O;AVgm9;0E_jx+9*<(czGH?;nD?$x-~ZhnBq@HMg)^Yu|;QJ`G+e=OAmI%rfPuU09k4NVmZ zfY0dp*dzbxT-03Bs#8+iGaxEp^`ugXqV=#f_YWEPA+dmOOm%#;CuDGn3lAjtn}x-P zBxZ#w3Dm9n+!$KA@#bwH1pc4RM!wV6{m#_?FXM~YzS;2%46=WZ`O?hJ-)nP~(S7jU z_3itDBbh|LZ{*aO}^5Mx6*D>wSX}V2V_Q%T}#4Nq! zDDB`uIW`X?H#AO&G0q@Qmx+nl@lethMtGPvXbmcuvjfwRGrmqq(b#LCK8?b!;A1uM z>mG*R>cCtQLK0{R_;|~5{<($Z;k(xPmvd4F&s~*vuJ8rA)Sd|s@m~`EfPDL&_^nf6 z?#1BwKFO~F{+>r6FP#1yF~ts^iK``=T`F}&*YT0o+AWv5(nks88E;L8=CmDvF1sT$ zD!BwQlD?K63G17%T0fz&ZG zoyT`4C=g)skaWY$flyiGRcfOblT*B3QaXK=W9rMBHlIxw%gW$vI{BG0d;j4iUeIzx zW+xly-ao-xQ&0YJ0`bDoX!@@{Z%v_$;L#L0Pr4xk9JEk~tR?iZ0)FTlrd>nvD}!N* z{*R}{uWD{uwguL9l*dYAeEky{asH)r0|kN_3azP{Y2F z;KHy&NFLkY>Fp@X+#X$gp^rXIowB!xoQ7w+EeBH)*>|*uILyI5+0Ie57auWX@(u&NoTLD!aiNa` zAJq!k~SVNKnTB|3738lc!%-*xIC0aC}6sB|a)JIB9|4Dyn7cNH_w z9|gw0am}RnDLDfLBSk$7ZIDNcEXl~_{&kTJcm94C5}TTMJA;gl7C^p&@YUwKlk#py z%K0yk!aZJeQ-O}>1Ryv19@O_991DT;y^Hzq9y$Yo;o&p{ z6330_p`p+?UOXR-%rAfw#0$}cMR1~c3{6ZNCxMrwNlD{m@Uk>Hd7J`X@wSq3-#D|V zv+EY*y&4^*4#8{CG_`QrcpaLq9!?)`Ks$CEcLHxnGcv}V#GBAgnc~dw<}?dSoE6@h zW@C%9!`sua4!G0!GqkggxN~?XnzIYe74JrK_rQ@o@m@4Pg_{TE#be?mcQXv@Z|5bA3t#nJd?J{!mZ)gX&b+AoA@o-_HWz{{tu14i`&Eh zrR^W!4)I4c4iKo4!1W+n{K1s0Dz~^|k49y=KZ%Ors@f3If0py@*MHF#@$+`HTe6@4 zNjia7bM_*)j(^@_YrOZni?yfAFLW;3)VhdaeXT`%3cG6ar85V~7*;^uKkgBB7`;5=s|0_>gX#XEP6Rm=nDZn zoj7F*S8RktH>3TYs((#BX@dktdNX^utYSeXy$MY)3EXG5uI4ttWGsG3+hBrrOl(nF z)>JJ1^$)LwZ7#CP7Xw{0DOkDCZ|fJx1_f?6r}K+4{ZNJG}vuq)v^gTFv!B zhpMIN#8>EZaac}95Xhk>lPns|eR_;95TkE_C`{oj?vhQ0h%QZ;8W6~LPw%B}t~UE2 z7&t&`THp;DOoiI)Gzti|@d6I{<;}xO|NYZfe;4NP87ZUe8)Gr2Zv$H05N zc`2Wl$^JOJv-HFMao0^>#8b_OopScl8u@a;>;#V~0I~zc{2XD6_6aWMKaV)vYk~87zC*sc-e88Wi&FZZpUoB4 zEaVnMK=5ii5A@dSj9X)6?tTZe#e@?NeFb&+$*a=p#r3z){+K}z$~`b@Dc zxi`uK5HRvs13FYMoT9(s|KKOa(*?EC2SgN>PjE_&C9tnIylkoZr(T5)0t<(-v)?1{L2>sKY4epC zi{Y$w>YlhE!huqc{yR9>H{u_I9EFn0xD1K}PhK+or>ir!mnsX7tf}<-mjYO*Lb&41 z&0JB}UIBb8xS3+S#;YUYmXpxj$;wOK_p~4wpbmLljc9qN&Z@F0x+U$}__~>V{0RWY z8mH_FliqEzhI5yM3cJ5{2UPx-ogLHjWrnNmP`ll{-4CP#6gI{%nrAU*M95%N8z&c9 z<0&10OL@;0zIQR#tZP2C&P%mxPYJU0AS0owjWk+FY8(*8lARCrhYKciu|o}aqP~oQ z69ohI3u7V2CybVMjg88`Z&4?)uMYAa#e;n7qzi;))sx((hNu#CNf)(t7~OI`1+!Lc zVX&B{So~gIt~AWLIk&BV+`FclD|0*P`^(~*>2H+HrMIX-?1PM~?;)ciU}#c+ioxb^ z=01G>UXH-a^V0IcWcQ>K)KZ7Fizuz+ATaFU<+S1wQ&-go9d*(@&J^598^pc< ziP^j?u<8~8>xHB5X89=k@ri6kk3ZKrTisCkKWuWd;M4q^{rXe{v5!1)t5xk^sl?um>QQLN4PZ~7Q}bLNyPn0&)jfy`rsc#%FKB4kO4dSwrYZS?H= z&Hc8o1AgtT06^T8&UXyM7sclAmiEo*ldmcK`a!_4W=Ur#a^}(XTsM3*>*F zlinFdPL}x40xgXk5?zir%Ftx^IUM#e)?(Z^VPy1ApOvAAs#6A zxYlWiZ4mW)3gX7Kal%$f$R9BtytJj@MI(qr1gz(ud#)G8N{~dhbU~z4CC7Ac`*Jl@ zqC$^xH2l2ST}*HB`Dy6s3p3vDK=O`Rx-C<|WPM1OJlM?x@rRuv=3%McZz1r>LZlGA z$Mb{w^Kf@zH$Z1{!Hf^~4Dd^5Rgcvd9jH1(Iiox#e4*gqZ1@Q;xA?Q)zk0}JM9|Uc z)6wbg4&_rdJ6)l+D}}=BG^O1k)m5ULc(`cM%sB86cwW9Nv(WWScQ}z1COd!>2lUC$ zuo9uh0+t0W zIG*g+5cXuR&O7_{E6>k*Js!EnV*9M_pRVB`UY|WkajCyAw-MxTcye*Wfc2kYj7!R{<$r1!YQ-(=QX z`$wW3qnLea8a zpppaF3GyCk846PW_~p@4r2R60Y5jV^zZKU+W*qH9bTED1K>eg!|0LGGS&sSXft*2*uaMG{K3l_ zYM;4}xzj*3 zdo3V4zInS5o)WI)v-ZUFQGZ$&lG)Q<$5)9djk(@Wg7bc^CI>x`7MLlmhP}9YVGT}2 zWZ3lgnLb%db9?>2$dNNirNK3bb8!r>>YR3D{f&@j5jB&G2C~tJuajFBo|ZqrMZw?H zy{}1uO2{V8zA?y^N`nfZ73WqPK|w}q<)D%$Gf%{gr1w9r@{c~2EpERuhIY=-_+R#?fC1fJwK>aGb`_a2eFq)us(jPHnXxVx8q0N4YoPb~-N z`*}b2zsZ-}2AICU)`;^wv29~|W zHt9{{;y$sLC2$Sg9s9rYCz1c|xIH~{!e8p@*euvvSx9$AcX6ZDUcLHiR#_%Veq~Gu^*+>>Bno=xfv(wkA|W*KUW2-c8OEP zxaIR`KowcO_);8Ebpy_v-h#~fKAXQ|o_)Sx&)P-_ky>2UXXW2(vIEv35G(6Ld8<8| z67&VM_-(6v%?8$-6F>c>+a9RY^H`k}<@Q+U-Q9x- z8Ayy%J(q?$-44vvOr7P=6@A^Hrg8RI4*Oc~nTcHQMccnMmFXBv>&F)#N@h|#{Eb4V z$m_E0P`tk$3hyIbUc3!SaXiQK2Gh=S8~m!z<%OG#9|9hvCMA_E1ysT-QQDcdHo4n| zd_`v&s6MIxl)IL(L*So^1krQ%#1|cnnXP~Q{@qz-vJZxGnCbqn<9^YGrQ>a-vr zL*I*t8<57+4n~h6!ocLDOVlvaOZL}~rLX1K8^wA5xc<0&lf*rST^dgO)S#kU^H3BK zy!^BL88fb^S5bHM)FzmJJh0|{Wx1Z}59_=M1P~^6U9aRr(+}j>b-l{B+NH2}ODX-3KV_??^ZF8-fo#gLr{-zt!S>-cGK_=`9>@32)w z%#crCnGFJgjKTzil}w-2m0`$DI@uN3d_b6vZXU!W>e3onbkHJOsvRAae(3RuWW>k4gUf2!mP*V>-elk0#o0 z6LmPQJv*?NyDCSDb?s$2tw#~$2?%nLK1zWA-RWemnaUhn_CQqms;V^H@hW1|)XHpjBW?ZFkFE z&kp3<3Xub}CQN&7#Bsu#bXIX780meypuKwvFctz_lJ zt(iRr=?ZM5Jz@4zv6W)<%m-^@nBMHbEY%4ESa1aT* zmG$e8kYHV|TOnXjgg{=KV+)V{7d|H!jaeQ?`OeJg?wS>TOgP2JLloZR_`@_T^ z61j#`$IRb!3YE~8(Fn-Xy$>My_)wt^-4j;v&BB9&?wu!_plxFqA;Cj~?n3V-EqO>y zi(aYdoA8t9`p1axO|qYU=t=XtyShTAj)9hZUHvA}7LgDXT{0jEu~6uH-X8_pC40H6 zt<$lBb?33W0Zmu_qm`ddpTo?^y%o#1T6lh>oWta1!L{f!>OX!<6@}^m@m(YB0!03c zDACC-xp(FuXgoZg6Si>3+&Cy%t$@KN;D`PCUjkN<8wrP(gC}jCH%bMaA_a6Wg4?>f zN4-MZqNlD6r#|d|o7{cLAJQ8_-Wu$A(-}O^w{>t*L|_)xb!g}2+VM9{2~ax;5jQ_s zd9U8Bc|qPvm8yj)CER#?cojQ0IgLPbIvD>jH2CLp46P<-4|T0g&N7s$F1RaIV~|gP zl6%X{y~E&HIjFLj+a@(&Rc962@N&Zg7riN6n`a!H?~GB+I+p>*md%lc%w74-44}?i zbm+FOKd+3?gTlcHqGa2_k8y7X>OVUqel~C}a}elZeX}W~GqsCa13s%8moXSSPX??* zN<|z)!92MwQ&C%d|c=E(!}z9fY&CJ zn~vu$J%2%Q^(QiRI+_eHV`3obp!bHLxvF*@AJoOZpoC*=^sY0|oe;_{J#H?=PTLjqyPs^_cPiaBwE6z3lqCx@_eh2V+>#AbiM!b7Fccj`Utc>3Tb z)w3p{h1_#bm3gm)=q*QSE#I%XxeQGmgl=f_bTYKz*eTyBvLeSP^-l3eZR&3GfKd97 zP~0Y!jQsS$IXt^cU8M6r{Dp!jBXM@|IqBMsdD2`?;kf~|xjBbo2*qnkS)1~9XMykO z(r&yR_AMB8c7QB|fTD&pQ5RHp4_TupS}(HDQMJ+b*__ znFh8CdVT8JZKXnbfIOVJrA~B%o06{B2RWDXZ*=Le7a0wG9O_;orgJw-n+1ABQ>QT! z{Fb*_OXFr5Tur2DeL-HG0$jhgvZ|(4w&#{=0XYyNTKcY5m)putDjyVdH+h{V2@i`d z15pf@CTV@9cG71gbQ zkQt6m=wS7HLvV-suO|_>+I@#VaHiWKa=LR{0?bBEX1tJH!F`TnD5-o+!$!Qw!bWA% zz?gfeax+N;{#sF~e6#-6m`@(sHp71q&F;`d5+!S$Vc%F&xrJN$0JGp3=E_D5NUk0F zCKgOGFvGKQ?J*4)@R?FluuJtGd0@Nximqp2=)S}Fvcs*fQuRF{dGYa^vb^S5{&hN}C0Ah81d=_Pm}EYNSWe|_U2NNBf~NzUuvwc`w*#I5OaD4-$^l4G zKF5F2F#4KC{}31=e>B8A4it8{P_gXn%weSgRV8T=#970?5qwMR;SsQ25)%SgEyK4@ z`+2?3y7_+tsn-XC`gE) zQi>od3=s!Nh=`-cL?k5c$LBA&j^jGcAKu67j2W1@9$yJvcBYs5A>NTDyaPPU0lU&K z%*f?vWzV45vmqDCfk$WU@#bOe(N|;_D z_UzR8GCn5Zb#Ux*kO9Yf(b?yr3D%-lWK}keed)Y%gHau{ZIX4qlxPdRZqj?ds#!@q za)%+v`Hv*rYE;-EFrPL3@VA9tPfwT@(9 zGU*{GAc>Dic^VLeewVv|AXT}t_St}w=X?GEu5QQ9G|=W{4mY;Tx_Y+sCx>mZAx6w_ zUCvZJ=NiCRNIb_w0joC^qHKA*s3H%KPDCt_d;^r4YG#es=hD~U?WgTbtc2(fh!M$4 z=?Io69-)9LdnpgLz%_d~Sy6pxt#D-0L%KlF{7OaHoo{@l5*u$3(P$-e!yjrpT)IuW zlm32qW>2?Bhs%0H*+Fu7MW&zv|2mHin;QVNOPIgtY7>ee>}LI)zp$4@?elp0Bmn>t zOf7w1Za(e`#+6HM?P;8u{ixdE$zwb(AJw?WNS>M<6GHqbPuP>uM9#k**gI5ma9PgD zg&vtliGH#h=bibTPO!HapGX#l)A<1{2E!NNc5AuK*9@P~dYrROf;H~nuFIS5W#HuK zCXi)%unF{mk{)349!jh6b3M7w`n<)T312lM2DZ*-Pa1XaPxh(_%XwmXb3+7C$3wtR z6^6rCm6B>ZbecERxr4$4*4t>F2j?QWgLs4TWBrTE?(=suZQj7OuO^vp(9!Qs&}IHL+@=dq#H*Z#U0>k{05@PM<~=HJ(gGb%9mWSD!DP znolPxALxzJsZFWuK_;$MzD``N>_Q4<`YfM$jX8RnJA24vkxhW})-@sf^1Cf?`#AfS z_AThM*Lpdd>{LwIqA^_&A7cV@UR&7%fK9EOLwu(^rF1IZwyL^8jxNs9sKx^o&>G@{ ztQJEWzkW)q7)9nZDiXH&WLh&%k?3d{Z>pzHL%-p2l9r=(rkh?f?1auWtqp(wCVeWk6{DyoR zK3b5z-T_35UcY13iLrBPgZhT`O6f4t@=){Q0|$jxOy*A!$^ffFo+(&xyOw(IxpQ{c z#PR3(&CYf_{UE#i)*&iPs5YDDq8_dev(1hHpXcI3lv@eHd80g1p@{MvAxA`$>qcE9 ztWEQI(ItB0^Fq{TD9NG)PbeOTTJeI#BSkwDXfhc?`I~~EtZyc&6_4x*GL-lPOUFfK zYR{;_s$le@PDt7m7WCl1W)xrPylm06S2LSgp+ExUFMj(FdUVS3+WbJt^FJF|+kZ#n z>l}1V3&USC!z{RzyhcBUe zXIz|$mt-iy8n9mpCX7#JtzO;yq$DB}XbWdlADeedDkUJ|+KUHE3r6o%eg?Zm!V2y^ z{UXs3WhH3w0FKNCigzfLVgK(!<(QsMM7=ubqyOsAKPmKEZ%`)s2b zlV;a<<4JW=k>*_v*z#vmi3 ze#fc?5-y>s9O~~_)R6w|n)FSeUIrY5m%CmX_JRov;%w!&Z3-7TSgMD*yH^bg*0K1F z|HvjyY>Y>)za#rK{XQy(k>zz|0RPTB>SPIR@qz9cM*sd7_jnesI_79N=9vh+PUG?X z8K`uz;LEv>*S;{e1Ofus4;c?|XtU&kfmZPNFvhW^XrwHh)Sgq*_Pdm&rD0VMG06JA z$cq(19`A}C$X0$H&vL&dcebUT$~zjq$!F-~k!M!=mUk!XEgj3R+9?5;7uu3sES%Q; zyt1X?+SdBG7 zrA@<$u;vT&JnLHD?hP4<6MwNemaTs5u>Z+u-#G{*)!`1yFh)uzt))Q1aG}RbWwg$^ zeHxpgV0YSbab8v-Q~de5n?EyzY4}{_XSL@}iBE$t+Er`8X7^i2o!Aexd)9;xlZ$4e zIif}X{V5&uG%*w^kPYn=ZeXP#%Cqa|V?cFB@2>gCxXx8?8u9k<1Gr>o{~WTE#GFEE>D`*_zoqnCb}VpF&l) zF`}VL@1m;(mp-M6{=xN~vbDaNuJn?6WNdeXl`{tPXQSt(iTrFS7Ep$h|8Jciz~lDu z*3i{i>Gqc%SyE=kQh1cosP-G-Y@Vnq?8tnijA z=H*YOPj2@ICV|)!jpZ zYAVzW1qqFZ4e@~MTu-4*Sbr}|bFf^5W0*6|KOvR#r5VkH^?w?A2n58`vG$6-v^$uw zg|UMZ!0;SOC98U{`}SAgf4;fjT=tnE+!{2GTflLWjB0WJ@{BJln;9e1^xd2l!s2q9 zU@C9Wogx2@apKAhEoaDIW)MjH1#ir>t*`EO|0u%?^ata5`BYTrcyIFcpfuG=VPWQ) zgy*-#grU3#yN49^G>O}e1$TK4nmv*d5Tz32BeQBRuydBvB%Yrx*`vdAe(o7fF;LFP z>U_;-zJfM`UyFwhsHW7?Lt%x3XJn~V9T!u-K?kF;w=`WvlS0+W$$ciMAFs635k=p$ z+}M1I`-XjqCJ3EZM~PrY=)bUzX{-yV`Aw(ZifEsQj@JR2lIqoa?dqpDavzzkXY3=d z_vQ>(b4|1M4v0kn@^YoGCaFa#di$-Cq1+NbtEMg+C+4ie?iBr5ZdozWxxxQ4%XVwL z(yi01?qrYS`6nx$kckqi(;>4M_ivH5Q;ybvlDx3SkgH9Y@o zZ~lSE$6EjUvCbI^zYfOAqQR<0s_`2_O|Wkgf&R`FHPl#unZ5ZX35G&v)AlGV_{VL(#IW$!6t}R)d=4B24WIx zN43voJb{>Mvbm~i%1=>})yjk0LTneRvd6K;Xi={oBbVETGO_VAhWf**1L%a!oD!)a3I(-HlQFuN^gY@r zewmK8(wrUn{9r!z^L!HvR<|gc`Y$4|;=5x2{HuojH|5g&PB_@hAq)dyiri2X_t@k{ z8SH`l*R_ID<#wK4q6@Fp=e&_4So!a7Uh$rxAQO#hqh(8sotnuQ!%bQ8m}4Z&T^&;j zfAya5-Y1$-dq7&^xNozgGGQEJCd_P>p(FXn_1smWYb_uZ=s4dSsQ?OuPUHn`VpY-B z_NR4c#^(b)y_Os!H7iMt$HK!0nC!ZS?MlbE6S9{*Rn(|y8Ws^6D^gKeQITGfKM-rtB{pk_tHZeMh|CQ=`-%*D{hDb^ zmCqN2NR4P;Kz?={1=!*ltv=DATk?3LXUK(3-2ck+$I!p?&drpBrp52~&Xwmkt4q;s zg;s1vU%6YWsXn8hQ{yQ)&b$V#xrwMiF!4KG%HJ6Jwr21loNQi&J0_%= zwBRplX&rv_S#j1@k`V@=YCn2a7Wht$(&vAjUFJpYA9ba#Ol5|)?mga_duUZ(JEihB zPh3EnGVs`DFX2gHcVzmLKj2_x@0Tw()S0sxF0DW}u$2-BU{9j3wREv(34E4uo5|6^ zE0ObJcwxZ6y zU>`kwNgI}svM>E;P+T<8L0&6}6&{7}BC9V2XYipDlehs1Nf}uVd3JFkK>e&-54QbR zM8vTQY&d|0%pr98;-{aoc&f0tOQM(x{WkyA{B^Bap3Ra6i+8jEc!$8$3s>xT}lPM^rvZ)jeqQX{EUxoPkAtR z;q%GXVYtAH?eQXg1a+Gaw7gEQ2X?fI&R(uyC}!@i{1YEeI!TU;%*<1 z$xvR)^_F<9)?i6%3zu|um>k#k-`g`OW5=*Yk~ z^$63Eg->ka#_SS$ja!7UzO6HH$Y%2Qr^%-uJH(Fy{=bTf2ecED)j!-P6MQrKJ~dd@ zCw9eL9~t@ZIeM^@37z)Nea%GNzS6{P8dj$IBInx(~Cs<7^H*}w-q*qCtmzg+F4}T2rd=54; z+X_hC_~4Ux{J2D6D9;XW>lj>OVQElpcKq{J5y|$WqH#&@m$yQkp_BqTiz96+iAmbs zs@vb%0e=_Tc-c`|prImF?p*P=rb7yG!6lzRfp)iz`$SZChRK2Qm zJP}GE$~IL{{#>cwqr*PUNOXPLEI5Nk@qr5qZFrtt<-Qar(HC!-64Z6XM)k~BFSw1G z+X-LyB}xbwqpp)}SDFG~7VnL6W-1qui?fwW_XB7nt#g%}OKEd(si4APiAg)E+; z&J0drcg^7uO+2TLA3OEChO{XUXTIVH605z7hl=@0mD3_d8c#(MlH}A`XUr=QydhXg zgN=`E1&CZvY4#K?v;J1ajPjGyBzeeN$oOjEaCZein#lPyU2k&K3G34geEMR&Hj+0o z*a*J-N{0{4Uaq&gVpUskkvQl(7a8MkF|KX1q~)$rqv+cSpMRLDarQE-OfsiOoJ+|uWyftk5S>QB0>5zj;2+!O( z7aXSmosgUbHB)oQ%Y-7-t`gkfweM;h{;OFQef?oh@hC#>aV>Gb0x3)TL^!k;^%NGl z+58u=#HR=;9W+Tv|RtB0+d>xhBJ9Bg)ci(y4TGj z^tG>t9Oh($Qod$UA!<%IIU)CeZLbT=&^Y8u{bs*gE1fw zU-F>0+YQrn0hEW5NaSzEkijF9Xplr>Z1Ioles+Je>GHuYKhp)}*MS9iOf^F0l)8S# z_W8?shZ5eu(rR#6E9jK%Xh^&jD#N5Nf(8YP8{bi}7|)eenfn(KVQ0lv?+1W357+$^ zD|ktxuC14f#~7Ak(;X+E*Di83?(3 z!R`shMfnq6jQq%~@r$$Ygyz+uQZMLE&OAJ)2R=5lA&K-Fhi@X6w75{)z2tB7{e#mA z6Z{2e=vltjUTO0tseusOZJydOe;l%p=u3}5A3mR;t(qX~@u%#h+G^!V>)EW6)orM% zI-%&=2*GhPlM888e^=ge6+GzZKT!(4?eS&@AH?VS{ud><%ukJ1@TJ6CJVD1Rh`dnX zYlyRZaUt%U^dpDrOIWlmjte%8Kkf~Ga61`%&&r9NXfc-VC8ntTO4d|vX@D~y2* z6LGfvOn8({vHN|;eDLB~l&QthfJ45xd~N5TTvwu=`zpc579GMrID0wNX`3cfxynIK zR<7lTiVU$U*BwG<^4u6-?QRg^gEYQ^;H1xFw{C8@tXJblIL&dTMWm!?&@ymAy4b(B zHGK{euphj0m__}4$CiHkj*NBXXW!bQpUrR4v+gqAN(mLIA6p09d!=$?8~y(n*M=M3 zB~o1gK&U2s$Ei`usyU>UZ*!exd3j6}(5b(q-Is2UIiWkR5z3qCGkRPTTb=>E@gLDL zpnAyq7fIke3r8_N8d{E)Z~puW^9+1gzMG*{j647osC)t^{OQ4^_qen$-E~-gUK9zt zD6l+S8!eW)C(`1HRCAvb)_iDl(hD~TMU#pG_~->9nSbdi&kPWzGzi{VAJEjr_x5AR(BfLs{8!e4t1@~mjpyoe4|W&k0W@X zWls2)rY4uK&>>|@H)rKl{iEcc8U&Y1y?g8P?3F)dg3 zI!JzN2mgNdH2^zYjL7jVF(zVB!=`ID2G?Dg&1!_1;`=#8i@YhNzWcFv2PMfbhmIEK{3;EW8kq=qZpFTVI4mHS%Yj1QS zThTk!1nPvJS5PtBW3BVF&7Y3PBWGzmsU1`j%srqkdfmgF{cH8Cqqxh64;!bNHWIb? zQt<)TDa6Iz)E+E=-2mL`wqR0zGl`+l}9O zLrb2uF#f`A0e!igZi5=O?rGC2*DC};0Ve9ZPK0@pkBw{f7aSPfuY1)p4+_wxr{;9` zfQwBs?=;GvkKG%YVD#&i`-jSIZS;lf_l@Zw!PtO|>BsYh;kueF$Oz!r6f6&tjwSuY z_iwp;jfTUwz_t`4n}vX_&ZYNaTjw4rZ-KqH06&B9GXL{|0#HS`(2v9quOG#1@uS1Z z;v@50wuTCnfiQhsz}EL?VG?v<{jW$p)=e4PzqGi3*W(mc!gwHMI5E>ld%wB2pB~*F z`;*CJx>y4b@4iS0dz}HwthR>Fmjol*oJ3SZVh2qHT_;c$juuDmqCXsDJevwEb3@-V z^8xFOJUR25OI8D?SG*BrgE_}Nu9;-9t^)Tx}ZexRUqUfD7Edm7( z?((`~z51f-HE(v&5`@qpaR_drKSszhs62GlOt~t6oWvz94W-;_+j--AfAw60tYA2H z%u!f$fY**6&o9W!Jx|{$Hr2>H^(6#Y@%P4HflO9JfEjjOWk=-a_p{*^Mn(j^b((95 zCibv&gbWH{>k)$dBi|#!suv|af-W$fFI9D0Au2|*PL88loyAP2#^19ptmPSJ9^Z16 z&=1(Ax5sGhG2dB9T!gM-+ zA-Kc^;`b-(wJFlo1I9nWWyBf`+@Enl>z`gba8TfBe8HPZs~}`wM_}0nZ!T;>zQa?e zD6M0}=YdS!KHJA2Rph|b1ritLgYFI1%krU|i~wO&xwogNfnoYxsRs^q(>M(|XgGH# z?Kq?$db>HoN6_!NA3EKKjAv{G`502&@13N$_!woCT7HiyE8`M?T-CnykL&!gbT+#w z;K40Ixe|s21=uOk-UFJlN_xW3n*hUjDcg&_~yDVL5Wd_Woa?Zj2Ly#n7?UtWwEb(fIO91?Q5=4 zJ@+D8V50V=Z`oPmEJAG~bD>iX@$!-b7@V^B1Z7XCUTT2@JkZUe{F&{dneypv!uRA9 zUl>fw9IMH5#W4QaG5&v&PKYOVS)Sjc;KEw}F_!4H{)>)@nt)}F6 z7QG_0+ceKYrTN@BNNx65YtgS#HndS6$~D-}K-#cv4<{FyIqjCv+>71?9)hpI+S^xO z?EoM=e{vgReeZBrVUA9P;u4peq+c{v?6974?3EJ)S4?4Y96ydhit2MiO{QC#R2RUDmC`8qZ z;+VW|nm(m`Y{9AoF`uIgHJQ0&>`A#>J9y52cBg zXB0DIxyqgRY-Hn6KH=}%7x{^;-I!VIY2J72B1~*9C!xapobsa%bi0^GnJ4nB{zz8A zi+`gdM}2SnaQ`GdMTKR^u9tRo3XZTpn5-4i))i8#ZoAtIcOH?xL%|Ez1lD~w^Q3U; z58a(%2lfWtO~8?nH70Bg4UKP}aOV65k^7B%UxNJeb*DRR`LYzjGi8J-l^jtYnk zjtUXi6>#1Kg_*&HihGMcFKlxdoHG* z(< zl!NcQ?h=I$vsblP1Qb+DznlG9W~OwEU~x)Z=|fYehz4#>X!RQcx|3TI$06&ay&wSQ z&BL5g44L`PtYfC=w(GNb&$sSu$3Pq-vqsbA<@=ASd`yUbOXp{4v^G;Pr3v0#>>E(= z_z+R^6msbPvBJ|Y=g0xR!cWK8P4f4m+Y*S^Cv*g)NG?cav^azA{^M0o8|6{)G6ed3 zN$2hW^2&TAEan>SRUrQK*y9TfqZ^KG|FfbvBqt^YS2o4hJMV?lmLQKZ z_u}J={fhS`Wqq+P2$GV|WzI?al{w zwq;s?qzb3|7xHwx^4}h@G!0-(`k^ZIcCF=}s@I?)8jHT_!8F=+(k6O3`R%M7wVple zH0ZENiZ|socE6H!;v(yg%`o!x<0!s9e8I-&drAE)qw9xmoRZNGE? zwpX(gC70cx{uBVZk`qIO5_?Rj_mT)w22F!mreAF6QEF!N`K zelk(}T%iQ=UaWuL0aT!$P&3!h?TW-&mU_g`(Q^(;Y23I6oHoZwE~#g%A$u{x|6839 zoV=njKOOjjKsxirm0{enS=v?8ee<7PWkXz&Iega@5K-M;FCDw0ub@I&ug5eWnW<>l zd~Ca~2SQwJ6gwf7uW#`}XH8gjxDdAsPbNXPZ`V%R!qq*bf}o)CC1kKyyqwt}>G)Y0 zIzg*Nlq_WaMbGu8I<2Q%jSab~hw#mv5|sSoA_k1j3YHhmJy^Vdr8{HpX&`e2^!G-P zJ-yzNZEwAGNj3POWG2UR;Ahm#rsb*Whb}xHDbR;6cg>bmel)3m605ddINZh7gRd#C ziyoehbNRV&ovkmO_UuDY`JV^9$srIANH;w0kZZE%tP3$VJE+0yvunXZ-38Sp8xMIF z{k#W}mPa!yKb+a}E%Vdxr)vaT6E{!JA2avu#1w3*{<9du1Pb$BRlfN^KsNSIMAGgG zY%p$ep@;bE{#VqzCjxb@y*TIW$g`C5>J8#QB0Jq#@Dx^aorKX1%uS5LCl4kbqYJ&o z+|_6r!s2d%*b6GuE0LHGSSErGKF;>V!PVop*)C9olCrICUl^gM2rVhY7H}>L5I%{y zDZk~^E6fqreEr=CRUc2#gL})0jDmnAK(w)OK3ipxaYXXETYEr4a!^vT#pm@zz(%A= zn0HKbEItl7X_%NCKY>X|!qA_#iUq5bltVhY`}XS{&^OpNGztg|BE*DxY)3>!MaRgV zi9eZOM^C1uq@FtMe>nvXJiYu9i_Zi44ENy^JoniF4KnqYfwBVRwiE~eYELrh(eRD| zppJ`<-sG=jMI$V!{OAh)X+AtgACBHa^EU&4w$<6p08tvg2|+)zh=J>y3JV@@g9)iF z!2p=R*?&*K(L4*3|I##NLRqjI(d3~kq6DL<8P5) zyt*YuN3=tI5~X@(H=E)i_3GL;HzLeFL-z)t!!oH|pCGg8;`z)z+g9j8wR!2}){Sjw zF{8%G^6fc3=-%TBvF}wjBJMnkS@|mTKD%Q-d`zG>K9r)H=e@5%m&k=MXaCoVcajg9 zK=eyIP!O<^jHVZpKusms7Lp`eiNyOL4e9^QHLQ@&7$83=c_OCHW#O9JjF3d*6*mCz z#wqebfU^+UQr##EI-qy6bjeu_gjNEDwUU$$les(;%Hvl=?F>(a)|(DYnD*6ZyguX# zUIZJ6T7*ZTjr1seu>Tx*S1t#VR>uqD#VmwkdDO(Fgugg}iV!I1EsN8(qiaI^QITq@ zmjZsm%pnDNwHu=H=a(f6N@d42Kv&CMF>z5|0f}}5R+0+7fJ{z_%TOgQ@@`7vOF#vJ zc)RpDOYqq}6b%1WkdHUd7lKO)o8#QoRksZZ{Blaao!>1RIP^k7#=4Sj%1RQDO0W*0 zf!hmiTJ+;^>Vl!|RrDlKcDDl@^aam0!`|{ip6Y7CpiT`0))6J}l7dH;uO8fgcpE3? za^#q}{}CTO{`p#z(gsMfF*Zs$;opMv;vUvNWk0ThG{I?u+voHC1Kpyey9=4ngIoOK vdh1epHOgV_#21mv0wN-)%~S+fftU=?=|Ob@(_r>Ly&`~rXB|=hn4YG;av+AVYiLX`GB7lq zg&JEKne(ko4S}$5()Dl)1B)3meW(S8kZy07hqfqCdv@ruri6ZCMhq@?C5{vqy%QHp zaPkRwcJf$8+=OHn<)e@$<>($WA*#1y&l^s9GQ=n~9T1G_XOL1ecJwl0a)7KhOlI#& zT7u8ikyPVvUbgTa%SbV^SW&bX=zZ5*bC!3cvXEZ%ksc z$J6H;ZWD;Z?K+uh=vqQD%sVCXmM}{uKK)+Ck<1FLaoz8vt+cpoc?xh$<|6`U3WGg2J z;ZPA!x(Q9VGfkMam#xP^8M4?CbOc$R)tD)D9n0JS%zXwC(ln>wU2FoL<%o!rcaB~XBl>F&w$fxmv;1`%pI z=n9@SB>)v|Cz=HsajjKtSwDd%7f!3!=6~otD})x7t}*z=*50KLmXB2SjvQ|bx&?^T z`b<&N*c`ifQlUFxPbyup5J6aGVF5|u#_y7=(1(-u z0vYs&2m}1D@`;c1Nobmpeep0UWuat(YM0{F!Q#0k3b2ZJ&CUsDtzw;yr%nQQmOZEz zUj>Uxe5}^d%=G+^5rM8AOx?%aJzdTog#Fa&rMrOB_cDl&(9BnIIAK0r@eWp<-&%hU zwnA*fY&2r)A7DQSzn_P{d7lwG$Z&{jnv`JGY2ok1N|XeyAb~oyWcmMcA5a-)p81Tx z2Xr0|z&|V*yakKK$WAJsi<~Ijx#NY3XuFek&U9+Rh(%~MjpP67HGFX$&|sMNvfxMD z4o43lHRvpJ^A;!uF{?N}SIOz1$4;o$>ox<+Ns}yRa zkLxJK(H}$OvR*63l{cTE%1%o1D>h?@5O_l1S?5{i_13D)ET3`(a3=62h;F)I;(^rx@di4su* zzonX5P-R!o5V?Goi<@F7?)xPDv~WpMwFTtQq=X>)wR`5f8(vUN&zzoq6$Cbs{*iYO zG#LE(!;iJ!-&Oh0=sY@kpE!KGpy>OGJ3XlP-Dd0 z^!!VatmBJ@n$L5wX&+>jD79VJ6{h+rQiCImb|w4g9%$;hzfYDB4`Na_lt7bimtsfO ziT$(I{WkPb1`oyv0H2MbB_|)NXmd+*)mV z#yPXyTS6Ov+l@yafa7J}ju^ScomTW$GyD>9 z^V^<6Sc__BklzU+Uc47|4}%VsoD!&3wHm%wW(Jd#LoSB4@vSm!LE9&-AO@*8M7K<< zK@}55Qno0M(l-`#J}-vY^{)PO8C)wpzK@Yj1*a?1H|T4Tc~+x~6K5b##1bEf!fxMG zdbFX)&%de6>5zhD%u3CH1_jL`L1lBJ+}}I*#b5lscewfFbbAAc7L~R0La{}pt|QrW zeq7(MPpj{*fq?K5!6C~=c%?0qxk|+{%cTDAr`A;HRqvt`?B}^|KSQCH(nMKE9rs?U z8}i~OwUi^vp_JPLl71W`l{XzzWs{~FZ)2VkUPQbgh+(AxA@n};Jp!biPc_Pi5n&jptya_S=va-IK}{FekD_2m3V**a-|gB*}gT?rB1@ZI9Z zZHe0U^lb|kxlgxQO9Ei&7Hbx?bc4fULN7lCfcJ@U-3^H(AT!Q)_-;*JjHZ^=fswQy z=>%KXuh~bIV@Zkfw{5p%<0T))ilt(7X!!ed$-UDppPEz65POr_vk=6L$bF{dH2Iti zP^hBI0pe?ihnbfH6#`r{B~b11h0B{zA7A<>^X5XEUI=MxULf#`+eZ5izlQ?F+P9TL zwKm11RRSV3;~$sw5I35Mn~UraDTzrjnSShopD zw9FYqyvYG$T+-l!;L9%G4of*e6U_>ySdN@&)b9*TIa6sK=I~{1g8pI7;+}<*Y4HG5 zFjXud!wd5#&T1>fdu|=cP$LQMd;rD5cAu~ z_Wkyo1M53T5XGH&tyn?N;AE0}uZ? z*jqU@0LND$KecUHKWuSL2HhbFBj> zv$XS@0W!>bR+GXPP=MAO@Yg(~JAXn8#>W{7pntL2L|i_m1v379TNBqhIuXD)<*f6Z4Ht?SJtvmCmRt# zoTWoFevDu}6}i@yy&wo*FKW*GZ1l-b!DRjMnmJ?Xq$BE4{KVEV3$RGRGG7+#ckuD2 zW#*4wmV{yQTCZX)8r9XhIP?5RMQCw((b%hiPYTpt6ceZT39S6fq_z7)VUk{Y^^XpK zDDnWjQu28RgXWI?tYxB|+t(CMm=2K1Z`e!FKhKh9%=uY7DkezMYF&pIxQEq zG_D51;6Lu^P`wPoEVbR2`RDcxJda$emY{4vXvI>k{1TnHJ`<7?x!-IffXLHHk6f3e zjy_YY6~o;XpKl%pR;Y65=8b{!DIT`s+U(o%(OMU>GUK(&!BmyaPNnsruqXUL1Nm>! zKZ+^u8llswc>%V2pQM1-cEujgMQmC-kAGo|x*f_a?kVKp8$Tq^cY=hf8q}0^iu*Qv zd<1QuA_Cgxb_O+2B3WaG1IW@T#eY)NLkplR4n1n&B~7#6y#62eefn?NLt3_QP1@xtQIz*>O~P7Pu7f zAWJ3fXwdhupal|b(NMl*j1kY{Fc5_k0TsfB24ebWn_V_@`sP%puvw}H|7D%-xf&o( ztOUaocaSzZSp*w&$sx#B5IFT9tOo8er^m7vU=m<=r9M+_@<^X-!gokhHddsGB2QiC zolF%QYL+5LQ2;&eRI)`aUAns@P8BBA+X!XuzOX@2E-&@*Y(9~o%w55Yh%nb8^>M`k zp7HqZ3kT_mV-h-^f|?C+?_jpcZ13WYU2d-p{7#m(jeeHPhkV_=P*WVGJW%i={L#)o0fl3enI+4& zNh6IbcXtt+on4Kht_EVG{(8Z_w`r*HD1c~4Oxs;nWZ z6ownWBd{S+mnyQ`&W0oT(WHOxmzQWh5P^+DCe(&Vc^oO{88s76xj=i8v7I1ffb0^+ zyTi`C!-}2Dk$%x$4$n-@|LS$euQwTiIqmf9kKnKqxgCBkg_Wdo?U`Y5AKcGLCj7Cf$k> z-&3z#l|gFjS^#xge1^8?Lg|QJ$gScVEUH~s{;tyaBL-khE_xN_OCV}*4g!^%4 z_W29$*v=A&=2WcL-gT6lS1ypOys>yCFV*%x;MMiKkmI@)l68y2O+=ldZ^PjE0d4%6 zTS_bW@S|@pzjbE7!p*%ItG;&z9_}bOTaynQY+qsyG#wvYGTpnwE1xoaQB3(jm!@tm zlJWiKa)Z#sUEb5Cc=1B}`YtY6z}&^*L<>?Z$h8T1_pS9{J3>0hRh?hk{Z%gL{o)l= z$LIYoN$LZHkfvk8K^>3;1Bb$EqL|5@jo|$ohY$2}Q??`R#j0ZTm~4JWoy^8l>^5_U zpsu}TiGii82SzAWZ=nwZcqL!zo_}~{56!~D(oeDxheC^Fg8_l3Ut&B7(EOa5qa~Fl z1PGi+nJIvJ41uGb117hj6ylcTeN~iv5}ea$fW&R5^K2L4*T9xvMpR6kb;B{fbDY(V zPbSGPrrRYdBg->64VRuhYm}Crn$96-X=G;ufPWM#8kdyLKb8eh-K3sKG-;=0YX)Qh zt3*R1$VEf+NADSOs)XZAvLD_u1#Hn~ew33HNNh6$n;tTWGtSooPK;hrto(4rks1z! z0wKVmZh#pK@PmN;X>n75p%bL|b`9WQni_CmCIs;6dIxv_?h^_HD}bw0a`5ethchXF z!}PmYV4s`~6-drJ8j>-Qob=>KQ({6|yhF-Fbd5kC&;xV<9RZ*Xr~s0HI1dm7M40=0 z4u&cE2gnsG*2BL$US_y=Z+Aul%X=3WI-_`?RO{VYG`$ByTjiAwt(Il)pCg6s+RT&V zn0g}G$D2_g+P{sw6*?vqQ8V>1DT!QKM%yLV`RNd{`v%UV&cTKNN` z-$qC2HXX!^s|&@5L45`IhJ2hAn$uua8bH`5wxmN2F1;kBJ!xL z9$`@2O0h5>p-~FWIwG60LAlkNsW?12$RnBkVy4TMB?DNhfuQUZ07`kVjaJx3AujyS z14K^tVr=0b4{zsiT?Mj^R!@$;IFGNX4qn}%nSgrC$0C4qv5!eMGP^c3p!et1YMYSk z<_dla*syq__~?;#4Fb10seGA2RjItca?s%W>qCKA-TtEK6GJw~;oZ&tr%3l_XlVzf z6AOJVy=i?~B+!|TlGXX}T062jO_MD!*Vfpg>FteGDg@vtb!y$t9=QA?ZQ|t(Y(>QC zL7C<;KRGcdP3*nG{UDTR@TSL}tC?tkS@u+Ld%=l{dhhSCg-FrgO-<;dUI~)LopIeX z=xxF#spIojM;4V5mtEIksuiVgS>O$R*^W2A88kN6BD6O0_896WM`%Mlc7wlu#c^k| z69it?Q@Xu2we>*C*qARc78nTvo|TFVmc2+~Ws@q?e<8oiULvS7kS*{_@{h&vvPw?g zxE`f)119)MZ(A17yQpKU0`SUJ1_gA(LbiStX8#QSPz-K3JpF{trR=?%BvE{Jr&+qo z4n-dboNcX&UKehfSLA_|QyF%n5o;qxe%kKH44$Ef25eElk`^^CF|wIs$%3fshA)_U z`+>;)D|BdyJpF@=O=5VdHA2DMpam3r99we)_Ar^wvyrFLH$@9PJCr&_wGq0>Sp

QCrE?GXGHhFFQ;=Wrc>G3zpg)2S{Wt0EF4J&2+XlpH2Fs~)iV$=pg#|AH z4XwQvTeDp&uxc*)wl+x#EEtA@B$`Qjhy_S*=r?Vi;z`_ScNT{vU%16bQXnij1}c&d z3$CDk@k>EMD}F~NS0=XB>kuAsdMp0&C%M`J=+@F*7P4LY0xuht@oV2aR|uLVp#m&Z zrc!wJjZn78xrX#LEh4XoeyDKe?k*EC&aJO3vWD;}Q7o)9tjJ#ubqpDguUe}r^6os= z;(C7<<3G4?dlyz9Q%H8W>u=n1BdqBrwO6!5Z+(1Gy))1R5;>Lx52z2ly)?J7?NYUD z?Pu(ea-bJ<@%ij$28RA-oj$VoU%Txf+~Y>yj^!`XAIsUjhtG>O6ZtPo2tgR|Sy_$$vHyy7bQX3E)Kf6hF?~B=w zRQ}AgKlfO8x$GB0jaPaZY|_S1v|eUlEiP}HYrwtQsK$xOhi_sM2}q5cid}<~eJmHu z)+m{0J&0T0-fncJE>#ZBH6z5mxhmD_@9x`fbXvcpP7>D^|RLSS3V=Af!$w) zDy+i8e)%HK+V`=yU5YYFd6}LM#pt5Vg%ibe{ucdLRh09M50$2@fsnv8a+1W!3w)9K z8`E}lDMyzTZEv~VG5XNo-=kdB;gH8Q5yQyIX06mr(0K1Kp0lZ?OVxdCh5ISR2-IAL z1oG8HkN{FiPU8XZFdq!iH}T@Ds#xVNA2^#4yUN@^lMgXOHtCx~EV%sUPt8b%zFHNk zYWI-TqQ*|6hz%HU>u~$?C8SM4Q|{qkBg5gEWF(dw|}&OK6&+XL3`O~{s;!o+x z<`f=p|7|4dB<$eYU0NsrXAb+Cqu-E00Bwt=q?;|s{3yK&&J~k@hDyJceS9}`7Y!=h z1ph=V0)@MmApl4hnt07YrB-kH6Ang}Dc6#Pi~Y6kQb>cFO@0LkmROJ)?(%2p>Tc`+ zLY~SJX#`aXGxYGu7A3)Wgq!aPK=V7L5;M9~Xq4jFBKnatEvo-f+HwC4Cz zt0-mwcJgQDI@shFVRdtAG3#IR+*A;o>L2am7@O_KQgz_4zjKCF)Ejq|ZJF4!bwQD@ zdxam#vd+;5kwI7(01d3c19Y>)y1lZiD7L#_j`QIQxjv&zROBSj^$2hLplP(wPr=paP(-E#n@_wYg1sJez_c5y3|6kF1`v#x>8|o!u z>MFwgC?XdjBq)gYe|h6RS?c$*ALU~e>GF%_zx}5GZ{DTd*{a3f-K8_(u));*139nF ztbA?{LZrfdB{m=Y_F}LKj#DrF-I`B)ql3(~9K4M7VbK*<7u!$nW309tfbN>ht)os9&KOC1NgSJc_fGD@Xgp_Z6#2PrBjlBYlFOur z_q#VZyMkt+Hru_~b0~ePp5VU^X$GF{;3?R17W{%5R!ts!=z*+cAoULRo%c!uT{c4P zedIe!*V4+WiHLF_XXOWmqkjSzU_q3KcTZpRpmhv48oIGj&)MU__8E2spd8|xNN53g z0td#My@CPZ!vX;}p|p(u|oCI8ilpdD13&LQZ0V_09?? zzBSEq9-$?FZV&fRYXjNq%we~L)xgGoiPHyy^^E1GsnDOmB1uV2*V^F2*XctBD*qu_ zRYtmqIWphLzWXO=k_2*#{Qa1>!>odKmdO^acG{1OSkh$BsL-&UXN*Z$TgJ=B;!2J1mpQ z3RQ<4&SJzeAn4UyUK&_BX=38;`(4&NmdRzacN7jhg%|%^Vj>HBD>?hx+IGIIpQ>WZ z2+*fk4dC{&`8lP;&u$A2=M_nxPqohxsERD;knKZKCbI2Le%hNBxWhH}s{#d`JvG$3 zHWzsbyMgt^7H0xe;UYEbXB;F6-}!=U8;OtkYEiE-$V`0Ufb{2<2SdcU;8e9B!701# zlP(5Dt;uF=o50QDIr`)ys!Orrov&!++)}sq2g~rV*v&2)s5@Qi5CF~lH~8!|RfA^N zBual`f)=ahSSgW9MV=K1Ii#lf*>16*05MTmzHZXgCcN%d*&V${a*>^)-OEe>ya1Ek z+aMH;F_QMLLei@2-;cTgGGM;f%WYHG5C-&Kx=3mr$<%Xm@i<;x;l7Gg2`)**aa&~F`Myq zm*B|0N@zMfv|$rc!n-I`Y{bi4bHe&Hhl8p~jt8S6q+dopt}fjY{!Y50thjXE1!MsM z^fh|upEz0^6?>L|DE>c9&Iv`|E8D65?T!)Rc8_Jxlh-VlUuJSdO^~+WyIvCSWdz6? zUvy9%bX*lijZG`-DSc9UhvtRc93Q9;Q_DenM5Q}eK}rAf&*h#vzS&~z?0{J3)qD%* zgXfddQVRt+&8h@7*~zl56pOoPjO|H~V+X~Mje(>H;?fdGiG=j{T|!nmIWs2iUeZqN z{nK+%pvGr}%(#RtcwCNbUR-Pzp+>Jq+9B6yuMag8LuyM`KQbd1mszymE-@-CmSYDP zTrs)FFNfZH7V&p~7H*$`v)3aBk*%ya($Ulyk91rbDdo|zBmv;%b=aA_n400Ndu+vu z;FP+R5}US%WS5$;r=1|{9I)->qi1NQtSd)mWyHnjhg}}g1X$D2bjE9!&P0Sdv;tGewK<$Lvbc+_xg zTkN&0$=g{%jE_gtoO}NllZXBSvw(rxbYP!I)-jSqs+n`zksZgFn2AI{WhEhtpU%n= z0;Zy)Fr4~Kbhd$saHyZORqks<2FZuYGERtlo939uOD3eHgC44~dX<5T=;6@FV_5_6 zbn@p^Jh?n1Ue8sBAguxJ$_ZBwF-q(nt08o1W^B`&9DdpU-@e~oA?m<|<+6*h}$CJ#HU7m2rF$`c8Fd)itu zc$br8*mq~zADr#7*1 zx~@m+0#PT?pGe;=BNzfdY7F^*mc^~AZNYQyYx9X%$H(R61Eps1E}!C}zKd~k3ndMAr8`rOvJ>i(~@CNvF}OMz2Gm zk=~hazcU*eX1-*-ZHg_%D#_^Dw8R)kUpSJ|s0uo8L0e`uX7ij}-4S;Dk)mTB{>t9f z%v$@E*ej55r&Rxf@7Fom&FMEk3&BsX804sju4t;qfbM8rqQ9hxX{3(ON;a*&#-*aN zo{gmG+Lg81OHz--1q#<0=KA(UL{ZMPVr|(#@m)6$1MA}l6*37?qQMS)I$2VyU~iZZ zDA+gjEiU?k*ood}(4vImW@`MG6DmXl=2cz)1of&$U%RX$$NT>)ke>ji4rBv|_mAk< zsT1C!JWi+3q6rt2!KC!e5_Xl2fj!47AdP<`_7!E%Ick*Kk0U}-n6TLKmZ1h6pCjaV zR&l+bU5d>*%zPdY;bU>JcVzo~f~}7l==vYf)z)htc+BZ@9Pu?@dij3F6oz01_W^G?9XKHa6lSpTCLc!-k+MQfk zCIa(EoQl%_Po&i@TS#cIpg~ zgzv55_dkhl-_ul}XWuXcB}4(E##z8RiS4yY=Slb*j}zELHdqE-Bs(M~zgR>al8|36 z>c>a=rO0YX+pnxid^BJ;MYUVtb^5W;8^jm*IvJr>`hwp>;ea@sl~$JoRESbC2`-~p zItWu+=$mA$+B*FSU9@wAmds z{(duzNUqw?+KKB1)YTJj(f2ONyF1Qom-q@=u#TUw09*BXZjFy11@xS5p0-K_ zD^EZvGNX3h{_Zvro5|v8M7aS2sc&-%XZ$a2mg}k)Y)v*^D>dXHGo03*v!r8x*%j`y z&zjjG1I>oK-wM2cK8#A9%$h3lOb%)P9JO76XK+g44A1dIk^CuY;097IsCgc?w663h z+%eM!$n-hJmT&N>mnilsmz|fzLjqpD`OkNDTi=F8f@|!~^(jnYq$i}6%|(l{*&0G! z893K}rm3?;y5dD#`FnUR+Vh*go-qrf+K-h5483oz$9Ax;2QEt5$OAaFyhoDGs&=g_ zxK05;_C`x~Q)6gb&1A7;QLHTqnRM=b-u?26{-NWHwg@<-Q?NSXDDlJz5MQugc4hce z+`qwec0uy=-=eNy@D(@53RpwGeY-D0HAaBI=3p{Q9Gw#$)1P%I<;;0VfUeg@C+Ap{C}olR{OWsG#r38u9B8Na&t*3isB9J$hW zpxo#EIoF#f0+B2edzYfmtzxib^hOTL#vb$(4ri~1itbyX@6r{+)+M#O<>Vn@V9V{k zEHV1ua&yfv41?#7rGJ_}zw{ZR5HvNW)q6ns>@}SUqYGQ)ScbFu@i1&G)y;}1ud;419YqE@dz{Rn(l;?{wgMp{K3ZF=I zU)FdoOn;j!JRB~Hee!%yQ-j?~1E z^|?95Y6cB`{^7@(l<>(N^Fe1g4WNBG3D@I6wfg8?x!lcN7gX* zPR>i^3!pdm9e$!*Ia|heh2NoJ{@sC)wL`8<%DYB{jME2Y<-Vv)xz0{gvF zUwd>hLC(#u`AqXy$f2mu1k7j!P%u(@HPpaPbH@w{6i6L2u}3T7cyjd}(z-X)Ag!=6 zbLeYX*e%CidO%I78QvCYI-a_P6YVP9ET1C6%rO|V$BuVdffF70vrz>*y8_4wPK&-X zZ+z~+2jVmSL7xfvztmzU*Jk^Y7{}|%r9m3vK(Md19HiQ?*!|t${+OpSk;FAVeE|LN za=yO;Q6Ui>T%wV1N`cUBM3=;%tkl75^WgooTXvPsh8=w6ZN=yE8rCZCUX>SZPS^gXV#LKFS8pE=ztk($=Jd_& z1nskk*0_GI)~w7fzhDs9Eu4S_&2Q1yJgMVLK~i{i-Nk%L?GO{4ih^RhmJ@130|zC=uQ<0ftw zyd3Ka%v`3*Oyt$M`-|-51g_G4{@P>^d0@I&$GbYZRA>!_C`Z3YD(~$XIg`yW*@=Xh zQ_zWhq9(+RSB}%7=H=SLiuaI>J+Kx8pdw4?wgdoC)rWbd#523Mcs3nDO2w5ctOn?v z9vs2xuCw3%Kh`HYC9?Z|ixc-HpiFPH;IIbLRABe}x`2W+yV!BDN_U#`T1>5P>)%n~ z3FfN0MB*fR}M|7T}R}~{uOV$h5qBaQ9U?hgpppdaCOh24;PNjAE@_?Y#2E*^1XoD z)BL^}SF4g?8 zKX*$=IGg9jPr5<29=5t7(){9WWcff%Lp!cb+os1)Wh z8K6YJ^bZp}Y0bF$EqjiUjd2>mUTn{ohC$pD_l6O?H}!NZKc!MYI>np+^Z!~P-7LOW zgeePT$~cVIw2oMGz_{J${gEj>Qrlx=>c}f_xE3pE-EugH>_7KE5bnk2spyx~Iwg(D zaPdczFHtHbZ!#Dix0))G)2J}(3ekR25Y03?`2FJ9Id%Y+b=wQ+**XZDQ5RuQvyAL= z^S?0&MNKd3pL>7%JSJ>Ip=J>m^=@&j1A}__mOS#aK#YAXsKK{|2I5vux$mI|`TkHE zMgsV|N)j8~p2s_<6L0Nu``NoEFQ5Owl=%U%;1QApJnorYjWTEP*>=q>08lWrnWIxS zCKtiZArS>74S?4tOU^J!xpHIIa+3TH_R#Y>N=hj&*{_GA^_#j3S3(Oppsy@sV(yac z1$fI|GMbTy3pM%{5p1f}8h#|BOwe+#H$w1dl2;b>$Dmnhx#xHL&F9LYR|+L*!gk!= z-5osgu7v6nA4lP9pGviF9qyf$6ME;19wyy)ysys0NI1W^E_L0)1=LL6a$G8Wo`XLt z5LApnS~4q=aGG+q?0?ZFI$g{r%_3yDn4L8mKO}r8>?u+4#76YdJQTyGpxK zw*TTA??hoACL-Sm=oh=SEobL8F+-!7}BuJZw82^s&xv3!oElK;(hbNTTT2&O5EHA^ zcS#>jYl|n#nolFJJ}xmOb@Vei$MID-pATQB+(1MzV#g~ViIdnCkM z4?Ks9DEmhm6+qBp?;8ECGJq4eAqL9Qg<+YpR9Omawu9{w#*u}PLcGHv6n?qiz{N1e#xp4<S0gTmg8>?Nm&?jr1NRVLnB+^yV%uIGx<6*=bIzXt`};t$1*t3OE8 z?ERV3a=t$_5-Y5R3m}K7A{6ZofCm}@jo`1F|Wkeft>bWWK>Pts(3qkVNJ-qs%gvxEIHRU$wxm&9 zP4tyJs^gmwWDcXo;^)K?I9nRTc-l`rU1eFl^4+?U2$APXjhYvQU4nG6WIZyQ;Tr{G|03AnYPKckC zkJ!e=H-25_Q2AdWsa!k^_F$h}88hQ5sICa)e|>RsaNcA=fefE@1L8E;3B zr^szZQMpsD>pops_Kd$aa)Qi}-7(|i7D@((o z+R~;A`$k0Wo&P2wzj*>qo|htK&P;aY6~UHoyJ~-d8B)rprcbLbRRiPv8mlxfWe*9 zNvIo;w*MrN>k3XJTuF63)tUHcI9S>jeAvqsX)qR<=*G4b5mYuzCf5UZNy$2nnT3X= z@=;oN(SL0kxS^i~iPX7##ezI_Ti{0Mq)YM1baC##Vh%ox$9?k8&Cu;}1y9U}{vkNj zU7J5we)bOkERlJv|M@WfJn4tySecW3;Df@aw9LS}_Qgi(LK?!NbAu9zu7${NcG%Hh zSX$YSMkQvAS1hsa+fjKADXlo-n@of-Oxf3~VEKlewFkbKLw#`qg+pj_&_io;epW zy6t!hL|+F{q4He}lj>$=!+T4Cg5KvC$ERfN;j5DuAst_DzW>_o8~cL|nyD5Y3^}ZN z^gPFi;kwl7?e9L1@Aer^6@1|dreXBSLwRzADj_FEY0Z*+wY~Lgi}n@~ zy2e-qJ(Q>Sg&fe}vS=W`22}PTFtEnyd4|)>xj=p?_1uN=%>vf#G6(dNOrZI|KeO}6lPNmc>R9_xf1O;LDQ5+AsoHWbXWEU+?R>@1L z86KI0P#rbO8pW#7+Z*=kZ%ZrxMrkkHa9F^A&aPFhul3ty;sRgiaa3bmzS=XT=xZJt z_8zG_P|o?^M7(nKYuc1?)=1??1D|02;hUU4eB~|(R6Gn^Ul)vMfwKH`z3nCU-%e`q zdcXThU{;Hpfn3BrQeHgF?cXiiZXRw4wJhDCPSUojv;143r?eBG$4+M19VuXiKrb{} z6@~t1+0&&o39alEGcE#0@9X2%fVg}gFt;20viSQ7Kye_hT+VWXem$RM_4ORdLU5LB z)-_^V{*rW%L-Rfj1HX%YA@=YnM|_hL(KSFckJ zQW_SD(g)`sf_DA)zX(>pJgdzSN*A{(WeB%U4mgtSdtXm-{(_YU!4#R?%1kRtM_rXs zTce#5&JfooZUufBTR9Kz>TX9;6)5rDDMgtvl$ca_NoZczK!=MRENLPu0k?0-bSaWs zQI@jR3Uq0@n1P>ltt%@-x{CIY2}is1i=?q#?|XkBy7oprMqG<3LC~Xg5EuS;Bi-Wd z=esg`kk-sYNbAr!q|Lj1QH>C*W>=kpAzz~X0>+UL`;)Nb07A-lQ6EH+5sF3oRtd|_ zI)qFoN1P?h6)FGSu1Gk#Xbz=aC{*fOd=J00cjRc`cX-)iT1e^sN(q9t(v>U0Tj~N4 zpQ~^dz_SJCkdXs*C>B=^5X}_^GNf1T!s8`jzmhEx8{n&Db()Z`32?AaE& zS?lS=xbu6xUxPDiR!*aR?;BHjSk3ZYeQ_iE%!NkRkCVvb4*a1mkM{wc>csebP$o+B`2M5rVy4nL z^Kd}ewtVP(fGu}mH~1fXuL2kU`bWfSib#m zk~jpRmziS{|3m=~*lR%jxqTz{bFIXeiM zX?s}E*3=3~YJ^?0?{2_$79aEFR8;EW9fx=a%g4$tz?xSpN)}%aeFs*{$KR4W3`H;a zXL1X zZI?^c_wngl4sz4!Jcn$z0fcUft0irY%&ZJ{HH3+Z+nL<;=1hlN+=V850MUR)FLM^T z1@|qXQC?cLLEVikiO)ez;wvYPbc+9}xVEwDabqLx=qPx#g&IxkniWwceQT++`rg!r z^7o9yJ%WK^8+x8f5xP$eKsBcKgFv|jufq;q#uh;SEL|{U)3hfxUWY)pFfNgsrI=>? z<{W()9i#=b>Z84n!_5it&Nz0B!fgySBtC5xzsPsiB~5PuHH+=Amdf;_49X@*Xxnbr z;Dp&M)RIayEZki+u%@i%xyr5lO=GWvnofDRSQNI8QJ*@5eS~W|%lHxaa;AKT6DTM@ zgbK6bn=kbV%|>C5?+aRL?J;CmyPU+mzK9Z68-9U8py4j9RS{BYG z3a9TIM%KzsT)M=4{|2a=+e77}mMU#Xf3i?EnGc$Uw}h8y*%-u4AyqVW=>V4k*i_^& zL+5#<0KDzGZ{hKddtf~RdMdVK*Ar%@N_N<60iX0pq&9L51%GWQWJ8~PG*?5Aji9a~ z5nP2C@p$qM3d0q{>6w$oexi_EgaCaPiiWD$3Vjbmp~sZ_e?in$FL~GSB6UUAp#wp`0db zBRqSfSU{a>@5c;4C8BWpTxUT*0_w8L0Oy))5N5RR-MI6SUq+b%`CaZbZpn+p| zZRx$vcvHyX8*wtsN$>TrFn7VB2jyp^Lk;f0{N@X44DC+8(a^g=d+~J*M_qrt0nQKu zC#-mcI#AK(4aNrU%;O&0=mW!|N0bk|soHhS#5FR}1|u6NwWs7xL2w75Q9q^)9F`baL&V{B!ewe3i_ z_e`$B3_fbhx z0%yrDS&ANRGCw9-Q#W{QX;Exe1^f0Eq$o@EZDQZ;!L;{F9sM#Mrc33&XJY6tQ>2FF zmmU*yfj+p8$C$;QFwS)pTfC{d}o=U)#Y$>>@Os_Pj2qvQS?upr;>JD)&r=)7Xv zUZ}c`dcyA+@|2t8a%IHYJ|11cpBC9{#hS`#nvlR4st?ZfEe+Mb2Y80;&xRteK{}7t zbgWj_6zx`_S(;p;!aW%g;c2peE+GR!BX-v=%?UXz4m#_8bk;?JBupQ#xBn2*w})Xx zF{acgbVwaSLt&|=rziAasL#@=pP^1mx#kQi_&c0FYP}bS96I1!$m&8xjyzQP(>_*f z+PJ5|Zi^mRhI_}S0jY+ms$vOgnxl)*A7qkUFD?J=7>KuJvT7%)(!K0p$T>v{G$_;f zfO~ePR#x*Vb~KHz+SZ#yshu^PYX1Q`O0C9xo?@FCJj09X7$z=EQbT3_)R=v;yRn7BlyvV9y>;Z}gA6FB?< z7GO*Tb$0w4>ert%r@txKud+O)bFCtxnJ`q)()xc4orymaZXCyVZ?<7$?rZM*Drb(| zDv_JqSLG*HY^Y=#(Pn6+`*d`O6p=H`eI;ke5Grj(Q9`c$`X8Rx>v^8f_w#;#Pq)cJ zpVoCGRUpda4t>faG0kn8Cw0Vq zKe$Eco@blO+*elJqTXvBwDvDrgm^4+cyW|L02%W;jF5aEcP<5iO$koZ4!Ki=Cqq^Y zqZ=d8zQVOQK3m{{LO@6lat;gVkE?vSSm-61#QIv;b5bj&uonyu8bE};fM@{TO8w{B zs>gInT$-i0YR_68Utx?f{70uGPTN{A(svrG)79}~eiB1({F%9Lv$WiZCcRDJ0pA#a zCU|2miSj^ry^fc3SlN=@Y4a^^r14$)8SzE)UvicjXZ1h6u}BB?Jg}fp@L<^8&;u_c zf+o)BNBkGOaRy&~W9@tb1#~;d&oy^C8@72_>^39)#W-i{5;VA(nSMd(+0TlKY)TvG zuLvgSI>qLhwhg%Tayo?~nG2~KxdJeIOvTQ3l0-pQchlu<@2wtI&*x)^`C=VJBADVB zhcejp8gB?~z##ND-2qTc8~GwWRN+wibxc8G9PxErF@NmQ((JLXQ@T-G@&rbBVN}wi#Dls<;IX7FL4hm zQ=Tt%6nWzatf~RbBkNC8G_UfQdJm z>v+N;DU-QaAh2s%V|WoAHlqU^FEHkRnPfPzpKh7o$2_{%zR$hV5~NKifl{9Drp6Y z(8ZnM!oNnbc-jc~^7bJfHkvTNkha{C8**hq3 z)ijp$BJWS^7m>XJ6n%7f=^hXnF#P}(7krp5Ad|z~)9f)DPT-&3sH1}=@2%|kE7#%Z zQ#TR!fI(G_`#g@-t&}P7q{wpNNpF(oWc9HDd0x)vyzUkXAiL06kF>H|f&cw#GndF~ z$OYvJHCkS#SvJEHk_f5H!5^j<@(G@{70P5({Pd7VYiPqsm3;D0O4#+|V3vR=>AJJR7di~MlGW$M81 z?5O8*#bE_XX};Xs^vQ&5gvk`{ihwx-K;)cvzs1~|S=-EpzmJXLx0xAnsj89cgE`Km z?RrGINBwwBkMz>HL`vFS%>4Z}bKoCB{a9|J!-@c#r0jwwPD2lV*kFI#W~|`>L;M*) zbSte(Vw<&lw}lFP?jS75-1zgZ*F&Qno4C(ZF|+JJ85HA)7Y^4|nJ2Sy$#kp6D{%m9 z=2k3sNq#dHCM;DGFlipj_oB(lw~W^fi;(04=wD_A4wsOki;wX1I6(k&LMsvBEKjIb zRws@ti9Ogp!VNW_oE1mx(9P{@z}?sBC3SGFsk~h)LL&;*5j>EGw$A%gYFV3b$b2q5 zu`m}}$@G|q=<~h@9=2-Zb~{k2{1&p`wP^PW))X{igjM~2l>%jdce5ucU6y@WQ=q@A zhmvYJq$3!Od1SbC-N7^g1^wE2YS8|Hn+~PoF?8Br30u~#>n@WI4s49j`zliLSG-6x zMhpk6+24?y0wJ@$5D-=Y*xAqI_aM;`4~37wNG9H-&dN}ITYCB*=|q!izw8I1n)n>& zwVw3yD^*)mG96oofsQHTTmLfLuD4#^iWMMPf7;m+k%otCnnr~~siJ6%r367pO0e$fzd5W+b%LmPj(Go3~V*RcG$I-sfa3}Af5Tx29PAHXk&F%Tf z7(h&Z-1FE8dKxmDUh!(m2Yc<=ItFp0HYaE2_#sTb9!9Z~O@4L=K90E_X)O3YM$4?A zKHh{S>`iyR=+gy{dPhD3v@)!8?WwAj&z3umRjVlr8Hxmam^?bkEuk4$3JYf|?J(>Q zPHk3v|8pmtl_(;7iPXG#nprE~eAQPf_w0A0Ny%9?Jonq6StBew|M|y142s(m@VWdy zIP$`bpbIJ@ZrR?*QglRHaP7>WMu2v}Rfd z@(J`cE9om@tTe~>c$)NzOq`S6OBWdWry>*mgkDW!_k9_f< z(%EMzxVQ=S=k-d4?5*kdfLsdZm;rT1n%$O8{&;p=$Sk}yX~JwD$$z>ADS^ag>vW`T z=ttossTxQ1qm9vc{;YgLa^^5nqs4 z0i`yI0QeG;wgq`wJ~1R-zJ7iwoR6uyez7U*ve@q1L_fqU5VqV_D1A2LS%+|!|5(BP z+J++#QW->vdkIQ{EKt4!l)DoQ)4fn$MFo=W8_Q0IjS4QHy<}}F&;8Aih?(b98<4e| zK3uqYE6%~_wm0y6*tp`;mx6(JL+4&AdNEKt{B@lZhkd&o+U?H#YCE#N+Lklrvi~Vi zH$VyhU{&gQ+Yf%C$szkdqI2}Wc;dr*dxqe!lP@I6QtxZ8MbFwV!F5Suj@k`D_Gr$p z(GZRs3Ghd8@)A&CcKsIZalV@FV9n#LP6x!TS^xY3HJ0?`3AKBV3 zB*%6C>^_K&544I(@&Xw`uhJ+He#%dKf(Gkw0jK7RTHhb8*f*6aJ`2+~=~#_7d*?7j zOXGV^n+ywinvj&8$DG%SpWRBsYJ?~{pNF0#g-~=Y`?;v@cJk^J_XiU86C_v|CpB;K zz^WN+`u$=M6q&hyy`}@YE9OAsR=vCX5bcj(*T&~^U!2jW(fYyLrrdk!lO($De}B>L z@TJk=pBpVkt(eh8aC(>Wd}9!NHiI_-Fq+yWuOBqqx=0opiT8=Dy2J8*rU*(|DrY4$#_L#Go(oIa%W>eyu66 zkhyx4shP{ET5OA-z)Z0L*pek@)#fzf(ekhjbJKWLtf!u;+g~B%82StM&y7(1c=g^@ zSK(t1_`Zd#;~h2^uYHa#^Og&*CQX^p%cf)m_&)qoNkP+uPpv9;!`OUQ(X_Y0V$Mpv zOTDmO!vo6+hyA~=>+zy8=%PZ@~)WE_mcTCBvXW9G;zOqU4TCjs#`L5Sd|4O}}&4p|6 zFoakkxTnDvGOTUzvD&cU?d^~@|EK-9%&A{xpQhaW+x&R_sF4(LRPXt8$AQYvfo6cs zsm-0qe--h5ICMl7C$*LYV4x6t*8~CS==K9~nnXz_8|y!|W>dOB^d5Ry+mVZ#zpsH} z=$!pw&E9^qz69eLjM+YEG8wJePM;jd$Q0bt>;DO78x9=<*Ul9=Kh2IB|{p`6ApUoIXS9Iye#( z*iY`)WWx(@?W3R=fYNHEQDxf=WzUL}0w*;9Pr}T~<-zWtv@6q{lKvHx z(Oyxdw=V2V6foLlb^$FMd-V^k}!bx6Bi^x!#w5%3>G zBwnHU`;H!Ux#R-MU1U;Q;7jiDLQ(+Ew4Z5>DYChIpd*Pp7$o0p znANOa*+Mu`ZZp^Nq{;CKKaWt;zd1zO?-f1g zl~o)A0haSUfL}2a(EgN+)NE|Zq7enTF+WAN)N74M(vDUizF%;+F7u0_u{Y8^+`K2y zQNW_FwaBCVP!T5DWY@hiw(2V2ap#L=g$n-T>AP8_ zxV8(;wC=3yxC|<{t--^-lF~K_2u?LREom%kY1-A1jA&^B{xWS#&i2#4CVBs+_J{-; zY;~G+z%Y#=HmP<@{=W?(9*uDLerHU%FsDZe^~-vh69F)R9cYWXD-LXUC02SOsR&?O z(757&6H(CRwwR^7Nc4)gLvF1l<6L`QDp`Cw);fSKyw3dc-6qPNW@XY)OX11pl7C#m z7`Nh;nb%n~fKh?F!oU%_G{WSvam5g4?Ir04jhT_E<)v5V`)-WSGVLSnbIvF@;#?l< z!nVk_s6IDfOnYt%=wPprnHVnte*Ma@(WY_THL(AvnA7)0X0~M5y&O#B&{HpfPocu> zz3j)X)ahobw8xw_kG9l}k^5N!*$*#j&kpW~lo+QbDSkwpHGREn5RtJhqGE7890GmiK8 z^%mEMMG;KdoZXyu&6t%@?qWf;rEOy=+#v>ih2k3JChuXT8octtfU};lt=)um-P5Y$ z@#If-wgZ|)=vljat?D=1YvYDU>TA%9ow&o}rsF&kj3{CHIZ4DyGJCv`nf~-Q9qsog z8RpgmYT$aiH4Ef6@9&Al=?x)`06E^!F*Uy06v{6}k7Ugj<>W{Edy&O%UjOEF0EHGz z3NOZ9y+uKyi#U{lkfvMShjS}O)c~R~!}=DT2AfwI^{aG_Ey5s6GblQ@UqhnQD=D7) z47ngN(wRZB*?78D2sKS2fjAufw)jg3Gh?FG=ON)k;3$95OSLQKRSg1#xp`mhGa9BH zpSz0IrE_O;K8m}Zu{sx$WPpv2E4Td$7U)AdT#SOE-b<*Gfv&@va0U<=kE;B zE;gK>rzu;$WwNkKjEb5NEr=iw7P4=Lw$aXXn8qtO+N$%SmDVZk=KO6Imwph1(*RK% z?fm3dWB_O1m&cXhTm^hL5rM8Rp$YHq){68f+S`zB7qQ=Z(YNk8Y#{Z%2EMg^{=LTQ zg-xATtQ8gh;VEx#ewR>{C46K{_U&G8S*(m3ub;^HCC!;Oys`8f5oQdH*r&QV9Znzj zDi0A{ZC_*nBckRo!0ITQ$xTNf=6nboSYVqRt$P2C-~p!QCV#`-as6Hr|JK28-SOua z(J3VS-AQ14xYl{1roQ4i>B&a)kr?4mZi`2#FJe*-F!&T?I`X9q6*u6W}p9WUeL>yPYRm6bKe-^)lxCwp6+jNS1Mxp&78%q-=P#mMVdDI z2IB!=wV;pW>hxcnso*U292I>zPOk29G*%{AHufB?R|El;f=*)kMN&p`4g%Yr{kEhs z560PMASa;KBI2z^JHET=zZnFq!$Hko=;I||CGv`+TF@WaaUqGO-@91OztjImz55zQ2UD1>9mnfRP$t66EU9c%g3O7I91^OI z#(xYjDFqC+6U{?mslWCZ6j+luAb|22qXOlA^x#)zx835Y6tYAKmRHaJHxVQ2?DXaP)%cb7T%ZBL8ePjo}pN`ePyhlO%E45FV5!@cg;ZNl+jk@L z@ZmxQ1IK{mO?iSgOl5jVPr@DbFUv^cbk1k*v&9CjIn_R@@EYc?uv0@)D0kaPVR`Fo zF1tn`Si|K{6(n{E=_#fI2`t(GbX2Kb3j8fG(Q0TR+F}A{U>K$c?ix@d`5u` z!p>FMmBi|QoNq5lJ%W79ZkY#W{hiCNMsQCkzc?*|^AV9O!2S!V74JRUYbbN14V4Zm;gS58Ja0Ug>jb(9V#aq^IDP!D~4R=9Uv!{G=e zHGZZ^Y0?a-Tt4G2geo0y;%4M~bC8OKSC`F)EHr#nd zhuC|fdQAG9XMyotrfP=OS=Hc5TI*{0a(Os>GiX_2G~~~ede195(w3#}R?9}kOtwW` z@qxc&ua|Zxs*~j9?&Y}V5ApR!9PCDj zRR=@rxGo!rrrsh8Upw>qA{$Py_8cQj`9`PBEn3#N`L?@KMvmj~7RvEkt>S`?&rXS5 zd$1s^e69~8dae`5dhxHeVBha0TyCm*ZH}%-`cek*3zWvYE`mJkv!hZ&oq$xz}G$!5WrF3H0b5sL!}7q$!WCJFHydzA5ahhG;pLbIZPK` z$F=2p=EkI1ep!u#p?q~tBZU!6io51AS45nVusnrQzf}p=+Z}%Y_{d@ITdrCtsyN`) z_z!8h)wRKjb)lcn<+g|&9627sf^^zjcrA%`T@|oyL^7tiX@=J{w3W zYK&T+IDb&+=fAp18%Ur5VDa#gx{n&%OYCZHN6Ftiih`YLB3~?DhkVE(*#Z1@AEfK( z*-hqz0KXrm%ce@s6{blNj9jz;QH&E%(Nfs<7yCS@jav(iZXg01jii@{ac6Wj=?Ct4 zx`udkrv>ttSW(sW?yh~BRxzxd;l{!%sbe2g${yG*GF;{6B{Qc=VZ%(*sU;hPigDM!2wkao| zc1irS4`o$>xeg^iI!`(H&8R+K#e7aE0TRMX=B$XJG4}c&9IV?r|I;iySA96Uyl~Hf zIuog4lBxebGf5g{W9IZR;qpnbVNnx>!Y5V@JQf38z!Fi9+7BSI1Llr_^|<)gOy zxk6l}HxrVJZ-(T?xnf+hwC~ici0*AlSDZ`O7tAFU zKI`%mRUGY(sheJ(RA6dKt-Jghl4ymw{=l~WwH>il2BvIqVtGlNV|bd+s|}|P-qgwi zCm&OL706r7`jezO`4=~YTIcfGw4U9w)_>jQ`Uw^8TPlRwxrX;pxLwAgrFTPA;oP|_ zcUzlVTW!ZOhxksXF#X#9c@!Y03@RSYT2?JD0piXM@l6t*!tKW~$%P##2@j)wYzI(C zxQqY&RFVUK*34_(i7!yKn#lEf*JJLbtE`3XcdY&DBPt|=xd%gaOh6G+nd0M zEkf-l3C+lTAjgU~<5GR}#f|5;-YDu-%5DfHj#GNsC;i{>hCZgvdK;AYQDOxcn}56K z++&7Pj7S$Q@0`m>g)Myw68-~K+SiRR-AbxHqXrxh5&xLe_K^2UIQhywh)+OiunSxM z69Z`T965D|IAd!E8S_6V^)!_&%4N5EAVYZqRi^t%BG=XNjE1-nHlWp~VJaqhj%~Rf zXnCaHCxuDz{oL;&jI0-IlJ@(@%1}FsLs zl8OQW0Rg6NUx=VKuNa?KxW=^-)1jop*1-_dx!=SBGZ`=B1y#S_yzIbN$)Ev0-^gPD zbKEyVr;m4`rC4P{o!Ds44T5wsN$Twnp~B1?|13mwT+fQ(J(MqyJZk)y7&{CWt9fD8 z{#GgX7>M;BEf5rdFl_n}_SRz}3M_J4!E}6xXi*UICq2f?XG@O+OL`@^%{zfM3l2|2 zm^rc#Ng|H*2%#z@vJGijnR$9d-rMi2tnRGNIo3JALC|#a<3+B(odfqt=LJC1(^g4W zA0RF6Frza!F)b=>rjv20)h*KkBE3LK z&z}C_Nb>S0aS;5qwG5J_9+ENiEGB;|v?=>~us!t4=DD|@KYHHj`N2zCrhThj2+1b= z6g~hbRChQw)j|Sw3ltvMK&zN`W1b3>cY8+52ucXgR6F4mKl(jpR`UVe>yEOWJoE{K6WDcA$Tx|sXCIuIg-jbG77JR+HfHn(gok)SY z-)r#WR~cNS5Y*_^(QClhr1~?)s`z*pFqT?=J{nEJ%r`v@gTNk0_8?OFyu1_)UwFPt znc&&|GdHVm5k<7MW>g5ub{8QKt5)Y~zku&&?@>+;>F~xF7)gnBdr03s#g}AT>{(9f zW!HwgPxmjYlkOq)C;1XH&DVwj7IQxH4$`)5FoR5ew5h?m!NIP|AFDr`%jI1`BL=Mb zF8PpwvPGMFE*k(2$zEXJ+mtH*py=~7m}Y4Eq6PvO7%^~40}&1kfJVAL#Dn4=%%n)SM2`u7l6 zZ?b7&NJIKq>g-cZO$OO`!w%gUbn;3*(#u!6tgTf-^XtV?0rcOvGX?;QL=<2}r^!=x z2;Xl%X*+5Zrrognm8?3bq$dtyZk>=)CXe>arwETS6v@Cm{;py^sAab>qu+Oq%^kUx z4<;QUH!c(Sq1w*d0|IGFWp zxW7qTb$BZ@VGGfWej?j1m^^~X>A^z-GH$inPW?p7ZXF~6fXdiDD?#jNyCpM_L-K-BU%g8geX=E2hbeBjj2U`RCuDx`E7)R_ zvkDNXF?0v!pVRMEIZc0bZjLeaz8%2OZYmpo_SVwAZx3HS7b*d(P|KK_{ z;Pwy$-Oj?wO1t95>uWBtw!F@!506iTballgOKaKMF{zFZ*v{19>l8aR&zEi1U^84mcmBaEEG>zq`fYXz{Fnn6l?N z^1Rbpo{}+Fw2r3_Nol-+ugwg9>7it{N7K zE@&DG0whu!ZkWFy+AG$_T^_0@g=Z%@#A(Dmf|MW#>7q^e8aZBkL6rWI!si>@yIl2R zI-9+5&^CiAPGUEDL+i7vB#+jnTukf{Z~ww=HR8A3!=tJ%u(f#+ulz|I=8S;+nEc1< zH)2kVd>cIMILa8jVVxc3CMY2gV|eW~p}8ck7hM8#zrRuUPhhn{J;Wd>3)2<`8(}53 zYS>9*<(+4|?7?Fe=Yj{13?i_v#vm$UST|@>Dfz3C6jMp&L9PHtf+&j^XFUy{+(~ML zi1JJGI_~=U%IwxCGp^BY+pS&XpJ8;GQ&Dd|1qB`K40$^DlRhmYq*n$2Mw7h-D zSL$k;6I@UP##-Q{GDvckk3TSIYK9dK#}j2@vTiEEvF(Cl%exjKMA7u!uA*%^NRHit zVT2?Xe7~YN>3069>rak1PohHL+Op}3OLPlv0p((k;&dAmSLWH=kKvf`*f4z7xlWEY z6(f_4DZNdBq?cr(af;#Ji! zw^6w#$EAsVd*~oK>lYbjM=;DNqUd6jzO1|iJh%)ztP(t7tn|W;CHoi~l0+i&<6C$+ zJRcSPjw?v}TZTc}cjx(uW;H-0Z{TR5s9;6vvDH7)u3^QJ>D|&80$JxV@ zLGE@4u~p2*Ov|H&5>%F?T7w{O?`<_S-xxLuX!E8t7M&JEyE?7&U2> zsWfQXckG_pe^(pBkXLe~dXq92_iCo0>yH=EIV@5T`+R;ue^>j0#?w8VQbx%ZuYb4S zVGF?bX%eZ=TtYex>x*Q3+JF=^6m)D##%fd2f0%i~6Ck?ldTr$N#Z&aeaMAx3Z2lWE z|1sh*(ql9ik~!~8WP>$fC}{?$Q|7o%_W-Z2jwWa|OdGO2t-&%vX?fNq1}#3yrnUWD z(;4l<7c1oEhRP(o)uWdRxosYLe*fh7 zGQ)Hr6iO`Zi_Wb+-F_|vi8}(h*g<|yH9P^gPOd-j~>5Y~S$Rd$Bna z+0%#hHXMD_EIxjKYLGlqJh7FRJ{?ohc%~P74eX77E)|Vy0}kh|I*s1VQqS4nk{B{= zPvy%9`Db@b{QK}$c0$>!Lq)rCm3lmsr+V3JxtrFnE3P_)NYW%c(?52j;z2XwjLzF$ zAzAOO-kOBd<%ZJ^Tg{wzBQnC?5)WBZO+gL&p{-E$@TSSILz5(f)}J4+qozAEsmS~0 zrMz;^YT_296%?^Pssc5x&ghDm;v_E$!+iS>3F@7Of@z##Nf$C29|4=N&Rplx!7<&)9Bf3hh1QiM*i+)}JS5f8y8^SU4)?$d6a6?PuaHAhbFp@-X*lT_XSTRJ~D zR)jR4oCx)q95`bDE&m(X@FPNf8unezcQC&F-oNxLhV45DhF=S@545kB+$(R00}C{v z;!w4yLJ$26q@8t6Pdu=qBca?)(@D;6MY1>y)Ih}q`TN4s|63^z03j3cLc?BxT%6=N zTp+IWh`bufWi{8EEh=^0UBvs-kSlJD^y62FAUAMH@N%0z-t_>{b{$zyH?@B^+6sc| zmJiP>RqunNge&J(t!>EjVqQKyhY>Y969T41TM|mJ=Ki#G>pwS41UC)wb85x)>Aj|Emll}uX@+ECUz2XEFh)!5!Ux`;EhzITv zZBh`tS|@814W96fu*x_{CjGO)hs$%bt7K{Q8o3GyTB1auf{#q+jaMlGkJDZPPI(vH z+G|fwd%v#FqPCl7vh%(F%!kr7ypA~_UjZXUG%68Jpq&`0tIShZsy$;e1%;m0LQjC~ zeq8#HuJ`dIlZ>mgKHHrn12<1g$MKlwzB#8o`^ynU3S`P{cC~(TcrsQhLEThVqZG9m>-$o!#b=SzFQL=LE@2w2?2O$^em?+07 zlM-ineDVP}aUg;3+e780;-|!xYS3A{&LMuAPO@R;=PuH(LDbkezEdezTdjE|+nCcI zWAfj(DNnU;Qce}LG&^l8)msF&y5)HYf?uVQ1G)gvg7X&w8w=|&c0}0*@C5@tn8ZvD ziZ&Sl8V(^)Po~zXYbr_GJNE}A$H;fe#_MHwWS*rc-f$+3P3Ey2SpuqDN>3~pBZdYa zG?JMqB!vbQY@dUU-CwYtfc^bzzx#G-mftfX9Tq}yB5f^vU?iHoty6jic*6o)VMTLV zOfg5yqh|g#3O)Ls%ml>Pj@Oq6h%xiJFdq6PR@w2iUyp8|U~2IU(WeSy#twjWcw}a` zlzy*>1hS;LQ|`U4xo4WqRX={~OwkHz;pseE(xjM}!7p&x3e8gdMzPhEZvVP|QEV$t zDIaaidfy?Mq7JMT4WDkDK-x(f6%#;Q@~`*z#flGPcdAtHp$Su4z``blRV2Xswo!&$ zlyc8!f|!^PzWuCf7TP~?AG?(?+vH^II>bcGod^Vt?AzTAf*Lx*z8y!xQ)|t3chgA> zEA>^umZPI{Lk(4B%MBWhNXsmsUaZ~qn|tSA?xJ$Gm-==6x@O<*5& zRyG4d`Gumy*j@LVe}{l#BuOB*4e4Du_(in>Y*Tt0W6J(4?`j2Y7^i3~B65_#j0ByZ z6GS}eYGzwE1N+rGw40Aiggoi8_9ey13O3s!W{D-*zu*-sR&}m|JZ;H25C>sO71E{@ z+Q*w`=83QlHDdhNhMT0RpeN){Ehddkt>F;(dl273rgjLh!NrRwfE3E>9*zawfB~{U zmfxQP1@#-F5Dd?FWzdijDEKO`s_CuJP^y@EL8D1vU)kUqOUYfp; ziyF)De!FD)Su7g@gpTtC2~qPX35F_9YfgiPG8(0%{Bgp$!9y;ltnMUzwRxcWR%yrq zO~3o-{AmbXhi zJ%m_uXGDEE+)KdxUzI0qQYEFCY7nE_M^G`_NV|}v#9wQbwj3`~G<)w7gv)P`InUqD zgQ1c(_gltxIcxhIF=vO6v(Md=)0E7oly<}h%p774b=pLcNiRdi({|79G`bzx&je)} zuLEH*yZ@q8o}+X3;*KhVlLF>6<~_>Rd8ghDY(MN9OMk?o0&MYTJbf*Xt=LBiG5RpX;^gw0*`9ucDi++fO9xH_-00rx!VVzlsF4)>u^g<61hhA;cm zM1uv(9A{}UmZRSKFsusa_jy{qTn$Jo3^ERlB}MubZDoh0k;droE}@|8ENF8-cAA`3 zP#VdCM~O=W#LOX@j3gT2J`iDXOQh^m^BrNf2gy%WVcOC=I{c)mqz7Q$?rnUM;@y-s-HmDzRTCuY^j^7NLNHmQm zRmvZ#al<2KP2|VnuS>oC5P9I{_n7yA(X?_yS*a62HLj`{7Q#w&2Lpr(#u#|lsFmB0 z)OaTZ@;XCByiNA`fex|i@RDIw-M5@DUK?jQYNJh4#Ca_;W4n+YVO`M%e-$Tx+!v>z zovKxBqxI;gX8rZoE0L~Gv|i6Be6=SzIOJ4a(JRsd8{qMt<#}< zX10O-YfV?$5}^{+C*5>~8hEA)LkU`?UaK+MV%5^J-X)(Fy?!1*E1%WZc_gK>(>72y z+;~vEZH_zQp)XkFs?n;44h+tywIu8lOOOmptK+@YYOtt%gY0ZVlHGpFJ z8<$RytiF%2PBmRkd>nH+qtaH|@V@4Cdzy;1-Mcb2fV58#?Gm|vy@ok~|RofLD15_Vqfx+QU@O%7fI(O<9N6 zCD1;e6WQ_}3Y=ikX6`!9dn3SwnJXml)ZYl#y+=_;C95P9UuZfnRbzpK!*^^D#f+G` z6(1{75t!m{0Nv*fledMF-j{n?2~)zj^VN8$u?lU-ue2X?wNtJO9&`S^;ZfdBBRJL3Ua}VmN0>$73}B z906u;^0SgJ0DZ^+`L){Sz1+XOmtn_uL%S+X`sx$m=sy&i_ zq2pT7l-9U_%K60j2foxac6K!v65!Flu~`1(CLkZ_oX3~mgKh}Xc&Ph%=fXHpagwB< za5ec=-Jo0gBg^>qgRg1?6q=`Fhv(fW_gbI-1Hl!n_JX$PMsF20`<<3X3M}!2V}Q!4I^eZv zEh}J3I~EHSl>xPrn0&AAJ1G@G(0hzJ1h<&qah1D5+rm4!jJ`q)=5u@PQ&BWsBk5e9 zVPKw<0*)k7aOKsvl777oljfkm-pzk-TMz~4iuEseiE*ht-a0Rr@(MX9(FN!GkaI1{ z8)^NMtb*+qnjSIm-zErWi)9Y*##OQ}Uz1b0*mog+`a03+JV0p;qMDD_*%Kz;K(G5F8&DhMbC)y{GBoGp zOmPh`N07XYBwq&+gDwT#n;L7s0MO=@Q76^x3`Jk3RFx?yhAmUBnM|uHRy|Y~@puTb z4ugHKR>uVmmzvB&)W+2gbxoq?I-UMF(5Al>4SUh+j|ty8T9bCYntx?1?t@tfGf--hAZ-&?K^BN$5}r9N z6$oHIfx~8QU!@+0;3y8JS~DDp43}ZZwM|jdo8MxIOSTR zFT11o%~0+bFvVW2I>GB$l7R+9P2%ouL9qN8U$5-R+h4yQTqdR8I|V5|&(LyGLS@Sa zE>G^?pE15K=ilFsexQoD@IoY>foe1Z_QRuYxE^xwu_g0ke zipDiVezMVAUw`oWA@nDoSg1J~suTycL@yFhR#V(hPQg!Q&oAW+)q6cFHP)D$FZs26 z7lW2;xPuHd^&h{M7WX!{gR6g+GY zqF^ygbFn(E<7rKqSdc4$SbA=I9R{M!;Ls9fleOn$Oq`?u z`D>rVr@5zWR4@nPMs_}*8hR?J-dGn;g-NCcBRVdKl&;kTz!gG6Vdher@wv}W%;*>N zw&#!6f?JD2yBsip(vJf39 zmp@$NPe{3bEmru9)xq(LFI7@Iz~{pDo~^TNCk&I0KS#2FS}`zCD!~LQe<jz zL1uHmRVbQQKC_nKOTQJ}Fh@l#cOXXfE_jg&F2GKrltvl>kg*ari} zTgDi<&>=&p0%exB=)m=Z9FoJ2+1)HQ4q+8P16yrg?*GB=qZ^xn9cpGlb0-&0eZ|D4 zZ>U2*)NYg^2<3WK)mg`5TBfD!t=scH8HHxcn6w+BE_TAz%6Pj^crMdkGA-QgkK{BC zeyjvHMN8`_GI?zlseNB=oW)%dnbpNK>fsN}W*N1bC%$rtbpqo_(F$`FI&yxysWEc& z*S=cpIc|yXG$!Ol&~@^ZodDogV~NU}nuUV~ZQfxE8Cn-T2qVrCb4ZrlS1rlQ2fuz= ztdLnOJo#@#7oEBHEn+jrcQNX9ib&8F;!YSqH)$uQm8a5WFNJ4Efl>t4eq+rZDLXJX zH3-Lr0k@m=5=s(D!2Xc9(ufI6`+?o0KLF@-j{S?T;lPV_!WTQJ!pUL7r**(X#A3jP zDqWVP{S-)Yrv)OI+*;=^86$Ae$QWEk#<6kVUxgOwhTn3c7cl4J4gaynYjW5~C1v3a za~4d*oA@izBf@(WSkLgdgQpdeJ+D*l$#)h%wRLvG@Zta&u?xLLs= ze{UHX6%yH4o_m7HjSxDspM)^KKsc#ZQzbc9eamuA5k(v(7gm~%ujUj+mA55(L=k_4 zY8q2c5P;laT{m+d!j6c!3vuW)rF_U5cDa=cXg={jMduyP*4xM7B$9~42x9NOiBhAe z1VPQ%)E+6dYqv!rwxH3G4jo1ZS}kpj(qWJ4pw-r>S@laq6;;$aulLXM*SXHR&hAcy9Vw2WG_9MTatr-C3t%6voiCcsv!Yqu%|h8~Z6ryV zk`?MB6=@DXTzl0!HD=T*)!8qnM58T#x@#$LZz7@4jWFnb#W5aUiP7N+1^hadktRcIK%m~>Z-;rfXsW4 zXA}8Xw6J@xVlTT7ZRRD!5ghUZJSI6qu5(WI=?+%gl{EfG)wY>tHFln`|o)Da`K+Vvhx)apb^i>HKb(& zMmi2DM6(Y-#(wZ>gN%r}cg#JSIWvO+rOg%Wee>LeY&j&WZjBCrlZUl0*s$lf#2b*u z{!UDB9%NS_t2Y{;T5f>P9hu>Z2p4u{)v2TW>Sok7IbcytxfPE|Lv zNO@A)7?ASab9+bmZmc*It4f|^K=XraegU`Xw*p>&Rq6}OlJm5sDo@qzU)RGsI8);u zF(vEi(@CnYkTJgs$2kD}ZCls&PVJ;do55ek$#I8*<-VZU_MgQQ(P&+`eM6}C0WULk zL=Y2X^W+kLM8fpiceYD=x2AFefhoyJuZ(sy6AslOOi*xPtZ#?ce5@FjrTL)H5j+5gy=T^AYpCdm8@O(r|y=RWHfziQFNlOY@cEq_Y8`FV&^{c{D{@oXkZ`!;6Lfvj%xxu5V@)B1wIHeqbxM>E& zRPkM)mpW0I^yjbbTHvQ-H7mN3VC%rya_rsGyG(M49`NBBX$ zf@igdh0STVp9J;oaUyy|3P1Dq=h?=?VF#_N@Z`>wZa9JbXc_xMJS21}ifkH|sA)rV!*@lvB>$0(D*{ZelZ%_WL$ITrn3ij&@0^PUSV-w%@vaav zw$2s*vFm=?Zy_hE#r&;{9)TKM^a3R)Pfo|wQF1W9zD_J-MKKV@cm~pv+SqJ9HTe3!`Q<5pDiJu>~tPuXm(#&i0ql_3Qc?l+9+O8 zb_}>7@A!9XMqLA@P^a<$oFk_bBBArOM(Ck?Loy$?l=>TiOHM^Ca-J@vhCk_ma|J z@E8jL=r8U!&4>r+e}a(baOhMW0t)&dc3H5o|DR2jQ8}g&)xYeA7mA@Lduly2jcwDM z3WU@;mF|%o!f>Ci|1Jrufy&!S+J+qj{rWq_DgB)iTgmnvyRL@jY_>)DfkkF_nP%D?#Y?hL*T`wf~ zgkwZn#a5>pUr8SL2rB_pb9Ai%T+AU*%w2RiCRY+Ka#K?vv}Rn#XSe5N^f{apox7_E zT*a%*VWq>dANVi7U;1*@a>=jndAI%?y3KvR|FPpfnZ!6~8eYE2y?YppYd2@U zp=lL5@OFhahbYk=9J7wi#=MGG~d5VR0 ze&!rhwsoT3u)5A3r21*tBXZB{YTwl7scl@;Cv!g5H^3*6P?%6I{RA?5%R&_^~NPci6pt?O0tm9`E;I4y*fH8{%hLlNsYL|6o>lV z`(^cqM8G0v5{yfGc57GQY5<=9UHp37HD)arov1LIP`K+ND$ov&*~MJ@GIiSJY$MDn z(BJwOx59Z?n=NXnHXn>(R^4oNZ$i-AT0^W4q;@>ZG7slq-c`7fGoLTp>K-$vEs*2T z^DU)+`85DBUCHCZV7>=4Ai*s6LNob#p{joH6&BNBW!r1GZnk0q>WLOitZBA61?gX` zta^^B!K?0={{j#7JO>NzP`S$*td_d-p3V2o(0}n_8qi2x`G)H+)q63fet(2iF7>4f zh!}5wNkRo1&vJtbuS5C2gJ;-($Qa%$s>a~gidp}P#6cO=ArCCKQ{U=NqJmDn%xVC` z;?)h+DW|9h&1rkcFUd{bu3qF>|F4yXxoO23X=rQ2d=jr*4!6+o*}qJ1p4ZfwR~ide z&Y-q!4{2B8ks0vN$PW%+JgfU&Z1G@eRi}uon=}FGQE@M9L5!x*Q{f>IQBAR@;zJUWno>`t zhh$_m<(|q9DJW9AAHFANvaUeht4yL)AtW`1x`vM?NsFPatW~b@Op2c`!V^ ze7s3MjFY}Tek6ZJK%h?$DVPxw>JvsHF;0d1M37E1BBRJY(WDqgY@AO#=?o(w(I<(N z%t%S~Nh6(QoICH6PRd|pl6@$oECw~(Cx?{F$UDqmkO~<^G@oKp38VCa51mxTxOmCu zGU*C~anaddA}?J`JQs z#?xm${d zi?QCGhFf?PQ3VvC(dX^ zHfBhoL;tIQknE%p45Z=CTR9b8DEx+ly~2{@f@=LM6g(f)R2iPFr}VvG;z}^uu!Ou` zQWzb-#&s`6*d!^_`p>)c+GPsYnyl>bOGt+FiYE0nZ~@7``h23y+O+jLv13ox%SsuA z%}oLGT#z%1T%lT0pVNe(Mv67(#Fp#fmUrr!4ms$5*3r=9lS7kI)@7cr>S!@m!WH$? zqfATxE1#__*Q(G1%;<+kbvW(#7BUAXQ?3TkHGryQC$+ty&lEPqRCj@rql6(f1x-I; zZ9Kfi3Il1Fue5b{b$u_-;W5N6Bk+=%TFZ%PJ2*c zPN~D)_)!?-bp!z%eO5T=2qIspaV`9E#r2>*6({+COtItDi2e zR^2%rkGHXYLp3-T*wlq1+lj7-z5s)VHK6sEq${gwY1IbY3f0rH29n*nmLf8nwq^&= zRZFvd5b6Z8u>W-W3y|3!uI`WO*!ecdm~)oz$Eyg^9%HstF}ts&*&ZyM0*5TWXVIJs z;rywOvO&@XdW9#GpNGP}k0wI&;PSo6twmchsPKp#5P;ZB3M4bT!U1NLg3BY+38=kx zDP@}X#VWeU3v7|zv-xI(sR&qFcCuOXb3#_XJc24owQvs z2koc748Leu(}imGrny-bHQ_J9>;_fmsFoZkjwcwDu5%7V)|9P##+s|lL*<3!5DU?9 z4T!8ANKqa6MGmxm1WvyOs}~oHf#rE_m@>WRk#WC^H9Clsqd&&Gk4T%c?S;YDA@+tYz`iIAM zZzn+-I20aV>!fyLDZL$Ck8X$x-}8+L!Vm(&^b5$)0*6)=*z=0b<6#A+i2gJFw6VfI zg)|7LsvFm>N}N4ck}_Th_p*mze+(3V{v0vJ1>_y(Nx5*Y6)h0GPdKMl)0aevQyn;` zDWLT|#n7my0(X<&cGP^*f#pP7qm2Adv;r9z?j z0?vnG+9snq5k%S(Izp<`mGiB0%5nSL#<7#WW$~`FN4VnC`>Y>>w2hw>t4Zd%{u$;z zQe0}(%!^r_7R)1Ul-<9I z3c--&Y2&n|k1TB^G{INz^CYcPSMTMZo>uh0z8?Dn&6lG;QozdyQ)?N$jR|>`f8Y1s z2b|RHN6}n$TDmpomnoKSYf~DE@++Q+q!dr!s33>|P^dP6aSx{uD8S#%xEtin(vo;d4aQ&(D6!oGan+QULQth)- z!7#`hCo=-7?R4u6*4V8q%e>!XkU3D>qzn*wmlGsXOtTWwdjumSM#J#@??1vGZkL2E z+1HmqP7c&Dkk%9A_NAHhOprgz(|4Dx!}h4?5xfoJfa1^1`fvO5!opRCkWasNZ{-P) z&S=@~)mx#TXse;$>#ETmr&K=5t!uC>pStvjG#+sw`1(HxR@s(iNdS%`Njx9nKFJ_l z{io_#NqTwFIT1JTWqupAF<+kLh;82IRu`ibvvXW*t(M3DC||=vC56V1ItwxJdBJ_K&p(noeiUXF2iMKoxRYS!lx zvh9@OLu4?UXPn_I~TEHLC1~LcIZWxwKZd}gN8xcp1G6h zPq8qCYiji#+kmSy{7^Eo!j1CMjtiuxYfl!#WuLbxp?Q`3C!rZaoLMp|=e_-hjE>f> zowKUF`6s7BV0j%>j>IbY34mKTvwIpLdcU;ErP4|ep$TD|aU=g!?;(v$-d9#US^Hl( zj?lDE>ccXp*c{Mu0rmF~zBnUZf9;c7i~7(O{UIV^^m&OIeBF{C(mg@=_Nf_rk9t#m{rgG^AB9aiy%@{KH zMGp6n3OZRh_bHduduX<%U`1})m#r?ygJwS3&#UU~zs-^?S}y_;#glCprHa3<(e_NH zW0g#HiVTOg6Mv}}3k&WRDqof=6^WUz|8*Nx4S@`;0n*RHKV4{s^OmyYXyvcxg{4+O z3BZ^*r;JUOcX=l3(hD9LX_* zZq3p}v>sUN*1YW0*f&SMpB zjD}g@-Oq2c=V3xouxB?`bGP%nN+H#~%e5DQC*@aYftKX0tp5OV*8J}SvUy;1c0Uev z0A!*@m?M)#R~sjsUB5T;X86yKyas(98MayC-8B5YHB!G7#T*9$4^=9@)F@Cr-ZOdG z5mIc@{XTrZ!ThC+BvTg@+-?#L(&l4}kVJDMe!aHi{>GEfYym$UU$DfUM9Qs&yfK`D zyK}SuLC4{K-0?`z^QB9)8^{9;mvcdJ}c`ze6!+GBxU3Emn*#@E>1d>m%(W6 za_WOPNNex1$MF4tqpw#~7i3;d#>(F~Gd*U_7VIlH&t=Qo{q8AvIKGpN>o|*;*<=4l z^ss%{0cmyh_g2VFdB=f19}D5dp|*h{^L$vuO08T4rO3+1Rb=!Cad>oJhijEurTQrvlt*1x*%!F;~+?>o2YjFs%Tg&Am3^eWo#x z#cqeQnJ8bX)-QlU?nf3*ZNa%gsAxr8veI_QwtA=kbLUqsQa0B7s^K0h@d^)>&u?cS zxZNXPA{%|1)Ldt?*hFH@PRi|kV3CEK!c@RGOg@E;BzF|DcxjCZoTSYEQlLY zCB94k5WbV0Y6W6mj)}NzQl~^*8?olLZOp=F?!1!})!4Lz9+28EkK8vA0qyng<|5ENZkW3YgbM zz%`dN+4K*pCt*j2cmAGFF{HrA^x%}&5^9|(`500MD-%tP?^^ZL?a9pka#&uLr&Y*p znE`?vkm;=5gO0H+X0=wV^=bgL`J_qDM7n~|@`nd^e)nV|Sj(O5yw$K9<)A1pxJgQQ z<}D~iCAY!;R%&m6UkbdClPcE}Z1P|;)8(0s6zRmva{bzN!tp^^Cb}K(+NRkOhKXlq zK(BoS@ybMe-u9~-zSZmlf9ZXyHl6zDO8dl1^0k7J%qplhHFl{9gf&R11eMv)`ZDMS zoZDO@DR32}>TSqvtKCPXZbLly@X!W$`)t z(VP;1MhcWAa=_I=Eo@IZ1Ni^jC3H+x|H~nE8T960V((YvYlYjFe7akBR}6934_HJ_ zf9bh_+j;OLT@}BSvV(*Q^KMW%N5Kh?@wuZV>0B-MZ#(x$zNLANn&tO+#$uf|o{YC- zm=ALV84o}P-5UI2+BIsro)B=ctW1tRu5CJ+{uL~zOTBy+rYLCg+GjRUEd62hU)<}7D2HM_RBTWXbi?|-xc%Jsc z=~R1616H%lTMLzXZRiO1vHbH7d3d%(3q>4d(eHpLo8fL{cspwi5j>y2C`SgA~ZPu-Z%#h_Tp{&Cwon^y_`2xYKVM}(yrh& z>=`ruM55Gdtk6(j725gt$~jn2?6}Ma#J#{s2?#3}GvQ=VVa-`Jo{ry=a=Gnan8M!D z2!JE{E*Y}L`nKxyi)Lg~#R~s`ZK~$~%c=0K$T|_vutxg@hOEH{PHW^)tC&~^L)Z!vd|&W&rWM1H$X+g;;I0!J1)jMx zOApUB{`u~?^YPnfUAWkJPkb6)fxP=w2C_bDt%*e`4I0VZJ%tZj(m87zul4tXC}MCRm(i_C|~K zW`FWEN71j+{nOdV8|Pm`K#2Qc5twe>mXXY%ebd3H-&hT42;P7tK`ZEO=>l?7JYzF|!rAP^R%BkVcWDeknN62dBZ(Xu8e=0pp zIQXH|zmziJLauC5^jwP0T~Lczir9(%!*Yvaf@v`?N@2g>Bq+bhQMUf8Ti<_YF&?G8^TS+B383utYd ziQy7CZLn-fiH{uC7IoTzt$T9OL(^bao4m8G1r#Mh!^Q+g12~HXmsqjU$evu;HrPdu zuliD>Fv8p1GfoEkT*=%@Wx^xN*4vhRzmf#*@RFA>!MJVqk_axW;}IY?BF?&6J#uPt zDO(0A+FGp3FYbeSuclT65(PiuZn@Bct)jWViET0>?#u&PL?^vCh2N1n3)%%{3Sni+}T( zY-!o;b7ZN*px~>5b^rK9jYk%WbD%AQbby?!i*vl%oR-EIAO0RV%?UXuGEkG!^5z#X zwL?9@d#$-Aku~R7Uq>LNn48_on!3gQUK`-d+POqc!L<3(u1+nCBamn%%-N+Jl5pbw zXOQ@`h~+db27-%UD2w+uy_*X{kFJn2w^@bO7S+imiJZxlhxaT3yOe{tnK?D}e`*pn z!!3f7nL=AnnGV01g~7useeJ_o6Rr?)Fb6cT`<;u#%sS{qb5)y*+WSyKgizJ7E<~Qo zHhYpa0fE3?v=}!jAK*n;?bW{^!of$ig^WJ;M9Q~aZ0#aE=Oso12@1c9UC75Tl#X@P zro2grK-9l0&=TIa5`$X@&ZQ%qUn`ry(#5*8!pcV1KF*UBo7;jHQMt1bl3Q)%=;&lVl*Q*x+G~gz2%5l?uzzZLkF~O^uml4M)^O~RL$FZ+ONgz+z0=sw zm7YyJLG8x^I%YsqZ#qaGPumQ>b`NBH?0IWM6|4+h>+5{^I(UkAZPyqhc>DC5Jr^`y z%17ZYVDtlG#^)9<;xaici|bL=crTpv>Ehlwd8EfII9_=003Qv+gH$Y!^57=!?`anp zUs1CVdf{q4rG>C11J6~gQscJwK!THqZJ6R~*Fp#_zXFQ59o28pCs_d7CJgkdUpIj0Q-l$?|YV} ziwEnz5y6JvW#b&6cJ5-#Y)o9OIR)JHh3`a>bM`fc;t1Kmqv(!WBCb*Yx=_8@o7t5g zaHY7}PxCtgo~uxx8BN@wN@$%qdXP8F-lE`}Gopdc&7r!`_<2@~l>lC)b*1pxwM1+DGU z3P?^ZglsiX5u;Lrv24V5pjWjapgOmf%Z1Y*L6`Ov_K<#=eV7zM{iensju6Lt$?Cow znH&RobhQ)I%&8#45w6(mAQB~btDVA1vm)b@#@T;ZB~)B*CKzJOVHkNQ>uY&{EPQEA zHQB`?Bju2wcBle-O`gI2DsArn*kL-G%!O#3H4TJ#e;k8!9=f-p*(0WE$>?Z)Z1&Wv zXbXNnISdY5m75*L+pUTQB%Es5Iu9&V)QZn7uSVZM)GR!Viyk=~$5GK4{BXqAZ z-L|#k+Ci=DFCVVUrRvEOwo~evp@6MERSg zCADyP4+MQUkZb3e{*Gof9bglJ1R&PWx0Oi4Da)1WqBh6X6)JKFrK|1WDjaf~85|Yl z^*%|NppD?(96MC``(`-7(%4@M_DZn8xniq_V2cw|AQ@=t%S3Py!tJe00e=a(cPp}6vNJT4fQekoV}N!3bYOw-z+`F!N15o>(NhJad&q*7 z+A>kK`*YhE<9>20oe>mqTGWjwGWpafk@a7IWqmt8rg>Zne8*h4sOs&nI!^D zV+a?_Kh11)m@|XlGgp5VG^F3QYQ1`~_HT$O5Q+Fp%d!yT*cv-c$INR2a*HmmVf+0o z9iZfuTcP!4ZvO1Y)15wT*mG!J=f|r80kZ}5Pkr-PIR}e*2lkhCtU5Or!8v;w@n=u3 zFYZb16z?2XZiNX(dC6oizDsIOiWgrbGKGb3i}+#Sbn)UUH0_qt;`VW8{^6gU(TiaL z3p@8iXZ=bR*9%Ayi>s=qt~ceoLjFBym44Gvp>u*2#WIG7vQ`My~#G#C3K^MHTu z>J9{uLkx%9oZGu}D*oZwIgfig<#y|4o{Bkh#_P)KWL)@8ms-R-tZdfYf>1&2r!RtkVSwxq0*7xf3;rK381(M5Ci58o$ig;Xc1cyhH9t^9 zTGR9BzyJK&Ft3hmUV9Akw6FcSx=Gn+4|34hL!grzBHuKlL2kk&0)A62(2Zsnj+If) zI>kEj@?g`xs?pW(Oawlyr>?n;7qSz&0`EnBqliCRmrp-y4UT)*XW11@TuKKQnpm~) zbP=o;{AZcpmA1qX>9AiE*C#cD4?1As#H%{nV2eNn+TUNNFsJUwuS(#IOmBQz038cm zOxuV%XKQ99I7-I6%wNtBNRi}*aw#gJRn^JJsPUC3u8!-cFiOkRu_t6?`j_leAlpDY zYHJI0I9ORQq%J>p#QKd_Vl)S(J6gm|nP4UGx{IQSU)tmffCmF_I0DmNwhLOE77d$v zcIhC;_hE$hlfyy^o6jUgY(Uc%R4Q~6Ct5rA@8JBYg*dec2puDQTpjinWGzjt?j>*k zw?G%ZaUi7yvyVEEK5l3#@6zN(uF0J)Vm{fQK1umOhA6eKOePC+)bLdwuLyV|PK0Dl z)cNHOb!G2Dn)P-Ww`au)VLB0JfF+O-X7=7BBiQWkJ0LyK?m}LOYf!*cz&$SzY<2rU zTJf=9|9W8w1rjN-CEL72R$72Mlar9JG_xw{q^(JfRbd>a(J@_Ocq&Q_N+2E}*q*F= z;}rVeWDS>_cN!v7lps^WkKr4dj|Lr64{cn;RXfJaV9FMS-SA31tY+c7g#=qFcmOymX(3xHyr@;=vUVDJv`S^*#k>lKU4|A1S$SA*GIwh zL%_%l?FeV*FgZA)n_vcc>Ht5gY^7|uY^j;Kv0|nDHxGR5n9?M-8N{Pm;2^`i55B?; z;kW%NT{@9%X4)HyH!73u^x>w<1yJCfy0uz1-NXpf$WWDKLKZnvsu?8uWb|ILwuCwg z;=P7yg}UUC?`Z!aD^G2~v_$YHJaApdWr#|=jVu%gDM z7I$rNH`nP^jZZX@$+t9A>^cNrt>}vAJTa5$MeF0(1Ox%WLBUDEPeEMASG@(d#~}lx zv8Tf#Q%2d7vwTw7&EGgj#vz}{pFjk50}be+eS71CBQu3Tk;rQIDtMu{=UNw}cLU_> z^Yzcrc>u*mu~18>rIjRO^E&rs%ABq1^OC z12VG*A8eg$IGR54br=Y?x+IwSE4B1Ci5#U7gBSUYlR0{Jah8V~9Tf|f1cEq8 z^)1kf6Y5jzTT4*hVvt5TbAfhAVoFX#+Lwdhw%~g1Q5QXF2?BBHx01O3czZz*^iXy* zktL#DpCM%V$D7!Vp2#p$3;Vs$EqLB9#_`G(P-w&w#vipXsfDJCG!bv{U|o~QH;JZr z*JBolh_xVj%boM&uqq{fofokiDRMh4q5D4||jgh3-7WDeAi>L(2>#2*K zcR<_*2Rc!+xhVE`5*r}T6h`_3((5joK3@Ur0|BI%>Xhos@x}~eh6?inB|z!F2r2o9 zOV^6FpIyt1?ewGwFZ5?%i5Ed%!{FsgTzHFl2q}f1&S~-$Mj3gId8tYzILTWz5IG-jgtV&fSJeg^rSwu39|V7w`ZlYdU)W zKnj)JemYoeffEqvn$;b=3yeuE>kf+FfLyw>tap-bASJP%RU!@e$mh&i-U()8X0`tI zp<7gZ7eZHh1e$!z@u|?VJ0@1~b$4A=^K}aIA=xqw;OtP!KkuVqYag&4v^QPI6=tFOY%EW}ci@}%`8T`2 zph_42GGBOKgmsE@U8EKQ(cT7P1^ArwHD<2&ZMOB!!#qh=fM_~87l<%c*EBQp%;SEs zOwO>r&*k1xBNUgJnL>+_Xg!_B;*;=3@W^&nLJ0%Z^1*-w`0b@b@4i}LsR=K~d%hmbBGdEm1!xOg_=NbG_b})Rv%TvUh?in^=s^v))>4hr8!wG|J zQZMWM1$#YT@&F#uJOzaF671jtaM0_y$l)sg;7B>3!lRJhVqK)l5q-aipNCvO29Mxm zF5L2A`(E9{|Z&_GY=)^R>IfPrEPVD}o2U@^N-tl7dJx*J#dhhfzlfSW+M@h2Xa zR;4I6+w$+0R~E|T^UGWnr4wDzC7a#5 z%fTfa10Jr?VqGQDX0G_o(p|ZeazK!4XE1d(@?>e_9G$Ax`P0ShB)M+u_aoqo%hkEZ zXp5#t7PAc>EE>)s8|U8FyL>!MiZDi??tl#;MA%X9;TH_8z(cf@Q>H>yr=SSatXNchC6a!gDr{TVxsMjZt4z-iHalPPk=DTFUTyw&q1O5 zso8TGz=5lr`+5FTKEMG{9smV%U;F)3CFsR$y+hYEZckv$!8jpNw(D9B3UKi0?`4LY zYZW>~VFS)R^yd?CK-8&qHT+ZN;tTjGAuuKR)68Dd%fhG(j4A@ni1nh^PHk61(R+n!KKKIhQCTa3NJNw%U19t0Q z>;eK==qZJ+J9fp`x2reb`2%)hf!y!%K=7tdXY6)e&g$Cnsn{t6tc&3!|8i2&W;h3= zlKM~3cLOe*uzZ$_m*W1)p~&*!hIg4Ke&~gP|j_0bY<*?!ATefc-sJ7TTA+cq=c&2u}yLn7@1`jl>k(mKJvfK-QU~5twQU%9mTjj zja8xdO@GEb7m3(up%Vi<-wTN1fHj!J`PbSTqTv#>5G)IpL!J9(t===p<^Fqx@~-p(14Fwk?Vlr zB-8mlE-qiFC4jTf-U$g*&3owKX`9sLmE$*m9c2m67?#5=rK3F0g|s8o2ZQ@a1k&lk zyw~5q>ruRr2C}pf7fIJVpqLPD=(uu7A=Nku0k_FV3)gmqWklPvyD>S@eCJ`yGGzCptp5ez$vlIZNYCtfl$jIrrA0~^}c$6;*IzD)s{+U zFIa-s&suWA!@iyr1}wQPkK--r*Z>}ntAAt?>ln`U3p*3g*{Sjcksyn#!t6(aU zsoNTnvNRhgZdLoGY0kp+7z7)-K4jsrLf%=n7tY}s%;Z`Sz5!Suxpq1TUq-uN7qw-* z0SlZ~FxjHRtzSMm(bppQo|f5?F=?+yN0;x^^G(i42xvq(-LU1%kk{0z%1 z``)!E!yALgK3fgNE0*=GGzkB5Bjhz8YP~zs_t#2bl?E!+`>MJNw0%SfHnd+#(aem*M-ITOH43C zWeqT=3&8!Bg61E;C^nxrUjBL5Si>dSC20E4yr`ffWA&2a#&BFVm9N7EWl{oG(7UW_sp$SWeA@ zJ3qjjJsBK`kCTpCyutjyDvJ*&j8`3#e+G`?PPy zja|fU_4ubkZ*{bndsDVVeWWj#;D)P}WF*cSWBAhzrCmOF zo}>0F@sYv)TUG@SzW(6}V;qeYX}~vWW7CiEe5DJEf0UioK!8|<`7EiKaQ&uW=5ba5 zLfp$H2Fit^_6`@-^102ARJ4yo_zE^V|4VGz+mbsO&poU z?7reBB~oP8>YsI%3-%^*z zm4L90YR%??BWR5CI>(=ST0V|<)NAN4N#Z65vNp73OMz(gxDwZ`%- z-z(%JP*l0OuephN#xy^)>jSb3p8qd=s|y2VhS+C!2pW|=83&uSpl!ghq3jEaBD3PM zI|KuVO`#L@BZnS}?T?1-Q1#-|P_R8(B)wxXpLm|(L-qlJ^Hm{#t zL)`wdIU?q9XiC5z5z4*}!$wyuHC=l9N`_o|&^`R)mjU)amljSpizfYYQ!8&%$!nDR zK!c&__%Y9+3WUN(MXtL?i}2vaF61dVh1u{Ca#x}!d*n`?f#R%HsB5pFB<`zH;&_(S z-u9MGVT$u%SH`c5XW)LR_tu0Mz(A}PyN*#_p9)J^&HxOE^63VmQuJXH>b_Bo!BL&@5ZqD;(4jh!H7CqbmXjRv&r=;9_``_9n-IXmFJp-cDol!Hx{ z39u*Nw%(*?4KX;ifp&Xof0Ul0MV8W@LAVFIaJBGdwDWSgI4p4jIDLNkJFAc_vo5EP2#VQ@lm`fTB7`G!SpLmI>W2YR+JAa55hmwlItxDx7+7k9s4ew@54cX(<&0{7DT$Qihuj5J{f zXKJHYR=eF}59o0b2G-83#&GXmOX|9sz^P~RmwqH{DRYNqdU(}Z>r%Qwmxa^IwiR_! zTFgR;S3-T35L#*v_sYpf+Ig+u65jA|gqC5}QBZ-?_$qV>P1o99q6I7uB(B;Y$=2GI zJ-*WuuyGa+S{FNF&HZH+eB`Fq@B9_8(4+CBz7&TQnvUs+E)IUn`&|kO&@HB?-|Lf02RciolK0W3(?V=g zCNpF92fu_z{Qe!@a)T)u_WigGeJ294Y(qk(_}u73aW#;Fjd;h+IlMShTzX*? zuD^p3bPrqv$XGCb6^p*+`FvQyLROXHE(N;yap=jjjKB=uYzg)3C~e6g#b-bW0io<9 zV1_{Mwma%{?;=rupgv+jb!EZ(t2d?<&WP-Cn}Wpo(mw&`KNo#+{!IFezVx5{MeXMi zN~*ldf%3r+pR;%;o((Rh&&nvh!`o83#`}}{fdo9i#luLZScOL1q^+d zh{5tjhuWl1WXJCKc8GxN&!DTa<&p`mgH`6PcSne-m!*Hsqkv)DbCq>uVioUkDltEw zx`Q!BSGDNqTRzA=sBoSAlmzGmcb6AOS$HCZuH_xC4v zy2**K#JEI1?pqw+_(|L!pltqF?*Cv({PqwB>2?7SdTqB%n;tvgU({&~k^r>%@5sTn zRCZa@2W;G@D~VNKVCH5j0Q;$@(D8K^zfZ(LPe-?Ya9URg$r0*! zP#O~P)*A|K81lZZt+9I0fnE5>r7HcJJbTFKgMl+zbZ;!m`nsw8F@z1^qjgN63xvm| zP06e1!oaK3N`#X{L}B}CaF~^SgSPM5iD;2njDW;slw9hRYy?LVHBPoOY1=3yK3=v$ z=_|%#R<=mOE+HhyQMLkm1CI_$f8!Bynwq2~wcO5lPKstJMgq{}FT%s*#}Ainb!~vAhG~}V$skqfQBKh6-LBF6 z|9ZSMU7cfuig4<^unLn#$5As)qh}@AVZ`k96iqrY;;t^g7pX`!MT!_S1!&SKL@bBF zonIQV&K`*59L~mrZr*ls(C9sJw5lRP_^JjZTkc!_r1khg3&5$5YoIIRg6>j<32JH{ z(Bp!w5Ml%vIifQxHJ@H`!on^Up~3#(PuyF+Q7RZASDZ&wC?lohTd!{Q zowkA0f!=(8t)k3M~Vy082P?i$T3+Q5bWY68{`40%E<6n z7YfBK9#Ny7Av3MPUnScU5JlV%F(`tnfY+RxOLC*Qr&a2ycG@V>OM?)V7ffxan=Ju9 zh@4d=EV;Mt8tlhU# zU{=A0j&HxY#STpa7`HGFN4Uzo@eV~~z{(`nDKU{;gFU)AOLP5k;B>V8f*SpU>87;{ zDs~89OM7|pw&F&MhzeIvim7wt=eru_&YFQGsO(=_>?0DFO9`%-sJvcJ!wxl!iF<0} zkma*1;LKAqI$9Im$0NcTO93b}!B;jwGHfzCVe=Qqb-y`e4bJg5t;Q1kFDYdfS4FG9 zk}Z29l;A9ag${>BDTt7(;>+WMRWEw+mgk@_XF5IQw`uX ztGm6tah>osUk-cux$!4yx%wV= zbDxyyHI1Z-Y2`Qx^R3*)mw_^Eb;>X-xr$Taa<0egk<9tT)cY?YMP=U|KGOk2R^k_z z5}9caQLX;j5hkGWti|f;LpD`q>F;*_!ytX}>p+E^d4 zFN{CWJhRW3&6u&9u^W4KT8v%2G}bI(gtF(g)~_=5%#akRw2c<8NKvBb%@)!2k``N% zR1=a^vOhoPUpUwKUgzA`_x{`(si}!&ZJ(xQ)fh(NxT2*_CA+wuv7l$R1Wbsd(@vUn z3ZT8!+)-n5|3)y`9xNArtyQeGbwWVN-z&=VYTw9Oeh!x)rK7UOV+uL1d3J%?2Ugsx z_;bLVmPBOEQGWhewX7h`T>C<01judLRiNvT{;&HsAeGX%WuEqR?CjUD@duP_nb)J5 zLhEab865=*QVGWH^ciK>yYgqd39o0)nwP`>wAP;6`Z{%jHk{%-xCut~l?$kK^2WT5 zWBA1t(7%Xes|Bh>_T)#|!z zYI#}neN9~^>2uby6%8*9i!>?aFAJtWLvrG?w=_iQO~)7dh2LMELmJogcD`kPf2(1U z)s64e@FBF%2Tb%WE*@+VXs9B`zQfNw)c%=gyb$F}GSw9iCc8>lrm9$)Ac!p})NuVW zbzWkwe7LC^8J0_prADAz_(hpR$JLhBd7j3waIr0L&jPl%q)!ok7PvLvneSh<{_3Kovw-r_DI9+{|f5F5tb?(85IU%OzB~ixrF22H1tjY;>;68Z% zQj+AY*bkgmmPE&55lG^9n`KFGECITeFeCATqt03=8TVeIbYn;kYrEL$#kq`btlA-* zIzvswkib4_RWIIASRj*VMouGmjk!)YVZtdcQ{hJmJs8*R@Vf6qHwK@OOu9z>m*rO^qZ4kCUH`X0% zAQHd%MC4qKF-RwYDC%;4I^Jl8$nxwm;PHEbHV!iMXcex!c}(~OI1{5iB9&HR{3tkp z&eB>Omm~lN&e@DSThEuZUy$+yLbwmN!&=)0Gd+;RCg#|Nna-wYlk=I4=y9|Cl-N-u z#{5tSFnvQ4P&y+3@(y4(-ZUz=AU);$)@cW?qDQ=l+==1c1@^3e3H; z8vA|4r*ZYb+^RvrQEhCM#jEexzgCn}=Icdd-j2cV!@{3Kjk&A1cCqb+4lxVCe43G1 zHLG)o9T7tGD13857C_dRjmfO93uk(n6rXa>cUICs$-`a~!GU__q4)}46h)h?(Md6a z&pUhXN&(5X#1K)fQM>RD%BZ}2P?8+**4*w|FK}6?HJB5iaG#?w7^jXuru6$d*l z0RL>%3HVa4A*QT6ZE%^c5ZW zJ%PU;>i(=G;fbNZc7`>ePBCSZUc8BU{@T0`a;pE;59}V3nvH#>1v2oluY`O0pTD36 zD;H_huS)LD^uLJQ5!+itv6=aH<`rsuJp{XHt=uaI?&G$_0Tgv(x#CAe2iEcGnp~<& z(*BS4h0|V>3$wz-ZV2OaQryR(813TG38lVSbE<8jAp({D9qCXE)P56eNNvgQ)YMc6 zCl(PE-i!9)q?Vh9Az^!#wF-!37hYL-anicG=j})7*_SQ(gR{z)6tU~cOLZpAZP55$ z&k4S^(OvpY8+lJ&-)yOmNY%DG5~=MlW7@w6AkNUVg;AP#?3I5&ifyLdqg5{Z%Qnya zM|B9nUxJZ~U00*V4?^5$K}pT>SL5b{?tt7GBF6qBJb$(xF)*Pp(J`=i!k-IEN*O>?XWBx>Osw9G!lQj!6$vKBELnjSGcPMy>RfPD?(Lagf{;A}-po_u=Ra z{OSGFteq$iG*l^?f=5JyxaBo?R@7PTTB}cdS4YPGd{`~B=me==_e+3_JnC9sqFg)J zOe;NbPPHo?t#W!6IjZ=g1qspu5rlQg#QEKT-4z$?se9Ku$x->yD+A;8TQcc_wurLj zfoaEIZ#v$<4PeFx=;h?zdg@unNJX)xy^OfvRb0EBVFtzW`Sr03l>Q&UeM2^pjhjKz zgY~G{H->k5OX^e)6pUscznAKhapnTI@l?86+fU^Q+9|Jisju9~aFlBcwAFn!I!xoF zjPtqKd`x0WCfpd9&1$0Gi*JvD=ZWt}Z8K{cvkQ^WRTFBf##20Jw?xA0wYL$J zK&RyvBuN9txyBwTq`K}}JpFYaOgllDi(sL&O~nmIn1&sn!+`5h#b}sXG5YKTu6QbM z!5w{YbbL~ihYK^A`EPR85?zlCYa27d9}F8Ok?gF-KaTy9LBw@@7KAZJ6EejkTeDgA zVJ@?YTu{V{}JRxP)3W_g(bz zacfx4@RG#g5m&8>G%39@p#BZYzK06{XN#x~GM47?`==Fhr~hPsj7REypU3_aaLqge zJX;YSA|hDkCgZ%b-_E)q0{YaoNb66S|CqJ=C{52g(JsDn~ zm{m|6#1!U5XO5IkLi|RSoPweM)$FfpP%0p`|!bP6T52E7chuCu}5@b)St^BVH*?7}ZwDmUWzwlds5u|#MSZ{5< zFYQH#BzyIpg`_zYurNUplZ2L8ONcKK?DN`W9RxUt~N;~~ss zph{6LMf~AK^M5@7O0@X?;@=~lcw1iDO6sbI$SbqqTQg~WVbK1Jqy#9e*T^)C!zLN) zUEOOQWP3e57Ul;TSnvbUpE-nj(QRyy4=VGS$gwhD(x9;Nmu5!3>e$#wa=5DC+)(Pi zcH;$59GPWp__L0B%GMC}qjK*1GV1jg9Q^oFqE=EqGh>p@c<}HW#8rX6x}&d>i^gk!TL5e+)v6~VH}Ni0D*s2icMeb=EB3(l z>9I(w_$yI5Z;8D3waxMGi-z!exW2xKYM%1i;Fommq9WffeT9_1wid=xB|B@L_20oo zVbxnMl7jxxKI7vw1fm#M9Te*#E9!fE- zE)ph~uufdwqnn?XLQh<;#MZyjL2}12l*0+aK9q}<^;b7qcD?Tt79-+UG%&K#+o}$@ z)bneY3Cs7Z1M$BC5sn#ozKi9%%t~+L*y!K2xja|#|U7sQq zu^hu36t*Mi!6st!?FcBOY65X-eK2!sO8k4sTEG&|EfnbUSVdDz?<@uw$CJwr0x%WBock=+hf!GDk(^}Qu4T|(~mAA-3XK?tUTWODE;!(m5Sl7*bg`2vza<0 z5q;Zon!M3=Tprcz;%P z=7Y1n#xKj)bKB83Z|5|%78P|k`h6lb_h1|(g0I`xa&A0sMg1>{PTON~Ea%=-rBi(2 zOGXVp^t%2XPbFDi+;wC1`XPL*x&B4V)I?k99}zb9CX)Cr(sJ`G**T6C>yX+mr*Qxt$R!l@2VUn zP{AMpRGFISdD*yZH6@20(;35mbM$~M*nGIKKi^z_lC?&d@T|d=b$J11_?pMRZ_=&r z^SA(}M&JnAq>rU(Q4u}Zs3V^(e^wPfBSX$Fr{DIpVZG}xZAkOZ!}9r8e+W`(*&U#a z2$1&@Sp58HL#v%ioSjuY7X0~zSg=cMaH`|RG80>M6H17y*34^$&p6MVn$AR`Vb5wA z^?Rnh*k?y}`y)C(d{_`J=MvjW)stKW>_I7+8|z6n zBgQS|eU>tD_NMn&_(2U;vHX=c6gjlS{ipbbM?F$jBk+mGj+=kDh;>UJ!5_aF(d%mo zx$RXMl*VHi(Hz{l!fqM>6kTYW=n&d$4Mbao+=~PFPVrk1o)wmj&{uUa7*&fww$W_r z(tbFK?z5rC3xR3M|2gco5S3^C!ZzProCz|1iFLNIL0B<1@o#l`N3QEO6axpilz>N= z+^#t~-;3`WkU2L+6;!nWCW(k3g-ytWo76zM$5G)NA_&tHZkib+RThN|^fPzs7&lw# zOuf^Rje%C%=XSy;WOG9D1TI$Bq1;22FNMpeWNj$V=_v1#OOzZyM{~h_At5wA>v#Z8 zQ~FswppVs5oX-Nlb!d1J2{o$H>ir?c9&4mM6AE8E^2urb zVAfoMV86mbmvWysfj)Q_ozK}J!#^g^D%SBoMlQfKKYSs&HzM;rw3t4)#F|5mCZG5Y z(5}%sgmkbl9x`a|LU#!nO?HUvdXTz*(5wGLX2EIzQrr-2h{^3uy=xK<`d*Q2+4f!w zmHilL>osqW{f)PlTIjRcVZJoS1Ap><#S6Nfw*8j*{gVSSt95CH!KE z_7*^eb?f^LWVnN=-NE@wTjE1p22Wtya&VUSVgjyw-G*OtsMCDud*GY1jc-?Cz5ieBc75}B zYM`OXJJlAjGe`C8P5O><$&70;E@6gUxOw(13XQx1OiG}Vsg7i;C}~I5)7f#B@Ay%V z_}mn@tIRf9y66&N|CFhuWR^voeHc>@6--74^s3gpu#3JYvts89^1CJUJDZkpAK?x4K@X2?;5650vDC(erl7iF)*8|m${H)qJrms9aVHyis zgTPRm49ez&lqH0~$t5R#1^|j3$W2jPAcFuYBI%{}BH__2=G|2wu=ZEOL$n=K#d~D8 z)VbuEw}H6OobPm+cge4~9y#G>R_yOO+z!O=OnC~p{MVN0Cc>Tku`J}dZID2koj0+e-@YyWObE zJ*Gk57{#>L|^=CSfPUR%y&S3)`^0l%>(rv=y4Tu2bLpJr#f)P(jJ|L99 z3{vqwrKZQ{BRA(Njq`+ydJpr^8NzM)KDaIUSGOe;s61d!{wY>Ju8ODl8&h^4;IPBk z!$**p=|(;boac=E;jKQ56;G9*bfk~K6?I#o_@0S?f*>A7-6Kyw`0KL_!d_ ze|t!xd?~UxGx18ZqF}mF6DCeKP9Tw3yC9>J_LQ5aP|ceyk`Nm8b{Bqh3otBi|KBb$ z!~ZG~*D+<@lN!0-*<{_}Bwn%cVP{PMQsau!n{U9`jti4-GV*%jIH%_A@@NbB z^iIt}oz;4J;)Q}Mu&iK1Arn4vy()Y8sG%5*-Tv9bp=GEA!B7K2u3%j%F)1^Q7Nq{o zjnplN*NJKwiGv7pG#2H|->D1&9dEm6-5Xu}qPxa*R*x)LMZWdfepA?dV9dCUQ|Q}y zK`b9OPAh)xkIcFE_DJd*;bWUf{7G<36>-LU$J2o@Z*<2mL2)23`Ctu++Bp7lG($oa zKmx?eG34oSK~~ysV+~ql;o(OP?3(B+2Pr^&TMM?$87c%Y;GT{i7bO_ZEXGovq&@>m zQJXOU5Q>PrDss%NnGT-H&6v~R8Ipyaa2+P-K?~Z0pQ~p~mAN%+)c#jG5E+%l56z!5 z>F*GXEe#`v-N+oj)?jXT4!u#HDB9YI`N8C=r6p$+X08Og4(d1jC#qZ7hdEQYd~);R z($T~GP&Kc2iYIRWp|ux(*filhL1DVK_!PAzxe6Rdltn7&+$uJ(=Jo40E6kb%*e>@u zW(#K^#*tL1ZVanTNA=7Ai(-B25AOKNqF^1|@H8U#|T zc|B}Skk)*0Zbr&7uJ(3Dr9mj&+_lbI-6)Z41(9rh8GNoTBsPIE%RVw;>C zsd~Qni9Dv_m?d)O?%+A>Mv&v1)wg5x&2NR>Cwk_3m-IIfL*F5^ZdsBzWd8a0OSBF3T#_gO#J(T)2UgbS-%cBxNNRFqW}RzXM*v>4q(#qEYZqO&IRyS89W2@3$;urnLs0!CAmk1UDo^@5YJX*1c}!H)zRHO5u!B*8#%z{F zkktUBbKC z%6$x@c2#aG=VnG7xpBxOw-i!3mW5gTbCk=>DS*(zHbG2g1O$FsR4Jc&7!^{V-eE- zAb{Hf1XURVpeJfENDBbu1H4ed3W$JEu@In$1ar5d&={b378dmEORH_oIIm3{1T!LDPw{o^q- z(7`chVACI?(dZ7&8OZi=ETk>ihDJkBcq>5*jL@-xKyBVR}%kdE4{Ebk>81asp&)uL4|TYJ2f+f^HRD+jSwz_I2fd zFf4FYInXg+I}R6q&FFP*E~$dKMPo}BR;JV8Y7hIzCrFhyVX@rDD^5&e%InO$*4@1> zZl8kSEnPu21s6{Sz~@f0LTi~1fW$O- zr=p}%7?HAmKInh7&ml0xV4HF6iVxy=8W^W9TYw?&QET_UkCSc$x7xgt67RHrV?F|r z5mIm2H0f9)8}}BPGFZ<*3;{TXg`AWFHM2sAw4h6o3Q;2H?V&>j_?FWXf;1KO_IQGH zuF^A1J4MMHMO6HDg32t>q74CL@gYqETRm--nbm?&TFRU}5DVzPp<@k0bOHQ(c{!dI z-ghhn1rXc8hX9H8hlev~;J=t#qRwQ=963c`l^g8``%7lUXaLF~=gFomaDD0!?gcQA*+>^O|Hv8zSh z9#=8XXBfcAn%;V645y0LXFNGzs?E(NvSIqTpZc)iyN;b^xpo>_!^IdTlj)WLY=X@? z(Og4LURFxxM=KJqD9^&Jcz}PdGTDO5-X|G)^a9$Gmv7*pXpYY5P!MK*xlaM-OmHg% z3*DVExK!|fF027QJu9ygxTa7lrAR#1M4g}p(5_pyO1^!U=ouqVfu*h_6X*o~J8>bkY>q zCQT=5GwDt2UKKgmYrC5Pkwy}He*C-HNnm<-)6Z(~mgnY2x~JUXtH~g{4eX1nENDcG zlVCqx4kH~*$RQzcW~Xz~8O9d8~)J9aa#yR6jU?Ga%dHp0dZy_Er| zwW&0twmZ&RLiI|hbU;_vXhJ^|7-T_uHH_N<2h!z`{3<&aI|U8)PvqbRc3CD^775|D ljU)4nQpq>aZNQ_tq>Y7Uqg+agC-38Ap%Pr%@NJU({{a;CUJU>M delta 57028 zcmW)nXH=6-*M;x&kc1Fg=ny(emyYxjPyrDTklsP5LK3jRO_vxzY@k>X^|7H*DS;>i zkRmpUA`*}yDxnz>BhvEue)D72`FqxynYGW}*W|u}4fer6&mbR21^@w|082qA3|IVD z{Qpw?w_^bxd~<4g>(~takMiAy+|phI0w^Cr$l}X6o4;;t8002N5Hh}>c~pnT|G}YQJ}&swfO5Ql>1a=(c+I#o9B7>I_gg00BM<(~=^O#HI%u2}2FCtVyRq zG$;i2MpH<}CM3ZQBV#j^(9(K`nYF29s^Y<FBfvmkykp84{JXGMZAb_@u`Vi*pAdx;F-`cjgp*ZkQTj|1!Ulw z((6*CwlvNgBDN#v^1JK9zW7|kcsgW@`sU+|8 z)aFBNt-s7k8POBiq$Gn_VOFdG$uHqx2Ac#uL`^Ub_9R*INpZt5s>WPe1ek$y@A(>? z@H}zP)C+fWR6{sRFFhr=h=d6CN{_geEXR#Yy?3xM9iMFSOYBezH#SodXgH#F<}7hn z&W}pSUZ}Sa?uW=lilXd9loDU|sFv4=XqcMA~JNUW0msQ6_G z@Qoy?=M@p|D%?;1>dgY*0@XqUa8@MH$3I{*Z=nTX!JmpbWz$FKee5=@@yqHqNTz6K z`Dhd45CbJFdP#xtnlVK}4)rgqNWOezy$1;wgP0!66>Slvv9}u_$1%nnt`r@mSjSF} zk@<^fZ31lX9@N2y!%~X{FW_pMc)Z=L#yO_K_Xi15<~Z<=AH+K*v{{cOBq7+Vc<<7_ z-j)gA0M^M^d-f^@jfO!izRt=0QfaRZRnRxmH@rm%xx^~OI!)@neA;iz# z(5a{vJRW$#(ug`1Ix=$V z)~zx~hKw>;fFiN+K!kMi_jqYI?U92J?)F$ zn)&XX2}0!}orV^dnr(V1&z!;CYzN3pH4!0~kfhPP!1CDE!3aCe?XhQpr%E|JY{a$> zI@cAtozX#l!s?zRY>LvA@8F+n*4N%+Bgl=ooCo;Yhj=s5)ep$&kPlKlYM|qM?1)rK z&9+a(ds3?YY&1}#5v2I}zlRL-2@i(N$mN!>DCp%2q9J+%^4!e_5 zc5alqgIz~5lM@{8Fn$>iXz>{qY~-1PGEv>=B`vyzMdxEU%0hW)a;cn4kD;p9J}?Y1 zS8NQc9{F*DW=l?SRgfG4ncpG=)xf@(u_4dnA+)i>h@&Z@;YPc#>qKihpuF!i^)^CjUmrdyK43yac z-P8dXw3Y(P+xq9q2gQIZzl~0hJBL-?MXCqqRqU;0+!i}4;1NKEvVD{6vx1^|^(2U2 z3M~j_teZAudIhB17%05=+s5irGijfUHO{1?>Lf7%a-Xz>T(JgV~ zPCCCUroH%GVx>D*CaiIRx$=o{J^3cKa_sYgme^;NOUvv#Y>hbbFmZU1EcjHs>MxH~ z*&fDElDyD34C1+0u`{@XpRkj$mv7IRCSj*lzcz<7iuPH(>%OV}9(R%}*^5uUfh}xh z`WP&d&tM;q>^nVL_rUO@XFSOLV?B0k!xRfRIXS@F`gLFO z<*$3;Bl%;&HzB#qjLlOoAB)w5BvwxiRT+0~TkkXyl3t+}sq(kOQd$;xDjjkHN*DOu zdHID}#pCz!q^U%QWN&!WMR7KoxI5Av?(tb7$+T`kr;2I(GE)2Duj4w%+-w5iU92^! zy2=)s%ijc~Y{OY>2DAx}#7UiJ_*frfnLyO6;F#r5ARQ?^BMO;8-&9HNhV9Pre;_nv zD!@BJUTuvnLFlni>u4hYub%Zp{5L}A3s6;! zoKL*hc14H5wAH53|8DEpwXyhzCqMsyq392*wlj-}!gTuaPoj)whJWcl4V;h}i>|N< zR*x&QNg4<(Y^#c9rvRmkz23eWXYPRLvbeSIu$7=t&sS;hlSPg}M^A#>TA6)3m$Kjg z$s_AMX#dgH`}1|E(mBV1M00%Bd9mwo+qBLNaF+LML&%;7=5MhlAcFbpY!-as5&-;8 zh)unjKmp#T$KLA;uZ-5&X6@U*mXb=cJ^gh(^I!}mL2+BFt$f_Pbc_TSrx!uo-bLR% z_TY0;vN>dN`=JcfNEkWIUX&WFn3bF67VNtBDcLbG{nCf?N+DADb&6XB3vh2A`sXqx zzrY4YVXX^=2Yff2e17-Afk@qhDwW$_td+=~58EB5SIj4`CXv^yIYb$$CJUr)lZ-gJ zIo0{Bt%x?{#@Serhu|t)s{~;aE-il21wy{Th2mUOkUh}P1nReN14nd1a$W=5sc{VR zYYJDYk~V=T_%hs1|JZJ6>371c8w(%ZDiTtW1v$eb`>Yf2aL3*~`~4qt)ZDX*y|Hhk za4xtpC+?ucSg_>r64ZQgNO4C}R?#3ext(J6V9-Y$R|*H^yeGZ)^pV+fJS%R@RgZ0@ zC_GJBxYxB8jpE(iGU^F4(+~dmOU`-e!^Yms6*Pplu$y&Nsl4e(*x$^+*8GAZP~?~| zPms6OnyU*>-#;UVM^mIyeDrR?@HPaGU_6&i z!|ReK2)M_vq3=aQ2iE`OeOnbGf(Yhke+|QZJO3IuE)+`=DuT>p>=ibSdAg)RY?@d7 zUwa-1^xEI#@phd`E~vRd2x1}zxK2WuwNi%}uxXhFr8<6{SeaYSh6{fGn9hLxh@GkY zHd&gT^lh0UNKd{g&Hk~Rc`9fJhfJG4kUJs}a#zsH$(b`%!j(v-;&kcfb8nPZ^p@W+ z`sec>VB#9Cu3JK#|A{7fsPDd}rwgo>4t9~T{Blo6wa6n(ebelVxibHvsnWsM(wRzN z2ZnWm@VNx)`BT5`Bk2&=;Pl);GjLxF$}XPX#5wWS2df*gE{n@bKaZPHsdew-MkxDB z?Os*ui|Oe;8xwV+$`)og+@OTzV&;c98qP#>?Pm9HkVV}5eYrD#t)MLQ{OM4sRai}~ zOtPRDJJ~5paaQUw&Dl@pV^d{U5a2+nay5Z)NHS@v57-GRI5B^&mW~$i%bKpfD;*fG zc2;F%xb6#9TT4+{38-oi_~R6>Fo@%)5d zFbRiv^r36smNkc9W=)gy@;?~|x;&iLU;&N-8#Su8aEG`p)|iufDPt`zd$U?nY~;k+ zH{KCkz?V{r>YHNP|nN0{)S87wyd1qMjQ4+(F?qm$cW$4-%X0*8G z=ud9XH^Y~H@)w4hZ~hIZac#EJGvsYjC_^um&TMVX2h-R#jbr=T-Jv#yo=nS?Lc1MU++9Nei>+Z@bu_z zGXq<#8TbFx$fHZD$%`}6$hjr|&q_>{-_M&$bXXsM?u}N2RD~k{>WPeKL#@V`=9BA- zUf^d$3VMG6B3$4eZMKQ^5zu!s7Ox+_`ZF(?UQOnNP6c1T|N}(BRDmK9soj88g;?&kg{X($`gbt#3?n0hL zili;nS_(_F%ibwSm^1_VpW^G+E$#a_ZIoj5lO)vbk7zL=h zDH8{bVuzNYRc_8&%JIXkqKBkzL{hZVRq+&JD`!T#Wg0lu1gE1SIw(a=;B~v!gE?DA zYc$s|={MKSzBk4}b+$;9e%_}|_KTOi$-&KeBWFURLF?h4ylmlV>GA8Avqf$HYX+_{ zvX8pnD65|}>mxJuzUB6XbgSvUTo$dTR)&8&@GYeUfwk~@&hQzz^JueI=>&BzZQnfW zcQ@>Jn zKM>522UI+K6XG@~fKGDOHm4M!2;fXfPXg98qe+@sP#P7ZG#;zy6RqaWk9SD{ptno1 z&bvb1v;n?pk{mL|Ny%K-MMdMgug{GXC0D1 zsSl*$jf5u`PXLgIc^gWR8z>*aLbPy17Uy8g4c{>2xd~xT{?><^&+j0^N8JafMMrdm76`4hb;S1m z7?lX%1*RU{Bxw&T>bg%{!k2|DVdTsQePI%5PziaZhJYxH?wUx3cKUU!%*kg$sot4L zFv#!jdNRteY%3mo+8K~9FX0w$)Um$~uj!OMx-<(*m|d7$d8}U2^bWcT3Ql?nn2^E7 zNs(picSj&Mf<;!7Bv-GlT%K@RYk@#-EvRsBFRwpzG7*{xOoTgx`-RU-Bo{m>5+}Dt z)W%@HPQLnq8i%bzH(ycbLQj?}WDlhnl&?-8e$X2i)`TFvbx9Zsy~4OoGqr@(IxICg zARpZzBl~tXaCk+uyPi@-KASYzRura>-aR}~QM%&ztWKE^(N|@(*o7@y@9;(JPC@gH zMYOUJLbfc_#K>sOhAj^fTQTOnWElW@B8$dw^O9n7UD2EgP@V#l`} zUFCejfJ_FLvZYwCj;6ub0}4qP0q__M{8HzOJ`I2HD^Ywnp;oW(i9$zN&YuyUu5bcA zf0vDpZA#}aWnzA!rY3nJc9aP+tI{@MR3ZF_jg>tLtV zPs(qrTNB|v5{+bmTyd1*HA5CA&Uw-)LWavm)*{Q>YwzA6W-TCDmFvw0*|*)Lh2>m! z1*uj;EK`C`)S4Ho_Ki~j*LE*-?utR%upE4z<}I|IL}~C#OrSJVhVuAM2L7z&MvTQv zWFu(uCV>);^CY3+16f;4qs{==qqub{U%MxpuyKB)#Bbw$`^5@Qu=a`f{wiqpe?aaZ zUB&7M=l`zduN=M2cd`tTyi|q*B8a)IuT}~#w2R#TPbDBOS&R<0EDh?4`3UH*j9>bt zOS!y6?ttzXb?%7w)r#@kA>r~8zXL-^CjzZLs2vVjog1!%wA0GzB9HRvPUZ!}1bXW_ z{pafnQF{P@)#NO8`*wIU5LS1#e+7t%qy?=ha7~m!LJ@pA|?^9rI z6HSM(hWebiU2CAGezWy+f(*ldQwQcR$kUiY11d187XNcN6ritl5M!2N@D|=9NCs}H zY88@5WvKpLqpz`%uq$f|It;|J21Y{VfXwU%t<4i+P&&{oqWtB4qmxd-qqG%bGRLF+i0o*oJia zWhl8Kgy#YBMT{wt7(+SALHM0xhI z#pUr2o7SYg*`;>*&*>Ht0a#O`2fKTV+B!G4j0L>A7Ls^)FK=_2H?visfY!+A<_Wiq z4^~KBgd0+^=u3E(!Obb7vs=qLu0Qk&yVDR}KUN&bKMR*sMrSkr`cEnZfPsEESN9k% zU-qmz*YdkUpBhzj>x3%n3BD#N;Ek-P^OJyck@TJb2nPY2@#hf5b$5xB}H2p*ALcAMc1PnS{8YofsK#h?>Vn+{}P@vO<>} zE)aQ?GykNZj)XW9Ne7j4S&!J5`wJp6XHUvMLNz}Dh()3NAv=y0U&s5pYNbB8X_P#g)q~K?Bd68%zv7Yy z-@kXRIyMkDIDn>2uLgCzRw-fc@p>;u=(kg4n%iz4%fukSH3Q*)DMkVh=;|oqpBb$& zgD+q}AF4Zn{tb9}uPZle>0vIVAKs(fjLRj4v85cb#W_e3cl9{HhV`m^Fgdk{Ak$}N ze8C;^B5(-nfVU$+49RbCa01kt&;jj!%|T%MgiPTv(Y)8Pkl#s5uOC9)o0ssj4zI(x0$F^mZ zjz+ekjlQ-BL%y&f8Y1M5-Hvr-yGx*lg2|`NN5~_Ch(^^Jk;usz+RD=ROgCLCpPVA)POjQ>ETsGHJ~{r)5vgYEsK+_724LrAdLaL(>n)$N~l8{)@;5Srzb>BBuOOl|xX! z6+sS?hzZGTXN+8LOnWujZ`1mxmmoZ?Z|UjJDmqpgY)1;od+XRPo;f*I&XHq342^=6 zw3v_Q0pO%!5kEsxiLzl_wSQGD(aiR7;?yrFRL*yntI$N z$IZveNbvR+KH0_b6n=##XxcO#DN9hd=gx**Ur_1LU*OMo&zq8WpLG6Cj#*{#IGeD& z*Po3S&9nIEj$`Haw8qUZ{VS^#aze-n3LAR5DP&S#Wm;qgy+irobVbt)I0^g2hTBR{ zQPLZw#Zun(K1P~w`d@1*6;}QRZR{?@Z(;gtpIG{PBA`CVCyvtZJiBH!r3uA;O2Gt2V$Y9?gvG(~bB zI;`{6Q+UZK(eERa%c}hO^R8%1S%_9nJN@%E?zozRaEvTjB-oubhP}7xck-7v&XYGN z%$%YwTfIu>Mv7l|u$6ZAye)S}=u}w|8UPm=0%dhmH2h0MOCH?u1l)!`bjyXPV>=>) z9kZ$06PKrt4YE$gelC?=~@-F*5y$z;}%~chWscECJ zaXH^E>Et5D#-gZcz)lPhDD?DA24jO?oWlRi)9>< zs!4WfN;&AX6dj$=1gOPlBnsF$St3AG5N2A5h78QDY+_ zG0Eo9)ciih6-~){Tx0@LNxSKB+FG%sqMFiZqg$YBk!0#al7Ru$kn%Ar$tyJ-c-f-w zm!^0}SG+hm6DF!d$xh6uc@{Gj>y~`bABQ1gDL=oz4ikdGzEs&uvQXE5Z;{7W#PR$X zsi$$J#wCq$uCae2C3bQZf;)|p@#AD^?*zu~sZOWT(Z?^hKe~!FRm!+o7*ZoQP~2eE z)AS(A8hNlIHinUUAkJnY(bQt6$%I8R+Dy-km6{ZPd$+k^`fIfau?LdUC)A9wHBO=% zv=onnF%>J|qAQ|aTP{kiDmW!oG(MV{pb-2#ay&U!GHWn7gCQEIQJO+raEqnzkL{&W zI>Z^UrQ`#@_gsk;%SsS!B(bb3Q)G`&#^*6W47&ci5j}CxU`Sy{U+j*^t6$O*PLOME zcjGU7pg;DFdL#O_INC#WCiZ5G@s*UA$XAA!<2N%vN;hri@|nQ?R6Q?wbDcE8H}N`@ zKXf1dF!lRx3A4L3x+hbTaC6CTBeQG#(zj`?8ubw zOsYkK&r~K<6UBftBj(N(Wu8$7xDj6Os#vx+wZ}7*-o+#orK!Bse>@c(b*U<8*8^Eh zR+a9nCB?PtpKA`r9fTiIJK%?*p6;kd#Uxyo4^39|!+qc6W~QFFAwsY?Wt63v8myxk zoebIL@|K=^K*BsZw{XpP=xb~;CZm5LMc=O82b6}zy89;^V~(BaT#2Mr)YEJMxW40A+Ur_A-u6{|@-87DKAmRas&ex0#HGm`>lB#okql*#GX<$h_Fwus#)G}X_ zZMWX2rf*}6d_ucCBjru!D%_EuV4-lJN#G$z#lzN9Z@tc?#YIr^Q6e@sTEL;}a z>`32R?-(uSwn@J_E39`qh22T7|ln&AtJIji}(;t*bA<+UXK$@S_m4qsNMkzc0Ld!!5*&` zX6}V&8+X*Z%ru3b>`Y2tEh*bwjQLQ#Cg`CEAOjS)(C6efaK-5OJ1X{~_w;U=@nWB8 z;bT1E^I?FaaIJF0TbP8WTc|G`2BGL-b-5=4cIkfmzJ#qw25Fcp7+J_nDw+D7d$AU& zueXkW@Zzdt3EwGAd6_M%{!>*@VDFHzxkv?!Pwg_%`UjstH4z zsay(j%c2vQ9mR2m+tC)KnOa)Fp4NPV)!&E7S?NhdATL|$&Sps)YCf{5%DH&Of`ZH0Z*O z@gYW71Cll@Tyo02<$MRIo>_oeGiW!wzZvR56zTk5;%-o>X?H@IzFHT;hmBGfq7?AB zj`U(@8F^JB-1=9x;;=}HjXxjL72sWRr}TW6+O>0z25g#@tS{sWYUy$gpOE{A10M(9 z)QdBg`X?KOx?MMZ{1ohjrx*56)gSwmHh7=gH*v!s%{FxqkDS(*fCOPbud;0%0LN!c zdcU!|0xyK3E99g{P6V87U$=uVWydW&cI8>jtO{Uz&Oq_uVt8#yX1l|dWo=!BN6|$_HEB%J2*I3 z$ICNvbHtsJOZZY{7(^q~v!G5U^^(>L(NX$4(T{!N$@mxAi8mEu>EPN6d#xVV;1K{( z*uLcbAfPLbah@Um5Vt|q#-E-jR_}aaVQqnhzo5=7cgp007CkP=Tp;2zDbL^;d|M~o z@EC7xE8ms5%zXCQn?9`ifz}0fgeG#c1wuz1`>F=j^392pZpb^it++cds;>X#MnyN7 zpdos3k3z|pMz;yDNx{PfX$~yXe`;fK0sHjc2n;MfqEvc&4D!{7N=K18A?0vdDXbMBH^sqwl{_DN4+@6&(s*C%B}Rtd)-Dku98Y zqEGKR?Pot6CdNbx^R|Sr$&(~-NWJrdEWa8iUtlLM@29NZah9 zU5pmgSXN8o6~84l2w4GjF?ISP3sRNP1+)H z5lz+LPaIrgWNF*cs*A22{f*xy+;gYJwhdvAZ4*w&3G#Y?t%AJafUI@;a=6FP{7~P3 z@esR3D27Nwh#C2-7bFaQwxz8gNRiDfi?sEi%S*8H5ACxmGfgJrXes}wu^mN$zhsTq zU(?rE{+=g0myEu*9IyH^+SWk0{UmSjLn|9hmmAL3@$oCzF7RK<-T1M_AoCpx=?~v0 z(EVj92WU4GLNAnd81;vqVVI6=!Yq`q%mncP)76UCP2v`%7|}Up^!;{75(;paFEO-w z0RZlgrnAW!TX&D!G)qsZlpkZ?gx^Uckh}-(`bzxvI^Hra)%j~c(mp@F?0OOb?*YmJ z_TN{8g*0=mW~~bB7&k*gBW?X}=i%X$B~66N{lHXT||APqY?_0 zjS^RzmNs^+lY^G~xu+F<-&I6o0|ea&kzG-Mvt*?Brq?=eWWygqeAXb<`>NFcmh2Zi z8-2Z3&IJh(dnI)?U!;`%U$x~TD1BBWwe!rfQ5afb99z9|6(#>?Uh)>!)@L=QCw&J@ z)_TD5^KN=y!Jy^d1P@+BzkGkh_oMBHnW~zkxj31xgn3!1GzVxzxMH_f8ef)hX65wg zt@hyt8EA{s3!B)h{o<|$7p3S6GM~DX*I$a9oAxxCbm|?aiD012nNs_9P~uw6+hCHA zOTj?VDa0Cwva<=QkoOu6x+W$;3B{Wuhn+m3wh*w z4O*n~K08s+z771Y$Ed83f{vZ^L0`n1K3&QE9>l_tL_I zjlcOPWj%iDsVo@%N@#jf5+7WE$aS_$<85u`6SuodEqXgSUyb^#yDdCzOrb%<2iiAB zae8?_1t;aQL_88Wi%Cb5U*^_~#qe%S7^P04pjO7q#Nv(BxxD8Dz%#qm(|Pt5-4K~vFUhG?A^ zMaE^&PfWLzvoCu>fV;+}(n4bWid^onhlA%|-+N)ZwV$P_RT}Cu|D1_mJ@e9Z(TijC zV=kZOdKJ9uy6vINnM51!eSKa@PNi;0H>HiDKlks*;)5QcO@z1UZ5XT7i8WZSo{?Dp z?Er{bXF}9@-I%hXu^%%8248E`aR8}2167}E|WB~6SSUg>GCM=6sLC8OT zYaCF@`71usU@z{!_tjRc zP{ZHSgYOv%l~cd-NW$G;RQCl%T@>j&G8U38bFSPq4|5|9o@+r3G2Kp85Z{9E?8<}g zS#Oow!B?(|(*?>Fo4kEOUeVOY2gl|>O6IQ1W5qtBb6REizTCWta50*0GY8;PApM9t zSGmh65_QVg9Fl5pwcS+7CI1wN<#vm0x6J&Y?*Xst)=Sv#->R2SOzP+8PQ5VWn0#p_ zK1L&c9wO2Y1dR+V#hlI>CY07);1umRP#O5&9rO@q?K7HDY57AtKeMyg`UezL;s?r9 zJUOw(QINcmN|ly6s;2%whe_iaQ_5RzB+6xs95<8g7;6K2_fMio4-^ZoKLoRefY#*( zN1J}LANxq#Vq3c3k*T&M~P;_^Bmm2NMG_()hF(1Z&SAtm-t|dlLBb zOZOM|#a@-kK^Z8l!w-M?H2AJeKwbe+)JX2R%#gGvnQoy%mSRA2xD69ne%QG8*gf76 ztOW+7E``r`%#X}Mz^hFw#jb$bhLE9`_gb3ZDXwZ@V~o9de||-Oo}e(b#{#ebq_>2$&LMqMKv15 z!!vf;lRj%(2D@mioJ#AazwLyM2h*2_L#}EIsvBe_e)9gY_{UC($Mh)jVw0X|iJd2_ z7RuI0de@Q~0&p5H2NZ9VhF8*u%0mbpjpJhloi$?T_rH-+Tse-UP8pKZ$6J}%h1ikX zZd&k}=T1PoEDI(*gz=fl6BCr5N%zr^A+9%2-j@w;f^f2-RDj zumuN&%soV~=w;(^3_(n{3ER6|+8(y@M@g{#WcyaMLnpPg?T$GO7q&>T5f&`J+oU8g zy)R%a{)?<L13f&4p@L zML6yDU8lTI-BeL0J4$ec&*pWn zoPT@)mhtt2YhT-~m=7FC%W3hR`f#_yx;vT}F5AaHEqsu!Sx9tDeLp?axoJF__k}NH zA?PDdvds%z8jjcIN=FEGZFgJlcSs8hGQnFLU@m$YW9U(4)LqI}Z;W>RnkSP+U#`^3txVn&Ip6q;Omu#uJ_^KVlwbc8;r&<;?%MQQ zpqdSXONKznHIGrza9^6fm&5imw?FLiy#IPrXkJZV!!8h1V`kfUU0WN{k$q83R$$4< zzxnTUh95lPgnvAIIX}a0Fpu2;H+W!O*z})OYk>-!S>B;(f`&(doxDm2&wCNdYlBu5 zeFwNSNAe|ZhCBTHs|@RNuPByhx2Wc={kEmAc>B1(_hO5B|*Vk?01MgTQ|~eXttk`Hz_;0@LFEubNf96^JZ7>7pUkK zl4pTzb$8@g)-7jMWmFGdcr0k`aLytaAsR7;(9Hwz*8f}zso|v=PDyaOwl#yGP~XjT zqUII78EN_hRfU$*x}w z9z_;6Ww$n$y4qnrJjjSAf%9hb5xkQMN%aDtRmasFakO>CNfp(qjix$$X*HBZE46+o zoWr+n?|6#8+EI+Bcj)1({?+J;xV(vUZEqgZWgD^LlYk z4!u7dhaDjV(6aSm2USej9=+r^;-cp;uUq90 zf@QR4a&@dQjo*Qy5&WEI|I)G$n}WY^X~S+jq;-AIVMb1ieQx5*Oh?VCz*C=?=%WP- zy{&sb+^1-gU*|w)FlsBi4xf`aWSrH31VoRO_U_+)l-Iq*{EPFm=IOtAAGS1NdQzn% z^|9c@ML^+%x$E9vb3QpbDuf~~M4&7wgB7LKLG^v|7IW=G&e~fhL!8gnSz1H%&dyqD ziS0|TQhP5Py+pD`s z5dcX?g$o-R>+4_-9PV5t-o#HlI^t7So@_wG_U`K_9jwxkVU`uMXWx7W)JvO(-o0-& zCVPkF2sCdqW(V|}Y8hV+yaI_n#oHFnx|vo1w1lq|wZnEf3Od^$DuuW2pD8gwzLHJY%5^jcWYE8_UxY zj%T7kG>Xepl8kGkVsN}05(tZNsssi-#X||vGG2#y8(R=oM>9;xaDd|D%UxLCOo&~+ zIs$X&_imM%&TTiedQJRJpsSi8I?3V*AMfgQ6#mT7YN2I&tzG6T)SV59kvW$mA$iq| z@kUjiYa@ESNFW49yKvLp@X}xQHW_Zieuoalx5j^iA=2oCo_})FFd-~>&WA4l@B{ZO2 z&9frYp~QQ!bx=l};z8S%K&-MC7(1c>K!fGUNC!#Q_U2teqN|V3UY~Swy)%$JmFhQn zTfO_D7gO=G=%bXmn8@TZ^ZFQBxYj;{{0(5gud53H*N*oC6vaCMAWZ!=25!?(YDS= z*w{}BPq>*=^oWSI1+~%JV)qdj+z3B~dGmtOJB6ie7`(zdTGW)}b^o5@CV9FpyO?-9oD+6tSeQN0r4$jg)`RxMk1%!Y940%gu z@@-jgSItt*U+dIS_vg~(Var$T=}MT22%@#jC^c)iM#{MGSx@JDuT;bbWn+$_vE$?$ z%_l|6)>oI5-In0v89HPoGdag~QL5juLOhB{_&!SCsY!5@+l#JXN47=;J%a!C zAN*ZyqJ84#`T*VE>Ai9;(4CyDA(0%f!x@+@pQG5Za`(O)oPh96m!j-6+Cm+TE4Xu% z0V9`=k1}VfWt(*H11W`q#^er~??kY1)11SO!=UQ)C5{>SIkZc>%y=IAatQ)n2@vw0 zQ0{9#p%?S7q$+jK-@Lyu4ntot!MO^Qo%l7SSLfEhY&BH36}xog%+Wu$>2^mi0+tRl8CwV;= z1z$i~j*yoinie9NrD4nGNp+`_{7VPksr|w*gW1G)dRa-eul5V#%gpblJX9&7G^(nH z66aW7^CmvAE%QqYU&^m@VKiUbFpF^OMk>D$Pd~|jprYjYD(`?|&w0IFcl-6XWl=-> zjtcWUGDdob?y91q;66W5?lLrsHZA-=kh38*Kd()Zx$ZLZ_Q5)1niQ_dF2Pm2wR|{g z{_do6T!PY7_J~^S2lB1LP?C_ubtv1rV^I?;9O|6}Mp{Go8; zIDY%i4G!n*z4yq>=xia`WQR(2+#O}yA>|yp((YFo4I^dGvdL)J$)=KX5)!iR=Wlpk zujhHbpYi_Yv{H-|5}MUg&tqUAK;6zj_a6T{VIKY%hTK`{9a3;Zn-J_=mWU|PJxjpz z5#)?OoGq=vT{UW{G$SN(Y6(3g(W)3Y=fg|ahH-bTAzp169X5FWW+p?YSPzY6^jBF0 z|IjbQR#>lh2>3&H7g~prN+gjRDYQ7OMFd!3SXM)nrvl5R;P*tusY_N9)x?2Y1laAb zL(qJ`C=P}5zeOIGA-bSfC90L2eNClAO~0-;$md5MgCE|M zT}4UZy|>9G!)13&mdt*X4Xrf2O%?dLn6_c^OG%SFYMe?q^B+9rSqd01d{*w2z2CzN zn!kemw`4BhZ>`^mNs(}7WjSVhXTliUsT=oF`HnMpT9ZnDH@BwBxQ_iU&&2A3{+aQi zdTyn-_NWr#ucm6GNITU*hOPtPPXjZIx+Exgr|Q!qR$cisu z?3Vi=MDAnOAI$(O+@&GuZv%KMKA(}R=3|l~V^?EFVwZbod?wPrhwjLYjO(veTKS-} zd#}D80_F5^M_uh1Qfl~sxc14+;1lBeho2j_78<^zdJMKteJI!8x;3LtyM^ZX(Hw&c#VWz1BbS5)EtmPJ?qcLi>VR()aVfd>T?f8aA;?8ah^7-xOk0DRMg#z$zc3J^!mw2;Q7(TCf=deAXpxi4 zfo(ttXMnYwZF4QackOUm|K8~)32RjJc`4T8UBPEqRr@Og!37l+il);oG^<=ctCPSt zxkXkfK=E89>jCA-Fz(3|_h+KQj4d~TpU@uL;@4y{M!`t1QPcI*MS zXlX9k<|L4kd&!moC4?ucpXPa_p_E4*(#NQ^ujgA@!d!=?uucoLq%rYc5xAsr~qT zFI|F|Z2;o=p3a}T>fJh_83+8N9-z^SDUH1M^^iMdmep^gA-z=B#mmZw@>L}kjIkRRID<@QueO~R4S z^ZGz6==L`b9L~(cR^=YU!5GZy-+CGtb01^w zT_=m9Pw>F1fOZv4(}!%rUG)j@IB2>0jJm7xcs1Mhqh!`>&ifX23Lx_oTkF?8yIkVW z>ueIcF*;je5^Hj`iDptqtA{n9W_L{gJX1QLw3d{duGV;`BUkY79X>nbq)Z-AS&&x&G2~wU;id1pFtwGhZlY>Td^u$AT zMi`D)96ezsk09+0uvT_G5cubfCo$6y!?+f1!+whwb8&Re`V4x#)%XZ3JYoEARzTc~ zzR|Y-%C2>9hyF>q?TipS-?j_vFaUzPh9lFv=ZC z{h|oyU1f^1hR(t`LFiV#Jee2J3Ygg`PFiZ8sQP$Z%^pbP4o>u?owamCj+JWNw(~bc zlq(5x+DhnGG2M%n{DDw=JK5}c%%H>OT`Q>p%9MLN*+FaMkIQp6anS&oqoh6S9zEuH zv0L;>MYQ55^RnIT9q{kC?M!&2MyL*Q^7by~M<3WSGI`&nI3y(Q4LwBZUIKYPHvNxR z`r=FU=CxHkHPuT~C1w$Wv+FSa$%UH((LQtl_IW(<1Yv+!ZtDuA zvA0-Zo;#M^DracYgAyu49*;jURb>TI*=iSSd7v6-Gmp^P}l~}J=EUk~HnDo_N1O0n@T(pLH)kaAl=@=BLnaqjE zs)^6=J3Oc5bgf93PXA|RO5S%|+vk7-A_FzPukig7P{2Rp!vP}>w`zqz6lDJVV+4Vp zOK+C?AssZ7;c|!V)r{b*#bV@lttUXVq(I@bZFoQdr(1-%X6l)e>LRC)QU*nvXuw_N zHQs*=*Wi}?En!a6+JhZFYnb-Wc8(`Y9Y;4x#9)?kyHvN7yPS}))pfS%4mi5h-%VLv z82AM7AYnphgw8!yNL8WKfdKcEydxZ&M1lBU)Sx~o-Fd{3{)PDc56A?wiJCyyhz6;r z$MI+^mmu*P4}P+VD*mJNP~L2N{yVxga!GBRwPI7}q^E0dhM%ay-U&rC>{NTq3n}6RM!Rl;tuXSf`f6|i@OpEv`ax9tM|ET4Nj?ABGG!4 z`_(mAh$`iw5c0+G_I;rn+Q>U5_pcr$tDuaO)PGCcTMWgBFs=NTIv(nJ`>ci-^9r0D z_aS;7@#M6}B)U~40zU!!Gua5HC4R%%pBX?|z9llxvMsVt59yBpn#El!Z4>FqNV?m# zR|6i|H#aq~mbV+Tvi1Uwr{q#oIz*OU9N9=?X_Q-fgoHko2;&B@{x#l7cls%h>27!^ zb^Zd7Q1RNa%Cb>BQ~WHqWo>al2o*L)KFKO-qve_W$L%EZFI92;@iz17-=OZ;Nj~1@ zU)$$fB^#S>2zO+k`B^?brm!W!`eB&R1j`jG{>ylE8Y2z9lkxZ29E^+QfQphA*9|bK z7@|aRUHsdW0eO|HCy=VBJ?Y`3l|I25+k)b^n}PqC=%A;RlRFij@xLK|k3@`Q725_R zNAJ8YGK#<~#Ip6`nqZ3xvYElowJHNE7ulPt(mmL%h*bdxznPNzWT)oQrTI-px7d{D z2aq}>}X;VIKfF2@cfX>I|MkspK0e2PQ(SdNmi2{H`UajF2ApH_G z`g+~fecc9Ec^aZCq^CJB%%59NxD};j z#qElQy0^){7X}U>Y>T%eisVCRbtrl2(TzWh3lYp~)!KlXrcY~k79ENE?x48NG3&xJ zT)xL#THjc^Nbvu|bVYwj_Uxuub!2>6YrnZU*Pb=;c)!wDvtGtje^u{gJ7$Wce>}4> z_S881Q*qy8OD@TRyY7&e^OfI?WBzP_H@^vy6mEmREsbsr3ovnjMCoy^b*Z?`=S}cYDhd4%z@fg?&q8&Wq^_}6$B-Va;36)osQI7z1Vh0#gV+SWRAl?%t;tU4 zKFW&rmG$<)Cv+KNa*1M_&8jNaoTl|3%U(8MJwzp)rC$vAr{O5RJp6mhO82l0JG=<) zM%W5;X#?j9Q)nSOZH4(WMPeSWN>rQD=K@Mvb2{&F zdy3a(Nxx^ck2~8U@9rnV97CsR1x_Jtz`cM)YQSaNlSs@)3T1r~>l&^$fu5FDnqT_8 z0_InPU0mK^t??qXS2l+6aJ}X={w534q+Xsh>kSkRi{Z+DwsvEPH`Vc>-M1q#@vrtG zeYP~f{V*s;pFUP9I>71h;W!F9etB>2K1V zZM7qrzWGVd?CH{ubNVYwjf@Z}&uy{_LQgZ-vHKS4*B)ql;UPHRj#|xl(FI(d&Zz6r!hJfvf?eVmUaX>#@O^ks% zSP}&=Qc)*vasdL++i%#H9W%|w z8ji=Il{@G)^}c>LcV+duRq!Nea65+EQYq$d9E45&y~De8Dt=F5 zkn(kKQkx>!_cvw@Oyoj`6>(9(9lV3F>ocrb__zaocDno6kl&nde?PKqk)3}R1;qkn z*0L)qp0~^Lsd#Ym#<7X0dH~j7pfgTVPc#R1pN^^suzT$A`X-vstLXWax;+WHKLfUN&`?{2?J``5Ky{%+DEqr{11z&Ww6PJZZeVz>c%X zeRXd?#tf8ScFfCO@v5e}3@jNnNj?#sC?)0TOh{J`|E}*Md`U>3VQ8x{;YWG3!ylaA z{K$rtU+3a={GgcC`?#X8nUmGbGWm4IUdLf+>{_GJu17nn@hJx(kXRNZss zV>oGg>yU16_C*(jxg0OB5T@wv;~u@KDg-=TFI};Don{}fpI?-&5&!0T{`*_$64%yP zjV`Y&Q=-QKPyKbz3t_}e)(yJj5bE!M8wLyQab8(BtR6jAB?_XcUkO^-$-(@(3bu%( zizJCuH22S%`?3mICwo8}d#21_fIhHXEA)i`A@VhUZb>V-0K59+R~JPeXQSHKVz?Y1 z>*HpDG+B?s;d+#1jCF0JKU#z^;#+@Cm^l|Xm^7-Ud}E7ISI=Vg*8a&v-@@MkEa^HU zs;mM8Yb`dRll zB7CIED~=vC+N|0$Y?+zwJQ7I?C{N_qS5tcX8c9ObP2PFcTn#Mh^?rn5!`94`%?!J)xEXvLHB@Ia|iX*FxB zy#aY{{U7)Isf)Fg2tyBK+|v0&5zYBJQK?@NghE$g9v8RgG;8^R`N}cYH#{fIf0%h5NEYniQae3jT&^rcy7F|9v6~1g6i?6q z#WsiLh4bwbP&bsFS4TSS8oG(EzgWM@%<;#eZpBhv(RVErCf-B;{p7X^lui$fy6*%UD{!WgS1 zk$C5lVLuDL+@syQv!Mhfz3{;3b76>f}Jnd zNADA;i)Z5@J!7b|DM6Q^#hRDIM4HeYHh@~pqD_R$8d8hFVPV)VET?C^1>ZvuJ(^$% za+2pSN@?_9#TDTJb`2ElLBzitzcVeBQts@99}JCZ-3WN^%^zXEA_FjSr}$;>g9=7M zV&0bf6M#KRC{g2Enl9(gGM7&>R9}q&LppQx>`Z#dCv&BtfDykl61__6LjuRZFVg>* za8r-XSf=5=AWfAtS|av5cT)c_h}>Dn+&2X77r|wK)|28%k-3y*7X&B&>u`?1Wd*3f z__lZQe3Rao=q!82+v{)B!Y`U;@5eICR{vkc_ctYcu=$zZQQhnqSrW|9Bm*@NBl@&6 z3q^~@9BF&Pz!nR94vKq&dykO<6G#B~q+pxnpsZ0BVfm%I>1;W(_QP-?VSJ)U7s`tDWXjmCFR+aKs#F$-i^6u*?>0jSW5qkq$a04^-zwqJAZ(IAJIx-%z zoTY2~4}cwmo7@`FV3}N(XuA9EwfJ7?CyIjUXEP`IDf|#ldnuJAeqV1=T)Y0I&w7Rr z48C+F9`)`+cVlxZgPc3sn_z6v`)ti##G!PRnC3S|XMuAE*&Z}~go>+$*-`L68-Clx zRW~7V*Z+VS-{PtAzvmA40%Z4C3p5C)nM^IZOEMv4U^~TC0`V1DI@h~UWCw{c;?#1i zy}UrV>G5=Yfq}CDS&zGdt{4;-TwDl}iPtn0Ex;{ZK77r8^)plWj65N+b^~@!RTxm~ zY{{D{cmnrr7Ze7&TKbj3S(NF+i3HkiNuVptlG6W734YPxHV$gx$Xqacva_^3|4|kY z^Hu)^)*iBV$)ZSCbLGo?k)BO2a&4(?Uf>@kG8ctlS!~Ic@}2cCb;^Ij=06d1pdK-& zb0tB;{NYL;2+%JYt?Cbb9$kaCpH~eVNt%|$(7%(JX+&N0J5jqldOQ<3*Y2jJjyQKV zto}qnFhOBTANg`Jlrk>)Kpz4;E+Ou*yEJ|IKt%%z?#L+%xB90iA?&brz65%1R-M8q zLBQk^oY@8VmVAwn)|xq6J?HzL)c&NT*`>&rkz1pL0)@_6iHlq67*R(-0Sev zgDe-Lf$@jgs+Rdf^A%{It)YV9P%I!xi!{f5N)CBC_4wDd!RogUpf=o~HJJZAKdrz>C0D&@21nqX_)iDw?o?;s}j{f{38= zHq&uN>Qiu*IZG*9my&FM{4x24j z4NCzfblhibe)Y5XI=Xy)Q~7t-A*quo&IWPCxn{&i1qHL2{;h16`_7VqKA^HqD(A{M zxTA&bQAdf3A3#K=by(I}B2WlC98j$Mkcu#?TzB9>PE!yzmF*|n4mis9nfDcGt%6sj zFksA3agjRDiqj-^lJP`u9L`oL5xgjfwD^PmlmJ z(3Cn^jr*EeM;3tN2b(UID3qTp1lBExRsk~{Ppjf#9^XbRW1xIaJ2bj>(Xl}b?@|_C zovBQJNV(-$=mPW9_YEzAD4Je>FiD%z(W#$iMH*LB>uF^Rnx!3!%TO!kh*M*HZS5nq zT_`{WLvEZy zf=cO9c2iD6EyyU^r+m6^wpGz;*SRLldHI(Glr`a)BH%tTsE9y1i?P%!`ZR9Zb?#zh ze)S2>GFOGY$&3)Wa(&J659E9ivx|nK(J^Np@=JBIb*vU$zr1&oO`X)F z^g&Oj8D$93Rg*9zIcshO`JcC>0$P=$HXSwu+X%uA+zoC2>dhNuqkvn?NH!<4)0SJy zP3>DX(9Zt9Q9Gi6oTHs$gWIio!D3UjmYjA_?~6jyHzLko&!die^5ixA<8c8gmc~!g z-b)Z<<5_dg!RG3B+ilaS1NY9ideJ*5eGefW=j@)$fUD+KmSJJL103T-xUVHPE<7J) zn(Ndb&J8qG;l@OKAm>?4UwgwIIQ`AJ_S$B8gDq6OWO88_HF?-xZKECeAEv$)faH?S z<=jl2XMDAGjyHToD_2v1OaeR7q59;=?f#dQ+~XQzTeM4I-o3;#-e=e$p~*9)TJC+V zkv*IV|K86PM+_M0UNPI#PfJW&3ispPg~}Y>3pUu9AYV`bEb<8~XSIJ~f2GBBJWN&g z8dY~#lKx6%7SM)iOv%78Qc?;mqP6@OPA0Jl+_)cquf&8Zp;s$^_-CZ zG)Y7O&J~Qb>F>WGtvP0mi(6m+MGR4?gH{>zOvm`A&GJ7XSXUhW<5uZuP!}_3i#xET zyCLYlc3guCWG5PwA9QXSjyrXm=3T`eutaM&odLw(Qk-+m14;f#;-V#j%1lF%#|L?^ zI_5TCBmSl13}#_j`L@x(Vc5-GQY4sP z_mSaPhfIZS6X+t%Hw=Wh_U2t+Urj_Wn9muvYcNJK#!;Nbh-KC-9vT!FXWlFq`!w3n z&MkHiVQ7sY^Tk;scyy7-c%-{Yy2?`-2NXM*SKKU~_rHMLRoIY)LG0 ze;+L(1^mrW3l==JIQo^vL>1G|?xmgOA%$S^9T^Dx`$i70@KVDB9IN|pm-|ND3e5-O z2I71%^PDNfuIvlIT}=~9GtrPt^?HFxf3@>Q)A_Vb-rJw#px>h%;(9i&L-nQ-=Kl-eb8W{fJ`gy@TuB;mJ^k=5Q7XrG)}lz`!s~jJ&d*kvFAq^LcrUbj`o=a`u{<6 zfy|N`GK&7Z=dl>s}TA-HenRaFp(x zbm+!QeneJ}$vj-$0#F!kKMq3-D5*kOv$B6XX*!0FAQvDXVR%Fm2l^j`6Zi(p(aa-& z+l2@y21dYP_k^H}JYToHC1!iaTnVz=QHoqGBcQ z(pozO^%7i$bqA!?10U#ans_HYJ81HGM197v4yo*o`L9kp7CRn z-{I=NnQH*faGgL<`hsS!y{BpYqdCT}4;=s-alfX)Pj7ZJD<~HRX(#wm(fj%JmX#un zZHI!38LzL0Fkm$i++sx{$gtwZq=+52wuHg3-hiO)C_@pIe2dmj>71ob-@%iyQaCGj zx-M2!L`-BM9?*#9Ls^yp;VF`lI9>22+JC zv8}um&6H%{rJPs7_?nBoNDUF@s|0^r9sJhQp3)IoFltvIRQ}9l2{c=FdeyTDk`9S> z)xj0L=Ux_9zLPfq4%fTwW~6Z8S!pz7AgduWgxnQ%iUC=0ooh3U*q-+t6jqynZm8gIfcLYdVO92PshLa6 zE>2)K2YNL3cI4^5pKqNo`u6#10ovJ_E2AF}uG2zqE-dQ3f-ZvH%dQ91Nf-nkFK5U; zeek&n#%CrVkfS&)yT_N7`Po+eQq*UgHU#(U5r`Z=&|q9)z3_H=7zr+{KSwGsc?kx`gxgzFOEd4i z!!oD!*)R$0co0*y0t?Q})LFNT-gGP~Kws4hgIrc$|7gLHImqQ51+)oDn*7M{_{X7R zYJcXYZ$+;>#G^2T)pV<|+rhiv_ZS+n5dsL-KE?Wo;}B2zCjSZ${vS~Cgl?4HI~fPv zaf;YedI)%*ijinxv(I|ynqHT1wXD$bi+X?|86s*C?(CBhcnnwKb=$wGB5AqU{#0nL6wXC(r9K71)4tqYJdpS26Mw?++J#fF_B&*SD{o-@{4L!J@Z5{KxSj-7puO zbaaXI+i)$&YJ`T4ho{SYj_JGo(DA5*(1qko*6SrNq7J4apqnQa&{=+UOP34#O|xni z+-!d-oFw@-(Qfqjw_5E09`_=%j#3_%GG6rxY{|ZY!hT8&Muw-Xa*tcVVh|+KfX-Pm?12xCMb19uy`>4fL&qWwa7%=x#np8lk zxYoz|Ek4Plwf|fH)+bRYl}$M-8q=jgFX3_8KK}C2zfa7J(4}}f+B*8EI+Kg0r)Kkl z+S*o0N!sJ%%6hP4Bjm%@k7E=c+Co=ymY#PJIr6@74lTK0AWM$}j#@w6N5>bOmD1}A z0KyCNEBrQe*0E_gI9iimjmULgKq0!a9{>07*qYH+Rs5&}jow+zoI z4m<$H@%`hTc&_2{YZAByca1*}6i;u;`I2dRNn=aIZj7P^oB~3NADgNgbK6nA*3#U! zr2ie26WvpvVs^Rn+C#3dJ%8WvKrx51FF0V(k;oy63EX2FtjGSlZ=C6;Q@GRf2#oQL z)~I9ORGfiCy^Kyf+(RZuYi_;zuMu%Uq~e3j@vp<`+Nah3CFDG!ZKv&az*uX?J)>g00%nA9tlyI4}SldW?U(U<_RnsPkP7_Ev=b zq>I;b0XjJ3ZmhZwOnHL;!2@t^gQ5*3KgJ5cTb%DusNFhLe&&5aM{`b~Ml_(KbQLO@PLz^&qvr4HPHN1oqvDJK-bVP`GdeF;Av?_5$_ z;Ygvr?9Sc*TU&C9LNuH+a7a;Qp=>5s_P@Ecv^Eg5`Sswu%;6xo1pB_n)U1^{@2lS9 zBM)%WY}Ly-KS0zAzn@&aDITfs_;*0dZSCi#NM*`>f<=i}^Z~bqcV=5^T3-o6w=1gy zWDR6BLX~dLyh3y)r`nYa(st5JzvXw*DSU2Y$rCM8y&~z{=2m)!2gCD{dItrz`^%gKfC{?2 zF`P!JQl+91fk?h!xv3&?pBcGD(ayI{U zDaX`o`EcO_W0ZyE`R2m369I!n@zC~xPr~PN)~)twJ3Q4wwd{7JmGXY;sp$Fk8-~o( zLvG34PTF32Z~KbbMuF_hqOUc--%=kl&jP%qpqL6IG3SPfKZ({lxkY)v3_O&B=Q;(u(HCtvJ( zThV(}F0e;1!AE)t>S*NZJDq>TWU&ydO>uq50R~29p^nBN(4fX~nu`0BBA-lw$cyJq zS0g05>cuoH1ATQ;TBVy%BRLh5)^(intR7GlOhWEEOglo9(v*MJZ=5*thIk3SZv<>-tarD-o81oYfL~EaErl~I>8LZi>#Jk{>^wj#kdc14$)wpV5 zMLeoJAN!F^F)!!m57~gHPtr^T3KdfFxxD+M@sg`)GIHqalOHnhYuuol5_j0GU$QpM z3nPgjYQx5dD*k?jXNTSgXzO;uj?g`EDI@?IbgA^bc!6&Lk$;1q2c4@ge-2#{dnkUS z2RG~0U>+hkPeVZ?Lx(5zW1)_xf4HI|A*B)zHd_8=1Ffp$M)nK4<&r5J0^P7eX;led!{#*|zGETQg6EfMOoj z{Uimh$7pV!5iPQtfQSDgs5x@6QJ{Db6Tum6k;mth?;|5gwj@b40kST?vyKK7dunp9 zHQ%KMAvm8wcpH)4Hb4iW8ymeLo0Bsb2??14zTxvGfja#9zl9LDMb`p#aiC^(jR||4 zuJw852{6A4I5=gh5SKM{qWyffRpg{!5ADy|{99bwGmcm$~ z`iP}+2MJfmG8k_{a{UUQQ&db6gay-XpU2FzVW_6xc znESle45yvYsrdb-uq*C@`_XadCe(W3Y!VQP-!BL|;4TDLKooPGD7DczV#2=ScVpog z+=cjx9mQPvm*j+S-nlH^$GYVTKBj3JOJCWI#*;=k6XTH_MP_3+F7S-k?{($^9bx#+?X*ZyL&JM8vUr36@ipG;U9>?7 zyjFVbd$D>GkXaKBDkllV=j$Wl{Py+I1WhZh&2G8$;roLfR1mBXiW|((J(5%{j?}r< zS;ixJ*T(aVz(VJpk}@bVQo5^I4`T>zj5P=!mpne{Btbz$=}U&e-?%B8A*{jvAFv

XN$<`9rT^*Ygi%El1A=Ccpq%WjD z*?=`TzJkj+nLM^DCz&T0avVvsYFyZAyVt=<(M)Mw!Klx%HtCjos&znxmPKkWkkt$f zJej%FO{$%oSP_267LDQOIYVu9X-D6Bg>&l)zpGQ|2QQt-8h^~p@h+@u6O4 ziIA-&x$)-~JZ%<-`KG7hE!JopIbIaN`FEsF?~*J|->N2eGylJ=8W*{&xhoZxIA@bN zf1VKkam?*4L)cwZL=D>GpERL!={@BgpLcjW6_Z7>j{qV&AS zU3HRQM9eZGb@7Rt7J5rovq5b^IDGG8z4G9(X2o{a5w@R8*ip$y1I;&SkY+->a!5kb z1R#)}3fiP;n3YJ$3di`bL*+@Zn2cdGSbN=`T#__;APeRIjMIz({Cw>Ol=TjBv!WjjYp#&1ui8OSDzE0d&y5-FR1*Yy9f36 z(*||fL8}4V%SPJ6&WoAHPEa*zH;O^41wHw<>dV*+PjA0hpV~b-n{85wbGd^8t&YwT zxl4blp1fXrb&b;IG^WGJ9;v#I0vWj9;ZM}oaDd^cTHV%v=K#wk{VY^;`EjBf*0!|(oCC~KmtQt?O?*jPIqN3kMbgp6OS=8p zwciySO=-RX(KFvmVa<_&+kn`%OPTVO0Pt+zlao;0I6|>XlTSvp}`0< zTu!Eaa=Mr2Mf1qG3mY3ajcRAmG0c;+7~N{Pm>7Yrf&NBg#NbZ~fp$ zunK|A)~sK&9f%!A+um!zge6w*%OB}9-CdDv4`7b;eju*;I^XEWe%KQ@r5W|ly}kLU zjjS^3Yr0Vb*W(k|Dbl>qR{Z)5aK0PdiSJ8Q_2wI4erCwa%3Pl*Kl_(N>3j-;EkcnW+bj3r_;t-eiK5=j9Gty|!?fIhEe} z$SiLRR;MZ<4WB8VVxo)Qc?Kqeyq zaM%^qXfA`ybdlxoi0jvh3kpp@?1f8r%7E9!c?Oz5GQ!JAWio}Etd;Bbj?ayuC5b1c z-#jqnSpD<`$`ni?pQw|Fj3bafn@FA>L2RGhPjG6nyav(|kLIS7Ju;Pzmtf`HEPFr) zigqwusfF#FKQeBkEUqP^zy2M46`)(#M-V3L1Ggj&qO;z>3A=J@(l>IayZ*P?w$Ry77KMmzDcQDzA%DE z8E5gH^B(gX@i|1w)e(r?UcjJHH-bkCi{#)nD*VDckF6mT+E0!DZ&vbI-NHe*%O-K> zM<O>!ufTo4{2C)xy zvp=5aM{+*|h8GUG8tXw^Gon++W^f^y>t+?C3CAK1u|2yVI^wp#cuR$9TQ^$=Z6#pH zp9lM8{^AwNZhRH@zJETp0@XPMvA!(fX-AR4XNongj6XV<)_WxG-G3b|ug`tOnQxwf zG9&~0;iI>OuOirwCci!Us-};&o4Xaw`P7Py593hcycvek1-AC}H*X$CS9nZ8jnGJ$ z8K?=mnTaA)v%cQ*7qh#ZH8Rjp`a;jut*v3hY5V~et@BQShSYnuBeTzC#6bo@D5?mn zQ)^9M*#Iu$0YoER$?xOz0Habk+&S?bQ8K5J#4BSbUWHQhjD*&pMiXu{l#8%lFMj^D zG$*oNTToXpsac?E3XhBEA0T`^+bdgd7JUbKO!!BaD zGLWBK)4qT^t&0$H#crD?fC5K3-y+*ff<*ucYaLEtPrXn{tNVFMykmC&+zB zB`djc4D@)OM+z=_LqKLe#zjSR+2TtFjefKgy;(hK}o z?M>H+JF;dPX&NCJ^_k(!PZmCYFys!~kCXLQ8J?K!_X+Qm=ZSG|T|3%bnvF&Rni`hW z!S0xgtsQiY28H_&Q_sZ=!^8}$jHP3jtNG7?_-Y%M=DffLl!Z%dW$Or6Y{BrPoRDuz z8U)g1)HUh5&potNge8i@1}C^%RxH>>AY#RWs8id?S|va-7o=cH3K>~cX#2t6D)LYOVRt&R_PT4QDc&D&EBeI zaO$n4Y0g(&-AqvteGQ$r3657lE$rv)E{7?n*?X)}YBIA-qR8~r+2oQ=>Fa4B4XW?N z$5_jid@~!^CJpe6Lb$F`tdPU^$Xc+3RR5eBaGT<_AJHRT^Cm}Sj+L2yG{zJ|mu_KH z@;Eti10RM}E*uNjc9&aF(1W=UD~3)n=E^F?zxN24e>YydSw69NMnpjOTlzuwk8PW- zYl|i8&Tex9G$ZQKI`zG*Ho0y~;cbZ}9}wq_Kis_JYT2k(C8A|CFGuqgjhJvMzAyyo zSVwWwt=wLguwvp2vohRYZvM05{409t`CuUPe^Y(YMrvVF;xZPV9Mna&tg1-(QM%h611>E@AAVOPqwOG$tzl zZGnuXoG&2vWP5gjM<(VE+bASf#>hU_<*(66l9M!Ew~eIa_OT9epiMMmo(DYr!+IE3 zj9*^}85jrTsj6y4-xp5VVU<{A8}Er3g_GFTl^>|sQTUo(8#}3I9gwYk^ z8HHMk&|LLhy*X*r1^D?2(BEPAoJg0IP|~WhT*~TcAZsw)oNeSmzPm+v2I{3OF9x_+L8B@qdcWJf7*tkK@~HGv*lPzVG|a zO$nPlMbYEw4Dd(JUEtKXlphE)BMnk$Xh1QwU^hCcSJ_9-44k4EU zUaj)N^)92G!+YLSE5VN}kI3C^XPY6L~-7AL)V{%@&&aI)Nd)n#e13s4=qxG8A9J-R*U z9@XFMwPHP~F90G?kAXTrKJ3=vWp<#upg`M%PiANf*8F=6~JwL8GY;sX#awJ_T}4f@OsQT zT(Wa54!(k2)iD+u4_=;)G!ISCV3^}b&^H~}1T}LTdg7D|=Ox<@+-(VR4J`%i{A!9} zP_LQY6>k<#^HHoL%Lq2i5`6VGHZdD>X73-ob=X)c->Xue#6RsWN$yv)PFrb!YQ`c0 zqXwl^3Aizx8#~LUy*i^MW6<>6Mjxy{e1K? zx#}htpXMN^hiPSd*cu2eu_}SUooO+Ozi9(L;mOo`aom9JPbnM%d8I!F7AnoP!Kbh7 zsJqN1nsZF!+1DUO8X$2GNz(7NV8lP{jq3YA~$f{Kwn*Oo8yRrTb#HhEyJ2V3{`*Z^khW+6~%v3^B+>&$d+?iEVhsoC}Um42bm(>my*B+=5tdnX; z;^pF1yEjvAU8G8~{ru;zCf8nqd6tew&#ueA*UV8Vch;GV+WjY?!|?P=DLm&m;NMw> ziWysA))M$392#BtNkcR)+3nZC$3z&M+nWCsl&j?p;?XQh0AdJ-R@Ne*tsv2^YpIQY zx9shcAclwi7H6|C^;xw^pQWR<+NLxDAdeee4hD%~?M%|(?jr-CNFLXl1y3xD1Yz7O zrtL7-29ulUHP@3?;@4@U5ptT?0xk*3H7G^mq4sX9Og2RAY^3wBkN`vHJ!ec(N__tE zOdor_nFOpR2YTAZp?EjN4^p;FIxw$R85a7S!TEGO?7VwdlJ;doji3m}f6y?9W~NrMKkE?XIY8JD+> z?P{Z#yKVT-7wI=KsJQy^bf@l15)TxCf(nKD?>b?9A0T?~?;tB2zD4%Yy7T65pgazJ zo*p_MvV`{|M5dL^!)7YE_JL%{_B)nu__VesNM5=2D90dRSIU};H8eJNOqDbu`>6NF zxSPFrH8Hi9#IF@g2uV`n-skTJgRcFtN{Y#}&OJpd>P1Jv111a2VGr7Fk|6<~4wA2K zncF9pCU{y$Yv+wp_bSaU9ho7))fsQT<{Wt#Uz2T2WnDaSOes`H#OCTIXr0JxdAxLj zB(wC?u*Osy$;tP5n(vTQ6d|G3B!nsInCtpVsi^Zwex~6{AKU#0-3|e) zTHYQ^>t;S>Wv=aV>=M2-9!bI*Bi3$7;!(*$Cg~T zz;T@_pJYiHAjQgN_e%U%2LxY}t%ucqCZEIEkvSY|3#HKYMI%+9tsv%()2?^;%Q@U6 z!~wxU9P^bu2M!spnyg0F$Xx4_{s~?fdVcJl>V*6G$R%B|DY-BkxE_ zdNlnL1OuWW&B&NwLNukBnG-CCCux>e1PsxdW@Agh676XA4un(0(=ce9sd6i2k&IKtd2Pm=+RBAQI2f!omsXi4inXWE3Hq7(Mh(8o(IDg@XIXvQlfjGPEUQ~q@3dORmtg$*JIFKuaw&T&PD$(pg{cpjOitg>h zd?c09mcqCV=)-m)Gt&(DALr6M7&FlCR8)8#IF@%!13ErUyrN>)F*#BuW*h zMzFf58DA>^W?xz@+g^rfON{dT47IYcB>A$jGsk2B5tS@~r0K*r)LGk>>ib<}Q$L~1 zTefGuX88d;#e5xYQl(<(;G^Pt^QuxotC%0z1#ti`rXwk{BSE z#3xmB%xjsdxMEjZbGA^i%CWM%=%|#{b&);KMl5d9^%g}a=5@_OE3H7Y@GAF478q4ps-NM@xm@_ov)98S6MA_Pqk6;J zY{uulEMPJNm?WTb;xOi@a%#SESiG_!Z^v4?nv#R z8|pB~cODP>NMMt6_XI+kRrm}53RtO0Zc>N=ajYK{rLgT&i%#I9rAIkDvz|R;@VwCe zZGDzO;!Fmm@#lljSaABR8X@clR)(w`t7q{DzTnmc4WI~fa$@BZgqnuO*-fRLXqWEMJ1R^LBujs@7a>u!-Q zZ72N>FZh)<1pvn3=el<%s2VkVd5j`X6E1s6Yxd_u zucJ!I_#mE)EEZ2FPJs2Vc|55Ie@Js|qw zWgJvK-8S`-X3>;pAxxJ(=sNYN07)8b1tGZP=R@2CQ+t!)iZSTv%aaNLu$XoW``yiP z6|}K@t=f@(r?Q0WR?IC5_Q(<~T|# z*^Tt)2QO8x1|-ULx)$-}B&^zJeo1Xi5uH3~FGBk<@hhj#UR(xbsFaqxs#aw0j>Uv) zK0a3wq{3eqXMy!?d+B;>-02Rdbl^B7bsjeLNg8;7V+XzTqS!vZdkdx>bqj_ly%`PL z^(|SJbS#O0d>+8JjM=r5zAUHhWq|xxFML1<| zpfg{YsEMvU7_sHl+EC@volte=9#w`(tFvs>@fw%}3SPmp-E}%onO%m}B@T>4a??NR z6FR@k)GOVelb}`>?9ID_^Z4w=$9=h1!*_X}+g>$N$j-q#@Q{`PP`;$6ayW$#nF_5b z4D%&ks=byNQ*f(h3Uq&GE!C*-(v8>Gu2B=M)O1~5NZC_(1nPj%$JCL%k?~Zvf5U?` zvK|5jc@5?!KS*$s#y6bD`0dQmzv+k)m9ZR6o~J* zSMd@?ayHB>kJ!lD<}{RJfwBpwO8_W50HvA@w&r zuWY$94wOu`u zEdD|{Fq_d-95LIoyK%UipjIsOMxapXnq+CT@WQM5yU6==i2o2E)ub`HeFe@lT`Eh_ ze;vFqBMFKJ#KqX95t+YyDp|uHTVH!-?(a>ISg{4sv7BFaCqON*KQk!EN)Wf`M&<&F zE%GW`bkbmpyA-Yu1txafk(nMp<9xu&0M)bM-8_0#+M~J=h-ipN`k2D5xDJ@+q{Atm z2rW8%N1itxX1xJ_dYiofixz|>G_B(rcD)&;KKECfuNnZAd)EW}gdb)8cL0zwf02$X z9iy^=ek&x9fgE9uj7+(uFHgF9ed8HQUwAPB`ZO{7A2WL2&ba&&0{R8QbPJOxzN9JU%2`EB|*`SThSuqFIuq^*X_cO9-Tqi;#3M}5Y!uk*AStkM!cJuRr#-zMs`-qBx zg!6!RF94RxJ_q@tGs1dBVs{T=96yIjy!aXhF6KVzIQ?p+yjxc!nK`JB z8v463{uX$SF7A*KnC`C_rE3D@{zlGe znH>ffIMlEJ4m{c2#rn7!=DsSD=c~3;Byg@hl^2W^A?>CkBDhLAFkXs=s<7HR@EnZy z7a!a{(9(x_YK%+`HU!Cw*JNbBiv<&Hk%viDHu~4j1=T)%XT=rN8Ajh5NdcJ#L(cUm zy6;>iMQKHd@TfTh1)@)Xw6kB^8BhKvgCq0z9WMU{xOynpVj~WHJ8w1V3_C-*_Mq_w z$q9Q9W;p`}>qlE|8=3u{PG5lr6$f5_iAe-VoYwS~D5hLsH@uwwY}!V}_za)l%f0l= z$?K7*a{iAUn=800z z4`0g%Z%dFuP6On{HVoY zFJL15ug>0l35qP-p=Day_c)H0a|`ca?j7NKm{Y zEJ!vzFT8YIw!9~%(k+J@*i1qpp@rjT4cpbny1e=5MpGGVAso97D2GU}w=U-NMH#d= zo<0h@>w#1UKlf7LBR+4`YFuj_R!?)ixrUd2=GC@+N%iZ^bTv`&iqDcGjZx{)7kcW_ z=^Wa9bh33yRg?6ZiaJE%9`ddvSn<_5)9T;rzYjS~CbITKcf{g2R z)b8RvRq()TR(t-UXX$URy`_(&5A9`X?xB8DplNBV2Gbn%I5&mb zIsL)iZ(VPiAdeT3WaSufFdJZfaWh7P+ok}q`Gx1S8WTw6)JdKeQqMFBFq;yjQ?{EO z4~_l(A;OeIeg7lbm8aW@OjSITdyIYL`3L&w1{r=bB ziD#);TXa;SG9~|mTn(w^X!wdY{cX0;(YtNCPh|46v;X4wX#j94MH`{X=RReTw1800 z-gWE2;3HT26zYIbSDm4@rkfH6H&K|V9SE~%PZm8)mM@7eEk-jls-)xisa7YLigzCu zZj^iE+rQ6D-a0u00&(Hu9KdJFB&9u^Eo1PffZ=2^`xHWm6a<#$E+0>(3i)b=v6WpG zE&`hvhH(>%1j&)#xB}l~hCya$Gn_Si*+-x~WgL|n zjqvl;EL?Zl)ctf1A^#YO3`(=zF*qTKX|-EOAM#PMJAh-NigX0Q3OuuN#6 z7eJJ>(!M`(Zn@>ypQF(mu^jH6!};((pf4{O&?zA0rQn6KmP<-&BK}$BySW#T9kYv2 z*^2X1_Yk0xucNCiCLQq)Nx+J3D@u81!wvjW(5F>cT7C4;tn+F-+tqBo#v@MC&b+yJ z=q%gz226*pGyBQLrkn6bk6~C4DQ_1tarJuD6NNa?&TB(}l%y-86x7j@>NqhR6EMRL zJ|bboRV9Ud3JT$hFnZN}pf=G`W1hwQ0x3Q2C@Kl*7HfHvx86J!P81R_UnuPg)XdTX zsuMXzf|P}rrinIVGPfz*nl9V zKseJHBLs{k2 z09`#_uw0A~$FEGyu_hr1p=^`sW~C#jf79yypAes*F)fepcOpc(uVQJcmBj@g{!{{)`T7A*~0NJ1JV$&u1aRGv<6YloBamnvf{XauyvBb?>Eqy z;t3#`JAt{sx4|MGh(UmyKP=)wlGSV9VT+E}5E^n}oQqw!_5-L}CZ1nW>*oopc!9RQ zzSq}{TcB-1bo>K!%y+LAL76eg%lF!X&pV9ajc#l|K68Fp(w5+L!{PV}{tAEb!9hMv zah-(tBd5gt+51Y?ea`p=fJmNi+?1#6qWR9`+xfS*Tqv>28nbe0ksf&4Mwx*fhAQZ= z999g~CuUWa#4AfR0r@xA2d7X;yhv2TCrT{jSdg6^A5S_rbHG~LcUWe?pp4`3sm?9` zC* z<9j)DdIoc|$-^Z3Z-RpeIG(0cG;f--)D_j0Rk$uqpSeTP8h^GpbcFNU5BDh7tu;|J8I8B|GTthdD zzG%N$U;7T{k6j;K*wgk}hjK&{IqECV3MQ!{j3%N1)(cV$BolPbpvWw{R5Q~%B&s_B zzKyxHqiq$sU8!#&zMa6cNm$j|JpV0pGHg{SZ<~bx^rG>wRRGCA3aq$+Q?m zMq(Q(p7OJ0dFXvJJ`qZjZ;4jj2%`eR1a4-xuUdCb>`{=r2>Vjb;#wrd0m;&`S8AHXNa6iHP7yi(wA zTm4q+*sz?XzSAY6@*j|C^HRz&g8>!ZWk4X<+HcniXTWw=Yb!%1u$rNg=-S)h5G$Gs#+e6zH7du!fg^xo^va02YElb|PY2T|K(KXR zfmwmVbLrh)4c+w;zoKX=zVAUd*~ULbiRqssVdx-oz+7uo_d_Tf60R97O~nm=?}B_y zzA1gWDlzJU$a4rV9wl;25+ss!2gA}5liV@~osBOhso^}o)QlH8s5Ez2)jv!tabWaT z9Jx9WqBu&clDzJYO^ToYGRZnGBiw)EIHWiCNUUAyH{T~DzFO)0&Nlul((iI=B3lf} zXMpkH$huzqTL+5Od=wbZ;D;8Ij~t1~RjX>&BBdui>?07AHa^>6ctPSH+i!Q7HzGY# z-@|ONS_rP~aWw?UH`WD~y7HyqphO3_RBT%ZwicKMNkfx6V!=Ok&52(NutI@CV14OutL77@0f896V}Na_qJ!WwMY&_FkSGjy7OfX<|FaIxQx*ts5}8)xUH zzyrf(Rn_^IXp?^YRZLWBM1;~qFxvMWdjvw>Bia&Hj4%j2xYczdV#V&#K<%xkTg(~+ z^J@m4`)To4G88fUXvH`iSe8kbfId2b)vG83=n=1EF{BIjE1z~&JXick4St$)xHiB9 z0`Z2WW>^ffr*pR=>PwEN2IDR3cI#IkuKU{#i$}=kKE$(~Jt(88H_w6q3n0-=Sx^ITp1k0o#G6yHVB#wp zN5^HEo(2uQ^Uwg!ljIiY#U|DZ0Zhm9WmGD$)WxQ0li1yxJX;|CN!2cA^ZQazS-|=x zOZ14`7mw5tjapQa8E|FxhEu7=Bbc7@m=_^N*p)UnKZ|-KV&Lp%?m9C){BDy8z}Vjo z3rCyT1I?)6cbm;sXqAUn?{Axhleg7??BH(bhXIK=VK}KJ=wqO1X@9|P`neK+^tfg5 zNX%Jg+h46aoDW3(oytI}yB>Q3+vMtqH-Sn#83n+wi?_zTV~Iu>sh-J@YU5mn=YJ18WYv~!gbC)PDhY`R|J}^7TJwm zm$qK8U2?GaV=F*HYe%f6^9zgwazU@MA9qH`|2%D2i!}dv&P%`{YgdW%s zxKhTBk}X;34%XwOq}`jM<5n0sq+2-9J^p4OiB?7CSK!^|uw$(U0dK^uJG$Bh&Z8DG z_Y?;MeUmNzwE>lbt}2T7pV@(y+R?YUGUEOoHC@b2}bT)XIP=D&w$*2&*VeA*FHNkU0%yjboPf0*eZSxBpP?5q~) zY4*M#U9Ov>%d>{;(Tv zZx))DfY=A{JFw$dQL522@t@U5mNiq6wQwQ$lpM*ZSoM)D4MTLWO{J5{xPb;>WQdSD5gRb4QFX+OYnlrMUraBeZp zVudNgCf*zb%WF^rijix@tHsMD%x7C`Cz*L*s;Q~s6qg0Wqvg+0x@8}Hjl>1vbEuOl zoy?X&IRc>`bEmSkbh@Zwn4^0(hanP{HRu(C5ancSCdok3@wv@pyplh&zp}TCKt7!v1tDV_07@6->l+su6+dDKx2@Ay4}$bu0{IdmH-|2h zkSja*2m|B}nP@86Ye zqXbgbEZ^jSV7zM|J@yjvQj2bsTmnFRUt<2@d_S@PYLF~!iMh-DgHq}aFoH3c+$)qd zgl-)-%7RemNHH?SQyroApm4Ag#oA$)LQ4b+Iu$$L2SIM=^nem~``nY0Sqp+`f&=Tk z%(5Up5$Bn)G*CUFGcyRj;+m0C+I*K-uO1Ec>z4+74OrsN;*e|%fH3;+^1A-1M~*E* z>Dp@34|bNJJmw&EN9H2MU0hRESjv};&!OOEE;k9U4;9BAhl7eBKJiz`b4i@Cc!^A5 z&oi28dG{M|-LjqU1Kqfd7P~3z^{;rHD#*H4MaxRxe=cgGsFT9DJ9%PlZntq#7&i%P zHUJaIe_I??mc(cOd^41g=ALXKDInsz{E z04(Tc8}}<5pXg;ZWZ|E>0QlpcE%fHZri09@ng$>Mn|Ehi!U0i?3=mFHg2+zlUb{Sq zSi}b~vqXMD+jA2jO%M}d3A?N2&(}!U6F>klI;*~+_*&c|ZIO1DIqMI){+=#b7+GDR zPFbiB_V6M@eLK=6b*@^mq18SLHv99P%5TvouPB|?%)8TM<{{QMlIdTd zeZVFwL^cHcW%%l>Y`WTc_5ERX&mwZSqy#{;x@A2IFPpz?{I0~}-S2)ucBFqNmfVXm zy{F^#DOo)94=GIjyt3_%tyuIsfP8*6J^u=%<|k9Q@a?IGU#)9C4R>(Sgr$pV8n9-q zE#BF%K0hjr?=sUs*NzszRQ3}IE--dViLe=0xo=#*}01N-Nmj+!Im z4U)Hnuqo+b;r?0Zujzg-g{H`P-?MTaN;G^?vJ2qxJPU!+Cr|76rugUR*sz7!Px^Va zEZLE1z*!x?d5R50V#D`7=w)6SuT_mSH9WmD3$Pj~8y zgCWpKGl490hWL*fJon34A4l{q$+uk%Q4R#3*G!&+WvO+xMZ#UlaH+DX zyJ{Tcf>o#P|9vOL3>H{IYdQ+@ItdWW4ABAcf-*IF9zIokbJJ&31=v5Gfm&UWV?`aN z9wj5&xm)u^*{Q&B%&VI@7-Uu{DXBYHE(Sq49ByZyp&IgtA4{T3UGZq+vZ#Bs45LiT zGgJiKS1_xJvrcwh`b;kUv4suYbbqr~<;rX3J|Qr_SP>CFL})T8@8o!{(TmtDBni-cFZD+SHT;H&B~VE)Jhizdk)V zPmZhUOb$XQtU=8BYOo>T(`k6EKqSqT`PoNwW!Y}8F{+d0pP6nv3vax-K9VryVUMU@+E=_}1|~12dqK5&p-Nm~ zl&fuK9e%z7UNs$&fERagf$Hz6l>#LAHG2e4b+%sw2RGmabxyW>S)?KvRdmds&mGqZ zw`BhGq$&v+y=FR|wutCf_u{gGyOt8Jwwb^1%r8RNqvmow`y=fb(k@*wyh)zV28Ga1 zNCTz}MIhVryzBq~!p#YK+SGR1A{aId zj?7pKyhqUIRRkc?nHlz7-y@#;mT32vY^miu% zPoLPeiRIFaOTjth;uf*EV-^9fvmKG^y`hMsrhz+8jBN7f=qNelrgGq*l02MT&E^}f z{JC+9K?Qnud3Zq@Mc)_gLmww317WOo?!CiS}OxOu4x_ZTcZN7*530sURT z5p!&58#&DtqZt?<+1s zBDZ(L?^XeIE(fyIyK}eO02i)`YTIVOC7+c*b!iB!kXn;T0%N zpwpRpuYx(^?6VQ)Gdz8Jfdk{-`NEl8@k+!Yn!EC@f$m_pC>y6Id=+p$cFzFnInI?~ z&+b15=AA2Lsif!g&;I`l*$D;Tsd%=k+$wSCBvOGXngX0mc)D#xDsY)Vq*K2!^NHm~ zx|32Q^3rG7PxE0;wJ^i3ze-?U^{yY{(>4a#PR9{9-<;w>ov@Q^{;wmQ zyF%27?x_hlW}i6!WFN{_K5%saCk{9gpy5BsODwpEuWOkjwS2!>ige1+@$TZl=}kpP z{{R+hTE6So>0+I?>$l(e0jEU+xZdTd{KG!ld3qmzG4nKU%4tRaO;Ia}KkVexS@r?R zMB&raegD~0HhrH@VI;nsaDHpbfngtQKeZ3p5+Uy|-aADTJ--jn?{v04zGo|8Chn(1 zzx7D|w#hkFnKxsT{|z8LIJJ*_^-Vdu6)e+`DL+2qU~;M7!C{}b%t7{IrUTEU)rCk0 zdmT=;5_XDz{$juV)A_>R)8;H;D$B%SUuIq0A-`3ki3vFDOC8#y=o6w3_RW9T%g~qq z`%k4$_QZjppdAR(cUrx{%2Qc+fzb%%lZjYb2d>N&fyDuPSPALx_Rg21KqeWQJ>zl zmvwRQ%G?b*5|vV;p=cy|en7L0wuMqNyrA!z$HeGd_I+m`&ybQ3S%qr7(Y1Sm5nq#y zgITeYpq`+%+iN*cXx(Y0K_LPo3bs48A;Z znHP^m{FW)|YX;A7*{!;Ba_ghTV#iX>n9`)o=VL`K6+k}JC91UF7sUpKX3L1}myLu5 z7f;WrWbI*Xvh<+V56`ZZ4X%Fgww*TFVsEmQk-lR~MdT;1&CJ_SwW*tgMF_ry@qYEJP6Ez%K~gwbUJy{D~JA zW4_Q0PhOS?V6*i!{H$wJYb9eBA|-Bf>K@lJ8%WET50nzKwxCAne*CX{#*VlM)jLTd- zn+wc9&ToLLl`Wa0Z+U@}*=p6jaCde2ES#gPSU`SY-?FCIllMPMgnn=x7u~W(*A^RM z%kQ|+akBcSIb}-cYFMoKeBWO z9pns8N_Cv#W5}!7RjCfboaBVswzS)e7|a%>#(pO~@_ZJli|K8Cl?sD8bgKztO{qOT zcvR4ZBF%Biwji&dISiI3r?R1niUi!z9u-BLKLmwGgOhiP&o+nyX6V4P;dsST=|QuG zTwgvZG%0~_iQb?6$I5#;*cQT8zoll1lDqjX0w1YtAKYKm7YoyRf=bTBLJ-BGcP^S? zbowE;MI8LIs-ENCc%Vhp?6;e*0dvzrJddB<1a7x}_SSv@Y7-=?7K zei)X6ki{AJaFZJ{kV z9lWzn=@O&I-THbxT3GI%e;zF17*>s+{RO*S>)2h7she54rJiP{!U0c(S&&07H{T6}hB#e0RI+FeQc&o(>6Z zFs(bx28=~@<<#^)L4|+?W2&0YCt)$rqXpZFUK0i9GA700ekH-x?uqWI_h0VMo)Y=5 z2h1ilQ)*F{x?inuf+Cf!eDpQ5>`9-A#pq;Y6}JTa-C0LNE0|Za*F%gI{!PYPM5Ctc z!67S!SA>nl4%yNTm9{w_L^C(3Nomr?$GrnPQ3yyAh_}g}EWd+LXMbtg&ZWW+%o)`_ zv+k8t+|IZ;WrVofzC9x9IU*2c72lstg6Txjmn+LRUP*JEJL(?({?kzBKirF-qTCrmv5dZu(_Yi%VsIl1Uxt~d*#9j znY?J;2)O|O8-$J1qx#%VE&QNC%rp9EQn=2EHMkD)Lwn_{Vg-+Wh@NQYBIo>QaFQVB z;CBIiYIzNzqof=ypy0`=NZPMejPn zjCH2!{=3AW8z$cR@&j0Xy=BWimN{_1p?NV{SLd|Q$+BI3Z8LTY-M6?#Le8=#`lxP2 zuboE@Jfy)E2Gx`p(Q~_@v+2QTa9UQ-Jh@EqU)4)6uhf N6V0?e+NI-GW=0pVuoJ zSX;#FE&eiIL(~_ZOVmpaT_b1@$QFR!IiE|00eyPZWNTF*L^qjdSL&3EO@W>)wo2!e z30mYs_3j)>r4P{6srR&B%@difoMM}Z`8BgqF#e7yV>VfNYf7R*tn&FcZd+~1y-G^d z*}GWw@#C^}LH9jUZ@TWerk4R;pX8p7Rx^-a*=%?S+b#n!%nat<+;=%6n9RLBo=U@M z>6$wqJ`YvC1FkcmW)a+%YTT)G^aRLy$ADorKNiF*Rlf+g*~6d>JdSTX9W?J8io=UC zjCp1)QvhSL;%L_R_tkqHrSr=?*@kM_U$i7T70v-YSQN=gNx=doxKnjUJ8vH?^bqk( zw9`|bZ+-vPjC_r-aS$jJ0F?JOW3#?({uPp3%xtp%oPiDtZ_85V} z9NvkjY?7^tVy(x&_HN4_Bd{B6KROpK0g#r7&CCQ3tKl^qZasR>+9V780?RxR_vrbN zo5Uy&P^{gp+puJ5{fv7}`7y?K)um=l!N!`}yAKMU0E=9Avii1{05eQTIQy52ZJLjm z#syvDdmY0COX0I}ly#bG=Px=1%xY0%`q6GHB!t{Jpj9jjz;={bGe_T6tVZjqD?Y1Q z94Z4VUS)!tn=HPM>0Jubs_OewM@2{|pOXzam)z;HDG@C5Gz^*${MH)U3(XqpyqThY z#L&rGwebT|{JB9+4qT7S?rmj7SRBMmo$Xu%>YHEc88 z>^RFW(J*c*$qvQ+WnR>vo^aDe)De66F2Bd=!~hyp22O-c?4HF(QL^PcB8k1xqMJvP zI(Ax22Csl?DAz#qjQqq@+BHuc`DSO0TTzu{bRJ-kST%H(raD&~0oj7yJV=>ovpSD{TJ@4cM^?=a>hUjoNG&L!y{uNf=`k6m zs7T3pi+7*32E}rIu!$YU3Ud!H7yK&Heud`Hp7T_fYdSGvw-*?jh4^E(oo!-3NgI)R zob{lS&*MwGtnmGcVA4_+<~vN-Lq=0ealI^q1srWHIefl92Ym z_yT&TuT>*fMa$bAFP_%^MzizT7#t z1i6qS=$D`Z!xdQ7x~2r^Hf^h6#5v9~s0E&{!WrbfSJ+Sm%VB{6;}2rLoaRJ9)w4wr zLeBJW+$MWCNa{~pGndZ^32}`Nw4L{Bck7h6VSpGIb@kxHes7YE7dceMZSs+;S&( zsUtv|vsM`4ohL zQk|J3+nTf5@!a5qrV}tMi@H3o=#30qx91SW^CR1n<7t6ElGaca2e@eazd@1K(Tg)$ z?~3YQR0mW692`mSRsLR49O1)NXsd3Vyr)ckeA0L!=bWvFAsfY9r43LmVyCEAXZX8Vf|3h-EjIZRXKpsK z<_bD6g3XEnZ%uu6yAaghUv;7A`PEbZtLRMpp=#ehe$JfPXUq)7*qO2KL)KCmI|*YK zVT4Li658a9HD)AQgqANVl{Qp}(%3@VL$X$q$0J*aLdf~~{SEi)x?k6I-=FvU`i3bi ze$~)Z*kM+VoP0U;WqZPiho;CeXcKZ4T~vy6+H#wY5|;(PNZWY=W%;>G3i4<~)g39}Rp_ zq%eLA>Xa??Q*TWQJh#}=HT&)Zy2q$vs#$tTQ^UOR6^7MQo__nm{K)?0#1kY9jHWko z@?F+#nfa&h`(@+Q6i&oBk26hF@Dglm&PH0{s`KQ+c!p9>Vhtx(vJ_Td;zzu#7yp#} zRrQY**V72;&sq*7FIr33%0WnB;F-)6#~_kL?$3ZuJDHl4^fL#kA$mMm%W#DoWv^Ch?>Y~}#KKK%(g-xBzx<1E}&$wz<=rs{*dQGX8Bd*r>`==TZ z@u~RUwyj^(oK9?=%Ytz}p|vUHjS=1^_Nthj3R-55KXi$>F{!8^*7$)6Jc?%6_G4u{ zxQYZlj|n`9gh#fYnKtU6t-QifH2tQJsO2&Y@W!eGyVMv83_rwJKZ-(=@@H>3$BX;bDDW1278D}tyI$|RS`FD*$}5i#MzdxUbb?> zqK}0GDtFAhnC(D=md;77lF|#E?JBVOP}t%0C^x);pt$!ES*LhvD2W1M#8)hmh=$W- zre~`Gmv;gX>7YZ+?+|v>4Q0Is3KX?Ii5U;?slMx5Y(85F%&i#+&uHQAm|vVt z{k5tTKVK=Ja$PcK2eTFis?*m9jm4a6O~p)<^eKMqof&OiRzwKdBfB?G20#az4oR)A zXH9oV(|n3hUp7ku7v?&Q;Zv2;rw*0)VrW`a^=6tOa@En>PaULk$RUDTBaK;07{eV! z{o`=~eP%YdI)KtF&4#o9`DZ%n{reSswXlv{Uv99&B5?Ah?~5w*L3iKiK}g)Q?*P#) zyiN6YBak4sq%Iu%BASNZPu6OUn-8ysBE7!)KPItZE?-JFKL$jRy zQScEnydHwz^q|Nq4e7Lgtz0hp*s?E|EKW02A@pVXEyKDFb^k_73Bpl_Y%bFeh)%fjcAj9 zK$`7V$Hn*RTh6xkwMou=IF{KzqhzJ9L0bH&Fs>6pqP;gqL|k5kw&iZQTilWvA8ooF zKcN%N&Ah(9YZ*X0sd-4q?j(vk_@S7(^HAnmip}LD&&-wz6kG^bUvItnVfX|#HK_1t zyqt6NaF!de$w>xx=3ivKuoBfhBHz^7y{xiJMA_KwSLOL!x+`9Ou>!p$A30~YzsEbl zZ}3OgI9RP~=mbz^ohG{d|2?*m$il>rmh7&*oiINeR1!=|b&$#l8y47%+-*;@-ybhH zTk>p3vVt~7+IY2$&W1pj)@BEb4MoTa>YOm^Qtx4GJmuCnHweRcE zC^ZsVdcAAJVmFZHm47Y8+vo{3^Y4M~;Y!gq0T15hveF%I|La?CFZ=}Le}g_e9<9U| zmOfG7;ueUV!UNGf8xb3?aC!q@MdjZHZqETy)$P-00b2r}+B@j36IUU=b=zm_&*u_+ z_&cvLKV3>vF=XgXP`=S z7;i|1xHW9}427jI{BtPtmx>vFj0T6*e@;%vs%-=)v0DKQdZ8AJ|N z&KZN>C1A$z?RL%G@u|oScqxOJgC6{_;jBMwvq|4&ukxty6)#*ghe!{fh|%D8+#v)X zq%~M9g4?{klOJbZ;dV^{pVOz7{*^hzn&r*g-0smc1JQ3vLe#iB7nxt+JW4irRc9FE ziNO}LZ(*PJ3YmkD>`xuk@f-(*GHfkzT8rRv2GcSuO&cD$v*qBUBM&;#4}z!dqy&Z` z1IzVf083>&zbiX&uPYG^WDnvcUF0H1iZ3TQp|is;WycDo9NMO`GLn5Sej0_U0iTXH zyWpFt6Hw}_AJE8>0=zhmK+Bjn({m4zvaj*qj60Wx)u@>`4#FN-&smO=ddww!XPPCu z?_@<<@5ZKlk>G5rxR3}M%BW;pKH>XuVxC|8A$ecm2BpQ|9P8)6iPJVK3X>PxKT4Ld z3~hLYPIuJi4f%2W``YHONaNqh9-0G-G>aO+eL+3(SIH!7A3cNi;uQBmPLo;7DV&l} zg@#f5S7o%rOB>+hFQC!;VhPr&vtXy+c2e>FcC@v(tuK)Oeq16vh&pPsH;!k{`&_c; z7-py>+;%VN!>^LPntMlVe7ZgxyVFlX2^8^gN>7@P%v|_>vt93@9B$`qEf6i$N!_D# zH@iLM^f)|*IJ(?)whatjxLK-nQ&~udO@oEi-`jAnM?Wj)(AdTA>;56OcPi!|%Kn|< zIY{KBuGS{3d7!#J*;ikwqacg@wguxCfDH|#h+U0;X7oXCuY3^GyE+Ns85mTU2SP`6 zNdAHWe2`9xD6v(g?7^sXw%0EWPNw+boKJD#u(<2zgyDTgi~LSEYq75j{^ITe>DncK zaUEZVnf{`dkOtNWD4Ei${1y0^IU2S~fL`IO?l?qO#@4-N|1W49cR)qQR~VR59_V;A zfQHeJ#{p_KeBF`qw}Ks6J}F-PTk3o+Mt98A<=1sQzh^f>2i&HA-FL^>sIX6n5_@4O z7C}Yl{5xx{9u&G__qLKs3o?s)iI(b1>8!m1s^z3)TJw3#m^B|+SvNBG#n*#%Ut@9x zJT$&#M5L7S7ax3YOtOpJUj03rpTXzXKmB9Enit1vpI*-Dvq9teW*sxc8#lOs+SlpY z^;1JzGkNE{-JcTevx}A`h%(HZ8nBOvst#HSjUtFY1x&n;ZZ=Lv3o~`;c7~ca)-Rdj zdo#6FHf(39iCO$kt;UJY3D--hg38yxL%S43S>d?^tzethbM&0P-g{-oGywtQWW_1rf3u3gl5Q!Sa_8tc2X$vUF4Uv2wux``|P7nyt!ax8^N|nZEglZ z@{%C!jX>(Rcj}RX~4oCT%fC zcl=R1sQCwo{U3nt7<6mWXkF=TiT=ZhwLrHw*-V*XOs-s4#=|Sq35Q#ZJc9UpYAin< z4YEI7*2ZWa@u!#aawKE?SGWIaNt*ONe!z8^#5+p=RIag>?d||!Aido@14mq zRCTd$=hv)c^){y0fF>fxQfo~*1_Hu2r`$MHq+6+Xm#75mGnI$YK$U9OuKedRQZ^>2 zv&~Tlduijx0du|VE)Ue(mQiKW$Wu?k@%-|=5bkK10Lm@x8=pG z6`S-|D_Qlv?yZTwL&HV*Ge4_TblCu;h0p$(fp0#64tUsnwEmM(k*L~2%J!4VD(_P7 zlg+$$t2CbMAveJS@eN4hr1v~|ZTBR2iKf~B`=l>TpB3ElODErvp^?t+$2~&GtCp;8 zAWlIdmCiKAa{tmc_PW+a0}j~XZ91Ov7SO~2H9*c9_Zcl=Njmlu?f}UG0Q#96K;|T-&v`gNSad+aoH#rw zC*ngYhOD59O^y%2KyiDS%`tP#poDf4CtA=zB+ouD&1|U2l-gXM@HiFs99mO$M+h=9 zP9foNYVKW&Q$b}}E38YYw2C)$A7^(98epRxzW99+dq_rWZUf}Cd<*E)k~P}rnE>sf znGt)k>K)sL74$35$m9T~X;U6N$YB%k8ywAam+wP4sf2O2nJhN~uge@F+Y!5XxB{l1 zlDt1xA<^gqq+u*)sG0q<2#@c2ruC-W1d`I!aj01)|AOeqKLvj$0@jP|f!6_DY73y#utJ#9=*P2RU#$G{VylujF<(K@ zzmTcpBE>r^$26SSb(Si`)it~q)P9nh4Ndb%_=hQ7Un$e=JDONxsVRe&3OkFPJDWec zy5kdn8NV&4|JYt&pOa@Nv#qNVu#=78r5(P6*Hav$Z?8oGP4qpZ#au(Xk0KSq3j;R} zSmXBy>q5ITT?+vOpd>e&;MD_Iz8T`~19OMq`Vxf$dN|imc^ij3GLsl68r~oN;6+YVRCy%n0M) z*ytP|pnmS`OoFd)j|b|o4pjx2Zva3jV`!D@yEk!Kkg8>oQvC>7TIpUX{LQxs`d;$@L+r;vSADSbK`$0hu=+( ztF_NSGwg$v&@$OGv$4kP`?uLdlw9HO_-D*pWW=4@Y#5auf!<|mzq z0cS=SrnwB~!I%xqJD&eW;vYk%>z${K z1>HiIV%^iasck_v#2H2Ne#-x#ph5oNN0i-pU%agshau3{yvk?J&DP?nJB+HE4YX5l{kMFdLaJ=4;wbjm$_?*A_*J&GD2^hJqIO$d?A*NER+i#% zZq_oXfmMuUzM1u5%)QV=S$rAL=?3Fq)BX#}#Jv=%YbNiyLyx-{KT%I@N`dbz~y zQuY_`oeAoJlh6)RQSvtpjA0s(ss|pTPCb_#!`S8i*`NJvJ$Y*`7?-}cal67w?(R-*Zy2_9D({9i6}e{9`X zg{f){*EZ(4GtI#$moD0=?272&kE$;*3i3N&pCPjblOH$cmG#Idri+?A-)uW zZ^$57%OA2{-*nItejUf6B=RqM7!=l{+8HA08z1mx;A%Xh9<8sN3!ueKV^w*vW+*c- z1=RO<$AJ|hcwB93>+8FF7~$uImc>^ zc1U!|CT3>Z1ok+DFo7>+^?1G`4v@j2TAOm6ED)Pd6ROKeAiPKF z3!Y2|)rYwu)U0L%7aDZD9$P9(_cv0}9SkwtnUVx_$)X!W=V<%juA#I)gP13-RPT!B z;M7-ie6=Z=emWjEB3NjTiNX`h8%}(&i5sf*+LZupkGM2>Rf-AbeesjX&C_wT`u`m=njb*GRh;1CVww}FV zH-{GYXi4%MyturMtM)RW)h^T2w1Qlo9cLW=#11P?z=FWk7B1_Ga&Z5AF5hp3d*uOt zV|$^vEpx*is&aNUm9~_xJ$HGrHoQSyee(sv`CGE6YQ{=B%1Gh-xa>GhO6)8sqpX?= zgpXy6?Tj1h*<ieddTHa^Tw%<^axV7Trc zx+knTJmQCK`2k!=*WX*kwyC=BE!l~T!B-W5$0buL)s=D-R7>wEEA({XV-0( zCW_ti{uFcbU68A(mx+6~tE-EniG8=NYiK&}AR8)D){OKiV;A{ZMOv377o9%UZoCV! z?mvrTFQuK4mJxEGC^+jNo&j-{mX&u+mNgWGa3izJZq<>L@e>fH3{{6nu1!F{%jSz_ zha*3i^%uR*h&)>Mzq)wijtLy&MxfOfl5QOCO5Z5t+H>FJP#;a2b54-TJt1!31fUHc zDQvSh{cckWJ$C#d@9JvRYX|@`vr<)5G9IHRUWR%tc?tysC~?*_1VE}~=n8Xy0bsDo zFo>##Fi<1Vjt0Fj->^7*MltyMmKA|WB3Ga_!7MTP!!(s;3?3wrHZ7=Ww&D{tA!lWW zR?SO*3g@6$p5_3g=PA<606F(0F0$Iv(yr<4P}|mqod$GNd5kk{(^xE4M>`E_!$NSg z)*==QK`%KKnhb3(r~=eFhlTJ|zl||YD+i*fVj+wd4N157nxi178t$G503h$1O|BWiX^;2~4uvSv%$5xSR*I<<@{f85w}7J> zvU7}8{JucRdZ+_r&Mb@t-mHEC2oFdk=8X+uNp@F<%8X;@-c{G+E(N5HWgPpAl7i2!x8I2g3lEJjsu2(j%L zD(5O$lllF5gDir6*&&}}!nuw-jnTdHp!HfL!s#jd2S%Kgk0VXpoZ;*Veh1=Mcj1n8 z&d?v^+UitNxrc2Vl1C|fSS&Mlw!ve<7Uz6*GOM) z8?m>;^;Q`Ae^)$|%kz&$NcTu%z5r@_q)R9!yTMLW%^`6NHvS1iM$ZQuto06+fn!_7 zXmP*Q6ia|+`ZBG!N~$W)`!hlE6S#dN#K3h|>@|yqs2Qj!mV{)rp`}}p1YfD2ftm&g zj4QHYrQ)2D9LlOLO^mHbs??){>B1oRa{Y)hYex-9GM(~v7)MjQf*~s;fqu3Tuhk~m$fkXF}7Z`x`Gg{LOrBxiB|XA z;NeyMDE(BR(~v3*8AAC3c1?Cwq>uz0UEXn{7BCT4Txk~>uW))kRX~BH8y4FxJwWT% zvy-NT5}u;Y)I+qitw!<}-eA(?jF{7D3{pcA+LHAEH84yy%VZ!1aT?xw1?+JoXWCN- zOt5?yNM#3_sKKd&+AnN0inP9B)t!u}RD^C3z#>_a&6d?=;8r`6LTN1x>QsC`W7QZ!ADa=Uh9q@koFFXy}{0F*x^Ir*hmT}&T2g!8S%MN8(uN|)I zumM?uGWNU9(!nHgWz#}A_$Ys?uA1AUn{lfkO9Xb7MV~>{v{C$Z*K`<3;9;`Nq*not z49`&IOcaw%4g?l=Cb>L6P;D>0>8|6wexj|UjtonDzy`a>092JRA29ZQ7V(gx5CicMf?cHc; WHm(%rg@(~*1O{}0AR4Tq$o(G^8H#NH diff --git a/scroll.map b/scroll.map index 2e98e563..6eedd870 100755 --- a/scroll.map +++ b/scroll.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 18:25:48 +Created on: 15/10/13 23:27:51 Executable Image: scroll.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1a85:0000 0000f860 +DGROUP 1a8a:0000 0000f860 @@ -35,32 +35,32 @@ wcpu_TEXT CODE AUTO 0000:70e0 00000058 kitten_TEXT CODE AUTO 0000:7950 0000080a 16_hc_TEXT CODE AUTO 0000:8160 0000158b timer_TEXT CODE AUTO 0000:96f0 00000235 -_TEXT CODE AUTO 0993:0000 00009f19 -scroll16_TEXT CODE AUTO 0993:9f20 000034c3 -bitmap_TEXT CODE AUTO 0993:d3f0 00000605 -planar_TEXT CODE AUTO 0993:da00 00000275 -16text_TEXT CODE AUTO 0993:dc80 0000010d -modex16_TEXT CODE AUTO 176c:0000 00002406 -bakapee_TEXT CODE AUTO 176c:2410 00000bc2 -16_in13_DATA FAR_DATA AUTO 1a6a:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1a84:0004 00000000 -_NULL BEGDATA DGROUP 1a85:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1a87:0000 00000002 -CONST DATA DGROUP 1a87:0002 00000076 -CONST2 DATA DGROUP 1a8e:0008 00000132 -_DATA DATA DGROUP 1aa2:0000 00000b20 -XIB DATA DGROUP 1b54:0000 00000000 -XI DATA DGROUP 1b54:0000 0000003c -XIE DATA DGROUP 1b57:000c 00000000 -YIB DATA DGROUP 1b57:000c 00000000 -YI DATA DGROUP 1b57:000c 00000018 -YIE DATA DGROUP 1b59:0004 00000000 -STRINGS DATA DGROUP 1b59:0004 00000000 -DATA DATA DGROUP 1b59:0004 00000000 -_emu_init_start EMU DGROUP 1b59:0004 00000000 -_emu_init_end EMU DGROUP 1b59:0004 00000000 -_BSS BSS DGROUP 1b5a:0000 00001046 -STACK STACK DGROUP 1c5f:0000 0000dac0 +_TEXT CODE AUTO 0993:0000 00009f6d +scroll16_TEXT CODE AUTO 0993:9f70 000034c3 +bitmap_TEXT CODE AUTO 0993:d440 00000605 +planar_TEXT CODE AUTO 0993:da50 00000275 +16text_TEXT CODE AUTO 0993:dcd0 0000010d +modex16_TEXT CODE AUTO 1771:0000 00002406 +bakapee_TEXT CODE AUTO 1771:2410 00000bc2 +16_in13_DATA FAR_DATA AUTO 1a6f:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1a89:0004 00000000 +_NULL BEGDATA DGROUP 1a8a:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1a8c:0000 00000002 +CONST DATA DGROUP 1a8c:0002 00000076 +CONST2 DATA DGROUP 1a93:0008 00000132 +_DATA DATA DGROUP 1aa7:0000 00000b20 +XIB DATA DGROUP 1b59:0000 00000000 +XI DATA DGROUP 1b59:0000 0000003c +XIE DATA DGROUP 1b5c:000c 00000000 +YIB DATA DGROUP 1b5c:000c 00000000 +YI DATA DGROUP 1b5c:000c 00000018 +YIE DATA DGROUP 1b5e:0004 00000000 +STRINGS DATA DGROUP 1b5e:0004 00000000 +DATA DATA DGROUP 1b5e:0004 00000000 +_emu_init_start EMU DGROUP 1b5e:0004 00000000 +_emu_init_end EMU DGROUP 1b5e:0004 00000000 +_BSS BSS DGROUP 1b5f:0000 00001046 +STACK STACK DGROUP 1c64:0000 0000dac0 +----------------+ @@ -74,18 +74,18 @@ Address Symbol ======= ====== Module: scroll.o(/dos/z/16/src/scroll.c) -1a85:0d50+ _mv -1a85:0d80+ _player -1a85:0e80+ _gvar -1a85:0ea8+ _spri -1a85:0eac+ _mask -1a85:0eb0+ _p -1a85:0eb4* _t -1a85:0eb8+ _screen3 -1a85:0ecc+ _screen2 -1a85:0ee0+ _bg -1a85:0ee4+ _screen -1a85:0f04+ _bakapee +1a8a:0d50+ _mv +1a8a:0d80+ _player +1a8a:0e80+ _gvar +1a8a:0ea8+ _spri +1a8a:0eac+ _mask +1a8a:0eb0+ _p +1a8a:0eb4* _t +1a8a:0eb8+ _screen3 +1a8a:0ecc+ _bg +1a8a:0ed0+ _screen +1a8a:0ee4+ _screen2 +1a8a:0f04+ _bakapee 0000:023a main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) 0000:0e58+ jsoneq_ @@ -95,7 +95,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:1a02 jsmn_parse_ 0000:1efe jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1a85:0f2e+ _inpu +1a8a:0f2e+ _inpu 0000:2090+ INL_KeyService_ 0000:232a+ Mouse_ 0000:2382+ IN_GetJoyAbs_ @@ -143,9 +143,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:665c+ MM_TotalFree_ 0000:66f2* MM_Report_ 0000:70aa* MM_BombOnError_ -1a85:1090+ _beforesort -1a85:1094+ _aftersort -1a85:1098+ _XMSaddr +1a8a:1090+ _beforesort +1a8a:1094+ _aftersort +1a8a:1098+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:70e0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -163,9 +163,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:7820* CAL_OptimizeNodes_ 0000:78d0* CA_Startup_ 0000:7920* CA_Shutdown_ -1a85:10a0* _finishcachebox -1a85:10a4* _drawcachebox -1a85:10a8* _updatecachebox +1a8a:10a0* _finishcachebox +1a8a:10a4* _updatecachebox +1a8a:10a8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:798c KITTENGETS 0000:79ec* kittenopen_ @@ -176,7 +176,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:7f44+ get_line_ 0000:7fb6+ db_fetch_ 0000:804c+ db_insert_ -1a85:04d4+ __kitten_catalog +1a8a:04d4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:830c+ LargestFreeBlock_ 0000:839a+ _coreleft_ @@ -203,506 +203,506 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0993:0042 _fmalloc_ 0993:0042 malloc_ -1a85:04f6 ___fheap -1a85:04f8 ___fheapRover -1a85:04fa ___LargestSizeB4Rover +1a8a:04f6 ___fheap +1a8a:04f8 ___fheapRover +1a8a:04fa ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0993:01bf __PIA 0993:01b8* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0993:01d6 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1a85:04fc ___iob -1a85:10b4 ___ClosedStreams -1a85:10b8 ___OpenStreams +1a8a:04fc ___iob +1a8a:10b4 ___OpenStreams +1a8a:10b8 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0993:0205 fprintf_ Module: gfx.lib(/dos/z/16/src/lib/scroll16.c) -0993:9f6e walk_ -0993:b9e4+ mapScrollRight_ -0993:bcc2+ mapScrollLeft_ -0993:bf76+ mapScrollUp_ -0993:c264+ mapScrollDown_ -0993:c584 chkmap_ -0993:c714 mapGoTo_ -0993:c97c+ mapDrawTile_ -0993:caa0+ mapDrawRow_ -0993:cbf4+ mapDrawCol_ -0993:cd5e+ mapDrawWRow_ -0993:ce8c* mapDrawWCol_ -0993:cfd6 shinku_ -0993:d15e+ animatePlayer_ +0993:9fbe walk_ +0993:ba34+ mapScrollRight_ +0993:bd12+ mapScrollLeft_ +0993:bfc6+ mapScrollUp_ +0993:c2b4+ mapScrollDown_ +0993:c5d4 chkmap_ +0993:c764 mapGoTo_ +0993:c9cc+ mapDrawTile_ +0993:caf0+ mapDrawRow_ +0993:cc44+ mapDrawCol_ +0993:cdae+ mapDrawWRow_ +0993:cedc* mapDrawWCol_ +0993:d026 shinku_ +0993:d1ae+ animatePlayer_ Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0993:d72e bitmapLoadPcx_ -0993:d88a* bitmapLoadPcxTiles_ +0993:d77e bitmapLoadPcx_ +0993:d8da* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0993:da00 planar_buf_from_bitmap_ -0993:db20+ planar_buf_alloc_ -0993:dc1a* planar_buf_free_ +0993:da50 planar_buf_from_bitmap_ +0993:db70+ planar_buf_alloc_ +0993:dc6a* planar_buf_free_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0993:dcf6 textInit_ -1a85:1140 _romFonts +0993:dd46 textInit_ +1a8a:1140 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -176c:01f4 VGAmodeX_ -176c:02ca+ modex__320x240_256__Enter_ -176c:032a+ modex__256x192_256__Enter_ -176c:038a* modexLeave_ -176c:03a2+ modexsetBaseXMode_ -176c:03e0 modexDefaultPage_ -176c:0446 modexNextPage_ -176c:0516 modexNextPageFlexibleSize_ -176c:05ea modexShowPage_ -176c:06e6* modexPanPage_ -176c:0736* modexSelectPlane_ -176c:075a modexClearRegion_ -176c:0872* oldDrawBmp_ -176c:09d8* CDrawBmp_ -176c:0b3c* modexDrawBmp_ -176c:0ba2+ modexDrawBmpRegion_ -176c:0d0c* modex_sparky4_DrawBmpRegion_ -176c:0e76* modexDrawPlanarBuf_ -176c:0e94* modexDrawSprite_ -176c:0efa+ modexDrawSpriteRegion_ -176c:1072 modexCopyPageRegion_ -176c:11dc* modexFadeOn_ -176c:120c* modexFadeOff_ -176c:123a* modexFlashOn_ -176c:1268* modexFlashOff_ -176c:1338+ modexPalSave_ -176c:138e modexNewPal_ -176c:13de* modexLoadPalFile_ -176c:14c0* modexSavePalFile_ -176c:1538* modexPalBlack_ -176c:1562* modexPalWhite_ -176c:158c+ modexPalUpdate_ -176c:1afc+ modexPalUpdate1_ -176c:1b76* modexPalUpdate0_ -176c:1bc2+ chkcolor_ -176c:1eda modexputPixel_ -176c:1f68* modexgetPixel_ -176c:1fee* modexhlin_ -176c:205a modexprint_ -176c:21f4* modexprintbig_ -176c:2370 cls_ -176c:23de modexWaitBorder_ -1a85:0b54 _VGA +1771:01f4 VGAmodeX_ +1771:02ca+ modex__320x240_256__Enter_ +1771:032a+ modex__192x144_256__Enter_ +1771:038a* modexLeave_ +1771:03a2+ modexsetBaseXMode_ +1771:03e0 modexDefaultPage_ +1771:0446 modexNextPage_ +1771:0516 modexNextPageFlexibleSize_ +1771:05ea modexShowPage_ +1771:06e6* modexPanPage_ +1771:0736* modexSelectPlane_ +1771:075a modexClearRegion_ +1771:0872* oldDrawBmp_ +1771:09d8* CDrawBmp_ +1771:0b3c* modexDrawBmp_ +1771:0ba2+ modexDrawBmpRegion_ +1771:0d0c* modex_sparky4_DrawBmpRegion_ +1771:0e76* modexDrawPlanarBuf_ +1771:0e94* modexDrawSprite_ +1771:0efa+ modexDrawSpriteRegion_ +1771:1072 modexCopyPageRegion_ +1771:11dc* modexFadeOn_ +1771:120c* modexFadeOff_ +1771:123a* modexFlashOn_ +1771:1268* modexFlashOff_ +1771:1338+ modexPalSave_ +1771:138e modexNewPal_ +1771:13de* modexLoadPalFile_ +1771:14c0* modexSavePalFile_ +1771:1538* modexPalBlack_ +1771:1562* modexPalWhite_ +1771:158c+ modexPalUpdate_ +1771:1afc+ modexPalUpdate1_ +1771:1b76* modexPalUpdate0_ +1771:1bc2+ chkcolor_ +1771:1eda modexputPixel_ +1771:1f68* modexgetPixel_ +1771:1fee* modexhlin_ +1771:205a modexprint_ +1771:21f4* modexprintbig_ +1771:2370 cls_ +1771:23de modexWaitBorder_ +1a8a:0b54 _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sound.c) 0993:0234 sound_ 0993:026f nosound_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -176c:2454 pdump_ -176c:24b6+ colortest_ -176c:250a+ colorz_ -176c:2570+ ssd_ -176c:268e+ dingpp_ -176c:2700+ dingo_ -176c:2796+ dingas_ -176c:2844+ dingu_ -176c:28a6+ dingq_ -176c:2946* ding_ +1771:2454 pdump_ +1771:24b6+ colortest_ +1771:250a+ colorz_ +1771:2570+ ssd_ +1771:268e+ dingpp_ +1771:2700+ dingo_ +1771:2796+ dingas_ +1771:2844+ dingu_ +1771:28a6+ dingq_ +1771:2946* ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) 0993:027b atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1a85:0000* __nullarea -1a85:0b74* __ovlflag -1a85:0b75* __intno -1a85:0b76* __ovlvec -0993:0316 _cstart_ -0993:03e9* _Not_Enough_Memory_ -0993:051b __exit_ -0993:053a __do_exit_with_msg__ -0993:0597 __GETDS +1a8a:0000* __nullarea +1a8a:0b74* __ovlflag +1a8a:0b75* __intno +1a8a:0b76* __ovlvec +0993:0318 _cstart_ +0993:03eb* _Not_Enough_Memory_ +0993:051d __exit_ +0993:053c __do_exit_with_msg__ +0993:0599 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0993:05a2 _big_code_ -0993:05a2* CodeModelMismatch +0993:05a4 _big_code_ +0993:05a4* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1a85:1a58 __argv -1a85:1a5c ___argv -1a85:1a60 __argc -1a85:1a62 ___argc +1a8a:1a58 __argv +1a8a:1a5c ___argv +1a8a:1a60 __argc +1a8a:1a62 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) -0993:05a2 strncmp_ +0993:05a4 strncmp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) -0993:05df strstr_ +0993:05e1 strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0993:06e1+ __open_flags_ -0993:09a2+ _fsopen_ -0993:0a09 fopen_ -0993:0b2c* freopen_ +0993:06e3+ __open_flags_ +0993:09a4+ _fsopen_ +0993:0a0b fopen_ +0993:0b2e* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -0993:0bb6 fread_ +0993:0bb8 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0993:0f2d __get_errno_ptr_ -1a85:1a64 _errno +0993:0f2f __get_errno_ptr_ +1a8a:1a64 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0993:0f34* _frealloc_ -0993:0f34 realloc_ +0993:0f36* _frealloc_ +0993:0f36 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0993:1010 __PTC +0993:1012 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) -0993:1043 strncpy_ +0993:1045 strncpy_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0993:107f+ int86x_ -0993:1202 int86_ +0993:1081+ int86x_ +0993:1204 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0993:1230 __I4M -0993:1230 __U4M +0993:1232 __I4M +0993:1232 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0993:1248 _dos_getvect_ +0993:124a _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0993:1253 _dos_setvect_ +0993:1255 _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0993:1260 __I4D -0993:12af __U4D +0993:1262 __I4D +0993:12b1 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0993:1333* _heapgrow_ -0993:1333 _fheapgrow_ -0993:1334 _nheapgrow_ +0993:1335* _heapgrow_ +0993:1335 _fheapgrow_ +0993:1336 _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0993:13ac _memavl_ +0993:13ae _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0993:13f1 _nmalloc_ -1a85:0b7a ___nheapbeg -1a85:0b7c ___MiniHeapRover -1a85:0b7e ___LargestSizeB4MiniHeapRover +0993:13f3 _nmalloc_ +1a8a:0b7a ___nheapbeg +1a8a:0b7c ___MiniHeapRover +1a8a:0b7e ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0993:14cb _ffree_ -0993:14cb free_ +0993:14cd _ffree_ +0993:14cd free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0993:1538 _nfree_ -1a85:1a66+ ___MiniHeapFreeRover +0993:153a _nfree_ +1a8a:1a66+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0993:1633+ _null_exit_rtn_ -0993:1633+ __null_int23_exit_ -0993:1634 exit_ -0993:1655+ _exit_ -1a85:0b80+ ___int23_exit -1a85:0b84 ___FPE_handler_exit +0993:1635+ _null_exit_rtn_ +0993:1635+ __null_int23_exit_ +0993:1636 exit_ +0993:1657+ _exit_ +1a8a:0b80+ ___int23_exit +1a8a:0b84 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0993:1671 ultoa_ -0993:172f* ltoa_ +0993:1673 ultoa_ +0993:1731* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0993:18b5 write_ +0993:18b7 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0993:1ae1 __doclose_ -0993:1c2b __shutdown_stream_ -0993:1c45 fclose_ -1a85:1a68+ ___RmTmpFileFn +0993:1ae3 __doclose_ +0993:1c2d __shutdown_stream_ +0993:1c47 fclose_ +1a8a:1a68+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0993:1cb0+ __ibm_bios_get_ticks_ -0993:1d27 clock_ +0993:1cb2+ __ibm_bios_get_ticks_ +0993:1d29 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0993:1d4e kbhit_ +0993:1d50 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0993:1d65 ftell_ +0993:1d67 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0993:1f4b fseek_ +0993:1f4d fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1a85:0098 __IsTable +1a8a:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0993:2143 tolower_ +0993:2145 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0993:2151 unlink_ +0993:2153 unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0993:2381 open_ -0993:23b8 sopen_ +0993:2383 open_ +0993:23ba sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0993:23e5 close_ +0993:23e7 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0993:23ea filelength_ +0993:23ec filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0993:2448 sprintf_ +0993:244a sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0993:2477 getenv_ +0993:2479 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0993:2562 __PTS +0993:2564 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0993:2593 read_ +0993:2595 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0993:26cc* __clib_strdup_ -0993:26cc strdup_ +0993:26ce* __clib_strdup_ +0993:26ce strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0993:2733 halloc_ -0993:2818 hfree_ +0993:2735 halloc_ +0993:281a hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0993:281f _heapwalk_ -0993:281f _fheapwalk_ +0993:2821 _heapwalk_ +0993:2821 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0993:2834+ __NHeapWalk_ -0993:292d _nheapwalk_ +0993:2836+ __NHeapWalk_ +0993:292f _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0993:293d stackavail_ +0993:293f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1a85:0b90 __8087 -1a85:0b91 __real87 -1a85:0b92 __dos87emucall -1a85:0b94 __dos87real +1a8a:0b90 __8087 +1a8a:0b91 __real87 +1a8a:0b92 __dos87emucall +1a8a:0b94 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0993:294c* __exit_with_msg_ -0993:2951 __fatal_runtime_error_ +0993:294e* __exit_with_msg_ +0993:2953 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1a85:0b96 __curbrk -1a85:0b9e __STACKLOW -1a85:0ba0 __STACKTOP -1a85:0ba2 __cbyte -1a85:0ba4 __child -1a85:0ba6 __no87 -1a85:0bb3 ___FPE_handler -1a85:0b98 __psp -1a85:0ba7 __get_ovl_stack -1a85:0bab __restore_ovl_stack -1a85:0baf __close_ovl_file -1a85:0bb7 __LpCmdLine -1a85:0bbb __LpPgmName -1a85:0b9a __osmajor -1a85:0b9b __osminor -1a85:0b9c __osmode -1a85:0b9d __HShift +1a8a:0b96 __curbrk +1a8a:0b9e __STACKLOW +1a8a:0ba0 __STACKTOP +1a8a:0ba2 __cbyte +1a8a:0ba4 __child +1a8a:0ba6 __no87 +1a8a:0bb3 ___FPE_handler +1a8a:0b98 __psp +1a8a:0ba7 __get_ovl_stack +1a8a:0bab __restore_ovl_stack +1a8a:0baf __close_ovl_file +1a8a:0bb7 __LpCmdLine +1a8a:0bbb __LpPgmName +1a8a:0b9a __osmajor +1a8a:0b9b __osminor +1a8a:0b9c __osmode +1a8a:0b9d __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0993:2970 __MemAllocator -0993:2a3a __MemFree +0993:2980 __MemAllocator +0993:2a4a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0993:2b97 __GrowSeg_ +0993:2ba7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0993:2d0d __AllocSeg_ +0993:2d1d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0993:2dfc __fmemneed_ +0993:2e0c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0993:2e19 __fprtf_ +0993:2e29 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0993:2f6c __InitFiles_ +0993:2f7c __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0993:31a0* fcloseall_ -0993:31a7 __full_io_exit_ +0993:31b0* fcloseall_ +0993:31b7 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -1a85:0bc0 _fltused_ +1a8a:0bc0 _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0993:31b1 __fill_buffer_ -0993:3400 fgetc_ +0993:31c1 __fill_buffer_ +0993:3410 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -0993:3547 fwrite_ +0993:3557 fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -0993:38c0 rand_ -0993:3902* srand_ +0993:38d0 rand_ +0993:3912* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) -0993:3925 delay_ +0993:3935 delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0993:3a84 __CMain +0993:3aad __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0993:3aea __InitRtns -0993:3aea* __FInitRtns -0993:3b45 __FiniRtns -0993:3b45* __FFiniRtns +0993:3b13 __InitRtns +0993:3b13* __FInitRtns +0993:3b6e __FiniRtns +0993:3b6e* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1a85:0bc6 ___uselfn +1a8a:0bc6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0993:3baa __DOSseg__ +0993:3bd2 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0993:3bab __Init_Argv_ -0993:3c0e+ _getargv_ -0993:3f3e __Fini_Argv_ +0993:3bd3 __Init_Argv_ +0993:3c36+ _getargv_ +0993:3f66 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0993:3f68* __set_commode_ -1a85:0bc8 __commode +0993:3f90* __set_commode_ +1a8a:0bc8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1a85:0bca __fmode +1a8a:0bca __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0993:3f74 __chktty_ +0993:3f9c __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0993:3fd3 __freefp_ -0993:4074 __purgefp_ +0993:3ffb __freefp_ +0993:409c __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0993:40b5 __allocfp_ +0993:40dd __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0993:4265 __ioalloc_ +0993:428d __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0993:43f3 __qread_ +0993:441b __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0993:4413* _msize_ -0993:442e _fmsize_ +0993:443b* _msize_ +0993:4456 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0993:443f __HeapManager_expand_ -0993:4948 _nexpand_ +0993:4467 __HeapManager_expand_ +0993:4970 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0993:499b _fexpand_ -0993:499b* _expand_ +0993:49c3 _fexpand_ +0993:49c3* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0993:49d0 intr_ +0993:49f8 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0993:49e4 segread_ +0993:4a0c segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0993:4acf __LastFree_ -0993:4b49 __ExpandDGROUP_ +0993:4af7 __LastFree_ +0993:4b71 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0993:4c5a __nmemneed_ +0993:4c82 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1a85:0050 ___Alphabet +1a8a:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0993:4c5d __lseek_ +0993:4c85 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0993:4c97* __dosretax -0993:4c9c* __dosret0 -0993:4ca3 __set_errno_dos_ -0993:4d0b* __set_errno_dos_reterr_ +0993:4cbf* __dosretax +0993:4cc4* __dosret0 +0993:4ccb __set_errno_dos_ +0993:4d33* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0993:4d16 __GetIOMode_ -0993:4d4b __SetIOMode_nogrow_ -1a85:0be0 ___NFiles -1a85:0be2 ___init_mode -1a85:0c0a ___io_mode +0993:4d3e __GetIOMode_ +0993:4d73 __SetIOMode_nogrow_ +1a8a:0be0 ___NFiles +1a8a:0be2 ___init_mode +1a8a:0c0a ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0993:4d80 __close_ +0993:4da8 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0993:4db6 __flush_ +0993:4dde __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0993:4fff fflush_ +0993:5027 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0993:5014 tell_ +0993:503c tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0993:5025 lseek_ +0993:504d lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0993:5056 __doserror_ -0993:5064 __doserror1_ +0993:507e __doserror_ +0993:508c __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0993:5070 _dos_open_ +0993:5098 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0993:5096 isatty_ +0993:50be isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0993:50b2* __get_doserrno_ptr_ -1a85:1a74 __doserrno +0993:50da* __get_doserrno_ptr_ +1a8a:1a74 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1a85:0c0e ___umaskval +1a8a:0c0e ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0993:50b9 _dos_creat_ -0993:50dd* _dos_creatnew_ +0993:50e1 _dos_creat_ +0993:5105* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0993:50fe+ __grow_iomode_ -0993:51e5+ __shrink_iomode_ -0993:5226 __SetIOMode_ +0993:5126+ __grow_iomode_ +0993:520d+ __shrink_iomode_ +0993:524e __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0993:52e6 vsprintf_ +0993:530e vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1a85:1a78 ___env_mask -1a85:1a7c _environ -1a85:1a80* __wenviron +1a8a:1a78 ___env_mask +1a8a:1a7c _environ +1a8a:1a80* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0993:5328 _mbterm_ +0993:5350 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0993:537b _mbsnextc_ +0993:53a3 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0993:53d6 _mbctoupper_ +0993:53fe _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0993:53f9 _mbsinc_ +0993:5421 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0993:544b __ChkTTYIOMode_ -0993:54b9* __IOMode_ +0993:5473 __ChkTTYIOMode_ +0993:54e1* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0993:558b __HeapWalk_ +0993:55b3 __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -0993:57cb* _fpreset_ -0993:57f6 __init_8087_ -0993:5829+ __default_sigfpe_handler_ -0993:587e __chk8087_ +0993:57f3* _fpreset_ +0993:581e __init_8087_ +0993:5851+ __default_sigfpe_handler_ +0993:58a6 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0993:58ee __EnterWVIDEO_ -1a85:0c2e+ ___WD_Present +0993:5916 __EnterWVIDEO_ +1a8a:0c2e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0993:5912* _heapenable_ -1a85:0c30 ___heap_enabled +0993:593a* _heapenable_ +1a8a:0c30 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1a85:0c32 __amblksiz +1a8a:0c32 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0993:5923 fputc_ +0993:594b fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0993:5af8 __prtf_ +0993:5b20 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) -0993:6c5a __setEFGfmt_ +0993:6c90 __setEFGfmt_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0993:6c78 __flushall_ -0993:6d0c flushall_ +0993:6cae __flushall_ +0993:6d42 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0993:6d12 getche_ +0993:6d48 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0993:6d2c __qwrite_ +0993:6d62 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1a85:1a84 ____Argv -1a85:1a88 ____Argc +1a8a:1a84 ____Argv +1a8a:1a88 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1a85:0cf0 __Start_XI -1a85:0d2c __End_XI -1a85:0d2c __Start_YI -1a85:0d44 __End_YI +1a8a:0cf0 __Start_XI +1a8a:0d2c __End_XI +1a8a:0d2c __Start_YI +1a8a:0d44 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1a85:1a8a ___historical_splitparms +1a8a:1a8a ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0993:6d8c _bfree_ +0993:6dc2 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0993:6db1 _bexpand_ +0993:6de7 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0993:6e0a _DoINTR_ +0993:6e40 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0993:7183* sbrk_ -0993:7193 __brk_ +0993:71b9* sbrk_ +0993:71c9 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0993:71fb fsync_ +0993:7231 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0993:721e __setenvp_ -0993:73aa __freeenvp_ +0993:7254 __setenvp_ +0993:73e0 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1a85:0c34 ___IsDBCS +1a8a:0c34 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0993:7408* _ismbblead_ -1a85:1a90 ___MBCSIsTable +0993:743e* _ismbblead_ +1a8a:1a90 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0993:7462 __mbinit_ -1a85:0c36 ___MBCodePage +0993:7498 __mbinit_ +1a8a:0c36 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0993:753d _mbdtoupper_ +0993:7573 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0993:754b toupper_ +0993:7581 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1a85:0c38 __8087cw +1a8a:0c38 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1a85:0c3a ___Save8087 -1a85:0c3e ___Rest8087 +1a8a:0c3a ___Save8087 +1a8a:0c3e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0993:755a __GrabFP87_ +0993:7590 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0993:758c* __init_8087_emu -0993:7592 __x87id +0993:75c2* __init_8087_emu +0993:75c8 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0993:75ef wctomb_ +0993:7625 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0993:763a+ utoa_ -0993:76db itoa_ +0993:7670+ utoa_ +0993:7711 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0993:7725 strupr_ +0993:775b strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1a85:0c42 ___EFG_printf -1a85:0c46 ___EFG_scanf +1a8a:0c42 ___EFG_printf +1a8a:0c46 ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0993:776d ulltoa_ -0993:78aa* lltoa_ +0993:77a3 ulltoa_ +0993:78e0* lltoa_ Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -0993:791b _EFG_Format_ +0993:7951 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -0993:7aed __cnvs2d_ +0993:7b23 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0993:7b0c* _dos_close_ -0993:7b1a _dos_commit_ +0993:7b42* _dos_close_ +0993:7b50 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0993:7b29 clearenv_ +0993:7b5f clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0993:7c5e __Init_FPE_handler_ -0993:7c97 __Fini_FPE_handler_ -0993:7cce* __FPEHandler +0993:7c94 __Init_FPE_handler_ +0993:7ccd __Fini_FPE_handler_ +0993:7d04* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -0993:7f60* _SetLD64bit_ -0993:7f6b* _SetLD80bit_ -0993:7f76 _LDisDouble_ +0993:7f96* _SetLD64bit_ +0993:7fa1* _SetLD80bit_ +0993:7fac _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -0993:80db _LDScale10x_ -0993:89b3 __LDcvt_ +0993:8111 _LDScale10x_ +0993:89e9 __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -713,30 +713,30 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -0993:9190* __init_87_emulator +0993:91c6* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -0993:99a4+ __Strtold_ -0993:9be3 strtod_ +0993:99f7+ __Strtold_ +0993:9c36 strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1a85:0cee ___FPE_int +1a8a:0cee ___FPE_int Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -0993:9d90 __LDClass_ -0993:9e16* _FLClass_ +0993:9de3 __LDClass_ +0993:9e69* _FLClass_ Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -0993:9e2a __ZBuf2LD +0993:9e7e __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -0993:9ef2* __set_EDOM_ -0993:9efe __set_ERANGE_ -0993:9f0a* __set_EINVAL_ +0993:9f46* __set_EDOM_ +0993:9f52 __set_ERANGE_ +0993:9f5e* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -1a85:019a* ___f_infinity -1a85:019e* ___f_posqnan -1a85:01a2 ___d_infinity -1a85:01aa ___d_posqnan -1a85:01b2* ___ld_infinity -1a85:01ba* ___ld_posqnan +1a8a:019a* ___f_infinity +1a8a:019e* ___f_posqnan +1a8a:01a2 ___d_infinity +1a8a:01aa ___d_posqnan +1a8a:01b2* ___ld_infinity +1a8a:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -1a85:01c2 __HugeValue +1a8a:01c2 __HugeValue +--------------------+ @@ -754,6 +754,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0002a0b0 (172208.) -Entry point address: 0993:0316 -Link time: 00:00.38 +Memory size: 0002a100 (172288.) +Entry point address: 0993:0318 +Link time: 00:00.00 diff --git a/src/lib/modex16.c b/src/lib/modex16.c index e184dc1e..ba033c9d 100755 --- a/src/lib/modex16.c +++ b/src/lib/modex16.c @@ -63,7 +63,7 @@ void VGAmodeX(sword vq, global_game_variables_t *gv) int86(0x10, &in, &out); gv->old_mode = out.h.al; // enter mode - modex__256x192_256__Enter(gv); + modex__192x144_256__Enter(gv); break; } } @@ -105,12 +105,12 @@ modex__320x240_256__Enter(global_game_variables_t *gv) } void -modex__256x192_256__Enter(global_game_variables_t *gv) +modex__192x144_256__Enter(global_game_variables_t *gv) { word i; dword far*ptr=(dword far*)VGA; /* used for faster screen clearing */ - int CRTParmCount = sizeof(ModeX_256x192regs) / sizeof(ModeX_256x192regs[0]); + int CRTParmCount = sizeof(ModeX_192x144regs) / sizeof(ModeX_192x144regs[0]); /* width and height */ //TODO WWWW @@ -119,7 +119,7 @@ modex__256x192_256__Enter(global_game_variables_t *gv) /* send the CRTParms */ for(i=0; iRcSTY<5GAK2=R@h> z5IK{i%@8Hz^!k1t-}{IAasL7L5BGIl&wKw7c=ZvOav~rWd>8}=d~AAe0+QeS)^zS z2E=+n_38I<_m}5|LU|bC$E5zi;1XxEK}S77xtBqpAHbPVQmD=uLIe;>jv;AZrKm#B z96EiqP1BPZXEdv56G!i)Hw+4I&{V32P^{0zyD^6@VzSn&UEYy;mAjPHF3M_f#zp%!`k{{&;51Vk8{&bZW7%0vIOC71d>2z%|rc?ud z6PKrIyG#2APcM(rVP9{J*=mmC5zIawD;Ra^Vr5)|(uO>d{!b9FdG!@M4+dX&)eMDz zDHr8|5e%rGoXt$hwja&F2JRiuX9~x)V(H|Ii#jjpW30Cj{8dq@&e2}}Z}}5%Q;{rK zptaNS*fp`D3%3yum(GN$pw2Bzxbl>s*PQe&Or$YeE8_E z#l&2D6P6MXM_!y-{tuOZ^X8f=T9KkMiTf=S2-}X8)m``{qXcGQ$#3?4%LhsCZEloK z@AS~kdE+*PV!M`unls)HM3!Y`N};&Kf}>C~B3o=25H0ZC_5_l!F%Yz|0)_2=kf^13 zr+aIAd~1?6K2zRF8#I11E^~5z9LVDv0m%-3hqe#*mpOhyO4GA@SB~B1097E%MId<_ zz5)d)*%3P}TUHa{y;Vp=Ow_Wz9x-Rf=Nv-cD!|i~% z5A1=Gq8UazyP)h%5+xCrpgs`Dz<=CyB|BU~Sxr#%Fp%4q})a{n411bKAr~ z8SZu=*N~IroQ%Q65IQte@zqXzvE@rR>tRm7E|5J$7NiIsn#3`3M2K$_r4`%1M+%#T zE=U3gf;Xb`CGBgH7P-aK>YRw}6(n60vBFUXUdFWf=~ntz^arZ5#gph_f}QMz47xLf zg9IkUR-46cW^h~pjAw$6iDB#S9f z-5L)1;y_7)y~x>V?vh2q6d555Kel{|ViC%dmc{iX%l0CUV=H*PFSQj>`jdHR8JmIW zx(A$KkOhg18pm!EmDQoqrc*E)GIr? zL$CK9OSxa%<3Z-tn(7XyMwV5HI{Onvzz6l4l8{Msa!s0q=x&gH!R^6#@5or|S=J_N>`!bWp=926F*Ug6LTBPCznH0S!`GgL zmz#SoSlGnD#X1hDW6$`CLE-6FkV{F5*QDFBCiy9{FL$!;v16qN9#~;fZlS56AI-1^Y&f&5{dEVJoJ_| zcqgs!)x1Ksj_#*;b>p~z;Pu$#{M}n-a>ZfsM-T7SCm%?5j0#)}H5R(n#3lR#PQRIG z@U^0B`%U*Cqp07`f^BN6-CtpzYz*hg3SQI&*dcqrtXw;|l@CrJqQx(z9k39`EF}K9 zq${#ik^fx1a)g?Z7m(QR?$xKBxD3@A&VJuk$OD|gm2xC(B?7Hqb67k*E8dZ507yQ^8;{1-?Ne8tprLc#$K9uVSz(~iDhfRr;&S#bm z^EeN3r4&)$X|K@o$(AT9Iuim>J#q6#NQpY&6zJLT@=+$4uttXod zOKxcz(oeA?zRmraafblMgashvdb8o6ZyYUPvvV!*tLlEv{yTIf4y$4TQ%2yr;&{XO zJ^3Dc%WRD3JjfcY)y%$JPNzJd5|~n5*;M?CgtX99oZOB>sH^OL{Y%*2R&a*TKf{L8 zui*`rcoIhRsQGiyf1zM&p4Y|3n@XFaESdWtA)j+jX4Hn8tw28Dr$Xqy94TO|9!y&U zc**9}`fMP=bwG5CKmBOhU+Ef;Z{}IoFl}S;o;aXdQM`pd(qb)1AxmV^r+KVF^v79@|E2aRiM_t|H;rQmxSB@1;iv%~sHfj??xO2Me<`I&ZKS5 zZWNshv(f*F8t>jX)+8WfUBbKlBPBC@zI?+@c8n-}`OZpUEblKC4kb2FIN5wx4ck(v z$mr+2#;x6$>teTeI4;wd4j{A?_H)Yz#{~M4T=_)AsKFF`e*aTMZwTQYQN1Hc)QTnr zeFLQ(oq$*PUp1%i`iFTAzEJDXzn4Ji#JTB644g2&NGx9Jh&qnouOqF9XYNF|lOzf+ z&Let~%^&rRkoi_4&58QQWAAf+R~SCovx7-@w7$;%<7ta#itH6mdSVXuNcNgKxk#jO zn@5nE?v!Vq{Gp$viRjBTtUSN{AD-GWZ=!I?(pJQ$o+nb+0E5h6ni*4Lnft>^v{OhJAZA$KR|#TUl)DDQmgHXi+3sYL6cJFQtE- zaw54@+yJb_0c9WRtEv+YQs4o%-rdpx^CmpPt_9Q_ESGvi^gUcaz9jiQV4Otf2G$;)C0fU@B6~gcSJgh&B#R@c?YF{B6 zuXkt;wx+Ng6(-+`TD7jd6XNZ1qK~FXI}L~x&n{ag@zZYCukp@^2Fy()FGn2JdRFV1 zwUO)erG?((G_vb>VQonO&6qs$g`ZkgRY}rW)(qE``T$_;%{Mc4$ej#fXNnwFpP^#& zIt*E}GhyZJbRcl&jo@0?t65>{8SqY$81?wz&*VW|5obpFKuGk{#MgTw>Spx z;uo9Ecs|=g0#Yr_%-cpON&*w*s*>`TUPG9or<7BWNCaHn~7{-4VjST6=Cp?<}`=6C2?$1W)2XO4K(u-6)}k$ zHHXfKT`rM@|5T7qRsm!cx;(;O!@Zx#{Ec5})i!5+xoLfnyF%&9+(qvuic+m1+7wzR zg1crWrJf*EI5;zd=Kjh&n2{oh6=<#EMlh(j+dvQO8-&~YIi6i;P%Uf6Fu?~3T$#q_ z0w3A0mU9PNw_}2qf3x3hODEsl)|N37%Swb_^!rFV3!h|*2d$FNsMjNYo{0^g19f`M zna3GZt*~fHeT603k7u3Y{(+0|^WAlIa6H*4oJQ+{2^Hl_y_Zs^Xa6x>T@x?5&*&eM z-^lM{L6mRLb61KSJuw^B`D0--U{BWYN|89UtPS|u%iI5IK(_c*wB&tdCJ1bXbw(Rm z4q#zK_fuK2dl95a$@hx*4AZ`Z(@K-l|I?7O0EPAskqB*QN;7RANIqH`J}Vo9Fdghj z@~~c~^$1k|5$QicSKsXe9I=*)@d@}EE3g`H2GAOzydC1Fr$a_}DBPh$1n^Jm=$_|A2>&b%PJcu~0F( zi1}!zQteR|; zku{XLGfH0`c1ma*jUN3s)|dT?GStB-z1}IJvoe!#ZSp)wA2OAEf04^~>qyB3<=azZ zHc5PEvl*74Qbt<&_FlT_SfUc|3-y(;!Flvvk~>2h{6{;o61+Sb0?67RF(u&5&ZA_m zz0RrTBhc@Mj=V%nC62^!IhdYf9lNv+A#$6*?B`t0A)s08lm9dGEfFrI=kI$*6`7n< zK9?bn;wm_jfW%E*5tlFvnpGCDg#6~wSNe9SZ{EA}QjW_nK>yyEa^ElUx=$_B8>;D` zwIP|ht=3zfCltC;rI3aGFoYGH>m_=kUG12gKaAb~;N3DIG;ac(9+ z#3pSC7J7K>Cg(^@W>Z!s(_hLFQ`cIPhkr6o2@TUNv?7Do^uBgAEh#E39pO#P%=lGx z5EX4+cU}c*e0h(?#R!cJkX$vG`f=M$XN@!E;@ys1HH^@b8Lq)nWDbemg{c#B`l#E7 zeLNHnV{V*!lkydC&@qLnZ6K*p z{W3}+Vxal^PCq>H~V%6FAYhqc*ymqr-EbC-_B1Ph1#z z)1nL>&^rD7HT{6TAH~nD2`cG{w1~ba{l&&muvXJ@g7^d*f2A`J)?W5Br>ARE?&f^v z&%Uk{cHB=Gw_V94ThHFR^w_yq#}w0y1Sq{UnNhn()3s z``$=%Mf+==02V=WHEVZH|KJsDIKRUuFH&(5tYhciI7F3k|Dhq>>8<@gucFMyBprDl zycwr>5?Q85Z&`Dy7P7Y|CjG?}^+PFN7K^CIGeu$mDMYv%W9lmp5+Ta_KKO&yS%+H` zUz};>Z67bS6LFROmbRe1bd+TPh$3Y!cL|iJck~+n;||}wWMb&`jbrtDqMjRaWSpyu z75m?hqF!b0cO)pKz;{D5*vC_e#xoV#>CM6fZ+>4#9o`@c(gZfNFG2W%S5(29daF>9 ztsqiy(o{8G!C2dA7h}ysmX<^AvnVJVQk_%)`k?MsfX-;5{nO=qiJ=oFLv(O`J@AtR52Z#=wzdmlND${sZKP{EHCD^^+Vm zVw=K{B0@aa)c4R9rC}8KBp5<|E-<0`o*I;jwQ2v&6B`A$YafTWG$j8%oQ&k!b1?;- zGj&#LJU%{u0Zfg!_6M}E7_&y4fV_sYRhyfIYZP&zFdCy{3qzKi6#hVf(5TrBb>$vVfklWA4|;@H1k6P6N-q_P<1XLK+K#`BX?fNcX^A_phZ< zr_mC+4R90&vFq&Wjs9!WFYzF3I?PSTH?Q*JgPKL`*C@W@WOmlRMCpTL+MonJ^UU|G zhp>Npm%kTwqy%ZFCEMIK{W_Q~Q1iq5ty>~bBN$e_-N`~|KBL_A`wltjWnc6i*H2Cj zQh(HN_!tHGk=&I0y^e+cu7dlhC4{chee|mnmkU~wm^U@R#*AURoA;1+f-=2=`wcuG zhJ2IrAJcxhTDTXwcF(`Rx?4M6B*GJgzc1$vNinSea_2kwaUK74ay&EDO0++#i&;d^ zi^>}O7WYcx11NREh}x_>uY5NHGz)RvoN+mg-pX!eX*-47#j>RK+d3?XuMFJ$^H;6| zQuAl_jd{}X{a&a23l))X~(0WMN&X(6fj6;=9 zQW?J0J3K-WH1Smt>xX9yc?V5OwAMHJD8Y)i>3`%kan=hv>DiZQfnYF|jJ2>LxXz*k&lrTr0L((I4 zw9+B^gD9n=i1Qje;J$yeUv@%OE_c6nQhvQc**c`$>b~B!L%ZMqa5i~|$`6flIHmb6 z3T|Z<6kFzkopz8p>TG7nBRW5wkD*7a4D#}&)fPn`Q>RwdOXC3ZF)&HKyIDqeZ1y_C zm~pZRqSz9Bja&3w&6&uj+Rp8h3y>4Z5|t$$YAg$t{`Pp|gy1|vd~1^Y?;kWdK7WQH z%;HfEY0{2JCM19p#XRO3l?H8CK2RIMI+0oaxjl~@xN!(eDE#Ml`pn2KeYA-4v%av*0+%%Gy*n*Jh zh&^_nQ+?1VwB0#1?P}~j(12PxIqflY;45mbu$>rkI&km>T_KkOMPEq%A;T#e z)3ybkFQ_;PKl){);0Hj$<0I@@JDGod-D2P^T{nGfzN#Vu;;zeHYL5vz0XddDwZw4R zasA{zq7dP~|GjL&iim)UQK)+)aDN^6C@ou8f*Fri800(uWv?h?Jhgc0A?+_&0mYF? zo4V@e(-FCHmb2t!vYZ1lRl!flJLzOXVTLXHe}kur#DABSgnYI2sWTKz05l)zuw|)J z#jVuMIUnK9u{~K?V6rGJ_hv{(XOzGbAl^|@7MekXKp)|R&@+@Oi|ojzW2-2HFN7C( zGI>_xOYNk*FwIvt6iAqK>Fh4AR3su-3T%us`FotS)*u{|2~` zKt`a?G38MMt^x`W9nj{=L}YT0&_yxRZ4yR;sJ0Q7 zHIcoZd1xi%Pk$mj)vfl0IMU?nsOFQ)-J?p0-kFm;ZTClAem~A{vj%5cFJzBapYI|h z`07*_@^LfK(-dUczpNrQ6UKXC7fph4(g~PaQ{!|w1bjsIwD@o8jf%!YJxE0y)qm|A zvU2o(o!&dh&6B$$MeQs;@eV!!zO4FVMBHQ-u40a)`)8Y&?(%tL#p(jLM)YotIqi_> zCFk-Ml2y7=(p7Sig-)D4EE@QB_EZfwO(or=V-uj9{m`4;Qm2x1^7h^sNt0;Szhm{? za5>&QT;w)5UTyWBRzz^}DJgAw1trtK+`uQMB`OX4jHnKtA`Vn5& z-;7a!WIp|;Y6J$8!3bi+RTV0Tg;QkvKY}_xg5w~N&JXoPaM(BmPCzh`C_E1V&BS2w zyaYZbzW`p4AjA|F!Q%*`Ofhl11VNH1C5=BskYUQo;pGVmHx-pSyEuh!58r@%RGq}A zK?v&1!y0%^f)-O-2d_)eV;<4RQw#`(Oe15w3Bilz5T$x9Y;g1teFx^h#-3cB{PcOVT!H4PVhxaGonE`?LQ-mO9@M(MqA(R;wjz2>n zFeA?5BMIl2QPKDq0+AUThmR+mXC@@#Ndz)8DH)$axWG(J!>3aS7nvCpJe82i%%b5h z5we*%m+`p-Ix~-f&nFZx3$Ngd2v?ccit*P8H<-+u_*;bA%#u6!{|I-P_wM6M31!R& z<@kq$3g)B7_)5YP=F?~R=Y%R|^$UCrp_cjb6~2z}npxj~ZzMD^n_2ibgcj!8R(uXV#Bdjxj|G{q%{xUbW@Y{rc%$;5Q9$}w( z0Gw7%gx)2J-yM}v;Sqn9jx5VMwj>$_^?pk^p8Ska!@}`3Re zv4Kyi@eyy{IvhxM&^n+`KG?DtiEs%b-Xri%^EO~Qkf3RB-f3h~Oj>5sTr6SHCyfeG zp3wWLEmd|Z>rW;$NMC)egFEDPHq;#@7E4{>p;?jG2V``Ov3K&!LH-aZ+E2QASI9IHXCBIZtA|-*0$4Dldb8IV zEkHHS1)O0$hHaf>eHL{D&g~pZvY#uH6|K zODl8GdJcR4pJC8fTR)0qQ_Q zI?p9>$#H>0M~K?u4L!0ftYFCFalc}E>Ew-V8}rZ8($=4RwhuT9_s=ydI1A^+Q9f`q z0fE(j>~9=-AVLRQ+W>VQ{_b6MR|-*+`(MIQ@wq-V3-72gncxiyO&_ynw?^{+D4H!| zG_}nb>=nA85OcTtBhvJVq?v^Y$l)HN>f_-PhW5tYm8}J^N)$EB^1ulvM34bkE|zk<}p(?8BEnp7MZdF$LcN(BUM}!5yefI92ERAY;P6_{n6$ zSbiJoZTlbPH%$zcz}37tq=j#*za?ifI|kj&kdla5KRIEM1BXVTRYpVl|QM9xLT17o#v&qb-}bmrj&r zyztjNnB&d?Ndgj26el)`7`~Pgb|vDa{`{DM?}>TAmL@OKQ2vRzY@#f4LDEiQVR^Bp zaB%?tP5gU(_Wq9}TTZ&oQG9nF;n+O|-jenOIo#d+b`Zr;N{$@`N#(O1>2)kbQ9c&* zw5=W{9T#@gq3I?hu34BS#~tmTu!Sfzp8g)DY^buY2T4gOF6O}9ujwgCq zxRO0+-&?GW$cGzZHc^fSEeuWs=>873B$*}p-8yoF92#|HGG&uVJ$xQA`=ywwE<%y# zvQKi)G)yO<{#vAx@DQ6R$vPpcX+;|l5$I#o3XjOGvAm+lHLDtTGEZhV4h<&FHu{lp z7OQULfrttEjIDB}UC#m6(87t_+7}Q84}4Irh=j?_a_=94hNNiymzT|4&&~O-xi@X5 zG-l8Nrt={WZn2|9I%J{f8>O^CISvEob!+Nv_4XeA#hn(Hvj5?(RfF9`!(ba{VEd)x zyr)^-MTuhtgO-7;t2xz`*cY4`qdLfqLQ{jlM# zUy>`CMIMD||J(&|InWwZOz!FHmU0aZNICx23_6glb^GxO6%F1 z7xChwhXn<}Ux1#SyFJL~b(<&Yvi5h?*2&NLMfus6X+6>92b1-A{Gw}ax%^ed3ZceH z1V1A;{|#O4WpRJh2&E2rA$>dhUAm3bCzRcALBS@;uNmDycXl)k{xe}pk{U7vcofso&JFj!+x2kInMV~=>R~e(l@<}}~EncAetZb?MHebf82N6Ug zpZ8XNuOtjrKH1`KnqM??%(ci#tLvrM>=qmzRlA?$+qk_WM9FE8gz1Vzt(n1``GE26 zqTs2w$^))Kzp7kp4T1f(X9(s4H*-7o`>mfvB(;_-k3FrRaVTJV!%Nn@U7J+v{BFgR z9A|@(=?RH#`cXv?sMoVpZx0VSFlXb0LkmT3o_tX(7^yL{KE?H5b!w_4II^XYMP*6c zF3XtkKs8><|Li#KcdmX%^pb7^&{Y(2i}iuy+Ok)>`=X-D0SA#eIDm1E?0IE5{?gGT zD^MpXQa;jwb*O9&z8HwWOQC)dQBCoboGW z2T~rc#Ur@wtBA{v_+{N5$*`WKXu#r3qNas=BA{X6n0VO2o@853MyJxH{%iiJP!p4j z6mpPq_pGpM^i^FUyVWbw&HAjSi>A zc|!g_ck;??oi+?vN}Ic@wjGDKc)+31+!WGGxHiWG?dRb)eib@GP9C*Z{S>bxE-f-C zp#ty>)GltyR6QxI61mlU?tV@?s z__sr-nA$_*Ck^J{w^;qHo2+bMT%aVaqSa8K2(QI2;`?sG-9MPFEMZ ztg+2`wV!-?S(cX0YYL0iSVRW4G;I798>2bg?o^=8ND#eCHS`Q!+NdoJDYjNOppD z#jr$Syyy6@J<>Ng!mdFGhJD@2(jW^6FG7Y9u&2JL=S>nF*Hdc$tvd@Jf-q%!El*|H zRuyHDeZCMankO|+L!MB}|05KiP5NJm`8ed^XX%DkSQdB<_lDPu1qV+6twopV?neD= zCNM}%mvrwSVu0H-@;^RKeCxR+`J<<-^IL_$sP}%g^@)9s*lvJ$gQ~?@@*OOO zFNu%!$+{KPATjQ1=lm@H;szko7sKt3oCA%XGT!%3L|b@`nzxLvPVNX*Y2&|zlT~&b zg}|VL>o#OcY>l1nOV_-P?ilJMk#1qr@bEVE7-aZhFX@_vGpVr9hiIqMoKv*pd?)oF z)I7vEKYKDs?9u@yuszO|bK!s|`Mib}DtlE=JKn(P$zqp*boGc4(%2U6LRl5ur@YRS zuFQh%t_?t*1$L$eFY3-3UJk@6Wr7v_9y*PsV!<%`s&AIXe0mC$-8W^Rmnv4Apo1D! zyVTL8!w_X4(o$hxZ`HGrZ`u8}@!03*h)-1iBTIq82Kk7kH;}&(+2-l#g!pGWVK}3* z4Bn~si(A-Z=#eNLj4p{A{#gLizw1vO7yOX3UA_^2h})cbHCf}c3fY;j{M_45$RbYs zXv(=sjW~MJ@v2?QqpL^9UmH9_!pnH(;M^4jZcUtf?d&D08BDRJ^r><+?9K2l7~PRS{Y={oACUOaz$ z|J2|j3sP21h!Va1x{;8^l5@NpSU%-r+4uFP5q#`)uz@Iu5+KYHOnPHs05?K{9G)zu zyxZ&$sgAVxA_3v1Z#VjSFH66?QfEP^iBWtMXcy0&aJmlB=&@ks5Ie`SZhS4hktQ@v zGPvh2csM6957`|B5z20(dfjm#pYXX%h1;CDIa#(`KIo6#`FE-LNi^Pos?PWKqrp(#+vE2 zq1)Pmq{|bY8he!2B*C}Kr%gFAHg~osG42N6r;;9e0i6P0*xS!fssx#pMK^s45c{fQ zGp`F^=ag^^f!Q~vy$SrF7LANkO^q;cA20V+wd5ql+)66(@-aEP^wNu#GRUc`)DJd- zCLqV%&#FTmg<}E!{y@`5!!JrOC#j<)56g2;bGR$?ZE-_k{?ewCOzt~ukfHb&7*v#B z?nT68Zs*%vA!xTu0C}|hIzY7=0znfjono>t126fw2n&S-^@EqfjdhUR;lZ;XeKkM> zKqCdr(6O$%Ws_Y#QrDPaJ!m195N81A4g%C2;iM1TzTBsC6Zzk=g~5l8(dP#O`e8UU zMWa(<=X5Z&A3HyJ{vl#{{vE}CN<=I!NdU>T!yaCv(mR5`!qZ_c`s?!3MNo&0W-y4S zca?woS{KK?S*Fd?DG16~3Q&aywFK-?>?$+NMaMv2mZP@hzomEb4|DlHvBp3Gl35Qw z#0or{$RDW6T2=>l;>;>AX0=jngAax53Zd{UP!VLM?Cd066v}fEZ4m)oL<4-njiXETJwUE6M*nr}^QjB`(kxL6!YjS4=3|IBQI<_gZ8oGV? z!@m6;W3-Bnrvu_JDc6EHi2jh#+?KJC;@HHP!t9;A`w5^Vf9ZVs%%ybLsG{lCoBA-p zwV5{A#C+;RTKBA&pp??~_dMysC}M7NdA?&(?xCAzo%Qigv<_1(C*Qk2Y09;68q@2i z0@ODyC%*U1({|8%W$8k0>&g_Me%snut(VB{$9QZ}XHyoyN;LDc$u@5W2e`xFkn#NR zZ|E=evh^$R4JfC!_tdD<0 zPyQYJl##R3)uGLZ1v>R7jm$+75Cv7)mpc-s4T_;x4Q{q)#EB?mG6KUs57O*P4oEo0 zFr$51PygLF{oJ@d{W}|HSqlLh z*L#zRJGv>=gzx?pyjn7hKs}aZZ>Ebqaudme779KTkxkj=cIrG|+nU)5t{a~DBM%20UvUQ#dECKM z@Ew1y)_Yrk*entR}j>#eV4%5|p7hajTYbmWK~oq1!{@h+AP2QYif ziv8*W#JN3y(*&~Ib9@`begib4#EddQlu7nY)Sl*Cb0HvbJmA=aNh5??U4&1gzQHb2)wnXulgi^&x~3fu@Qa@0hUy?N_2m1+jFe{dfvtM&d`1 ztkRiNGXq>OjgLhfYI>kv57fwgHdZ>Q(Be;#g!c5jt`Q6mWFa!;Po&UR(yJYS)B*~W z_Vd6rYu0BWQbUfb7A!<|8n9nF0f`_&2HZDS^a4ZFy8TBa)t4NO2-g~8 zi(yR+ZI8Ju<%zCf5#j1ditcfdd2mJq6L_x$8huRJ&_%xqV{?JDtJY2+6 zGh}@XzNwh()80-@@7InTQ(1c}_8cIom}jIWDMj&LYq#u{^K zDQmP2%t&#hnH*)Xy1C!P%<{v(&n?yFM@7yauNCalvgjfi`in)*Vql%2>~gW4|j z;*0g(BED(j>I>(j{6)^X)S}djz3SaW&ZNQA7p$e?Mb46H_tcBk>k~v$(zewXa571S z9sh*q7Qj+QYGJ43U#N1c!bL1Jt+u|XFMO?92@|;&Fx9ILZZAfe+hZ(!W5n@2re0Yl zy~V!f^5Fd96mu)^x8eqKS@8YhujYmnHj-0U@pzOIw%)NEwb`M8J24npZpe0e)v@cI z9hV><;$L<+ov3N|Q1chb_Br*%WTd;M>x*WE1^aM}QUbP;$CC zo;`P{N>2{I;;$^TFIHpgZOTI4#pBH&0F)dCLZ6o_(@Odu z)B)JXkz>xt#o{n8<8X&mlz~LKh`0o~UGq;s)n