From 24c3f5a38faeaac043c74761e9f9dd63f15291e1 Mon Sep 17 00:00:00 2001 From: sparky4 Date: Sat, 3 Oct 2015 12:14:42 -0500 Subject: [PATCH] added fps system ^^ --- 16.exe | Bin 43248 -> 85584 bytes 16.map | 696 ++++++++++++++++-------------- bakapi.exe | Bin 44682 -> 87528 bytes bakapi.map | 718 ++++++++++++++++--------------- exmmtest.exe | Bin 34858 -> 64634 bytes exmmtest.map | 574 +++++++++++++------------ fmemtest.exe | Bin 34611 -> 64346 bytes fmemtest.map | 572 +++++++++++++------------ fontgfx.exe | Bin 40429 -> 76836 bytes fontgfx.map | 672 +++++++++++++++-------------- fonttest.exe | Bin 35490 -> 65754 bytes fonttest.map | 586 +++++++++++++------------ makefile | 4 +- maptest.exe | Bin 43060 -> 85400 bytes maptest.map | 686 +++++++++++++++-------------- palettec.exe | Bin 39880 -> 75428 bytes palettec.map | 670 +++++++++++++++-------------- pcxtest.exe | Bin 29785 -> 48076 bytes pcxtest.map | 444 +++++++++---------- pcxtest2.exe | Bin 29815 -> 48092 bytes pcxtest2.map | 444 +++++++++---------- scroll.exe | Bin 52319 -> 116628 bytes scroll.map | 1023 ++++++++++++++++++++++++-------------------- src/lib/16_head.h | 9 +- src/lib/scroll16.c | 44 +- src/lib/timer.c | 23 +- src/lib/timer.h | 5 +- src/scroll.c | 14 +- test.exe | Bin 21251 -> 33948 bytes test.map | 340 +++++++-------- test2.exe | Bin 23007 -> 37012 bytes test2.map | 364 ++++++++-------- tesuto.exe | Bin 19494 -> 24300 bytes vgmtest.exe | Bin 38848 -> 72770 bytes vgmtest.map | 618 +++++++++++++------------- 35 files changed, 4455 insertions(+), 4051 deletions(-) diff --git a/16.exe b/16.exe index 6c5d8b130c35275f8956efa4af6262c7c5ecd573..0ad78232e0f67f923d0a0df40f0c31fa7068bc75 100755 GIT binary patch literal 85584 zcmd3v3w%_?+3;sKn`DV$K~cOREGkh%G0RmTTtryVN(i@rilG65pb#+G-Kf z{z-B!b9v^OnP+C6nKS9(H1W2$GIoOLZCfZ*c6HSOA##M*Y8U!{8*{zZ?eMP4YhCO1 zTF1rT(rqF<>hW5$^1N0Tya44e=qayt0X+P)*J^pjYklu0Uh5j3y?-Fj!co{c#B1#{ z%xm?)OT)d^f)QTpX_%MdwY~`J;Y%=$^jcqq4`4q$G0JNlHpXk6208F4_~6-ly;cR@ zg!f?7Sg$qpKCkud@m}kO30`Xm{xH#N{UhvxZ{X(pz1F*+5Z;9^q00kaYcJ>zY2bl1 z@Oy~)k=Hs9?t?sd7ZS-=%!A}*lGhqY^;)l*?6nSnbXWvy;R~pT@o8S`V)zC8H~bCW z=KZuOUTYCQ--Vy^do2u`>a{)!Ti_(zo=%=&4!B_jl)+c<4>$vzW|BU55PkxkW|0QC z ztw}H(9)uT3_Y?el0ltLZ=t(xDaD5EC%Ic?SLki{<-Zi$P|v8U6`b7Oz!>9PS->#cTCL%&T7OP?!#@VZd*^)`#G> z*N7*U_~N|QU&0M)b3X*ZLa#1-^xokWX4W-9-NEUaJ$X?M;1zLtwqxYjwbO=z9zG z;#PFE5BH!BzPgPtZ}(cSgInNXSP5T%A5Oswg#TqfueIe)ueG?p*E%xUYn=ki;b$;& zt=IYq>;XTBH^`%dx-h_Ny#s~~^jhzQH$6g>!)Ev%EO|nVgt0IivS1mkfM3C8*av>N z@+l#Pzza|f-+_2qh%PW1rofNk7w`wDfG^;>XN2enLm?d=gE!zK*atzl_9sFl!$io0 z=irxc0y;e_#9go#hCC-k8T<*3!oqwZ^5JzzST2Me#=)xvLiAlB#CjP0ybwDfwNQv@ z@OL-@Q(hn~@Fd)@k~rZTXn}sKg!lo{eo7edDFk8IY9am;-hwRiiNlv#=-*h!bY7P zoKG7J&p(e&6wzlCqwlbylsX2(eolJ>pFV=z;1t|8TZqAMKg@<}<_mE2{u6u9D|e4Et~uBB)kRd;d@A2iY`Ga%!Qx9o3H^a%g}Qe z1+(B0aKoRV?~~{UEQi;j1$yL=claahgU-1^^oIVB4sXF<;0V-15W2X?A3Oq!;ivE` z$fK^8!awd4B4(TrH^M+jg9Y$kumQe=R_HpOa)O`1JMb=4fEN;+Lfi~@K?=MIyWu!A zL$?X&7W9X)kPENF$FLWAPZVMh%z!L-5}t*>KrM8=Ux-^_3H%g_;8j=)A3_bBfH@D4 zCb;THLL@=o2ZeYLWI9PBP@hs z_!zpSkvA9$_fMg{gK}6sRfsF53E_gT;MVCp15eDL%}FQS&}Sxch7Z9HL5Q7&zQK)f zAN&itK7Df!Uh*S55@2bl*1M{ z0^RRMKF}Xh;72eM-0%_Xf@_k6=m~>i6g&!xp%99p4Bmjh!6En;1mSqhE#2y%0h*u% zf?%dCi-QEP!Bx;5Zh)R}GbF(s&>sfC5Eu@lU@SP{0Z4_ZkPZ(+2J{?(EMZ{^@`g8| z3JyXOTsaas!UM1pegl7jTSw6+z^|bK_Q06YLi`pgU=Lh71{uI~cpScj5L|OFGK6#} zf&T?w7&?|XU>)p$C#dt!!H4i^FX|{H+9?M}hWp`BD1w(^2h@Y5H+lel;BFWM6Ja{c zfhWKN&qE2k1aH7b_zb>;I%tN3n}xUMcwhkRgid{^voIX)hg6seS&#!NybK?}-=Pt1OhWHqHmLAl z@E%mcm*4~QZPX2z1#=-6*1&5}0o&mq9EF>2r_F%-;9*z^ z0VVJYcppB78t_BR9Xtp3!a{f+-hmo80ztTfdVVVmhRNW8H=&HW@G86xZ^7^2U3ecp zfQ?WIo4^Y@;WO9+```c^f+KJY23~{x2ovF1D26pq4x3>w{2hLPe}SnxIt2q^I6MH4 z!ISU{_zk=Re}-x}2#pYgF4xk|Lo!T)x!{2}Vg7ae4sXJG*Z`YgH+%zU;D+l-C(MWE z;Ww}q%r^+(fa#C}3j7&9gIb8Wkv0{cfT!VO*b0AzuizW_7j)`DpAG%tUU&eWf@1hJ z{1)DUzd#l2hHs!5uDFSM218&H{1~1A6<&uw!{=}qOg*W)&NBW=m|GN65Ij(VE_z);V=ruf)gHqRG13s@GxY+Tvz~)LpJ1q2cCg^ zcpg?k5tPC&U=5VPtMEF!1;2xL;eGf3HbNzA0x#@@&tMPig9C5~j=(WE4t3A~P0#{C zFjF_;AOUP}6?BIipeNi6NpJ`BhXF7IhQlZr3r=_dQei5j!^4mPb728I4%v_c9(V@w z;dxjEMNkUAfHm-?Kxg3_sD&0tG||UE54Z#FhT$*{rorQ|8p_}`_&t0CRq!PofkrT! zX?x*jNQUpBTMTU~Y)E(qc0nB^bYh$UH^bd91|EQiVLq&cmtY-KKr_T9ASbv1 zZiRc{L3j$Dha&hDybe3zE4c0o+AFvhX2CP?Gk60&gaZ(tNWFtYa7AbG1;WPI2bRDq z;Dv+G1k=0Fm%~rtk8lL)A-*f+1V4jH*ba5@_?7gpPyvFv9{u0sc`mzspnX8HW3b&Z zV7Oz@@BzcbJ<0bBxto9Pu`kWBJLK>8i1}Hrta+}i`S$zLX4qX%FUuNk?~|J#2HZ15 zXwfGigyRve!c|I#A zXK9WYc+U`-#_X)TtQ;|ZhVornc~?;u%V2oc)N-`Grs9@v+u~}hW>L1Ck#On3wdGc& z`$lV7j`ml%MW{W?t*VVHPL)~Hal%&dp*3v-jl@_2DL0A2EtZYE{nT^J^W`vzwvF;V z-?L&}JdZf4Y`$lEy{N@gII6}fT(^dA_!iv4yFB}L|CLql82_(?_LQS)ZH)|J``i>W zS6>$?Cf74BRJoth?rw`)XT3%g+upa51}%IoT3h*ak)|bwwAjiSui47qFs|@k;TCIY zO=!sb*3gi3R$F=B$g@_PZ>(KUU35OXlh2X`!G|B6v@39Rpnp{AWBj8-{vU@TX&EE@ zPqzK_vGC7p`)i%>&j_JN3$qqIw$LSVvN9JhUOMmgzQbj~WG}UkwD*~xAo3Q?cP%{U z=16&K0k6!L&-a;sr~Zz1d48rV^X{zq{g=&qN-Sv~rgs01$8$&aaW0E`-<_TH)G}Q) z$4_=92pIxX=0cp-_2FtmAJS(Q)>_i0Du+t<7pBCxI+uq+B@0f^$#`_qPK!`#l${k8 z;n-0Y8^Z*jSt!mHCB50PL#Z!5pj|63-Fs{W{z)w#*$yFRqCic5XXYWi0dHlfrTd5|ep z+RZA$t+-f(_Vyi;+TOFUbY*zy<6 zWn+I!t;yK8^`?^aEy}fy9mO-v{K;EttUAN2ze-Gw9ouMq^aCqH?zqDIeZn;yaafFk+@z*g zX^)icW&#_5s;O5aL^WQWX;w3?ipZhJ`Nit^z^aNd?IT;c$4v>k2A5`BC`byUB0(r# zlH;^Rf>Vb2hlMIK&Iv4DQ-_|Rj>EH_FFb#+oW!AC=~Xu6gsww>J56|G+G_GVP)=;^ z@BT&J&5(EVW>s=GKcRU0MP=__5v(MhOM7-kuyX&UKHE2_)z!F2T_u;>qyn}TqncqY zb$)eUQX#EtjQ_aU=ETC;=4_V#)L`0Y)G5wdCB0tB_Z4Rx-gX94AAb1m#4?Q>UCl zn6*aH$;vNv#u2jlZaghRORqP{mzxQe(6o>*KRBE3vsQvBbT*p`(`$r(Y)EysjA>JH zvTSzWyUp1sv)Um4X_EOpqBsvJ=|`3PW5pSVOEV6u8OO9NH|4~;uNmQN$m^;)8>4~= zJQVUYY58vqc^cI8qiy-;!uj)mM9cr7v+{5A-9&;L3!M!lxJd+_cT}~dR&V?6p=!(i zI(_Df+8fN%rfhR!lWJM0_|jawL(4+hf3QTPIDJa`@e=2e;yqen(vNV-5UV zbvDO15onX*tW!24^!sS7e~?i->q`&NXE+-jo0asYvYjk-VIb@jQvS|*HKVy071xAY z^6HT@#nokJctRxbV&sLm{d_L-0L|n$e=v zD=mA!tzOWgN9lDOq+9q>k85L8=4|Ej&rZK4fv~U~RcUFV4-TCPeQ@}UCa42evLt1u zoG7hPPKAc7H<7oH=O|@~7391Alz(YZ&8RPmPdM0{FHuQifh#Y?e&PAokLG920_ z{>JP`QbtF5=gp;DRGk)iRg1&uu+Z4^gdaA6rO6XVr9j`lR#IDJe}=vwj@(;HGq6os z6f7LIM>yUCMe#3GxvVL7=Gs&2?uTgcg?mb2ew=Xsh{qjOp+qAeR^d64@|^2X%A>9W zDZ^d+E)ZCXJ?G|><+(k%9kQqvSq!TK?*wj6>FipY(kW+0N`mLtfz9$|gl;Jh8ur*G zD_WnRTTHU5)cdXvQme0{7rHv~aM*a`VRM(AhS#N;`cl9PKwO15ini01u|_mojKgVo|9!toG!n;_IF^b=nl!JgV_puQtLNmlNav4x`<%BV0qY8rg`Z z%W87eu-Ub4zOFRmh?;R!bskpJ4{^6wdv*WIYG+HzorKrJl+u|Wr%b43jQ=(>9i5tS zSZZSGp^%5RmR>MUO+VuMHTKYRG5#ZBYer+p*-$t-C72clmXl}2RM_jj4;bZ*Y1h;0?s_{r* zq7v_)81fH|@sBj46jzvN7*3`9#Lf66EE0qi?$CN-MIlzJnwp?z%r~+{aUPd~D?Ol# zE^kXlW0D##d#-_(khf?7Zb}Np7v#76#QkKYNvIjeWuJ+)I27rro}-$;OPxpbF`Pvq z^=(;L84Qdf`T0SrUY`pV{Tr=X(SINGn}aEK*KYs*Gc;m5{Cmy>qQ{d~QE3+b=P0|d z2=uJ|mc%`W^!}G1eOl}j6^~DG9-}Cos`J<-WLN9Eq^#sPm7Hu!qR`xPLaE0J^N)$= zHu7)Cl}cDzLjQQ?(tLcsZ3OrY*~ymnFt!EoR!zHEo`_FLPP$gHMQYOY^ct_R=Lw z-MLxX1fQ6mIhPBMFMT>!OkBDoOH7-WvzP~GEE7)00B}IENOdpa;@Bsqae0_<-Xokt z#rWKL!Z}1Z2MgyQ;T$NO@^SI3n5s=3r9DOvkBUdc!{Q+^OUxAaXXlD^F+)rj)5KIU zMWl(zB2`Qh4~id&2gLniqL?6@V!Rk9?h|9hz2sxQ7%fH!<}JlgF+>a&gTz2FKsdy` zct0eIyG4Iiv+orB#LeOw(M?<_m@7@2GIiSY8R;{7#-*hx-xby-oZk3jQG3VHjhS-l zXSMS*zbl_47SyfYUROj9aoClX@{RkU@}PC&E!`>-Ol?&G1I(n)?!DGvReRRU2_DPJ zgRUzIM=?3Xh19v*LR(jrq(j7RPiB|dY z?E+W7TbCyvrO3V2$Eq1thV4_SvW_aIb>uWB6N?Wf_@4@uH*4u@o5_kLJ5ADf)bFUC zrmz-Ko0Ezx)r_XqOsrt9%ZQbhbE76&neoyZEt{?(<<@RR87-wX z$)Td33e#$5upmDusKj$<2edRLM$4?o8C)Ijzb{0CINaTxw4vl}?F+hGFvw)Qmk|*O z87>?xTq#;qr}d}`1W{pY{5<91yb>e#?aY7PAQexB3YE!PT zxwMA#Yk_9wY)^S6Z_5P&b^X2|n-H%H>2NAjHFEx&@{(T5?LFKGuc(dTSZ;+dVzcyzNK?hQt`Q>86$Q#L6X^`-l_IqO(O zk%PZljL#VVYEf<`$xTJ6P3ug14zFg6yT|o3!=}|}QEIb(t?}jbnJpVl=GwZVw;Do4 z?>2@8HVq672+jCtb+_6{uB(b_ZvHCfMA0sO{ynDEyvu$3(W26((B8VD(&o_Ke<&Z+ zhLp;>(434{nC4(GC&x;4TQlNhdRyjXFrUmks-w#92{G>$SgBj#?UJ8}CS^1!sm+?o zZtYoR>aNBYS2HA6CzwkJ(b+gnsYiGY2C$)E#dZ2@=5a(=af3Mw~+fmVI_eexMrkflkw!bSA z!4(p56^W>qiD(<*aVwmNdJ^GzLQ4S_j2xo4Mv_N0qoK5>U(Ym*)y5lbQxmHF)d!XB z%Arm*uAVD*xMK@jt)7@lD@I3?8h^4*+CCQj7ehb|YkAk%xOQrSQJS0L#K{_e_t43j zz<*XS$JRc%nt<~X2u^lF{>ETM;&~tOX!)%>Hvo#Og>m%NqOX*A2jc$EVEJ<4FAd7s z(X-QlusxaDr1!a!)?=yKM%Gay-%5{d?M@9 z9Y3QyW*_No>GkFyk&=da$DT>a~qlE-BrctYw|KMj^|fHftdn(-G6Al#dY=*V3dH z#ot;_uOK@XUQ&BDvO*SlQMP6JdvSUSrqa}D5z!VU()n5CV43x$&2oM0aErTMn}?Sd zboqs6Ck$bjspl_IPyUT+PIG|j_j2qZouZ&$kFp!cnpTS&r9woNuB={Mqs~e_)e2qe zq_a_WjZ&|)OAOlN@=h0Jvtv)cE(EFUB4JvfSIdVl7^tkydZ1K$0;O+l7w9HE%%rmd zl~w)1fy%`kJy2Bt(gW>&ohX{HSY+H7d$bw=N!O%us6_E{ydP1)DJ!EIIksV4{_ zeu?EEty49f5u|dpAwl_fyh}^Un`7j^T z60u*U37_7qT+6H!55~xWeA`1(L-p|vl9i9u6F#EWi%qkHxJ*OBWyGdmmI1~^rG;)K zoR(&lHGuYMX(26Ap^a$da*ZPvqgh$F|eMU0e%S#<+*-`P9 zWHfd!uAWws(PZ=8$|67$%RyI;%TYWHqHcP@ZlRkkJ;2(tYEh?~nPIx{oGE&O;Qo$R&24C=AB% zQqa1nV_S|KQwIlMurBm*2o8r_>TsSOj^&fid|lRC@5vj(y_KkV`!Si5F?uA zz9On@2*$AEBgNmKq-*6}t$5J_y}TQv%G*(86!!sN?kO!;hBD3cPmu!G%Q!q`K8;=u zFOGVv(!D{tG035=mO^6YBCrtxw<*@zb4MIM>DYUdCW-}AwM>geO>v#H;ve&#!`2#t66CHs2<8zhkGTx5y zKP%|Y|NZDkY4Ud-P}0A`PSz88gkBIIlrN%Ei|H1n(S@VU?$~WiyNAvf_od462n+q~ zM4@HooY7f(Y1D^h$;yE&l6C?7A7#dvDk`#2{@Ub<)P>>$7f^-hcwI3eMz8eg?Ule0 za_lIIN2`*LN|o|TjXG9s428q09d7F_h0Z#hNvIKrn0}{@MCyfeU;BDN!9*$sfy$wP z>O532L@4715dbFNxO+%R+mKsY*oQR>dwAGxm9ffb*&*-Hy*H5BzOFPwPL_|ACL<^4flhWO{u>i#s4OyR%Lc1y#7!5nHaJ0#tSeV}++_u8}qrxqRS8_&# z6@H`H=}5eq)+=5kG%4yTISLt<5}8@qe7@RysTH7ENe_Fa=pBBH|0ie8SEHgEliF|v zzyLm{0(^Y#97_A@)8X4%{kuq8)?onuC-tv^#^gM4U#cwW6^})gx|~_O`}D=6aV#v$ z#`DSY-)$LJu@q_&{&lS-sfQg074NjdI_A(0byw=A6j%FJ)Uq_4_7AP+JH#r;*!=PO zaDYn6pDFpHwLg#g&$O0g94>Jlk{!11(EvTT&39)Y;I)>fY$z(JGgrc5>Z*_QV0$%Xq_CbSK6NVnwLJa~0W$IQfLWr68qYqyHs zF|u}SE3HW?7*)WqWKiigrm%-Qo7`PAPrNouM5&Rp$&`#UTwGXsNd>qC{0`2U-fBPZEj=vG z^Oi9bH|%RUfFfnodZRmJc3zH~(rGvKTY9cEry*P$8f3=iYK^pR(v5Y5r17R1-G=cN zJ;*A=UvjY0Z1es22ZmGq6|@|(DT_Q*hm271VDxeL#{B$@meP>AusyeC!?g} zFqSju(ims37QRh--ZfNz&q@gwT6H;5_Jt#bD?yH5(6~U-1Yo*>@ zw3D`gw>3U%2Kdl_`Va6gJV42OhOayE@lLx zQl(}4rSDxYYfl9JORsU^HNq)OFPN22l#CWFIdW26on!9Xbp1h_uYZVuuPMxL5iX0( zx8{sAxfh(yc!(j>u)R57yBmgmCarhYYksc1?Q!FV=F*-i z_ffC|B5M&FAaX7c(!$K_`HQmzFW55|YnvWiS@tEF%d{wRxget+H|gHCzjL!(x%PSP zoSdv|*W#yn3y*vmm6$YX&N%myW#jOSA8x;IseAGK?Au)SrOUFi?UN>rn=vV^zhemd zEZo`iv)G0~+A>|PoJDipL@0N9h*^`SEy-Fkvd?07f(&a?=CbjVrVY3AkG>7VE_fkp z$uc8bc{!vqGiNE`*&UPY^Ok0NND*RT+eYU6`8ip+Yy{Dko!eq0FK+G|DZY#x3$l@e z?6V)U=RS>CmQWnoi)0?=%_Aa_oAp?(D|2L@r#gwbnYmfZTsb5ABoE@RX<3gAm${ji z>6_i+%o$Fq(V9~rq$jXkm zm*zZeUzYh;7W-zhjjW03OI?|ZXRv=pFN^V$CfPlGp6cJ{DSM_TbJ1eCUq&mTX$zO; zP11rGZr6X>=W3Z-nlm58kvgJfMHYISOcGGGOII{0Cv7`Vj+6;1B8zrppIHfFt~>W> zd;k6@ri(l*Mg?<(%*kD7?=o3X3o~=(=Vj&~C>J;FxtSie0kI!M?hrz%i-k+(6ZO*a zKe7*M*QP1@#-B-(9-K36q1!bdKLuSG<$fSLyGpWT3|t~_H$IZQL>94=t7)#Bb4xfu z@L)u>Q5EW|6m2JglDd|XLP|88YV_EZ^%Tm1q{*>X7BXbLEN*;c(9jVBhb|$~L4!vO z9K1v2YbeE!VE8h#jX3)K$}DKQ&^zIwrEWVrr4ac-G}b!SEM1Z&!Z$L(*9C@g9eNkIABR6dCL|)ox5lr)mRpcJ=?uxF4~lpJ&)=_AmZ8uckB%LuW9Iv1%=LYG~*PM_7>%jS`W z%V;-^=Hx+|k#luTN^{VNfrFNa1q6cT&t0^5k?U#Xl9?;zA{&lnIZGeQ$y{R3TSTj$ zi+bCoe)l%^)lshZEzZi!(b}ou_Ehpi!zC-dUb}Nq`T1G%rF@W<>>B1F;_R%&xo63U z$a7r%+SENkdc`~1HLhoEUDWcU^-FESKX2)sCFi9J z7SPe7BCdsW42zdO)>a|IO-e3(ixfiiO%Z9@^2D4-g^qsWeDyh^+B89Pqsqlj_Y|(c zxow?~kXu4$WRvarle8vBFQLqERoD7e{+Lgmw1(_X&3$w(+vPT0qUBv3^S1JD6o$WH%w& z$N#dj=Z};-4B4}*jROn=Hf+CcEU=V|k)QU?>8rBVJdR)ND~=Qh*A3u#lb-XibE`LSsI*~S2j;HbGVP>wDbus3|RHnt8$5rQX zCd1c{`Sh`bqwYEDo!ZZt%BqG?%7C27>+jY6o}j$y3#}ik{XRljQy)@ZJs!H^pev=Y zvLQ4kr}GH@%+R*y@w0Gi_HH{&EXR-RNrqH%kbu#rFvXWnC zaz9eMy>k4p(wgGkC6yCHr7VeDc(T-SoB>=-P@%f_nsyk=Y<YQI9)vo^BYa>L$>nrL1E!Ah6+Ef$5lyc!uz7$7II${d$}skiL6;m1mRhpbF3ey zzSk7HT0e>yfh-J z94FN^X_P}mB+5`$fR~%Y%Z+G;V}Pz1(=VnO87(ENriY66B!_&5Z$dAopQ9Ho;b70r z`yaIA>5gL;5O{;;D%Q>isBrWc+~?hF4y%{CTrZ`Qrk2)g(rGwbI< z0bY|%eMCCWrn7`2HwRxxHtS*ojmYPt!Mc3XE=4|Rx_qj7AfL30$)|0Ut1Uq4s&PZK z9?HeQa&h0Mcc&Ccos-4S%8(rACPu5ZHYLzr?~)>VS6JIsdWDpCB^agW!i3_u$MF$6 z#(qG&Xu>m7H!%IqR_R7L|9@Of_h~Yz=)qN1vE=rmwyV+=A-!RJ*Nvf2h~TbZ&rCt< zI`>uUyXt?stjeo(A!SW1n*@AnMlDL@o?+1zA2d(5rciw0=){~=?R6~dnyAk*O5emUNp^I$>R39WA!5Bn--M_&4$cO4 zqA=&y$n=e87&4Xfoy7U->`Q5m8#4T`DIVdR=Jz6X@n(b+u5}}EOl)O zGm#}sx&1QTS}|x$l$uH37ppoNZ^??3F;c+nNq5FYw2+{c(MnL6z!DF#YF2y1%r+0( zoO_jWvKYU=*@^0O8(YiMn*&E;=vNN(-K5ng%0xcwtQ}!-&sWDKs)piLP{5{f67NrOxoel5$p%YDTSBF|jNy;SimeEPu#&ZY=|I*zfP&T#8z5C=sebC09 z3Np`gHYSzZZ7Ba#Cs@^)?3}~L=&!6nwXfG<|A97dugLVW6q~H6jW}~jp99Q;NEx@g zKB%qiwd+zLQfKi+%|y&Uo`P?s0%eZ5`9>U zi5{N;d1yl&j*VptIOCSbr3>S>vfuNEE)qi+R6SazO_(jm5M;rTE`9epn)-fyebb6< zB3QUDq_ipx?^*T+_U?4-U2!DT#j!WG3(YG28GC&pPh+g~YO?v3wU%boN$>8_M4V?X z`+XHu#RWz}~%1>1~k^XBC5Hxl``UHTsQ7;oymjy-+9uIwbP-*=JN zW1O<9NUyW`{?Q`cK-zgJ(WvDi)H>BuujbbmZ=yn*lhi@vK4z$F3%iOdDYNMQSgJ*n zGPHOTZK1Sp+IHlAY!b3Wm@Lm##i4PTWKE11*9oDXC zgk*TcJ-gB7+kAp+qYXW~inTNw9iz5~o9)nTsv^UpLymVGq&#iD)>BAaO~p&4(dHAV zIbJVyZGCK{dbX=>MqQLWfmh!h90^~ZMnDuY=6X&fNy3#+i6<3k5~-*Va=X74@gL-Z zfII?4t~qE|Qd|8sr;SlccvVmKkZAY5w)H3(I({k9Hm)Y-cDo5RQBl)Ya{RK?S@s%6 z>MbXeG;rDG`-Sujw90!nUm2ca^5+dFx%Q_1`_$&M+pz((O}brNSbLqcPm~%IS=m{* z2W{T#L%nxv>b;wKE_*OpVd*H^qNbaD^bIm-v zsH7Th$@l3U{0v*tmPu9u+r|-KY8Q1mO~!GKyij>SnUtue9+O=j!@^kJopNN;g} zGOXVHkrC{eNVm{drKD;^G$&G(s7FS<2C9h zXa79+gtXxMZpp7Tx~DDq?3y{sA8aC(&El|4?kjM)8R0zU#$8Bz%PhSVmW6aT-VAoG z)Q6Lzm%5r?OPN#hTQZn;APv2w`Q#6tF~riYDVEM?XKfb@dtzcIJU`ZHL#rzt~5j1p4CT%J)>$q z{*SWeb86E$7IN)Y`XOn6YPr%ZW%?9jOOISdXFr@ge4`<0%V@mSlhjA8imNDF%gQF~vlT@ZOJff zNlJ1;qU^xYcbNofMW@%wRy=GhNex!7r4vh1reksv;!rd*+$bCEa5&$ZxD(gvSW9TgXGT5GR|e#+m7vr4ks8AMKOo&@R0y$C=fX(kfJjJkBukI5k|6 zG^0m8acRiVIh%CBoZURa+34OnBE7*~Wh+mOHmkAcqcU|Z#-CYh>I1)gFJ5MA zIhoqpWAEYi8Phd2Y-{UdOIw=0Md!7-32OHrC(yaY`2Q~Geoo1GtMp^-RakBiYm|}E zaaG9{+*zm1Cozs{6t3yUD-j!3YXd!vkv2sYvYCpZ>=}4ta!}}=+EN0lV{Aj~g3Cc_ zqvFx$sJt>vch%X+&}|BBNFdV}ZU8rFDj|D6`Xu_n(wf&6kfZtJ$UTSbJkr)xhkE`v z!EX<}9m9-DKHK?GZmJ8hTa!x1CnU+;%<52WaD}PtPE>;0@Nu;2sYU%U^%!5v8i5RC zrSMM)`B%z(jc^`!KcPQ7=b;yK#tYT9M7Ao~1STZ@-EE z_8Ywlod4`n;O(M4n)f#*6Bx!j>m8xJhaF9LPwb9F^LErhjxi70f#-dlMVP@+ahZTJ@g!?2X?Ov)o*fCQhEv z&hT*Tm@E~14<dpRVdl!q!-m1KO? zQH+&qbOG`LrgKM6vR$CKIt{bF#Pd~IKfWd+=hG>!8oj_e$W`Uqd%}rw?72u36t0XV zo@AdV9u%sh_hov}t+oRVrNSwng*z6yDK_eI@=?jFDWS5ZdBGRpF8KC726}^bs$wMDIQoD{zvGS>b zml#h%I3BrE{CxU-k%&GW9*&6liH-zCcBU3rGn1CQ=e!Yh^ln&l5L-u&$-2g%tF5jv z<)Z8)(ze>AH4xOP3qJM_trE(H7Ik6-_MA;_r_66zDbIWJpIUj)eaipmQ+odt9x?0I z$W>`fbVugzrTKuX9sBT&f%=JI<@<*h?=()_JC5^sWCE>K(0R#WCH5wbx7^XCAF89B z%vZ-iOU^;b=_8-=Po~T{D~*|qUJOgagK%oWl*5ZJNE^rsfUW)k!9$|gCf+!S)9Y|Utp{h4dwItC^3*8*4O z`Um-S^`=msIk{=|;i;TB$6Uw2nv&HB3nuNe00S?e%Sh8&i-wrH@m2Pe(Vw5bykNx3`& zv>&ZgUSbSZ&IIhCtJw@&WlC?la$9kg+>FWKk1-&R?nhdGW3p3eVN&DB-p!5!E55A{ zISwc_ZAO+}x*ev$5!%{A$#04@l@<<$7$c{bV_Y_dpb6L{jy#uVs7PS6X?(l-v z|8(6`(E1^*8gU#|MOTG*6TSbo{hN< zQWGH~{-qvqnT+^X?yAb0F^n(ueSfUQf49Z|kfkyn_v}%sV{mK6tupO zGtV=xpmmiyv-0|3m##jQPh5t61O^9w>@O1j^`dh1WyrR2`ehV<*IiDbR9sF52MxRoWi17mqR7Be}{lOlBi+m?u-+r*N{@VV0v6LzqJWbM(lEU*v+_(>sznV z+2#Tk*>7Rg9X7pLL}CSrQvkx1QcjiE23}S@I1kj~ey4n`ZF^<(%#fC0v@r-_9Ph(> zPJ6K^w)g-C1BORSIKVU($cg6|zbent=9wZEkT@2($jPbmtC^^-_d5TH220;qc@zM% zYm8OXn`BPLu&c7B?2Mf+Jv-&Oe#RM)XWx=x4QCxUhBGSl9J1IfT`3#~!>0o?8aId9 zWi@>KaHO$eC*J(5x$eg_uWl#1aO8%eOg7}W>~k}p$Xu4i?kBcTEZ~mzh*2WaQCQB; zGylQps=9pLLgqKF;G@jty-jKSWm9&_5#ESfWc~`b#L@0Wc?rul&p1=n2(PXEiJFa< z3wiNnzafmXTA1)DTbgHIT$(})lFI4}J6dnBm2X@a9$i`Fo@v^pr;>(;Dv4&hjfyo2olzvTs?9 z9Vtw?3*-S1ZJ&T({n|^~SP?0KFm; z_KJLZ8c8)ZGizsOok#s``92D#|6l!y0vUq-i_1Mp6I!%2!92NPTcx+P%{!iU;paogg3Q|GKSW9MsB*zmzHm zee*er#cAD3(wnB1nfWGr3Eul#66F^(T4I@oyAqY_totV@wJdRSkafF5va~kLxMRp> z07rHitqor^>1r|-e+x&wVG-^J-kYs{a4g7b3LE8zf8y%oWbx`>{hIpiA+pg-Y>p^D zyqMO>K~iK}rFrli=bn>OT7W zN^3ZT(u{hUPLk`5zsu$u78Q=WTsoFuS7tQPeL8)zZ|BQ!e9uTbtHNaTuv)qB`6Rss zySkk=36pHUjJ8YmRQLoxyJ({@mAu*!;CMe$rISLE)h4hIBmupk6Dvgxg&p^*V!sa7U_=F%n?O? zfGyH^6$X=^9a0z^w%k!zm&`e-&#|$k>11}Jsh+djX&mKR`tlFuS43zirOQp&sIzb) z;Jwc#6b2t&9$Q#9G_biam?{5!M*cZ7us*tH(aWH{H%9^s{P<_pF;qxzH0lp)8g)e$ zj`qmru#%M7uF>ptlA9Iq;*_S^>R8%-sf1F>@?F+XXpN1$5KQ1>{qrb9uv)z4(DDaa1Gm#hPbv7jgN>g092`M@5EBUK)pdc@ipPe`-F)-Qh z5h+i(N0y}56Dr5UICe}mqTXN@%%0nP^MeE?zxm+4SEiV*hKG!piPi52rFh&90=&YX z6v~U|mw5k8p_Bz~`D>#8D!v2azKUP1{&VX5Q#HL5!s{H`I4w@WQ5qDaS7^U)@ zD4Rqhv$87xD!S()_GmSmL`rA(RVzcw5AZP(^gC=!rzE)a1GS{yPmW}`CGdqF<2k*u zrqZt!BrrI9?Roy?5Kp-hgvn-b?RwZFa?T|xWf8?&9%OXX%D3SEPO$7OdL+dHKB=MIJDT-@SHz zqB5i)VeRt?es&9LsSKB%ek{l2AKC9>2Rb{*7uXkOWy%jjX6A5IL)K!xcEH|Z5&e5A zdxiyD=^T1+;hXX=Ioz0^xrDu>>|r)e zRKNrgGp3CnC-e=k_UUp*c=$iXq$J1bL#l< z;;ys)v)jWL2OV$dT;4Uy$iuOzuaro0X{(_RD5^7R#K<=(LfM6hf2)wAT=v z{>nK0eSw&yw4~I|c{rPk`qN#NcD z7o*}EIcj;gzNNt{>r}Ngd46DpHXg;1j^fJR>HvFVdMeeX{mS;plP=wjT-hn_x{vVE zm2&G+y>d-kg^CXNh_d@+P4C@~O@S};zRKv1!n=Gqc;=uZRN`!uJ>KLHqrv<}b8Zik zbS2>rRklaXP-*E3_%v%o`m@EPHu8*F*(~?*DBA)Xv^z>{;Dn~#N{xE0k(I!obV;` z*oo(3wG~nAbiFGOZM-I?z#4L(#Im6%fd87bpl~N#ZZ`6%7$ltUE*U(eYH<(>RlW>Cuc`kFsFaSp;CKY zCWoBY%cfcn!PQwFJ%zDSSGMOiueCZ`_qE*Q2w~szajoOh<63U0vA%5Lalg?%i{SqNUEJ25?h#m z+>$$#GP;w(xm_lke9$Fp2K-VwWBJt|HAS6m))L0PzKq5|jh@JA&Cfo)aoc#xjQs+9 z#c79$xa#${@6a>U7F_2oc%I{hs(VTETQMc*tmm5?N|1@F0GpBN^CPD&>G?6bV3{B6 zMKa@&;|xxgWmvEu;gam$TBYb-KHgotv*K57{8(h_-3Mblje&jTzj6nBHs1?2zALdn zKReqvFZdEd-geQDrH++%6w>#%YqQQV{%544iQrQwXC<>nCUdWrOfAQ0<#R33S1O-f#9wfDdBhg&i zMDvz&qv$Acm5+PEdG6>jDI@oMDIlrA%63DCUFq75S^ACfQ8yk?om-?RO>AV@t$My9 zqZDadX|ugX*{Kd*yWUEp>3$6MMTwSjkK0NtfpO=E8a z%3||f$#;G$2YUYJm2S7<+@rNmoOOZgHA=_9%?C=HyHPs50?{b%i9I)kOFNOmxc>(! z+^#rxN;_Ac&v8sk;SsjolsLCXss$;G!F}&+8GdRdg^x$2u%oKNN>f^L6+Sl)xYK0m z+I$cG@S@@^-d%d&BDLj}h#FU1?deF<*cn*7hv|=!RqI;iM{(KlIs3a8@q@1T!KGho zEN0iB>?KC|#(u|?WecZ%@=p_eBc*o9N%1%Tdr9d~cy^D} zHo4xn?h+Qxwi`~te`e?lrpBc*eZ6r;I(rGsyM$^F@yOr#d$;jec= z9g4oKf4E2_m9rx~$t5aF*-31Vf3Ju%>!O1yEwgsH)f-&4|aF z+nEtxn4I+yGlD-F1MmL-R;0MqGvM5QuDt(m7wKQmjiRHnit4dCdaN-2vkMg|H_l(A zf7Oe$K^EzebBpw7`yw57vR#q>)x^AV*fQjgV}W;1Pg#9v^$_Jxs}mG`OdUQK0O#H` zrDozti^v;bd?(}9)s@e<)%-Z=F=55YqJ#6ow&cgPZ$ofNXt&?!6FCFP1iog$M(rJ~ zta4pi41t#`dtYK=*dSeLxzuOJg(}B-E-eXsAF@dKxw1hiQrZ@I<-OezpMc0U%XzMi^_=~jF@g-Qv4s5{>KIqF`8(9P&*SePXLVSgkh?)TT%EnH z`>VlfadR z*QzY?EC~W>F73IAp)#qK+ay>{)YoEnF~J~DXyKF&4j_q&WRpAxp-Jx0RyepPURzO* zBtU*WCq-cM_TR5GRLC=}h#+!T4&C$n7$@V%FvF`B+7dNhEN#;`GM$0_dOc|?2j(uK zmj;w-%{#4hf4_J(&{z0k2yYPwt;iYwDyBo(EXuy}Uw@t5`0KDUi5_BjC%7)xu2Erf zdX*mL*96zka^rM1CKzG*WS9)Cln+TnCvSviwZ{zaV1UVvZi^XN`wafN!`2e}p zhy3^7K26RV+b44%?1IHh^Llgg-Be~-@Xaep@2X~Sj)Secl76}*{d9R!>{RAhi_?R= zmzvBfBVTC3lYh0CEKjzcndzFhbcy}GyYK7Q&oRV4)t!CU^hKOHtDh3x-=3zQ*__LP z*vp>Ik)I;A-+o_T`?RO!0kU`6@6Vps-|iT8&pmeyNKPKm|BgHEu&2&=@IiZKZtkMT zIB%4*P3LF1vgXOdk5f4cIV*dq`>}<_*_5n0WQ)!Tt+bHGFbxvnQQ~sSO-bp?; z+*Vwr9;p6S|DQXiA{ZioU&W~hVrr6JD~l_w>Q}S=flqrEe_gum;}xQ4QfR;rr`Op} zyj$W9$q8u=&lyD`lu;W<2_G|Z#oT-1jXSD-_q)mKlRxd9^!m$jNvo4yiBmsMiOHE& z+LNy)H+>{bs-?5tK9U?>wc=UxJwx5M6+CMh$;{iQra+I8oY#5-Te1^*V5GZi(Kt(@ zJtf;6zv8=4u+SS@kRtxzZsA||#y>cn{CvaRf?LZ!l_4L+6~}!Xr+%ewef{k?dy+R! zA!QrpUiP0#SQ#nhFV+R zYF_(i{`^Z^c}VI{=x&?uzwUl9O`Ci_T{4PRW7CGNd<)NX;*YajBRITsJA(Z1$hFhb zrWSu*`t`<>+~ZtNwWim@YQyP2;Ox+BXV<+pcUrP@kH9gpq=C~!fs^MMbl=5ZY zwuQr9fjzPb(CS4G?O?DN|9^{-$3l6{u`%LSj$fs7gYRG>ailsIc??27krywfOO zZb&wK%wxzlxP<8=In)llh$C%!lU6;MwjB`{7wdUK9b$=P7CM)!G|2CIadZhhtukW1i8lFB7@EAz17pAx-5Y= z<&vV7U+S!*N*!X3T^ko0C=CquucFx|)G0$a%u22XIi*{}fT8lVr5OLiA-TvSuT1Xp zqLvI-OeHRf&Y+P;ZC>Um?=xAg^=52RCO`8a8ioG(&1*+sqxlfrj4< z%iAY7`LNfEa)0ElQe1&wvTe7h3k#&@@#XM8&7RU`%JF1TJkdo*#nMD-kkZ4GTgg9d z^9@RP(e+1MnB~?{&uLPT9xSaw)lR(in_f*@#<*~qIL#h;{*|j8Qfo$@k<-O3{&}H@ z+Og1uS3(!0n*0NK_$;aEg_nfcJ!N%ju;5fb_d^k{7Cz;CT1w-9qp9uj&y$)~z9j6f zVM={vg5ZP1UcP}c3r^kTdOh$ypBKN& zZ9aL3#XebU&?2L7ILT3}8(x;D9aS9nMNg{rr}U~ps+l}u?@Fl)RY@a8ZI5$bRmzc0 zql+vldG4+SsX?=Uso;v%jUUIKB93dO!0ePv?YHLx`bi$!;<{eliH}|D1)tYR8VIgr ze}+hLxvkRk#MLJ-l}7MZZPI^YATy<(`#SB6hZMq#+2(BF!+!CBVVZLSS(D2wQg8m& z%6y-6_P~{76kB2gZ>0gjQJss6oMP zr#r>-$#%Z%GRoKY`ji|h;?*WcRg?3y*%iaICBKYe2DC*K{1uPhX?WJ9DY!aQvy@1It9xQsDH+#Qh^3;y z+TY<94%~+XZHzS{tFs;&5PMYro&k+}$#3v$i(7?V@gq903)N zaxn$G5t^Oxut;bFBF_1}KhHS>SiAe(|9@Ybz&X!(E}!RlKF{a!{(K(nH3GPVN)g}f zBw!cduM;owJHQR2bhe3Og6>y-VkT$>c?evQJiQbAt`M*`z1hVRFbPTDV-9e`%y6(m zlo6bLe3P=nnH+T51E|1t^J0vB@R4sj_$QoL~(@JCOfO{?&u}l*|T6Xm6kIE(1b|XyOFcW-)G#O0Fn) z)R2K0%SNA(n6G#u6cpr0{#74+PBkeT^)-=>%b7u0))CTUq6B9&=I8xxjm8G|D8aF< zdqoBB*oOX$XS4>CTH|x!ffWpoSH9D}#VwZ9r$@T=oyK%0V1iKR-KOp2R#XNjwt&pXL` z50t=3<)t&pfn;e>C9XE`zhD`9M(!YIf0cY*9eTADw_3srgE$6&=d@1nu48oBGw`DF zAA@D=^%35=mq+qS7lIuSbRlC1749b?gQPk_c{tV}81+@USYw7o!H|1nu68cBAwxoOlA*UL~GR1G=vcVGx)QtOhKOsg97r(K{6yED1)Yz`KZ~ z*eke>q*`;HVHpwN93MpEfBr~pp1Q%33c8~C(U3X(xU|r9jA^=*9`AtQ4F$6dW=~Y1 z${F*_h2L|)$Kk660SL!lREI>b>GGdv@YTdb6RKQ0X@;-%yKrme9`@zS{WJH@cu-S)&NZPFv<@{d$`&m>Y*f+Y|~fLJ(qwK*yMknD?=e*z!n>Q z-pXN~8}KRJ&~>NZwU0ousAYJ#7F=&>#^*^V`@jBl@Ym;)Xbm+ZB$OzS&>ez;<`#uziQ_ExQkYvFi`*lyd z;EECEkI;2b!4VBMYtwYkhDq3Oq_Q5Y|{Fs{2$$G8FZiBADd(Ej~mA*zq@DUa?|BK5vNg?DTp2I5)5SL+e>I z^^CO4_SwpZ+82DSO-{a{OnHlQF1}mn63!1+Voo=n2{sq(h-o}!sz#>G@y! zp94rl&(Ujo{swyv6@sj3kiU|k{MaTNn9U5_3niY_OY|{&8T4H%dA&3VLVV?YwF~%`IDhk(QxHnDw03C2b7O|?em+arkx_dp*WJ?HbAb4-s|=m5B}AXMfv$R%j9G6L;69 z?#UU4^fFEP(TSqal(;*w0l@WI{5<@|t9xn}ITM3Ihw1Rh-8q-tf}%g>n^T+e&h(57 z-uYa7eRKWcL~-n%A9FfQ_&d5jP=DAYj;zo9K{-x8g(hK-P(8E3wXBx-1rsLLziEkx z;U;$?d39wYu#9dSv+B5qmUA&Fb@`KsS@?bF)y2MP$w&$|wo*dpI=<2-AG*HGP&W-nwR|Tx3zYC1lud+>Vjqwuy~+Z$eH#0- z^o|(eetSx-o46ScoYB71#)7txu-t5@)1ix`jPG|*ho};5&%s~|etz4W(`tUEZcpfg zJt1dawIc*yCmSNTPO7EAk~deF5+?D~QjC0?BWhVb)7U}Cx(g(_ZT~VS&AnsW> z9n`PJHUMrlvH z{s)`qH&d$fqO}JgMTc@z;9+i1g)`Wzf7R3&?IYIIU-899TIcfK#d8=Q(&f-3Mj9Ud zPesGILxjvIn(=(QYw3Np+Sl56q`-?RP2l&n3m5Pr`)Z5Isc|n^0M0^9)f4DUGI989 z0bj}9vzJ(sO={dL7QQYpzrEkMaxKNoRyz)GrFq}*$4eTal==^osN`r6oOKAEDRl*B z?w*x8I>-9@tM#+GXa5%e&EiK3^|)hNQ)QU|S%>Hrkz50v3B_+`BaEbI0>lWL{A@cr z_nCgYk?G{`ZbhalXyAOaO8d!wSDmIYUhCU%Kn8WI+}kSZ9W2@Em{=|cYI?ql7qKHo8% z64en18LC&xl)CUL=QNd?wmI=<8KIm$9%(M1E=9`riA*Ek;gwrSGC% za_&sDS4Slyd>2&r!IXomEuOI{$MZ%ZCHz6&8$#}_X!K3Zx6qxwiW6a(rQ1RmOB$2v z{Nm!`RyvQ7I`gCDC^*D3qYy(j<|S@NsXrk#FdE{K_RS`ja%(DHq^REHimBev*lJH5 z6D;;Xe(;8rMpp0w{{s*`IJ0I@f6A!mLf~di$pWnoA_a84|1dN}kqoV48>*1uwhzM$cu*l~u0D)Y+aurGN;9|S@QeS1=05{GK{<)#v?ZEcL$NV-<)}UjHe8^w z04;XS&=fRWdChG!f-MN##sc@a;Ldo@s7=U5-I)xlC~i)gRNqj)2Z;h#fK%bWz)^3fTPvpVG!5Qa6;>GGhXH^Y4wf?N>XEzEC^#cPO!r{$}FhK5iV zqG3*Bvs25KJmyMM#FW$M8Ip;e82lJau`#g1fH&fB$P;rm!Fp?xzdKb%%*TjG%_Jid zZodQ7xi4dKD6v)8i5R+SboUT5Zb2zMhvcZa_mJJy3DpPIUDUNEK+;CR+$hh}WE_OK zfh6Cj1584$whmM2nhqrM?(;l>b3K-Be8&!@fj$tz1ZaamY)cR0Xj9cv=efa!(uf*! zqB#%jujdSoE*MSG-2yJKL{-KHUPEVl@YL5E?fwS}uvi@?LJf3LJ|RPMqj)V!VXxam z_9HT$JbEn&#mER=WYv0!$W?R{mZy>E#wC6I>SBLiO-L0x&?;fv!>tC6U{c@(>k2%G zU%CPhhr-f2*;|mFj7(odox5d59a3;iffxf!6C4L+Dh4fE4NP1k|DR2ss+D6ZW@2{} zB&@Zh%aSRLRCiF{DwCpy383I4Xlo=De((z>a!(+Ej5!kV?D+RoNU+-EkCV!Vz>-a} z9BTxtfxQb_Bi)OcNT{{_$@h@rsU=uC`wd@Adw)Z(3;H{1jyO*@yO6%quYAhS;#)M= zZ{!->+9IvBEJLJw7;#hd!hcwen_wrYmYG>=v5XZxPycmE=tU@S8kB_H`5?MacBU?V z7h3L|$Tzv}9k4L5IFArp@jtOK{rRjrk;tl;3RZ6zOcjfeq8)V`TS&o75clafvj z&?kx(p}qq5f5&DhXf1FLXDe?ATTt?_%1Ba=0yj(CBymvMctANOqo z%Qano{ySPM*lCo*{TG90eff7p@dVb?WNbZJt9@$?NRb}83;E|cGEr5`Jx`SLonUK;<(P++p7Q4fXB1l_`2OwbwA!wx!!9YjHDQ|8 z$1>tt(gNN`uQ|`RYt$EyvTdMwPn5cVk2MNTNR6h;hXAU^c@%{uJjckn>8=AeGSIsp zW?$*&=MYYL3o(*te|~5!4lEX`PI6VR{5-B-a3|peq_n8cDE>SMG#e@ugI>Kwm=R%`p(sXO4t9NS0@v`pQ_U%;=B z?OOP=@=$6GqKVd&vX%`Qwa6b`X=Pcz`n9l*aN#w*^;<}-D5ac$U51X~q>~FK`yjK@ zO$S3s%C*U^X{7sTJ|B_?EUlItQ{XBCBo&kUCOjdYkOIUvE0+Wr$Lt=0m+{P1+*UWW!qc+mz#WKnY2T=+J6f!y zJb7x_6l&izPL%2MM;bMKjeY5}*MuV0=PNW&z8JMWz#PA>19Ce`a!)jo;x=_DuqwPeLj)+9a%>>@~yQmV`{wiVX4y&gC(pxKeJiN zFI_}YQpW=~Ud>nZyMZix^?OC3&O| zI0eYmc(y%(*$?}aeUQWl`txsXsAEV})~paZx3uVqGlaNX?dxy16We6Qb#ma|1-mE$ zuix37<*2p)vKq*6_U2M(y*ci;m){E8U9*1pAY)(I!9zCX=n#xw? zDLS!i@&Q^^o_YE=W1)>bGmS}Fdq{&FGOY}UAlpAvD?MN6rJkLJGY`4a==(=dx}F!6 zV*TBrYv;`2JiPu_mZlDX?93+H_1{}mVShqepD@da$>#ULLXX~n`7y5)=05@b?8F$K z+-Pt=v@yf;0Jv!KK6sp};P`WOQ2wB?4LDV>5FbOa8xXXa7v#M?sorxr_iA(j!9YbM zOP23EirSck+8LVa=nXo4h$njEj)K2pEF=ZgDkGH1gZZ+VQ%(*38w$-qWwbH@s@*Xj zPK$64s=-aRLbI7%ru#i)oPfddKL(o zmeXf$28`TJ&By?(Wz;CC5a`&K-{Xdq6mL85nN-^Oe~al;0tsS?m_Q0gP(t> z)r6%L)=D(_InrJh=n4f^4HjtA<=-?B&B!V0z5}2jw1&QF7bW!qyhy&qK9ZppHo;5J zkVl$_m2~#ZQF^Ju;-KL% zfg4o(h$j&#FpUAKFyV)=g&sch|EPR2pY3HU$C{jYoMBZNix>H&NO`XbR7#O-^%!a?Y|+th<>R)SWe8-|kZ z6>yMa{{CC&1?1gtXhja%*z%!oSdHP0Js5f+&$tX{-JsV0g0dikV4ji$_Sl47IC(!r zu%I~u%wQ`37g03N-abC>6z@F3=fNI`u9vuEtedC5p~dBBS~4J*0wPxh!za8z)*N_gk}3;M(cuZPx(fJ%`E{gq z0b3e>4Xm`Z!Qqm@u7hRo9M(Sgn`X|3;7DBV=mE$Z4P<0;))9^ZcD|v0*Ac;WDrk*& z^OubLGnA#Rj2FPS0&a52+c&hgh|x`M7y@r*Z+G6lz!QXe5VV5^s5#(#U@>;dNUl(Y zyqORluEW3*;jaa`LU5>4HJC_9$ZNojwS>;78xQ~n!$gpj?D7N9*)=?aMj{Nw zV5L+KuC9W+3^Dpc95x-PRyPow^n#cXChJF#f+%g1PfjQU`T(KN!A!6zQ$Pt1jU5H) z^4}W=uNczE-*4181E`HjbR1;N(@{sfhvN5vUcwx#MkxpGeQpIP2K$Qu6_nTW0Oj@k z2DL12q}~hjft(Z^2W;}*tDym-e0$KEH}cT9JKwq_ks1rHklM~E)C!(hhhY9Ak%5TZvljur!lhe!$xzDTZA%-gW? zN(+9#O+o4bo^Ad0e1FsC>YLVAT7=l0IB;M7wk#qg36j%>N(+xCd|koG)k?kQYr>~P zv>J>{H7gv9=CPHQ4Lfl#ll6y376f5}a0hYJhO5AtGNW-E>t}Hkv1Uy(*A8Uh{~CTe z3llLeI*_dc9MooD(IUh?H6DJUzJI2G=ssC+)&9vzOtOJh9_xSLEq1?#!`cl+0+s4G ztYI_`stmnM7{<;PR&lz#o|R)hN_M;r1E>s?>;on5G8oFMBeTo@lmr1M8_E!Aj=*Iy z*ZtP3u`1xp00895!S&tE>Vq(VYWQB%fxB*RA6GU|ME-@=TYU$Ha3{>bI7e#lU@xTI zrx}fb4uFhwjzU;)n>BVG5CQfm&@gDm5i7vLCkY ziA=s2q?)4UB1s-oS5%Kkg{^N=?Q-FTO+T$Yf1vhFR(ITucNlUbs9s5LCqf>hDjjHK zs;YdiHG=gmYE+`NpI!bk{-d8I?57`hZfvy(abH^m+jfgOYqMp&({7WKI3{~;NQ0?4 z-M+qLq!9bc$PNCH8}7k_;*slL9?8cpux}_Dxgpz*QGmMC1ZBgq3rJ=PcNcCSn%`OK zlw*@C76|hrv-z{x@Q}zRh?J-CH!Ra<0avlf^M5FVeqZU^VT>?x_1{ho)qiW)0Tz7a z$eZRBd`q_fU0@nWIC&f^3oaw~Y$%ytD4FM&>UaY)&dgx9hn^$B8%h=~tS7rN-B^#* zwd^Y5Jvg_>gK#;{GqPqYTi{*uyCQdb2f_3RhsX4T4g2UUgHsllU&kV%!3q3+#{wP+ z#4cvqYSiKMYt!F{W4mPZ7xeSPLh^`1yqEu+a><7SaXmim%I#l~J5y46rhD1Q; zhFzOElLE&%z_afoXhYf+?(30~hBDdY^_Gy;?h4N@eclel?|{*UZFj1~_#X2b$Kf-h za;X0;p%k$HwGzq~RH?E#@BxRy2KNZS(#bgYSNfCA+>a?rO0O33pTr<~$PyPm#sSD_ zDRVynV1M%N`tR%EZ;oO=a5g})&qpiRJao4yKODMr0)Lm0>BtyP5@I2s`U91UtUs(- zYuXUBXxH)&h4H@<(MLHRXu(yVy-=-px@-whKA~n)TpbDGOM!(>8v^G4OO;^k>=)!K zj2q~ z$1`*)@KUJXjn7j=7{8YnOAr?5Z$=o#{hmJt9>B%B5ty+kbmujquZJI~CSAUFFRR9_ z!e~Hzz!aFIf+B$qmWh)#B<{ORw+pdpbSr8k_>P$p;p1jhY&*2K_Na1(H55nMU*W)Map6u&Rt`iC<<$ z!au+Ps0s8M{ut9Jh^8qAJ#$PEWIBvUT}&UWwvd|b3aNKRr{-I7BU7^?A&O-ozB81X z6-B=yMvC=98xq{%yq)NE3})_Uxzv1P{@WNP{(KXk2+M7JQwEv^8xd}a#<5MpU=LrJ z(v&+Hg5lJr`nHs&yli2D;jCcY2y1cRS1L)WR+u8h+dT@agDRha|4tCej^!NDetd%; zN|x}+ zFMWoUL#D+;@b&2m?LgpGjia4u%U|tgG6DS9)~dXAuw~pU+K1I>3d9+FHAbHbkN`9z zTB{Wa>yxYrDK)vK1it1ov#(}&;~At+Gi~Q$==#&XM%hpUTyabuJ)xVjFAx%K?BO+s zSAG7OnPu2&{9d?!1fK?O>FR`G2y6U$Y99u8+>VOVy-T3mrx81Z>}fp-CUUd*6mv?G z`!-mT;5Vd@Gwr*Jy9RxSG@Wo<5bnsfZw8mp>8(PtUu0w0BvRb0y* zmJN^yj^URynwtXk+?-Ylotwa=LK?|6fpUB`XjVPE5sBD5rfpmdf0miz4%0S1yh=iv z=zddKkR+G!;r2tk^)qu795TlnPx05YFp`=qN^O^AiN!yW^jkFjV?*b%V(7OK^ukX6 zj6pIhYfaX;7%t+J%4fx5#g{Y)6O(sitfgRlu3n9vpVVmU0F6-$+p!umz$ID8!`3gW zK;Sx?T%ifPHI`i#xSabf0>mnuI@}>$exQc<9+(xhj(CJo=!*~j3DO{OkYL{D)MzFG z=yM}sA$PYxkA|Eck58-~3BN&H>aX6Iy{vAG;}-V>=cq}J*g@VA81Jg?=qr{ zO|Csu2BR<4W3$_pT{=Kg*9s!pVIbh6^=JVTK${SUWgDPLp7TJA*dt1gfw^v7Makir zjjy5s@Ex0>d@=_a!jwTYg;AGWCOAkm6W$!d))8!+`>RMY=MQck!GVAHtCK1~fWa1A zU@WwGdB2L*0iFKvLNX&QnNESqLJfGq&&37-Q%4>J=o)C#!^#s;y(DyD82ns7r!G)z z3_@ezcVvMG^t_9bulDijvGxsP2H+onts;*lq;C)jr}JT&%-9k34R#d4wkAK`WmUAE z&|}Pz+lUSjo(j*;?__J%I>nR`4F$UgPA?)6R0o37Ao82NN4yYlS9m@m{CvkwMvTB; zLr5NtauHSibR)ZOB+-)#G-%ioEs7_CqIm8cV6vpeu_*Bi%>5I#*FCy3Y+3YNG04Ou z--YL-WRUrkKx3z24ts^865%hWp@f5hZy=xwFkhixzuw98xgh1cB}YE+Kj?WMX5mtV z&JQA04$+s`AMsHZ^E6=Htrv+VkdMe>!w>=6Mf8WS2cLw}GYagUa^^mU*wf*-kzkPb z|Myh$B^ISM^d)JgP$OwC?!E-3kS;&Mz93Ujfv~=Xl8;N(B0-c<;lD1Y7bHW_a;*jI^mtn3&*jxtt2ybRJV{s2`7mRv+EcgfQ z7cgMdu$XodIz(G0#K*Thebw|D`uoSCaNyYHtv^m&Hn1nMF_l18@{r7;=3fs-MKwFp5332id}eOcgIpz;u3 zhk-(*9Uyb`XC`tHA&B(Kkp_|B-0BIFSSZ>fFiWm;mjJcokW}Vgg8eSQctj#qChcv| zg;x6IJ7~>fkXW;6*!3D-$;$fQf^Edi5pYVHH%7W+Y1IH&rZTP|HseZUWvwz5hC%Yy z;sxq^UCNQSJ{3XClOD(~NoBdam?*8l^`}`Cpv#Ko5u7|tg*z3f%RpQ&xw4TE0lq!d z!B=QYe{yJ=kt6ocmWYC|Hufa!tSW3T=Y0QMMI7RV($yy4_o0;I5GTzBGHSbCU|9%# z3XRpZf_K$v=kGLDHzC1c=bRi$smU`1t~N{sQfdap-J1e$0BE!VnhPYLXan!E<1^lo zRO+xByerVRe{R=ikW?pVUUpzP!3S0#p&;+tMNP=H+u5o|0WUP>UB3MWer_Qq(et<_ z75a}+-9kD!oZjAE#_G@Hoem1>pUh%4`0ecB0^a#6de}sf{vOl{l?Z@aZxi&kDp!+l zHS!rGqpUcL+f15U*8+hl9)b+h=Je#+%@!ZZk@1Tb(KDHMK7oUQcdleBE5(0|9GHSP53+j#e|?p= z$M+&uM{26;g}jM=mz#H?if8CcGwDAEF{Z%BhQL>bz$Pq==LByKp%L(1pD@R8oYvGH zzYDjC&F5W_^f*#jWJz^C<(XLJ#Wd{XulKud6}(G$*BE-c6W*vQ?-+iOrD|Idml~0a zFIW0qH}c+i!FvOhh!>ns@QchxT!|FWf_H`AmCbu&1aAgCjxoShdmZn( zT5!#y-d?TVWvjvpOSR?>GdP}_YDG+A^5MXPYM`CtD-%cY&c}ScmYg!kLDrH6l)%Pv zJyq(smUmrC3lg&0KL+;eV^ywewf%}BCwbRNDk6{n2ivb$&Dhc_t6V2h1XeAoW&-b; zz{+%Q9aQFtDi?GZC_RE}B@~*l9@D6m;b%i?_5v=1?>Q*Yg6jzsc5$qpPY0LbSea-@ zz}Qw)CYqHI!ewaS0Nfw8Mat3PAyuJa+9bE7bEO`Kd;T(wN zFDxMDdk`Vc?y+Rsjv}B}TX2WLZPP<~z*qTB@1{~A<~lYfOO`x z4S+Hl28DkJPQv4~+RxCtCeq>WN7RIS9#nY<`!}(trI|6p1YdP*O(xt?HJQM#)MP>{ ztjPq5g3s*ZGebTlme1_uVQSzzNi$vUx=-XO{%NaLZN5?YY9ae|J({j?+X3)gj-W$B z6HKkCmNzXPvtT9M5UDXF43ba>Q*5OPwwIL3Tts=|IJC)I)RelMYm*xQ!5!_Zj6;x& z2Fig(OQrZq6sLC(ASECr@Xgdpt>yL7rPd@8#@I!CJa1WN1pM@!aKut(1IH@THl&p_&NIvOz)?4pnnaRunGA z%hXPmqCI68577T9XTyLGOxik~f+?N3Zz};rrcA)uoTvr-lN@1&N9C-{QMf)`YzO%* z0=-k#LUYztJphJEy?sS>OlU=*$Sgi$7FdoRFM@zs5*FDq(xMXADqT?MB5U!F3AI4l zVk&2mn4}g}@^QdQ;ySNi7Nq~dV#=A5mhCZrZc{92SL96nd}R4vOHpI;ZqO)+9t?>4L3ttzdbl~e~$LO(usf7Oo z%9-h|Yq7KH$9;Ei<}q>kocu?dLXQvKMF~e!6`0Z?r!hotiFt zN^qXE$-Doi)g5@-EZUFYpv*3Gk`#GcDx+?5}@g0r@!8Ita-QSstnWA2pnT zgC4&p8z6Q2YY4AxP9QN_e*ACD3Vo?ob!eySG=f2DN?f>I4W}#8pu?03lpUgcVCw># z);wmPGLOcG$azG1_j&9^(DS;Xq!kiZo5~!%go%W+8_M}w+OoR$?uV~vN%tV1lcP`h z99;3Zw(?w|uwxn^;9eLJcmA};fB%a39h_f_p$AfO2GW1;MaO_t$B^SacUHl%Y+cJY z4iVksDeXX>OmIX1=(>RsVX3GPUw)C{peIp3Cun5VHLb<0{ub6c>o<`cOqH~-)UV3W z9bLrN@h4Y(rFCaCx#Rpuv*bFJz>fxraq>~XFXj~M*r1gnJ%xzoMmCB5KmsV#OxK|n zn!jY+97bgKQN3Hy)HJZ9U(!yX_!wmpiyr$LgjLExfM}49@M~`k!Cnizcaao;0R(F* zu7t%trp1CXr~20HMhu)Ch`SizkE09r7U(C@5d&h+aGnh9D+91GcStr$-_o~4F(y{j z=AzYw%~H~=Z}9q47{%=s z?(6uo`V;)UY`doIvKYOEc71N7Wn}x13x72IGLnowOSIvgoy3bw-Qz#aU{ShpZOdZt6nm3TnbFY%MIX8 zj?ji^{M)mk)e=~#;{g0kgU5!EJhL5}dZ8K~nmm4|7RifG*Uy7fIm+5*p$d`)tU%1{ zshNo|zLc7r;gD&(_ir|EhFuvp8TKVQ0aDXjExGXF!QXZ}6!>)c1i&<*g1s3;1Z-rm zQIw-0K^o{Mtvvp7jhCX389J*jS0wLNHb9S5{;7X?DFcT6^E28}s9)L5;!to&%!Ja# z20y|x?C`D;6d`;NX#rH*sw17-rrzz;BJk0>AK{*1+=Y%I*c;yXjO`*oJFMDtvK5JY zPbmx#njVd@BEgq_ot1NsEFPT{I_M>kPlP)=zNZ>H=y5!|XFZ2D-=(sWZ|B+T0(nr{Alc)=kL z7V9_oZiWZIun=rg8R#WPmf-F9gg=W9`isjKK4tTQQt`?=?6ekeTHEC89yXrvR<`jq zu=Asnq)UfSw(Xx1g`ir@g)@LfrGwg~aK9i?3-BNGwh|&PEHWuQ?#T>44UWVv&;e+> zi*rw?%IgA37D;eC5oA_xpp;g$M9GFS;L5Pci&!tU%FL(?_p3pUWtHKAU{r=QXE9Z{ z=QPtum6fx~z}P<5IT2uTl(#C#kiW?WvV95@NhuU@LZH)FVaSyRu44*JFi={F1Nu-g zj^@A8+`xZB8GdwoMb%$59l2dkS4iqG@UL1)B&9(v5KYCF*Zmb|Z>M(4F;WV+knFUH zbU#S%yyFNgr+x?!Ubws?1m}?&M=M}s-cI;Vabu(~{}?^?wh0a-B*@J@j0N5WA^CkFzSzaozxcf!0l7b}jiM1e*@@5tLj?GIgT*aoqaY8hfl2Fll#AmC!g z$svl$$KmgQ)HjON3gh-ktQi_C0Y=S)r+`6zvbqonUxcQ0M`y2_2t7vs<0|@twsKQJ z`s>K|X{aqo|6hbjMy@-2VqNp}8?3(c-{DU>M%CEgS;9r%K+$1?KHF1UW4X~f%vobe zvsOpeSkkTI@OuV+SDM4S+t(h)SC-Um9?tnI@M)>H&EK>w#(MUBzV0(~W6$K_X+!di zW-EuV|GKRzH}Lnxl7>=88>Ap0z4U!kt04kdpWTyKmpx2>Y^t$*Wm$u^|8A*{WSr}F z6O>cnXY$So{+4&&u)O=a<=y{<+3tE3JUM+M#xbrS9m8=`Zq3Ig42;RWL-``9f{MQZ zteOTfFG!ybH!-wkV9c}kDwf60+cIyt3$(!vu$qf2EE6!jgRu~Jb_B|K2EB_M3OdSS zdbxWE{wBejp_lLdOL(Qs6@cY{0~aB0kPL0|TcxQ2V8Ug}@FdTGS$3zkovDc(x32 z`u0sm{_Ia8^shlU3qVL`_1H8-ldKvIMx|+zng9(so92kljMZJr*)VH`ltd}@C{Rb= z8;^bz9x?M?08p7OFZ_sj?O-V1Jq*f6lp>THNvglVcMJ?oip5+8yr=k8cBYJE-($Ol z9U`(pYXuCD0oH5~qX4ATJVf_@M@DTkm`W7(`z4TddH08`cT~VaQ0nD4I{+jFUK)ua ztb~`x$)$S={`et_BPD;h9)b${_lM-JLO;dJ1M!Z+D%1#C zH+}AF!>xsW!0g|ERiW)9wx28TX9CThnFE|0N$Ljq+IDPYbO5sfbao3m0)2O5_#X1+_^eZK8kkSjtzre{7jq{C^ zS5N_{2nrn`NP7{oQR#U0iyuHSEXLo?UIvMJRz-5qdx{;6aJP*^?LM=)Dy?c+U$LWM zV~l%Zv7@EP8pu>P8r^N|E%}$gJ(?1P<&cmN(-BF-vBI6RF~bcQUCUaQOD%Ajs*JJ{u0tR3oLh4ON&Nx=`Ly=|Bpi#;EC9NjINU3;1-CN;M+}{} zoyO9Ep$Lv6CdV<8qt)bSGdWJ0kRZw#oa#6jbTmY|lS;j>l+^pYM>y|I{$`M^_;Ml~ zs7B&f52c(%{?|X3sq>FOji^_?Dewm3JxGjlkn`@`8V{pC2#wO}{DaDApZ6H&joF$s z=;<-#G{}~g>&ZrQ8J>8bx0UlwXWDfiwKkEp=ICQpv?{G?UJPc6S!DorwUyM%Z$WBl zhqrZX@^fKr-?9N3~Mg3QFi}y zl={*ICuTKjpoj_b*D>me9Q{GNIs3b7UY#Ri^#x-bR7vyZXC=vA+Et z5t2#v#dhpF1k54^pMS563h5~`so&s#?}3*fk!{*zxs%iG$X%Fro9Aw(8O-urvlAwt zg1OhZ=LJ@9+L)EM@?%MDdd%|xLYjdEM908^dlUyQTaHUx>A3^n-e~n)-v72hX;to0 z9!6k$US!$}?t76`cpT``-&qIEKPsb%?3-2@+7?k?F(`k#{ zqtfPk>d*{-tl-WFqarHTr#U>^FDZ@}W@Uq3#vHG_O>a%%2}8pL${HkTErLM=hXT;7 z$a0(s$qbmtY3|F@uJptw6x?ApP+xnPf+S~cVCS52lwL$C-yw-{H2rE$D0tX>QTYZT z>b8VnrrGS-Lyys|v?LGm$7haLHd7tTUC4Yu8Wi8+Kb~BXQs@3Bf7U^2=yw^)4`Fx>Wux4^;fC8WxW_MLe>1A(CP`TYRMOxhq z+L^>_-&kJ;eg*uhdpc1ysI+yvdhpiY(hbw4s|Vbo+Kjm7C($x{{|?OPSbpzn&2a8T z_#CipXRz9g8YuJ9>ZliQgn~;(LZ*~bXs8^siQ1uNk?w}c2g$q5NeC-}Nj(d+9lBnt zej7^R%I%;-EdWo9L6{bpX)lG?k)QaZPu#e5G{}Ivlzr=)cNo_}>)rT2AHL%US%`NMvjkA~m~uq98_et41k?iNU=5$ik85AE zHj+Ga$AZS&loQI#OWR69Td63GT|;Ey0=M;1kI~;L)L%SA%HWVWFr$xQ41!taNY51o ztH&q7zM2)8m}N`Mib>2Gk(gyq%!*CS8iTvZO@^$P{=6WOl<|zor8@rWZMK@6eZXU3 z{Q%ao+7$Ta0^N;{>}TX=7AE=}LF|kDG1#HBGrrM^rdn60m*(}9)ZgX9!wQ=BW`0jG zWV0edYE(Ne(An_x+n56M8}M+LuRB#3G+9IHaHWRSz`)}d^tCunKzadu37H$~xEUM; zJYx(ASmzRi%rTI3V(}jUcbOybUkv`UB@}0l14WRu{CO&l>mVSI05lNtStGSmCkuA* zt^^?qI&UX_UMLnt}6Q?1%juy~i zNTT!zFv`Goqp~<)GX~ntf!Ps(QP#Al-M%yr*4rvI?K$`Pv?cBz(;oKF38nYv_W!Ow z@M}Z9nux;uai7)9!oYCsqT@XY!i%B6d{wzY$zXL6zFC;)CxliOz@})53V}Hiem_B_ z-(uWBCcWYXVD)K_qkk*aKop5^d70sj;(=1*TWt-J|sr3Lc#;v)8fHifl~sEYQqqd=+2&mmC{`;5Gv|gDLPTtY1;I8VUZNgXDntu?fjzaQ*iPwg#fv8h{Sb&bb!~ci8w{ zYj48I@09sF^esahCS4x?79Bb`$5G$l<^0o~b#`qT#;6@ond6GAnBf>MaL+}?uI{`T z7=0n_ad$B7QS>buH?-2+X>{C8KzDC=c=^93w}!QVptWTxGhLku+t&`RKW^p9qi=$;r1tf4P3X=tz%7T1aXWkwuV z%Ym=FGK%@`Oo7WTqWxmWsUk|w?{Ct45rJaYsU&rf{T>b^T0S_!m|bP-n?$o>$M=lw zBQhYcr;m-UFknFsdWQ6%MD(Y*ZhvT#tIO z8(cUNS*8l+Cb<=qQZr)$y%!h*x=b4kCjK0)Cr;V&Z+i6M?`hYOI7nWA9UtKeJFM`; z%n>+<1D{-gL>^%doVq|^P?shKmLkfDg$0)b5d$ac2uJ|GzQAeW zvxs?`f$MT+Sjp>x!-7(Zx`!5?2e2p7CXe`#vVK6zKWvlt)n1YT1Z9y3wO~aEXrewq ziTGU%OvE1~4DL7+u-GExM+vK=g{LF^SurqrPOOzUQ8I{lqd}qrD#zaCQ3F@8ZJsPqI8)kjS!`gqGT7PQKA$pN~1+-j3|v2rE#J( zUX&(?(&eHQCrT4VDPEK&iP9CKbfqXw76X1`;D3zLRibpYC`}cmYeXqgl&%$}X`(b; zlzt&fNurc2N;5=hrYKz}O4p0hEK!;*N;im7iYVPEN~xlhCQ5TeDP5H2ic*Fs-6Tr$ zMCoQx$`qwrM9CpaS)z2SDBUJXw~Nv*Md=Pvx>J9u%dAMCoBsdPI~~h|;5?^q43; zE=o^`(vzaJQj~rzN>7Q>)1vf@C_O7mIii#+N^Vi|h*F*?<%`mDqV&8dy&y`z5v5h4 z^r9$vMQODt6^K%yD7_>~K2dsEl-7vSE28wOC>4nkFG|ItB#2UpD3ywmUzA=Gr7}_a ztth=NN^gkL??mZ;MCpG;>Gz`arYNlyrMER76e-fp)Md=+;S}#iPiqZyA zdQX(z7o|Uo(g&inQItLurH@4EFQW9ZD19PIe-))qMQM{LeI`nui_#aO^ra|$B}#u2 zrN4_(xhQQGr7fbgRh0fAN?(i8Hc{FxN)@8CLzF5-Nff1>qEsbH)uL1*O1ng9w09_qN!?75&i`W(s+d}pHL98%sH zIQeE3JP?*Q-bs)!EyiahB)`6l`qp|_Q~TseDv5^9UL9HC#u@KF4jc4_TkRkYAA7sq zUjbD#fwwJzjup2QK{kl&DQWiLSJL1}q#Jz2Jlaw)rN4)C4j(Bvx9ClduM> zw6NxW`_vtq3^!!~m4ejO%kLHMUa%N1HXCqT6_Uo>Z{Kj8b;B$xL!MB9rT2<#4bcI3 z>zt74zIo0?)nk!s)WBwLP9Kn5@;}rqNO)7o$-h^(^l{~_9I^tiQPxfoVQ-eH%*(w)y{cx(SN7hsuF9qEZI z?EU^Z4}mS@pjn%f8p|t|@1Lu&6ltzZ`K7ip5uHh_$Uc0c8IrjpG^656(+w+B&rO9Z zLaWtv%}<5a9sYJ5-mTkY=msi+^Gs%bTzOq{$GT(Z*ELtHYi?b4ta;s0Dq<{Nq5jEj z++;$TH!%WaP}5;0)i5~d+aeamBh_Ok=`U17$#bC}0WA#J0b>4P*+|1`#oAlzCku^M z2Hx^;O4_R_6?kc0GpvleU}#U;Rnl1GXrherb(E^a)m!T7o!P)r$df$EHv%3*`QlOW zgc3k=dQm&wdIK!fY(LvG4Ek4rX`t;yR-lR6b2#7rRnB;WAD)kaobd+)r9su;r*76Z4wMZ0yoEeEoBCaMI-Up@7$8f(vBSUYJos~pn zE28U5%akMVjv|#Hq&8$JMwn0@3ll;V=of(a>QtVRC`Vo zZpEl1vR?NF{zHcT>wb^_W+7Y~MTBNye_%RdC2?z2qp)MW5> z?TU~v5N~f$nO@h8h~BfxLVwXP=%8=AhL*6pvA*K_5 zJ=P;l3jf)U4%G714X|Vaj+z207BxalVM8Z4fPg##W`H26GqG=$xu3#LpesL618CJrD;Vp-kDY9U%_1n;AaIqt~NJ9EZ5y;}2yB`hrgpUyC|}n9IK4 z(Jgjlvb4)UPfFK)65?QYAu z<~&X@;h%Ng4kK9SaNkbbvgzt9e5B6$=($?jm(Im;7O>b0Y|Mg_>u&g)D$GalM`XeG z)`I;_SJuNHEqJ3gBWrynQe*q8Nc~BWn{1tzUA&p+#DIPSwO!w7ju9m%`10}DmlExHM-=W(3g75 z6PenJ+j4qxuPKRjjph@6HQIkrXj5msW7+VIMcARnePv-ieGQ2a{U{-z#Ja<6g)6um z6{N9FWGB@UkjS=d|K>uj@Ir4h+AHFerwcFiG`r1(7k+H^7?lTv#=^E3Q(t^PyErQ<_`M1f-CH;z+RWHHgt=ToZEP`i3T;9-X$4)y-Acy&Ro2=;M*JO z0(F7LJ-)UGUvGrRgaL~S7h^i2g!bl&!HA79TwEDF@WK$8c%FcB7{a?n{~nMLmS-Y=5D3?XvN`cmui0nm2Otf9g%Q!lwwL~ z9;JbMt1Z8>8f)2($bXQFdRG5mR1}x0{EEj{K5`@KiQ@8q^1mo< zM*M&N-__T=FcsfE{NI#(TrZjYpR0@?sPq3W8Lo5Er2nH*{q+O)O-Y*Z@G`B-KmBCN z!&l|TKlJp7SXk{iL+{>uL%Y{rWOvOYDFU7~BN;!q8`4sNVE~%9Wv9vCR8l9{ z4w!|wgJyrlwkC7=Nbddq|H-eb}cMWGVcdjd4oh0(3Zr?_xY|{Q)zaA?pv~-!2?45Lg_+@=hGIxWb$7hUcWDt~9S5 zDqRzD7GxO01L5l^^A$}7Ycab#0d%h|CSaA`BV^P!P=b(GB&M88=$^uKMF=gBRPok>w=9B$rBB0kc_?S7gPKRz>Q%tI zNcdYvJ8Je*@8Oq2JXEJ(&Jet5AUv{aMAb%j3}Z;pjWfBlVo2 zTl)L|<4df4$T1L|6NI_wcl{a6{n3}|&rrvZMyC5JV9p^UApIKkSk%5ij|}j+!9C&V zAkw14P{Sdxz#k6j6i%of)=R|eoTgr$zO59;D5Lnw4W6;MZR}+0{xoJ0VXdaG5 ztTiYVEM*4bjHsbb62ntp(h+gEd4Q$Cx9P$qnw1nT)U509;&`gNq3o#(qiIwv|n=)K8f@2@nZp> z;dh02-hcT3?G;KQVryEv^ux5mF4q9Iaj~Dl4s3*Fb%A$HYX`x1ea} zCL?xM$CfHn5w#nl1+CE=+grZyqSod(7!Z(9u?rw^wlr~9WdYHK!Wn5wyVibYY-}4} z*$96ylnl$DKTlKOmzGWucS#knk4D#@28rrVcelZjZNeo)m53G-K;?Ql(Q*1#a#Avb z1jg!UTi=0yPp~i;Qq~R?J7pO$=ETk_!ZzW{HN98rs7w6)sABEqubm=ikmhK$FFBUqNhFV zscBmWZghZau0baqbOntrFht2GR%vv(qRNY0e60Y#^R81oOKPX1aCWTL;^5k#chE!= zj_H%t-~(Oop?qdWNBPdi*3a-YHcYjnmuo~V*t~M_{GKlj1>c$)PHH`7Gb6a#I*Q4& zaw_R0kP@I5e7erlz=OiK_$K_wr?EQo+Uw;S$1yT?VGddtF95(B%NBGyk^mz`-l77W zKv1f@9I{YRB4K8c8nv1{5=Z=%0166D*tp1LVn8MpE6evp;8AE*ZK~s#&v7zFa2!i8 z&E5%!n&U_Y_24f)M>|}ZR0K9QpX0O{PZ6>Cm>P2Qh8#Tw-d;2QoT3g;a&YUv_hLMcBMV-JVjhar|~kcM&lrI<%Vf|n^&VTGN})uaoZ&{ zKK*ZK9MouRAsQbc8lS|n9H24CE99Ld8g~$l!G+rxjgNu+jK-~D8ryzOA)e z_64Fb3jo=VTPd)21!p9X)@q)Gt&Q`mXvY$3p%?0GkRP1o1bfP#S7#@SNDHQaOBMWW>U+L`$yaS^ z>lR*-Ra1}fO1o<6RKcb^-_kdAt&@ir;ivjamm2c-sGcvvM23J-5x7g$C=H=UzU{fP zCCL>TbIjZ-ir1uR(03Hgb|T*Xr`aC*n}0RiKfXXu|NGgl%KuNZ{Q=eXA7=a27s6Ej z?`L}77Z*T2Q&na^u-5HiD##-gR;H=2%Lw$BK=0)dE-eM6t8nPwM7 z)t@#wI_ggw>gY6a(q7fGHhupbS!{&~v{@sy%}VdVmNluW<~c?;!3jCkYN!O`Ao^)X zyu(Jn;}jRnI#F@hcOj=q`0zi4zfWq1|2Z7{N7e`N@3HkgIQ;3LUEix6{%ytcj)1-6 zp()SCj(*z1`dQzJZH4oGpQ${OJ5#>XB-GKtA6EhiSn!`x*$MyNZ8-7IzQ;D?dlQ9f z6Lyx+Db$!=!KUm{{fGwJw`IR+lM0zAoZnX8Mn|wTv=DDTV87vxI(7QT+c0uplJX5uGuA?x-nR2$EJS!(#i=F4x z`CXi|NBIM*8aMVpASHYDGGM3)EwNW0J!QmtH8(8dv(VpjTWU;66&=aJExSpAhQJ+0 z<3d~_DR(jJVX-6VD6&$^xUs2Ud?qTDgGh)&%|#Mx&V=87gYta6ZiK{D^ZXu6F%!0J z<@ddqjS};60X%?<6S>`s$_5wEdl`>jew(B1wP?CI7MjfbF5GmU#e#((Uwy=({_{53 zt0IjOZ!Mu@V_WzChC(x-SIoqD2Knz0%3Kbw+E~N|ci76KS5e#5Ili5d8wzn)ka8}WFh;XBiX;=Hzm+Fa&gX~!F~_u zHELe(W{$1~!||==!P!Z-`MmHaw8e1NpO%(|8(ZwOl{fq*+a@1-woD&ry6k;b`Fdcc zUVU~U1?izSq|%PUrpm@t$mthR#nNydY;8`LU0Sk2WhL#Gl%!1xitA&EBh0R@HBEH0 z^-GgB8>@;G=_c?-x?ur=A2RPdP9Y@9=64;W40Wc!yGEZE;eNQM0m;Zv3NP?Q;oJfP zWbp5iB|+vq-4LS%XNxA-$Y1AzP7paPNFL0D`%di}#Hke+a%%zehoFEAw>8~o;rBKL zJ^{EV2pkLR*Yha?xR6vTi_GxRTRhX3`_@;;HRfZ4go zW!Kt&F)Kd$-~Nw~br^My%B5yn^k#fw1m6kE0rX!aSHe+U9D$b5J)2RbeB!;)10#o6 zZ^G?uKO4D-FCi4`BX7sa&_8m{^e;yKHu5wM9=VUcALtSr`O`Vn87L(f4F>V+{x2}{ zg8~OO0!2}){xrvP&X!HoQWC~1VQ=j*Aco3-$OU{F-j*i@A z7~)z8wDsjL52KXbV0cK`jr)(D-RT7q4R^?z5b+ZMJ$LlfO1=)?n;=KKnzGf|w(<#J zHuAy$*WTGjM^Rk?e3nhJOC-yONU5KzQu)S21;I!H2pdqr73w02fqX&;`Czk~073v$ zk+6ViImZf!)#GVxkM=01twyQxB~c0-Ptf{ND`Jc=Au+Ci1QKxjyKiPU*%;IwdyfBf z_S~7-nR)ZxyYJ0?_uYHny@hq}g9Eho9y z+;B5?ea9(gz}8}RX``%AYRm5FOat7G>aXOB9ahK}8y_j&a8Dg;>O!bPq1vqzs0{ z>X{-nbFESCLKVqy=#LhuGGVsTGB8y7o>~JOD*a$xZNK#%=n+P}b<@{-ge-|^#`N{+ zb@sXcYB&f&HoZp((_6>kytp-kLVNlOxjX)Tl1k?j&4W zcziLWx#t%cBVex?cf-Q9$T-NGTO8H5FLyKN1grh?nb0O3!cnhPYdJ~V^RaAP#?3ws z{oDe2G2YuFH|0xujX_?oTfmnT=cpboPdXg>A$d}a9ap8oI9VIcqY}s1ac_Db*3N#V z!tY?kbOuj6sT#JzZ)59p0uvV3DI&HK{LLy{s4;>hvX=o;NVGg%k+=I;5!VlwtwW4pZRN zgaD>Cx2e|C428v420}WAKFfGs*g6%P!)$vif8t>+#3PUDo8Z_T?ifjjtVV~WLmpFH zr+YrbPX&_G3fwXqI3Zz$>y%YV@*Y3maG#2&;8xnD&*vH6MO+6-v_aSQFEHwhvv?aa zOxUWwT1-JEJdq(~PTGg?9X2>c-?~6(k}>sb$(7X>uGV(R&IigBg4K0;@0IVySeRhN ztqF^<302%qYi34Dqxe)M7dJ{X)+kND#$1r1;3q?@M-uCk_Bn%0?kLfhs+m&si@&oZ zhI-YSetK`5DoMB)Va<(R&cwgy_mBH8;ZEUK=8(Z;a zOcKk9?6i6!qSn)H%2avQZIRF|XHaI*clG4bR`0=ZH${50Z&Uxx{Wo8=*{Ja_p2<;p zt!nBYBkU}lVf|zEZHR-F51Fx6tt_{4Pwi(TLeH*aoq+Dp5a$u(9=mUunZv6L5O-GK z|B5^V{}As=j7Bh`BwA{oYC+*}>v2CRwjkGp3lk5`A!Kdi3mkfBjekVDW}H14SY6i^ zca+P73-)A;Xa6nS4zYpBN&E^|j4zsZPj6Oq}@h zm>nHr@kUy5x$fOYBUxB3HGv^7zVWSd1zW!6cYaHI%k0mVUa+dEZ+pw=v`kZ^WxAU! zbKMq&yk^iyIJD;WHY$`^b%!=uGB4alxFs;#C}&=zjgA{_FNiC#Hh%FQ+W;(Hd(jbiutV=u0t?Pwxfog+Up?X#y3=X!X&yiW=4`ct2MQdj0_| zveO97-lxn)7^oUyXFDCZE33*7Y$yfUn)EZ(-9+glU%4m;6vY{ut8}jDV$DnX&vUC- zA}%;qjMp3Jb9S)`t|RYkiYmeqZDBL;f0a2stkUzJ4WIeWnv$P(C4Wb23vW(FHr5vC z`KLIG3KuSM<`))~Ip+huBIo>!!t8}P&e560#m?fa#mc^-wJ-1DUILcKEE=-bgRv_hGRj5{k+?S7L0?{RFOyLAR+l-xFC zFvin09Qyd>RZ4y`sLYf)>`}5W)DS89&65F!9)i^k6L_iQ2YJqT-abOSoQoj7AfwKM)t20& zENXY1BDcMn%+xDAb*9{IP7+jI9>wNjZPgkaL*K?77gnDH z9G}W;Voa;o=AW4CI#p5Qc%?|H#%+b0ylD>Hq!P)c z=B9v6R7kx?^o;Q(LJ`p!_gzTCr4&A&x{FLonMhO*Tv!-2`xZf4Z{SNc6yo(MP78 zsXT1r_ie@qgu5QxyBb2qQ0a-8W{on_+iTR#r#!F7T0pQaYgx}o%q1p$hgu+uOkZ5@ zs`yKlAG9DCLv;oXN3w&ctcji?Ya%L3>seEu0siP7Xg4K9>5-PBaCFoqA%nH-HbVL! z0ZlHiD=DiCa>HDcfjINrCKA=iOclMdI(YCoiT%@DMJdoUz>=GuOAF>I6$5-l*NIZ} zJLW3g1iS~dAee?99M*S`t@p8xwQQ!AQsF@P0ZQ0=iD3J1G`0^w$i?(TS<`8nq9A>R zDm2VC4N&ZKEk2xksuO#!ef@OkV6SyM10O$7i5{WyNi-0I{1z9in;iNRGIQ-PqCY#w zpqTR6i(3QXx;D;Hy^k`BsfJxtEm2^lik;v*|*R&w?YB%xy1~JwN zw{F#{B}08iD8k>3RXIU@6~k9!a|mJ92O4>`U$#qNkQBXVr>E`P`|dyE5x$Nq3*ADna`gKDF4W2X9-u>>GlcxW2 z);&}1azD5{K^s3~qAP9k?RVUH%QfZNvit76%`;)z)LTdXu;kJo0XSpt?HPWWsb@%iclP0_Gm>Ts`%lB-WHVwX5Pj5L2nW>$6%t(`}67Zes1FY=c7{H0}!mn_vZ z*TSL(i{0`UxtOqs$+koE#&j6LcBfwHX((VGb0^5O?fxiKN0bT(93_J(y z1HJ&ldC4&VJPN!9{1(^&JPZ5@XaGJ3J_9DPauNvR;4995%=>@p zwAqy30}KI19t+Gfr-E^KpW|Uz(t&Y42%RG z0`>r>xxSI34R8bFf&M@v*Ozf@0mxnJrdhRcH`PL=O8QJ$2o ztNv}=G4?<3{!?)Ywv_JUdmZone4kJHYL^`A7x%NzdOCX8neE%;*pp+&_lD1--~VkY z(2e>;Z{4V0^k${L(Ob7j{iCn{{~r|Lk{Y`}m$)FZ;`eQl_ONK(EtZSoVq#-syCEyK z4T!T_LVZ>LlOEW)l{&w-F78_TZFppI8Y5HA;;fuvUtUq6b5LU9V3PDQ+kZu$O(ivU zfz5sc%=fJftq7^@OO7kxT`W#btFg0S^+xui_rrnw`VXH@CQHu7}zHz5I`mVF8=?J|2w0A zGIWcrU;D}X^i9PF4S8jQFc?rV0V_mRbngASyQ`a*EQTY0KmYVG7K?=|A@FTDfGNoH zAND5&DGNg&sBXoZVV1T|S*vECj(>r0A_zFKW3F#*st4^bC!}Q%4046cfmmJ~B`yXm zh$T3Y{0S7niS#&2f*Kjk0t^)ZW+p&L-b_nNC!m_dNg2~=iCQcRQ*eiFTvnVe;g4U! zF*2cpOifQuBWJo$(g>{RI9NgoB&y>8H7c19&pMW>l#nTNG%6)Y!nh-bN{Nb2X2mIc z)Ar&r$j8!B8EI-E1sN@=dXHgoYZ-udJd0om(q|c%Bv}Y7jZH0$3<0C03(Y&Jahdya z0T+MzSCXI^2UNp=yGTKhPjiD<#RM}AIsi{*A;A(`m(EtO1holBIzACu{p_~zPBRo> zD2kF9*f?6Tpji*VGD%2MQ;SKpW2SR62-qy>g|^yc-p{&g972a;dA3Vu6jf&sDh7L< z*rn4-l@&(|Y(K{WlzlzYYfJH}R+(D&b&K{osSx&MfT1RxS)1&zGZ<|Rmh89F<dcby6P`@ zR0Q<%j~z{*02y%-|EA$%G!C4#d|Z_Jv&g3VQf%h2qS(zic{3Z?nRv?m$PEZASb>rR zKJ?EOlLgk0EsAinKoAb!jR?mhUP&p?CsygNm~fWCkQPLnsmG-gw^!)_TOvF zu7bG5py~BgObOH(5{w+UmQ;dhz#S)nQRn)T&C!FK7NgWBDrRy}tsMl3fw-cPOCMzr zd5npG4Vzs?IGo|7b4tDkE%K-^tFbmC0Ag-O_nJ<&fyY={HGt|K`J+!;f@~nR_jbWj z-^akI@x*Sa1sOq-tXSg#a3XJ-iEWzT_UJuGJzITb4c!-xT^dBBqUeF(KGC+B5A^Xy zeH^c4YiW$K<0j)6h!8WrKh&5o5*(EZu^tm%yweBt=V| zL8}G*U`&$>d;pw=qkfC<$j2qH@|j7F(<$g*-Iy|^`M1z7h;e%TrzCyz0FzVc{TRic@>RBtd}?4jLowUFvC535z^QdMS(Y?5-GywH#c7+hwEfv z>A&7NecUB`Z*(9*v_s9|u?pm-cj1|@gW4quG;$A}y2;Mxx7XQgsaE+<+W+qW-|gWL zT=(nzK*dx)1YLRc@wC%j^>6n;GVEnwU^o?k-q@N5r-!fMTUVsmT>4hdQd#(N@av_t zr&a+It@kztHdtTIc&`M0e#(BCc}EM9NNX_mrn|8pyh3E8w-~ksvSsCXcr}RANERZCr32 z>I2C{KIb8%Quw^3KIK>U0tUZHAZJ)SYgIWzt~KKR{^IaryWzak6v zJZzKE({UFzLkE+;OOjj6m=cjdlCH{-THgMl~WIvt+~i7ef7 z%fDLyFmnc6ys%e;VP}t3I|%Wd*A=!-n^39a@8VY|{$)zT)y>$0%5ws6nqB-w7_c`HB_n&b423o~%9?G0=u>dzCIp@M8wjecmQYpM*p}8Jm zijzX$T8=-Fd-=MSsc4D;G)=Mkl}Ms^^872nDp$_2?&nt7Q~|fV>BhUV(V6N!Wd_;h zaEQuws?tW_r3PLAM&2U2W|`*P__OjmH{0LlK?AsLo&OAaOJ($B$ItEeUq<6!Y-b2{ zu@LwppOU&;LE^ClHz#eO9uk)%X#Cvf-#E8dp`METK4wskJk(~42q~#=0kP`ni-W_F zu(aAg?6f9+9=CJ>p})v^Sr?{E4$elMcU1?v0ivS;mSC&u(98q_mC$o-^Uw@oX1&3f zqjfh}L`snUynArfzC~s+!TMNyEP3$-gQ;XG*KhznB$wbrPN zA!{`4$5l>`wJ-&`(##ix|1;?8X<)6%O|jz}f0R}zl8DtF5~PV2d3BZ>zujHB#b^5o z_Cv%7M#zSn8qTD|Y6xqEUa>?g z(ls4S5SNn!1YuYA{^-$I0_zO2taU2oeXPO|KbI3iV_JtS9gcL%ca!p*FyC;L+R)F~ zF|oGDG|->Y9yi+F;X$*}!QD)=K`8--9WSt^a9HJ;7sAqz(Ud=_A31rQBs*(|3@Fc< zNP8g%eG?TwLA%rdztbSCZ{MDX_Y=MKfueXTtM4PT44#pb_%*&h@Q$)E70I4fUI2nE8oo6DufPr;?KY|~f<>E?M7=sGX0Oh1VdP5Ls-yU? z8V{qBjx>(L|3e5~ARZKTc!;~@A0yq8qk%3UMTr4099vqr|Ar(H?ax*Wm z18*Ye_Tp~saDnVQzR~MW59Jfh6vD{cO4cD1jd<>*)p`DI{YtSBh@OjJy9wB4sp2+Z zO9>&oZMK!fDQe-gSY7A7o{?@vL4c0Tq!)UEy=3E@NnBQ|&)IL8+1Tr+J`#Mo#YoxS zsk=zsVl^*k`*hdw_Q$k1`m)jJh>lq|F!5>;+CR4-+Oqkww7PYzCflazU*M)ecEZVq zD|Lcz-$XWsS!uM#eHjTh3T4kF*bef$2{FrP*I?Ivkr5b`^Qgy z;h1Rh|7rq*p>7(7F1@!z#rMhWAE<}&vt9`cB!tv1SjcwHA( zxTjs1sr_@e&&>sPN4!6`W+Z*sra6ex?+_e(LN>4kel*GfA!>uE+uN!;xYh36%}(C! zv{xguzuUnNXA%I1>48|lPEG8eh ze>{VDnW~$-vKI*TQYQ4T^2t2S6b8w->Sl%Td1p#O3K3ZbTAe4g(rIV!Je&BoOEGP( z7ArmUb{4BIC_UQ^fb7TloGJd^rQQfZ_ME0-_3&_${`Vs$ z0k1p!TwdN8v_2_Y-tDJEd6<_o}$`wJx~_@2Caas*Y8g0<=$5dfvw%Px7l5h zKRq#1!pa3d@8Fes@#;XVu{qRwDxPuzWEzYzaY-5yx7oPX;kexj0`8reCO%5WOVS$x2b2@G>I76NYU=A_Ai)&#eq}wN`vQHeTtnR zyaZW8RqgyH!xdb9*tFKSe!|$=0N%MJ;4`Hky@^_OY-Y-Wl+G9op*jjnIxn1pibEh4a8?lMkt3Dh4tSgC>|@IkDwJgj_2V9$6^QEfagso#0x^ z6=Jz5w4}0p=zn{+DK=eS{+F`;?YEZV94a$I4!a;gjj~5s^g+7dGirjOSTscC!wPng zG)Vg=?IzQ_P1YBL?GO+xW#QB15ftnio| z`UEl_U&*a3jA=b_&9#b;(HvMj$A=cS6*xFNAb5AxXhgZxnYOg)ysUPFJb z>S!@b;H#!cFs1Vea(CzAUJaZUTd0ID_rPqz0wJ9es-aSW&jqe{no+UlBg|2 zW{T1A#cRlFVR6jj&Y_-zGDwkTd%Sn2)a+O(Vjs6eMyAZ+jm9XuUO3O3RWHMh{fAW`Sc^~J$fSl>6MODCtQx%M>ifwc;iUl*`fce)c@rC zNfLGcLlbm8F-YQ)o=9RXjNe66*B05 z+hy}jmS#99Mn`}KB%qGB&jP7uFqEy%{Tu-X=-b`+*tJ-!8EFE}z^q-`N{L>pWXx>G z!y_5w?KX`(M*BXrqtlUXsU`Kj%AO4{aFP4(kAnV>=^OZzW#4)@uU>p#2$bjWU+rvS zj(8@9>>zXxRzll~-}0;#&L3@<%WQi=8vXM4r16+LpQyi;*F_H#Cb)H?QLo;XJO(oK zetXV-9k7BciZ7GB>HPzvXNSp}@DaVoziOnn#2I*5aqo#0xrn)-#$L7V6cm+4DUwGh;J5wC^!L ziUG_%{;PG-AMS4JN#9_lEZ6% zWt(Q~g_^*~H{HU|Wtk`G1IR!u41fky;Q_j7gig2ITHtu;oR;9%L-C zAT^_1@{Sig|1_J;>j6>qYoXLs(}C>JZEO&{WDewvbYzgBAQg|g_{CAt6s2FWWiWo^HV0;{>fAT_`tBKB4sumft05nB*h&oxo~v>EIwpzJ>((h@%l2SY^+@V@icW@C z&y%&v?WJx$=R612bTFy^O;>{@NuMVx<+CdB_7}yI8IphH)8!rBBGG3Q z)|Sq+*)a^%T0q@eC&1d$XJk!GBjd6NB$Beb6!E{gl?~z|68t2;WWu#4;KSfExMAl4 zePTG`maStp0UoYTN06Jl@QZJVp3mGWuYWB=O&3+k}q@&85YS~79nd1Hr`U<3G5g3eR=`R+;! z^nmBw*DYX`MXtpHxQwWIsucR7&?=P7E1PP^l|gj{Ah$%&tGC47siBuLs9T=@vp-1; zdi<%oY{D@z;Fu#D%n;r9%-E2fJ1_O2tw^e6_>xUO=*GU!%uC1U&Mn6FpPQY{>D)UE zb;gwpr6$Wqz^eM9kj4+@EpYSLK7%!9Z7jBJN_$_`j;?IWQnz00QV>B|Jz1Z?5Q;a9 zBpfQ{ve%vLU*>=&C?GdX?#bpz=+6O_eRW?`mjnq0hd><++EPn~sl-Lc+stiOYe?Wh zv8Z7C_CJ_{oOM$<>?CY45_!R?uqPZ$)X-7GhlN*kJ}v5XwpKo}(Zd9v1A;GU2do_N zz@FMW|E6N465wokfRmv%w|sI}-QYn@}Kx=567vJ0Q9FIV-hTj~wtbazFxYjZgLKe5ef5=4p;wUymDw4*TIE*A8I z(~6|`MzL%7I0#AVRQcxMasfdmgBx8ehX6fhdf$Uzr&mr`@A|^RT0g7BcME1 zO~&D}!x+cNLxvp8sJY0`oLETtTB`k_Ex)5qO>S8e+PSDN3BH~|GuQ86Wz z|13#ARp133Rp8dI51f43c=Ws1j>a3-__~cl7uwV{Wre>)Ea0;S-U(Cl`LV~Wn(;NL zN_d%mg?(CLh`pmZH}dj@BJTe6ea2YY)&mYo{_y}wc2qRHc=!dXMCry$U*Yixox8@;p0_%J|YJQVSW~Z_ZhR)b&Izd zb(5m(-BYR=g0geII>!YDLs3Vh)n61szn4jz&pEINFByO738;SWOqU39?v$@MsZ{n) z$A%@80?JD*i`2Q;p=bkw45B6sIx)gPJ|IYrOFc?SAPCap3FI_tM$D}^W_E1c4w-T+ zH3~usY0j99I|`3$%94$Xog@=b5rUu$F-Q4ghoK+C`&SmnUjSAqNBz! zwX6&w{Z?aPUzW7x8y136L^5I>jeF;qnVm#PElQK^h-WGT$jdsfQX)^h>4&#b7aH-@ zVEy=JS8YQ4KBZMcx*YOF@potPcQYtqy=X9XEjmsvDUFu!AV}_5nr141@|`+%GNbcQ z3ec5|iPyoz)G)Pze~%CN)RVRLT{`UUks3L*3iw?WlJT!67yR`A@^r#zjgz|IrUF{j z=&@uEXVID+--NVGPe=U67l@?%X*{YY)-*YW`U*F{A+A%4NkHl^?f>`7*$i=c6@{rr z*C3Z7@w6udU0tdk=p``u#G|Dwjf1(}a)4)FsFTx7`Xf z7N=yTkP8?=2g~H}OxHLHSJ9hF>Fth&u-1_K}PRjv#fu zW9YJDy8Z7BCtn!`-N;H$7(M%-roZ5F13lR{nk@V_D#oK=@$V%K_NT@M4ZB~P+?yfy z(?r%&>f%N|YhRO1)<`FOQ$+*^Ko2V%r@lQTmh?c&v2;2)k1PEo@ZJ|{MqG*V9nG&g zW8DU?3?vR|$~kz)9==1)Kj>`=;E}jH+9HaC*!o9a(jH%9^E`D+tBj39;+@a_35kw8 zmSk66U8f+GZJypxd-P#acS@#gadIu@rR}WpZO`QKFsI6L&*&qpNUwL7nr-gvpCQY? zo1n+0D-G#9n~#aUdMWwfBe~O85n3{9G20h~9FBFyA1gfJ?x*Z^uDM!r)%zMG%qhh$ z|NBi=W?kCtWfZ(`RX`#ev~GG4+&@yy9)kuW>18a@$C%j%{hZz2vdD z0O1;gQ$9W6QMB{*Ses6u=z*KNzSYUY3K@hb(I5vNoho@CUo#YSIO<*UJBN}>?x&G$ zVq!<}xjpfx%T;9c=dRcL9j&UY^VuOEJNYkO9swMgvdIJ@_KnopUd(%U;D0)GdC_^7 zioj!O8J)8B+8>3R{999bu^3wSMM_lh@;MY06FdBVP*$5rqF(s$C~je2kZFkN#Y5j# z;c>Cb_aBi=&RXe<`HgvNHwsxAhuJeZDJcOi56``;UcRB zH%HNn)Rl;OA|f}CUc4@_-Z9MZu6Gboa;4u`S`pMc3guYQtGI$$k!67pi$}38Xy3nM zpCN38W#))5VE?ga9~SIDbIw3kpWj${ZscT$XnMdF-T_MP@dWj0Yi)^5O{Qz0fKPj6 zgY7OBApvFlk@9voK}F{+w<|dBz_Oh&dQW+=X719K6281D4dH`r{^J{Qf zj{fO)%R*Ne>b-i;ihTsV&#;8&3qWU6Z563bkStF(BfeY61>^p6m5DVlT=c8$58oEA z-pDHz{aLn&O$#yp=Xh-2u$&CVYTw%KPI#b%`j8nm?ojrMvMFkAFA_`nP5~JcA z_x!s4+4Gc&gSkQq+${YQ3fz4fr`_b!1Gb9fa_V554LPMYll>$Dg0#Q0TAp;sz)d;m zt*k5#Z{o4s@UPyio^@U~1P|bvmD+1k{0ZZ>B<$=SzzAZ^HWih3CT&o}n@VYN_*Jvkir;aKn zdIZa&DBlRqZYWE1DdPZjK6o{LDsVls-6PNA}eJ(#a6O!;xOX6pd%0kR~%P{rt&L@Al{*CC==MEZhN zX=^#@T>LNu3VD-RFUlBcofAxH_0iw7^Q@p512}4hPk$Nd<3*>OkbtwsCH8gus>FB< zJH{55X>Gp~N!(Juye1@?ZAa(RA1ZE~(Q1;DhX_K!7CmG!`et#N20s+T@WawS%l*Sy zD1ZdEP95lW_BOhpJ!Lq1i5kmrPE!lTR(PhkFfqyWuymo+zP?c8;xR-z+uZ&XXpZ3x zhWvg^H%WnbG=-X-2`>sEWU0M zO(^>Fyj}AK)7~CeJt@1v$XRoo%HW#9z*C+D4N@If)q92M?~;UHg^6MtdiOuRBX^13 zYsXU`a6t|?!#vhxNrC-+jAIN^@bvfH{YS8~(#fj5W=57mnb6+l&~+)ZGiC!kXLug) z8Ek%E@6UlAy3i?h?>7IS02%(7L?6d}WcKAog(anA^KJ?3%#BR$bC10vyW}D<5nZZqgRr=5ULYP2O@^zlB*=wX>lsO@PRaG)_ELtSf z!xlVG244hsOJx|_<85&BaxFyZkbU;xN+B}|WQ_p~{(PS9U*#-hXd~yd9s=?>g_WAsIah~UvH`$L~j^$@MI3YG3=^kPZ0f*Lr| zO#7fcQFg%DAe5)fSL~HnS5TRUs{}xwA>_5Iz2*CxFb>sNYtQwZo=}9o95SeWjXs%E z9WQa~H{UNcLpNYrAB)ZA(|4{Vtifkm?XohDtmaRoGLgW+ zsK{TD13UC>!}$QS(jNWqt*xiOgim$g#_#11kF^J6tjLUK*BtT_(PRaz<^4FbZ4Q^r zzx(7v0zE*gYLs?cF6?qyxBf`jd4}=C9>h#Rs4hWt)Of4%b)%>mOOvknAM(yQc!zPH zo9vecv3gPXuz5C-E~^<~-2yYW3a((Pdw1dp;HU+P?>^D%r(2m4J-@_j?T*4^Z%yE^ zm!$!_o(%yFY3kA9QHh^seG}U^zw_sK@%Z$b+5`qjc+X8iGcjs64y2+gjUA>@{T1C& z-2@@q%SMez?yYe)c)-uiyoh|Th{*(STBb=0j{qm}C{e!G4trvE_rXV0c!S0w#xcmJ z>ZAdw$Q1u4FMs{;t{I1YRpRK`x zMMJnWwbuO5OZuyVv2^cIkFm%R67&hF$v&H%3#bK+YW=yQ!B!dy4XYbkF+3xD%JFRz zK|pClgOO8s&_#aohXql>kZHJ4LxS+$Xq~D4wDc!^lhxBm*{hOa7Hy2oWNCE(l1YD#pEKgB`GRt&Fi%sED84QAx*<=`CY4_dbq z%B{P-wza=md>*5LiUzhx_6OijA9$>A6OhR>MnsH8c-@jiZ`rM7<&5%bjJ1S`23N*m zKGrHEB2daNWKL)SvrsiPyuaqBl6pm$&GX?iXqE5F457Q#72`>{w=SWUD2zZ8p!eCw zrTugM`Ph4zo=A^l12DB#5k~GeL)*=qZwvxzIHcB#{%x!mePo49Tnf8u)f5oeWwFAg zwgpJr4}lK)#DG9!6;p@2=z({ZZC@b)?FV)eTkIDFdkIQ+`Rq;x|0+!DlT7+DB%SM! z$mg=p^VLh8_s^zk>;Qlq$eP|o+p1dvmjt)vW9|S}Pb^+FQIsqAT#E_nAN149H$`=K zvXlPpYCQ031*3#V-L5iO{E8tu=i~Vne_0-51lMTzExgXer=EE%T_CC2A6_K*UB@l$ z{vD+hweqW=iP($yOfQhk6Sie{^Y`$`T7A_9lB4jA&v&&x&j(D)p^p2In$LeQ(HNq9 zX{MOn+!uOt&ZQ3YM9K!zn24-9WBNb7lqOsdneY)_)UgZ#3Z;q_fyyz78-M7p z?kGQ9=|h}V&71i6-~f)|yXI0gVRHf7UXJjsGg}QqQh%cT%5EDQ7c)#Ad%c%(cJs5* zD(iIK_{1~1Nll@JR{1&O?~kvAa9;P`r@kfro>wpPp>f)<-CIL$qpRkf-+!EW;4*dN zj>|o%mh+FTNv>W89P7$lrCn*eoA^ifeI%B0kgo;Wy(>l*vvb>+Yjr&$_nhSeKla=% zOZlr%Vt4bh&M~fj_Tr|?O=nhgk`2Gy@t5^+a>H-vsXUYTwKYcBPaj#_YS-VOc3Mpb zQJK1n0>iR=n8NlEm8m~G2X>4jXZO5%UfkbE*vbk6wH2fxG^tYT>3CJ;UC4*NY(^V8sN=nTJHnTEioSbyxi?$qq*#yDiFX+P`qTixU$WG5?zr0xCf)=_BH8z8UKG3{Hoe<@V*dD4F{cn_C zu>sxK6s0RRnXwQ55U`6r2|Ze#req#moHq4YRv#&+yvm11@I`1^EdUn+x;3JmOl}^rhV>z<2ca^k2`S>4Xd;y=I_6k-j+i$s(Q_TtexqZE4KU*t`H=xQRLM;VmD^I;6;h5j-6deslE`z@qAl?=#xmCS-R}&K!6?PNgeOW_?}62J9}{be z$q&hW>7IR04jbm@0auUBRhpjqQPF9n5&RRytIJLDoA&0?VnMocV-U4-TTBwL`3p_! z=^gN%>l^C?f9MWuzVj}IN6gMeP@_yQW`?e$f3mzJNRR@a|+pKAT@gplVf znz|Oj>E7Y!v?rO30oH8IR4+%+JrQ3;wT(Tb6{-Ebk;-PjwO}K|uC%i}{Q2~8k@l)O z6a5dbEe{kpr0JH8l6=Q=%W2cqTKKz#$S2Yho^_QmCu+?xT3XQ>PSdt=h;NNYfeNcw zyT8eT5Ty3Vxvg~i+s+<;_xtPA&>L<0tJ9=kim?OtB2UGLP7WXr$|NVN7YzQkh?3TD zULvg$0x<`NjO7!`E>+Rr_f~}JH#SWd^dyM*|3j9L&pr*O&I=JUT4o;S6?(y~I@R|p zm=fSf>pKa&cMCmzQWgh->M5AiFW8nDNd|OyVAn4s1-iTW)1oI+*VJd&(!I>-C%xsl zPR+wSpsw;^8Lf73+YmQ|b9{AFKFcWTv#aZKZ~|)ZjU}!1d09|Rz0XmfgDd)D2`Fg` z(1xI5;$l*yBt5_CW@<>Oxymz^nD_0D)T)DW@wad%n4j`3&x92@ ztUC{1G;|u>h2v=n4Q{QMg>Tp@@P29r@wM$!d&(L*OtF}maEf)EU_JL8C86#G2@U^u zGpgPLomJZ#pNej*8?v1 zLEe1*DAl29me&aiIJYc1Fd89${E6W#!&!5Zvhp!tr6>v3@nPY8kHHi#{|i?Dd+deJ zCJq)08aa(1-Uhs@7+3EO>2Cuhh)NxR?J2B)-_fsa{rlA{ut^y`1fOnnRlr z7D$sN84Q8W93fPu+6l~>t7eR``OW zXUUiB&ycHZ^Z#K!fIgyH7QXz~qCHn0`ZyG9M9OoG?qTz*Kx`72-7yI+%Ki?}9NLIi z$!;&9|Bx+TUZj|JT%ek^>#rG<4Ii##p*sHu1#7RezrfaBKxx&hx5zHg&FdLvG@F!^LdA1>{H<3yYD(+?zb~mt!Xy!)jJd`XlpH7!4ccSa`^(z6^66T;O!Iljm;(7 zvo3j6pS&FYtX;*dI|~lOqxELNN1*M9I&&&xwT$ctEu>p6FhGSgbyF%%|4rk>Citf^ znD$wwBE#~pg;JSfh%qd5!r)TGEuVv9_bR~cN`GG{9N&>#m=QyZNr4xKWViRrSlGf6 zN6CdsA{-OWmq>PL^67e@y+k<#(q8DtC!A_OYod*m|DS0g*2K~gQEH?N;YSrC*iqVu z%}R^>!31Ob#SwEthO`OJlsSjXGB)adgs8TR(uO?$f7{6>_KV)8g!CGNLSYP6xeJj~ zXt+jLG1Dew)SBQd;w+JJt}l>Q-P%ZLm&)eLK4(TxMspq?hedu*c%pkd_`F0PQVVj8 ze=SH&AVRvn1_!t{pTSgQzeXyWEeCuS6$a9Gq#wYKNap_xwh$n|*Gq}FH_lVqQ78fn z0Kiu-qb7je+F%{vVVCsF5!4{nUO9$WJufe_1L_iek5saFp9vRG7cIJIbJfU?3U_(r zB@Ovq+{G(r;P+i0P^y&_f;3cA%L~E5wJztlmP*b`nLjoC9wn(^J39&X!md1@T33>f zFvPx#_wvo-uJGR~ooSIdFDvpo zheGEH{y=MgRxE<&kypdSL8ETr~A4RSuS+TKFyac39zh+N_}%A$SA2)M+H{tj6ca`=qI zKXUs?s1$RgP1rgW1C7O>2?@I+TjWSPb1}tFmsT+g)2&x(fHo7K@^vic#p&Ewygs~3 z%o(+o1qC!;&5wvfSj>FiDyFZS3=)fZ7>K(+rMhr?v=-SEuze03anN=tV}>SD5l;Kp zbgS^zJ)=g2zmfhHB;cre2ofk1Y@$KDJYeMuvq^nMjt5%+&{%MuX-EG9*(L^5J~BhK z;Amt18miPNUjq5C^df>pSh+v*k_j!a6W}8C{`%uN;{QaSzOL=i=!Pq~(B;9bJX}2L z7&{87L@S?1!^{L~vAStsAXIIbtP9+W*&2;Xc18N1P_Fn{r*8@_pMF^&I)o^(GXN(&q~LEz`LglZ13n5P!B!Z4rMfc;}>}XaxRv4yBrFL zHtoN)cv@pi$##z?xK_<`$)DT}N|7S1exDYX<-&+1j$j3q=arPUWdEyByl+PeXHoQ@ zce-_pe5BKWvJ9 zW++Ao8prD)uf7TM>^tsYTfZjzu*xfR@s5Ms!)0Tomtb{k<;6>H2EPMqW$)inTMR@m zk#gv~d(lh7qbaqF@h`*gJ=bTd*~bJ|V~%6AjT(*kaR)Bs$H!<~isbk4bJp@~bf`An zHoOuwyHoTX2jQk^t^?DpAJM4eYGI#FZFUV(H8>+mx2=A#pGA_B;e%uz&Vc~!;Tucr ze9bNkY0pFc)tn9{%slX+_^H#!TE(-=Z*1{>Zn3tGk21l}qVt;CMN}zE-=0|}eQ!jQ zMqF8W&oxjK^_R%Dpg(8(p{57FfI#_EZx)ZtV)G%KO9qcRwcuON-;5A;qP6f)d&29c zZTbpuM9S?z&+iIi$ytN*P@Q(TxIve?=XTM;P>%BqWe0d$)x>~R;E!_|v~Apl5&o+F zX;bK~MXo`?nm$Q)f3~aK>Sk)^2I%owcNg=5rm@_Y4jvES8qO$H0#D9_=WrSg%{52!19mX{`|h4b4NKH@Ea-vR-1Mf(LjG^fIvLPn2UXVfu;g*j_^nHWR~s%Sr-$UaDf8SB ze>`u)=cI6pCRg}~&{S*--ves;i|Vlb44gOYocoAvAlS5~Q4L5rLTq(GCSnbVU@Ods z$5Xe^7`Ex2br5nTx&FC?ZPqd*t0*kIUkh&K_2Ln$DzTzwHh(leIC@*!M(}?DA}ZI zqvW2ff9kcvIo|t+C?t}d1<~^&V{);YFU%EAfT_;66*s@nnC#bJd-Ekkj zU`Xe!M{l+H0nHfDS>b@XZR(|iE^FWq+M$wEn@^&a8uWRPJyjQB@>Ys?F8$WoAVv{B z0HiNkG9L-PUvk!tMK_hIO~bQ^g7~|SB=o%9KN580p2hl6))In|x2>e3GC)HA#RY)- z;_KyY9<>UIc*P~l0U#Y&;w?C;`Jd2(0=$clk9Ug?G1v((olpBO{hsLSey<7nG~vM1 z-?33CMANBQX|VWVsWUl%zpslk02V)*=Z6)I0`l}!l3~W zY4JWUHD_mhTwH+XIso4CIcfq8zdzHDvS}QNUE7SJCjU&eLHne*r?iDd(UO0#Q-OII zXg3JlYieX}Xyt5YT2QF7=M@)~aZ!6EHBLuA-)1#6?G%7961S`;?`jer%1xMl1R|fx zDw}q-b>-1VVC7@|*1n?=>#Klv4I;xFqVbacev|{Mvr0p8TB0sc z>H=GZBMT(&gCc`W7cl(I3FQ%k?y7}d)fT`3p2g@UJ&4J2*!byvo20I^1}4i}w2DTU zjh>LHNj`C$v!pUhSbhfyEL1gp*Haol@NtFHBIDO=!ui!ZbLgwdTEQz$$&1cY((u!$ zb>{R$^^wEH|1FK7T||G*eZ?cggyPN5jg8PJZy$VE;Mei}Gw3ay8)cIYWvHpi{Mw{W zxt)@%2w%6erYlGijI}Lwr#M;T5>5uFfvg_Rpho8dYlBX6gMv4GflQd?jWtErHRudk zgQ#F4j!hm= zmXhKo#yYAon!mKHK(S5FyZLSm)Xp_t>$P?3U^*DEls{5#>lgeg)H`22wm%I!n#w~q zPIc4n%{Ce|E$77^cUmy*G%0I?F+>eyIryP9u;6;2fK7>g=>43|#ay3N=;z$o4VZ!B zuE%3ac{YyoH8*6IH^1;3Oge;}bBdO0tIf1!uW4*Ia#e;N)6>cd1#%2)MkqMuGCj#m zjK-O`Lm3r6+GIH3f_ZV~gC2?5Hv~$0#I;$`9GUbwDclP=K_RYz)lyN#WnGD?=zt3W zZ_3_@{USWn6MBw+H;`OvG8}QVJkhi|b@F>rqoZ<|Exy@>`goGXybK*e$?{kbn(yj( zGw#ssS#BYg&Xshtvho~00=5{?JaW+Y`z;MFmHFzVzyth!;@o>5Wo$I`&QGkHE-ke~ z9jn!F{2dkCVP3aGfBWpw4t~MDLyd_QCA>ZUq`~x^XtCp{f;Dr(`PIkryJxmvdicLh z0q1q=mE2gG7#)N){+=7|?v!*NZh8+YcbgZY6Ii@{CSa5cYHj&5*rzvPMt_@sP-VqZ z`$lrZDoh-EcC&Q(B_y44 zKd5F-t z#JvB?o)&=JxFr2fA9C-Zr~7o$Y&}*h6DxJzmrcdj3|^;ZGbL!12NHE;5}xaHPL&sH z->;S!`WzGbwK6Xp!Ca-h72gOI(3I3{7nU5qHjOdmU(lp)d|MZ>ZO}BB{CJ%TioK>d zPpO1JJff~VG7L{TY7ko=!K*wPeCVh37$x7m>@lf4wG_VTC^Wc9_2aO&vcXT=32n4} zzCVKMO6}*tel9^Q9c570Vt)Q1;pfd`X9265C8G0vc<}#1Y06I3+)a(U$V#ao zQKDpQr!~r&E{>~S_;6$S^P$Bly~HhL z(+}o--1EdmO!CkZ!k9$*tFp8~WE!5iuzzb`N&87EQbGau(Etf9a-Ql{ zt8;22Zb=rV^>tQdq zReB2@_VF$qJcAcCuS8Lz=?>Me@q5aC_#Ag}IMg0r@LXIUd>yv9MupuHhF*Vywb#YE zRRa-1_1xDhc?wu*iW#hW!6EUJRm_A&sqcy! z!P`NvH$FLSE>ju!$90f;DXl!92)75gH(?9#?kT&Nz1?O!%%`>Oa9IF<%FIK*+#h=X zEv#js1n_1Ibp%FHV$xD}2~K)WT3Rk?eLmFGEgipODRH;GEp-W{q5?nQM!$%$$PBG?_pKZ$M~afOnEOhZ`@Xt1 zLn-BuT>G))T`$hgh%*9%gz3n-gT=NTnKq>M(X`{{61;?Xw2$DZ< zs8H)=wpDWXWUj)%vqGl%cLYEG5XptnMy8l6z(Rrk?rfOGjtvbB3bABp_F614;{_z@ z8omn5^?z@uSH;n$B@_mMfoGfNxI99J?lgFETBYd3-384_UaiZZeC|Si53e;HkmJTP zSmW(i%l&?t_mAdk%EM@DSH%#j)CYJtLLk`Yh3GmHKP$ zpDcI5@&5TT#UaXD?*TyJ@;w1LIjf~s;q(-csmVS`m7D^xvb(T92;@jvIch(VI9{K@ zFK3L!82^SW@L9%?;Famxr@04lP;$%m3(_vx;-KlpoRbs$X}G`-jh|HG=dOCN`tl32 zG;UY%O_-cYwNcX0{l-?URu8!5C$0hGcLl||K$1_aVQn8&f;h-U--eak9fsW>eE5h5 zJGACQTW#2jmU=ReE`l}iY-|p6?YO%Ix^xeCl%%rtzs<<6M$itg0SNs4%Ac5Rk@+I4 z_b~5Ww1~HdSMI0n{Dr1%$pEXr3u3YXv|o(<7m~AkJG76Q{YMlRAIaD5=@@m()F)Bipl*Z)iH39wmr4Yo1shrz#SAO zEcx@440>}ar!@v9JMtOK7#S$hnJn~*N_9u#5|^pHX6+H9D(-qya+Vl@l#Iy?v++zfA)B(wvd7 zk@vg4TA#XrfscqQ5KgI?UCxhlZ&3A7zaaG`GMxM!juATxpzgWoITZF0a)Rt+(QROo zO+SbX;9*B?gpLW;(6gd&Ew)t|e4(E+lCOQSc}wNqdk?VmldLO%sFHr^!l|McVQghy z{Tt{0(ET$kJ5=uPN!QaqiOM$vKLC*41 z0`L0;q*Lqny$zAubmA*oxLUnN;(l!Acz9-hgkAW?4$eST}@H|G^?xZPSd`$K%Kyr&dG__ znVWJ%b62;;7$C5FR)hIXDrrs!I9_O?+!kfj_n6bqI1>F9JHxpato?^r#HsOYJ*G_p zZO?a8H=HEz!}+P??l<YQr5zOdIZvT0mgkm98pM4bz>(?+6e-Q|J0(H!? zD(PJ&Srzs7Vgo=3Iw{Xt;UmPruz_DsPFkMgTg>1r9ZO=7(zi0DreQ%HKJW_u&qay2iwGE6WATvzRs&o2` z%d6=vWA$?r))h%eShacxM1Hki(C9D1f|6%z*D7`e{skIgP~uCP=lB9f{{d4i zntaaFTb*#QoBl3BP5s&Fj`e$j&1T6MB)`e){bzMp2p4HQo@m~_FLB)v@s|4Vs$yLh zGy^s#ShI60V~Z6AeV%D_a$s(s)7WpXSv4%w!CeBpdQj)b&zR8?o%X0xcnV&ie#Y5n z8=^2et?W~X+%7xc=c7I$ua6&=y&zSCq}gpt`q|wu+-$?#5=~;~?wMy4zyMe0NvvmX z9^;6cmL|TO@s4~RNHD7L=zW8a$dNrNq$auv1QG0&mhE$ldij>?{*rl--;F0F=GEjlUq4wi!_F6+ z&e*=HG7LWExlI>BXbK39yQ3~jy@)KghUO2mlxH=YArA8M@5C_ffowmNr>^q&7Bob( zr4jhwmJz?^QflW@+h*6qF9_mjZs6@y_^r&c1WSR)W!~OaGwfT2`;@PjwpRVnh32i8 z{{{Dw_Zrs4RD;kOmwFPKWQUq;F&ng}68pSNp<28c|m4rQMa#rhfI zvhLhTJd0w0+}RK0Ss*twmJhVFavJ=1?GK&W{a==xm1A)N^KvXvIX6mp zUPxv@PC{^Wp^&@f49tTBm!$tgViO_h-~aW8Fh+&LYGVsN?X~Jt+s_PKfeyZg ze-1@=uxkw!bt^yK?yMQkaeo24ffI&)oS$nYlqPy^+lqhX}fpci!d_iJ=RMDZOf&_UVIr5ou2wU zt}R`&;5dnNp2M}z{CJBgwb$Hs+4M?DWd5Aurc{J3X^5H%8V{R&RI@FjOWm&%ANTw2$y}-84Bi*x!sB*wzU> zwNFZ>a^BrH<6rCtjOpq`bIJ$xT_k=S(lzBg@IVdFQ1|}&cEVRyMt*FC0NXGl-`c?4 zpc8Y!@cCWJ1i)eff7ha8C?xnHeV}4!;4`RUY=`oB-YLP-??px6$|CAIad`ZfhWQ?Q~6Q|(adTkS*iRT^x>BjXFy zz%P43)O30hWt4#M|4lmW%lF0|+Hc#$nizLei3)k3tShpI18V!j)iSE{uXN`*?f3y% zvBt{cLpxqBD%qhRrh@-^q83!QvcThJ9%{{tzP@||b^zJ_4DR(Gg)m#}YK;cvpLLYB z%edmEQNN~`waSv_0lWd!@Fg)+-~Te4-4qvsECAcn+k2CgX}|y+IxK^eWl8_5A)uUZ zv)#mg@zs1+zYd;}1jNq?IcoeFNP;Bte(Yek+%Cy6*QS^=h6m#P zf8sa5vx59gb}P68%S`z3ld}Mia;IIK>pnER5gary0Fg`K6=lGn7=TjFhW3lnc4eU` zCfa_CM?^IMFlIv&N%ERI`LL_7xmbXABpbkJK9q=2LxthJS7DFsB}wF=1Z5 z%IT|FD?x8@S~%7x;*P!y@NPHzo6Dj8)|+uFD^;MTx68gTkV~{cYp*6DHRs-EHB$vS z8w(r}^+V}K&TU6SzFyXdt)o&GSQ*})zM&HxmsxvKc0diE#KYU#!{Yp4!Z`z@R62fA%|-sg7Dubt8x ztMe{{5ZLrJM&5V3RWE9XQCQPLHBTlU*3p15S?-jI%Y5l+Mp1;JWw!e& z=H!Z2mLlris84I%7#&}?bSBT1uY4wLuy$&bu+Hl03B(mz0G|DG`;55tB9`-_Ni zfuIc}k~A5bf|t?}K|`PBm%6ql3!{J9l1wU`kZ+pa2<`b2>q1m$vOpa^v&|z9) zy2o2jVBvstepA|oL#<+zUAxs$A=#%5!#v&zsSZNmZQ5$q{(-uf4O-;gkUGSLqiw?* zHyclbk;KiG7ZOD(K>X~RbB5->)!$?8K{&IJED6j5K=A%+mw1l#>I&lBOQa!+lu&{-Jdrb^CWo9<(YLXx zG`Mn|zTZe=+k;NaYd*{yK#Jp*VRAzqCgI}4T|zF~B3s%!P?T9(J&3K}?i!0=TbPg* zLWk~MkcgNQy|PyOzmlZwcFq@R$0pcp{_`TynmEqf;X*x+kAyhJF9U@qW%bK|vI>wT z6N4g1tZjA5xs_LctlGGX(ypzyBQl3Onf)-awsS{kHM@^DCpzt^5=54hgG0#Q(x#*5 zTK{gaX3ue@x|gIE6m|*3W47U=IS1!gMSEp_2(7SGd3GZv+;5%QVX`14vj9QhHEGTY z<9>-{)MrsNEM$az4v78PB{+S|sv7$KBI)ZldPoY$Q}@0eC?UF~(9K~#op3!ub6UDH z{ZLHz%j}7tGY;)j>indHus}Zn%Ka4ybHq z$8^7w6wx~|HjOKX7Cm8|(rKrD>@-_c$hTMLO(|`HuUQIYT3wkXVN)wld1xBGY$XHO z{yfgMIQu%l)Ko-wlb`rbytAa4sHk`GR4Zu`tB+}H05O&i(yYTN_&Kt7if_WEh~5F6&xzZNyerwExOD(W5dE5A1<6%Y-{}{!8QYPF zqSXRdJjOx*`gbfp_})5y@_%vPojwaQqQCe|uW5KZws6DWyq8{l>cztCtdI)vHGt|} z!|b?ZfX(0&1L8UnKZnJ`T-9Tr{Vuk~EJ!iGc|T6K zbrdxd*lYFA_)RAY3FU-!{KA$27QHQ;WuvbYFjl-h$Eu<}@U5g;z)9PIJ*mwmi1GCW zUf_1XpXOz1jDBC$MS+1o>NPz#K$zvCl!c4k@yaAF%) zGIA`FT7f`pF4v+0h@}ttWQj<~XODEtPhaiEA~Q}4{!fhW*hK;TT)J^9tNbfnuD%bU z56B(?$jt3R{={4Hx{tgJRE+!ISHNk{EfKdjx`;nAAz#xqCnLs`_@7u*?%(eKc5FHb z^~F9Sx5MhL?P4xXDIQWE60bQmeZnbJvAdIyVa*8wCY^6be^Rm7xoVywEQ~xd^V}8=3cC=$*x0zY>pv=A_hHP;VwO)4n%1x+c|jH6u@ze!2cd zhOGL-^Z?@DY@Bpr8iE#4s^RbAuRw2Sq^EnqkRL03+LEDQ_eM+DDPx-(fGy20beu3i z$ZP&M$V{UA%gxiy`d6hTa9IvH|4`&lw(PF2pRH}2eu2-5N|FP;nAix~eHTmz5fll# zS~-=5F3XtBMgm>7khyzyS2BOkicc5`S)FdZo%8wdU>xQ1J>`lo-m%Y+_aAJ zR>c7tQsFxUxOl@BkaF>203eMGhRXd)l)2eird-68mwmu+FGZA8@%zMn{e};zn9X(H z0;;hz#7tcsEb+x^ePUaz<|Vqa0@Db2M>Q+Z(u=J`$z@9Kpk%(zC5>puWoQVC_YT;oHF*H-%9B3d$mJ92MO{bWO&1%;;5dw~{SIt< zW>`LOM&#suxo0_e_adX7BkOD}&DRz0q~8F%3Cu7sA5Tf0u4GAVKaIL4c7g{cf0QGi z+bK``K%bi<_k$0QH6ef(fa{qGL=qH41f4rPmo%?E3+LE#H1dp7 zt$%J%!ckf}hmcrEXu7&)B1c5*u*E7%yj-p3GPg4pEzVnx8cM?aB0vVqLlm|Q{2Z~&M-R2JZuM2bLb?D^7gBR6XZ1bWvM!{8>MA(bScLyroiQW^3 zJ_!q?EVYY)NKJksZ`2thD$71Uuh(||^?PWJZS_29ZT#wEx*b4NcU4ULhG=d;d58^7 zW)iztG>s)1y9WXyT8}~h4I>$0#}Y&OD^Kb!&5(8l^wcxXixZ=(NxT0*!$Z&e^ls3t zvK=9H*nOGv^GZhJbBmmqts0Y_QJ+*|Ha7(mE4)ova*`FP8EPVYXi@06b9V<1@S~WM5pb7jv+ImGN~Ui z0f4nUr*)@W^1PQS&$Bl!#+Lz!r#H$7|61XW`X5VTPjy|m-B46((^chALduQ_S1B{S z^E44BrEO=SGZudWi+4a&=O{~oc&s`4p~7ep^>VIW>`%GHi+40%f{w)ua8`slO1%>i z-+G5q$2oY86mZ(^+|+bBse8z+ne^PT%I@irfwUZJ*cf9?ANHQ!uf$M6!_E^?ZmUT(SG$~*esm}{(=hDj}_ z(dXuO@p6KWS$;d>NL-2SE2&qr=TPSU!i8oD!rmbD%1bl<950L~mpF@FXY6(Fw7X=I zhwk2H1kgJAA3!?Jx;~xg3Dxy^pFc^2>o{VP>WWZ^zRkJ;At17jCSd#_amHyQ zHHLRA`?Dd=aI;a(g@U*=v%DKT!>v7xHH`f)s)3QG)|y*jwz*L<PQo3CQ~;}G$}*2MIf??}h!pqa2CPP^vUAe9{_M@N`uI2Xt! z@aEiWkCfkfe&@BKUbDN=Z?t zMFnGbp-SAlXUuk{6VIywzB!1kd1szVL%%WO<|Ov1V?>{<0XNQUB;|5ep*oJ72?YB0d{^+Sat!CWeO+8J8 zKz98gDsthb(D@VOFG|K2mC$d7@&MU=w)JhODLIF&#Ab-7geYY)*LI0%(n%81QnPEh zB_J8lWto|M>e^JyKa#6-y+a=edTEiWfd{{-JTgXu-z> zVH07K8%H&TPDZQg9La#hz5=CHaQyeJ)_i;bk@#Yg883Y*XGSOwL-4tu04#hxFMKZa8a#RGJ_Pib#9@ z&#blyFq|jI$X|&6RXrG2K5??{_E&FF3k>W2IaN@8By1?dHA&n(`p^bxqM1MIms*x{ zl+kHMiLZ!Z6v|;Q>;>SzQ$QQkyw!w~)$eMVC!)vUgPtgvaG5=`Mi zJFZ&PL7jN><41->Ck0bnF8z^0-iQ{+=)susvUpA7V$`iMn=J{FI2HRQ+{R3KA)d^S zq3$fP0bKni_U=K!hm2}`B4^;#MFR?0NuEEXfIVfzJ2H5VJ*37vdvlTcN$anm=6LAW zqLA9hhyh)X&hM)&SS#MNoaxzot(`x?~1T!4n z+=fI)nDixn1Nt6rqn1l9@AKydvqD~t0T<)5{o!BaPbrhGohb1h=-m3fB_@*_tXWxY zGv-MFY+)~ezy?ws0F>#v=QJ1|pIP!#ubuqO-2DFWTG8cNyP!8A+33P{qhe z>7%#*qp1nsInRfFeXzTo_>mnUYea)VE(LEU7x2>#Pz5#oEx1@uGjYh|ib5NliC?zwh*R#$oW8csB>2k8h-p=($~gP8pHbGVXL5hgils z4Z+*ySFMKLdAuxB#@VkUJjuVfn6(nM^l@v0I$mhNi6 zX}ux3okWRz@-C@^hPtVJUs8_{UPf-toCXl5oiZ>FI_6N(cs(guGkO5S>BC_s#6TQ4Bp6?``ofP@5M1VsA2YRDvElV6M+3r0;QgJV{`I zh_hxmA6(BMv_BQd*>sfj^!5ZiVJ>262k2#N(HE>*9vGb}_u#`nXz~c-b1~i8)Elh# z(3wI|4G0iM@5%7+vUXA4qK+%q_+)MIS{;0n1Zct^`D7KItiqUzNoE9BhLt$fY7EB} z>&9`jduP)3kO^&~WK932#~GMbySe6AeC@%!i2~6>hO(J6t?og_maNzA&PmDx?lO7i;|mE;zroP+TTqr* z(A@eN^=R1lhi6U~btvmE?orT*SP!^?l$!jhlG1;l+~?pebU6&QUji%ohE+R+4n=9#g@o`V!mMRNZ=M>%@<0w$7(cE^I_P-Bs@#Hu`$nE` zJ4>{*+~u^puA{@jw_hx5m?#qF6Y&`B<8$%} z64M>pzgRDDUAFL3&j6?Ccx7PYB}8W`wM3V?<8&5X`tj<$@e*f8{)c zz#qdMLCuosA3f(zdF8g{k81%c%z`jAfm=dzgH)%@T-Xkf`_u0uwHslO)D@-ZB^>7> zFa|gfVJ`px)ei&llcAOh*?~rh7d$ko-s%-Y@4itWWw;7_b5wi(wDCRgW7sxe?~sgf z7Pxal_~cfrdH#mO!8&JmlNAf(=qnPpqt_4&pX}j1VCkujthz8n5WI%Sph5pPK4{X zVcXO1%X;Ka%G#!;5W@{;aV;KZz40O7BjF1sXPl8;l)sqf$wnCNa$Azi-J1y7K@iN0 zndtehlhTbr?ych7sIBU~JV$=0VfqQ2>9DwHAS4YHCpb(^F`sdca0myfvBIcEU!cXv zTAULu{mNsK?kI#N;1`B7NhmYx8cIz18v-#~{7ovnQtX4=SLKZJ0Fv`bji7)kf0vCE z2Z(vy|w6g zq2v++x-d-*0xcq5#fQbe&AiS8i!A(SHcqkv=RnuZp%#AodTB81ITJzNu_b4R*SQfP{050^M_Sipo*M@Fq9d>A7S7M9#{u3S0wq29_zm57&!FaiXzf`-Z(_r7 zHLJ`^&gfG1ZoAoJf|7-V`s56A8JQR;B2@)kT!)td$C;tLjY@c6PRFVXiDMsf@}DaC zvxNcd%8@CP`R2)iyt^n_n$Jys$Nv)NdX@a`q^A5 zTLM?$gtL{q^oL^2g{{^q6!Zb`M zK-W?8cqIp&`ZqPQ9xz&gl)ehz z^J6@_tZaj&aO69>N&?=J2^h6nbTgNdu-Cbc)E6@g-@I_B`}F#cr55=B5A_`OOn19H zwJX+XtKh*FzIjqIEuLFz<`{%t*ckanHNu{%gFsSXq7w+d1%3$n0T8ptCoJ{@2O*0I z6xYFF5<$>!F@w&cWwReWDKeOu41 zTrSA~P8y8o6VnroKjz`pT3jr|^kKwBk98UOMyEE75aU1uPVCq2^EY=Lqi`)uV zo}Sp2A1G^;$=ZEkD_!61@YqKXEDs4gsh?d8m01jmDSC#TbC4;+3@-8s)feTW5~(La zOtH9w6K@*Sk@cfe&LJ}@(ekrhGJ10QhQhc;#n#Ay33~p_X=ikdDyKIWC`ef?L3Bgw zrHf9%$nIBFbPAVM-ZuaJ3xN*h&KuIAd}8?(QM-)cgjRfm5&?hd6qw+>*!|&ySEoe1 zO|vjik=Nz@aEm(Lh<<6)mf!8eqF~yjsK4ayE|+$_fZ~weSC4br@hAu3Xhs}QeGqT)mGUB3jEsdd(3B_4KMnZSWXQMfBi z3H!u;=0m$EtiPma9e>(I2;}fj#Y_Zo$iF^3!v8JngpAD`@I&5)?MQ6ODj)&C^O;j& zvN>})MEozjuvFVW*Tj1mw?TNP1KM@OgfO&?U>{o|4s*RaPeO;>l+TbOdweNB_x2Ch zpwD+|2Es`SLgcp3$2!j&3J^d7_f~LkFj>`R>)P@WiDZZWeAQ~6Gom}qnf^NyFLG>M zc&x^K^&&;a&yGi^t;<|W#_GPTDziffmaW$=DkRg|L31u-BD%kcs9_Z=!cCF|5kR?v zOLseRy{~0<03Sc3`GJleK*`VQ^v^o?z}o?Ud6_cE?d0k;!dnl)A`d6qnhL~G4D5xI zA(<&ONQ424g=xxb>Vb}Wf(Wec+15p&4u!sCp#2To9Rn1iRtg&hhMi`{kX@`w_;G>5 zpq$``7blQ8!LL(UFpwwPF?2s?hS%O9(dy@&UKxw0ybv9RqaU?XqG6&Mdgq*O$sIw< znzP2FNBr$SZvc*%HhYHo^cKE5=@3)$i7v_M0Qg*0T(xf>9M%D?{3$vvQf{Ej;=T|c z@`f7GEewRBWu*8E?i+<%0bgxb4()cUk{+R6b5JGZbk6bG=#E*>!~zL<*D=?mdsM!P z8Q*K>PyZ|UstqFd-}G)+SrTGy_P%!UnhE<$-8icvTW(> zHqFS%TO*c#KsB#w;H{2~Ywzj4w+2%;!q%~AFRPlVJl<fSdqsqe>3emDJX5oY#rawz|1t^;wb+5a;L`n>s(K(vp|xwZ;Pw zRV-33C%#n@XeOktid^;0XI^87dVtzQDO*Yh9e=ya?1 zBwh30i=jQv2G}RdQEm35KeasiWcsGbeDcGm)y5XwS(nF0F?*j%)nSAwoX4t~`kCu% zcDToeoqyGnVaKO%zN=#DXU?wq;~pPI{8jgaxliHFtU}b!Sggh39uxQfs;k1{r*M~6 zcht`au@f6+u#1WZH{RD7tDOX4NL%dpR*9ClPu8QS)kjprqHqD*v+Dh=V7H=RlT$Hi zrvh}MM-kV;(pE=laN)DTS+m1Lp;@1xGvR&%{t;pWCl{j;#%tp6Nk0gdVNKY+Z;72| zc{5dEO`m=!`x+euJ0N9>ZR9pXpuz6ppx8#uk-z1A;!=ZyOaL|bc>56r?hW|}06l*sBF7nUA4 z?5`#Er&HqkI22j0*wrE_U@-?xFpG+kH;?v|t4Em1zlB%4`X+u!AXG5g(6>&l$}UZfcMP51bxK4yhARMcicyCbj}14Cu)`(|R)Ztj zHAUv#!YR9kER8dwaJb0DK6(EPjm(rfEjz7(AH!DfUIm5MdT2d-rBM1M_sg4P$#--G zov0IE>YPD}22#CJU$&cJ5htX+LMo$%ZeQyeIj1-#Z)}vh*=18-5~LIqp%q*MP^7;y z14!`S2OjcO{K9{RX0lWK^>SgB+E|byQ#-|+1-%7V3g!I3i`wn`q-%9eXbt2Y-zXQY z7WA@C8Q)bgDimkUA=6o{%Uf+gBHB@980P1?$YE^K@(1dHKh(aF`+y8ii`Z)M!*CLY zM!m53KznhBgfD!I-lDW0l6tBj!tlwoWN_F=5iBt;AgawJLOpL|Ucu<|fNw88cd@q_ z1fg0!Z*sg_YQxB#l=;{bnAa347RvztKyBUZn;eogh&HF%yp{<5U;Z%OGAFOa9fq7d zE1*0_<>M<^SY!QoDqySKanhrM?r$5EO{%dK>CSVw%#)&Yi}pC|icDDif_&T}uUvLG zDeSCj304aMhZQ2`NCzP(aR8CRum z=Y96y!<1j@>s*$!APhDjnX8A?+cDv;Z-n1?XtZ+4e3W`?B9~N##5CE)=OK@8yGXA{ zt!gB1ay1jdGD@=+V1b|5L=Bsy8YN7xo#-j63cP;1*;Yqli+WYPMxHZJw1JiM`bA!> z6PFT-f@c4<$RHgiqC-Hw*6_R(E^}Mgn{v(V5<<7 zBNos{neX!T83Q5(dU=7LvP*_)#q9`nN1>pqc!%@4{Lr&1Wi_`&`^J7v-a`xk8Z&ww zL!)KmvwwEz@v>{SW$ZMIGh2ABWa}&#INqw=(qo+W8qI-OD#Y{DUt=5UVA&%QZ`5E- z_}0a~dZmv+HuoIr77JzHuF3RgWIA3ngmRc%@-8;<1E*Ibzj&0h6uySI$fOPh40xVG z<;d8gB>%JWLlUoaM`p{r@Csix z+i1tCxA~+*TE^4?alh=b0Hbwaux^fcvsbI>&$pYyF&Y!piO=?a4^r}#67x1`T8$8lH1sIXq9c$&In%zQ4+) zUe1@54}kC=^3OA*s4aSk3zL2!lhapf>Q76;6fJa`5l@$mLa(i=zRhQNY@qTzs?P-z zw7l>_ZfIwhx(bhtlR1cSo^r&`=R#?hQ8XvpQ-@cY(SxHqr>1HV9RnG2G#@R`QP)~8 zS;1yGdP?1UsN2~F5#L}0rL`Op*~ET&@DAWv&}NabuZx3Pga};uwx8MOPy@yZ$8})> z)A$$3AO0OMXqyE(_wKS#`}COZ1U?VBwZHrRuA7?C#}npAX8ZI!l&3crdf36)%>ya$ zA*Zda*4J!Qwov|V*Mrvtt&t#izk4FK#g72%!s2~f(2Jc!_(=)%8!|f$LxA@_Hx94X zS~^wTQtSxL!vv*(jp+fr2 zW$0_G$Rg0Cl3{EL_U;?F4-zBc9NXRTG7+sX(-OnXS;B~#K39c93(uzp@6e2=6*a%Q z?b%{Lvs;D5*e^OPaT!J0&q@>?qJ6U*-%MO?}onI_b^B zga@T{(rGH@RYi@FAMpXY`vg6n@0PHl3Vs0`5*II+IFfo2QF>_+*h?Uf7f2*qSW6O$ z3gtG4E|5Ll&%hg5S_}f#CS3^Q7%HTdcJDQ6&RB^FQEJOXFgPU_ojGgN^c`1F?AMwT z;w(uqU-H0E4g!?q~_3!PrZ&FeGj$hjISaL`-z_l%LsoLILHYc;3 z(DG~AYpYY6KoQN6O}7TYcbeC(c4U7QAGZBefD3eNBFcsMZX8 zikC{OCdlV*3O9qqub7{&rZ`%0R^^YfJ*=R_6utuZ{a_31`hOEhwn6%;dOcw_i9q^v zMgG`JgvcCVKe^SxX;-XIwterFZM5>T9H-E!iWVyD^K*wDAif)mF8g}>yJ&#ep{cRf zR~g26{fuE6{B~A!jLI(>LgsnVu5>#}D$8isY=@`>w3$#*fa^iMqZWx$73=36AQp2* zBq-LGINSg#;B+|N>{poMy`mQ!g&0i`6w?15-4Wk9i)q}7_;s{FbF%ogk{aEUS-0i= zmm2RG@>~`;h=UC|gATWh^O5A#LLUn;eMg}M_or%JPC^bZW_)_p^%9b+xN3X;zQp1M9qQcjLDc3k;@8E_#QoxhZf`9g~h71%^)+uZo>54P7 zHPx9TP3H=4%)yL|^yC$O039K|=j!B?3^jmix9{&4hLrNcd?>T0zZ`nJs>U*a$a~(< zp)2voj>?kzyIC-MzX6t)$3h#-&-ciRgX1xfLjWW+Q*L}*M;(d_{EsFwk>?cc$rZo! zlNHq%a6^r-wsrf(s-O)mM5N>D|8B%mHNIUCR|_?2cuNx8T(BMMq~^q#R5Cml@lYc4VpH(?QL zxau?H-~4BEHM$(FRDTm51$WO1(7g|syr^IZix2mz53=M`2l~?1qi+g zNdyCvW26!9&G{aX5vwH8WN)9S6%DgYA(}$uQ!1Aw8E85m)|~S-SEt66SB?R7gk}C? z39~`3+dD`2FXO^F>f0Gg>%#!Z;ArnZgK*8qv42EKN{(+El={C>rs=fmFoFtr2xJtM z3)>oQdT2WQLT4O0ab4>L#{lOft3$s z>4r=3t33d2td>wAgcH4mlB(HGg)AW@FW0wcF|rw~*Z}p3pK&~)y7}Xn(UE9))llbG(249G9wF{b^r}=9e8CR;&PX?y#h3~J%19?8PfYj``&xR$JYw-+XNyS z<#_)Xfn6k|eL=$X#j?1YBwaLVfu2p4;s(%D)OsRH8wKP=(4fQlf+DWl5D6 z9&TnWvv3HYJK3mib$ejF-vl}fo^hH7Eov>l{!)k+*-{7Hn%SyE>=E_yQnQXawK8Yl zp=|S~jE!@orA+mV-r0JX8@0NY@kH!4awu+ToH24LTW*NdkF}3T?VO|o9K#2-W!J_z zDKsO|T-P6`RfG0H zy(`0B3S2&9c*<9QS>*jAZ{l#S+hS%|#addb#8uftU#7lQOMfdmA=dFZD)Zp$neANv z7ZKN+aPBh*@u$aI8}@SBRJsowCFNKVpwH;vowzlBWl4s{Eb%B-ZvLcRd_s=4PsT;+ zjZD8)f&H030BCNkBk;#*V6p>vwKE&cieR3zK(##+!M~lM$!JHH0(ZTrL3P}Ex%G4k z9CT+Gp0gI>%$*5Tp?VVh@;Yfp)KXaK&&1xmleKl32TxtG)FEBCDJ%E%*KrBgLFFo` zXY_z?_77~3E^l>s&*=Tnz9Kg`W-8mqFCHViK`Id1k)gE#89_rS!Q`6NPo*>u!m>G^ zH3oLfqP|qA(+Ly`Y1=9(J(2^x$FmGiP_jIl;Js;igyea8#kh^6;W+plv1mxNn`s8@ z+Hf8uiF$W+g;%^NEx|<2-yzRk-{ClUT-27ajG7}IwJ-g>;QRHm#xnlH?shT0f;}l75T`DZBCfiA z)7~UXTPjvXDAA6Vdv$5p{#+nE)!@9F6N|F{>ZyH;e+vnLY@UG}6ixvX!qDTd?S~=r z??pTAKs^ipEa*8%avCq$gNDle-Dy5?`3o0Y&4!57weAQfp5Ipj=O?h>{DSRbW$D}Y zJzf?qig}pMyCF@ zt6_Wy6)n;&Y!c8H5t#VS#M0j??1)aenD~9lj3z5dhF{4((MEwP98=|Gl<FskJzKCKk-A}m$Da0czv!>WxdxHDqFC#Q4^lr_ zokDP^7z|WGevI{Na#GC6Ul&olqMm^*dDv+$zWDF2_MX&M-2T3T6RhJb!&%-oti~Cc zOgF>16r`=aaTrAV0k8O@Y#{~joO+`8T$%jHao|KHPuxg_VM}v)C~_skT>o;J{%g*G zbxnS7exmQr((a+B5=4leo%#&qnlqdiRZI_FZ&9x84nfM-9>$Pl4?OxwfU{F@o3ZKU zOrqNRE@>LIY03w@bQ>IG(WXPJaqe3)BV8n*WV%CRn!n~wM`82{+EYajPji9OtPZRK zKTu@3AoH)}&)Er%XGe^dier!zT)0T!euh=o=~^{`g2SBE&zwV%I(pA|lSTAkHQ7)NzjDjm7K%=9-M=ieN0CAbO1tUyGay`yC7VMsaT2vXdRKz6RHTj`ahi^ z(FNM$SE1eea6~7bI)d)WIvfjwb~bFlNNwvKFvl0GdY>i2POn6!S)NJIvh?tAec`y9 zpkZlG6i}!|UOp=My-C5MIvXA)tT2SPz4(#i$1Xzi`??;mO`vQM)a1ocA_Y>>bS6Zb zbcuj+_n#sDaVNd@zW&7p#6Xs1MHk9)9xUjDLtah67tPgn7GPJnY`{J*0!jfe7k*!X?VZpMtU%-Hvxv9FPzvA4YUFx*SW6mRhYvXU>q0ydnWtFKD<`>obtr+So%S{ zy#pr>^KIW;U>^5>?9typrB^NE^EiB+RCbAkc zCiuc$?@4B6=$zEzQvJ%Y$1wXL3a+#r*J@$&%|CdU_LMO3Gwu`P%>5B)Qcpgm1)>stEIl3Iw01!`X~E z1zmrKG1w41(m%%I;ATLyZ-SqRG;ZFReQU>OW8GWZWgrTOV{=2FBOb3wEMLa7>mq8 znU3=yI7eS%?nDxKufk9W>pILB0uY?Kbg=1Pl2w{ynsDD6u@fC8PKASUN`IOUwk}b; zV8eHh@67mr3uO0fmfuPHhW5W^&U09pl#c&v(1skgvAKpx==x6rY4EbNkDk0DM2o|v z2Yj>OEM)v1*=8?o{1zw7;h-pWEv$Q7LS^*)MmM^lKx7J8i#S63erQwF1GaTBb>~7n zwih{=ewHzLIe5iBPA&forQBy+9LvuB=*J`4Cpu99<7b;=C7-z=r0_dQBUDw`o=zeP2hHyVsY)qTnd^?m}v=h^_e*Db7Nt z&MnVbb%?X@$#6%KiHqd)!etrZD9fMH>yRk*UDM9D{It1*v`vo9c-N-AMp2#p3Q_jm z%k)Z$+=V%hdwcREzWUcnAP@A&$il@p9hqLcC@47m=>Ya4-9>Yrio47qIG+gn`#v*1 zIoW@ze(fYFtn#ezSPHj&C9FOrWX%(&9=p)}iAZK`H_;z*zsGN`SwvKHL{%j2VLms z8xx(gZ7aaj28M5QyCg}dOpN4^;W=^V_}b@?xRqF3+CR(MIz{n{I_a)7h1H5N;l1|= z?>_H>PcV)ZdcdBYXvfYGp-Xyvn<7uY6yS1&qC)LNB~%(-#}ZJt1r){Z{#EH9%m3o| zs9Z7gfVS5PIEvZPG$*E5ec$1GU(-5ML-TqX+G!Ct?8UMl4^nZK*G4W_Z7SqHL=JD} zBzVN9ayAn&uy+KVRBT9^QnI)Q3*`=u{ALXA=jxKmhg=m{42CKrQUwZ;LV|nZtPeov z2k;vV*4gkL*^Jny2%!AYr5FxZtUs=l6Yom!=PD&~yYl$+mhzEY$^QJM0)nnW{=%gq zqOM~8;-wOju2TNe9WtHfF9YXFU?1eeaSBYBB1=h`LSd?~RMjZzObwQ%7Dbz>!_w8G z=ra$n3=Am;nMSPtj44#63Cq-sV$QT+Sz1vJF%Pqj9Hks%TC1{IA@d@usF-qz zS;8tUqm(l*v#wmFTw`8m-MC4qU{U4Sk^uGrzJ%Mk!;=Z>;eN$|Q4&HT|73!~DUT{Yhan=UDR#lttzeYxx&t zh54Jc`iHW{{L5P3plmY#v9`7;JIr0y9;7B418=@AaD72cj)P!V?>-GjIyeYF0vr}n zgwTfMzx&nhMoOlNTgjbV{R?7(3Yj7&g(|p9I*3mPAN2<5)%o48a{?m<@H)aZ?O)P) zD7)zWeoddP(aOM_7BYNc`H0{+rrka#!~Ie5Ew_;wt){UN6na0A;1hl+C#vdq&(1@# zVa14E;4m*D;97l|42X5Am*!fgfWyzP7E4+3jPzZ^icDSRuL3y6Psqs;xre7t7psWY zE;AMhi~$mymdj;WyV!RxF%>g#m`}&vvI!BVTrV1KdC~1fZRU3(_EpOS+bkVQ^Xzqe z>y`IVN8wk+Od)OP6&%gLhCsI-QC$_6V_z0lOpF#*zoO!~$sr#k9=)?H8Oh1d^}HoE z&x>htFwGbHQiN$~jiZ_*YUXxavv78J`LKE*L6bbhi#&MtytM_?etgIFd?g_qDss4B zHo0J!{<>zPewDV7qnD?o|C3v!v%21*sdn|q(b`Gc@qsL;st8miS-%`bM`9du-4i}# zRG&Fjyl1guj3~?+6B^;Y5=wj#UfCVTB{9M;;#Q3vk*`dRtu7>I;55Ulx##fy@aFbz+M7jQ#SYvHlXNRSf8t{a zui95tUp@-NKLtVMDiUv#SaoD*H4Kd~JS@>I(%oq$u?HP8+8alh8SU2LUk7Ie1mAZ^nAD10e@CDefhXemF-hs$iTnP9f$xgRlW9FcQy} zfmY1S7UmMCRAkOLdY|-Xdp&hrHr46NZ|x(a(>-!5cPISY89zM45in(y3qcE?){WA3 z)1xs)SJH(^Dx;DB-iHMwC$WH32?bms-Yz3uz3wELbo+57AJNwn0Z5&YD+0nB*KPi0 zryoQ>-3Aot-!Oo5{=GsL?a1%^_^&y~D)qwD-*)Hq8+8pkGmvwj&@3H!D*~qVS?PH) zVN*&aB)9!RaIA|6Q8aXmy40Y+{e*w_Lf!iqlP2wU$FlGrMHkR-E=VK~Fq}vy57tM@ zy{YHoPV{VkgMDzME1735?2cntw;s`#wUEndI9WTvc}>Hb!hRZ_5TCHnk7-P`MV@B} zK@^{Zf(ZzONk=vs1L4$8EsUXGVlS#x*%^4p$MG1iKX!A!C}O9tiJh?Sw!9DE4m`-t z^9itMO65E@#7J)-@HMZzy;pjpcH~M;wWB+C9i3tLLY0BD>)g>A)ivacc55$ndnN9q zT^tL)*k6V``I1kH@pX{#sg5Y?Z|=U_Kg#IP(0bORr4sgbS8L%TL)iLF5YLw?zSSPa zrp9yL<_50Zk2Olo_c-dv8nw@zD(UaKl(;WdD?Pm0o;Sjmeq^lQy1-IKLMqOc7^Vxs zsns)y>v4bBtG|**AxJ+cqEoV<@B@WfFqezQa4&lXwcAE*=bLA{TE2gYDUNqodyfLk z3?|}lJMDcCZHy*7?jvk1CyUZLxZ{pwQk9OC%mNf^JMCc_b?->l-onElaqT$U8i(Gz z7iyYq3fd&7ApB7GcFtKG za-N3(`3ny6BMd+|4e={yD<`jh%swtQ0@T5eCd~Cz4n*mZ9)Nn#+6K=lo<uFQs75{o;ocKr1NsKnh7oHj8PJg zMv(LeilZ*)D$ow>C7cAXr%XUQ&XH(Bw!D`K@53q%@2*FM5`3D!Bm_4QZkkw?o4xKH zCNBacBu+(jqa+r%RB*!B1JY|zK4Jd*o(c)R6CZ*7YjFY~2@so$B>;;R1*Ih5_{nxf zLa<4rhP`5;hT@{6qyzv<^>LR~*Ytbnq3tIU&TC@2x8fTaR(V`i%r_|XH760jch;Mr zppfWtmU_GoneI%qn^aZmU{opHhbGS#x<~~*6%lfOms_p{A2nA2(|=ZQeg3=5wgR3_ zPXT1CYt`-kzFdU)UCre)lTV07P4CKE&i6|m;50cj@oiFpD~z96G3>oOV2o2iMBw#- zP8+IalD8^Un^jN2w;WqOXkcnTdhnO<-`H|)i9kBT=a&p```s+swL!k9*JXy&t?jSj zK#!W23AOR3n8FXeycchew)1`kZUg%4qOzX|RKqVa1paFL-ueo@9L@p%pw0P%yLA9+ zOl=*6YpgFP;25UUwlCv6&5#PdA>{OuOdcz{*%n`rGlQlw3;)Y>9Dq3?)i2Z=h_N%XQCCU4cuav1<$J-0?5VWIoiq_C!Qm? zm-1cba|`bkK4%#Bwe(rUqZ`imp&ZwzH<_>Hf&9rg*PDv3?teUiWszR6=u4On{noQv zG{O7nLjN|qZ?k{4-+nvqlFm=s^YW|i5a5I+tEqBYbfde6n2T)LaEr;8@RN0%5*=Rr z$!<8ox_j-f;=(03j*q#CHGRC)9TVc21%ryEc{k)kat_lk&(km&L9I|GT?5tGH!r1!*qC;BA`iE;b$nv# zv>5LXjw-7kqV>Qp3ynn-PgjmFBWEfnn{DEaS1PC15E--ZK}2qycu9%{zQoh1Fc0MpPjGBO%ehOP zb%#|VI2*ZsU_)C;Gn*ms^g)LZYuSIGT2>R8&H0FQ z`Xqo%tvaWnx8Ispo=8Hb2JtRj$?Z&PLS&!a=$~wwF@notnosmESgZ}b8iF2y^eOn~ znSdtl%T!cJo#L+&OOsmM{e3@cyINgRnq0+=q4Me)-}&pMw;m%RtHuEUlQA@clgcED zGz;sE$pOz0@s4znw1OkeXQls0T}wjvLK&pKm9dJshLWI@vS!o|7k#Vc%+uiF7=yd( z3u%yhc!tC%k%5xihU>ldT;)s{qo$42V{|T+OUZUdaP9-^NHKnAf}lO7J8aXz&iWgI zAbSov+?;|A)CBpB%jN>1oE^BDHJ!u1T>`5r#fNoRah;|6&ZuN`2Cdbx%p%~TEk zR`iSntnkFESgad#mKWXUG@osJ<1A-X_GuVmb7}>eOp(+O#;#S)TZAtoECVyFSDe;p z#hEQ3(#k58A}?kz6XFO{NAa?hLQGx`FKue?QYFgxb@{aOf4Fp9LnXoiVZFEtSS&7Z zJ((%q$(dPi8>^f}J{hlMm+#mFRp!j^=uej}jG@L8_~7vbo-N72*BJ{doI!HGx<2kR z^?L)KFunv~Vf2Inb2It;5#8|D3y3m3T{>K}#L-Wc(a`gPu_qk18NOtN7UZ zN%^g)X@nb*A^Pw%r{OS`n%0`U5x-+gZp%0jztc+CS|2tm*WCfmS;?-dW!QbeXc$le z%)C8x9aT*8`I;c_I90t8_hESkY6zFBi}5&wDNc8^h7zfk!wU4iN_DA%OWE0=LgHww zUxCN(_&|+y)MjqR>54zcp)(J;0aNX5KCF@x`Krn~f~)@l{fvfTBq5uhzP^>3pof5r zwo{g4TUxSXjS8+^*U2~&ZPPLC z^*#}Pd(SgQl1ciU_b-BEB`(4f#BI=W}Lz-=RW|h0u@|l=} z3DczsQXX4R_CBA{e{pc@vM18h=0UT?F;Hq0N;0lmtFmcdM^6&tl(_EmZMib4>b~%5 zVVX1n$sFvZ(9*H+^1 z=ULC2(+i0{`bsde$5WEKo$jKt1X z$MY7RAH6&89B-c$8f#5UF{O5(a^u+lS;Vs3B>Ur46v-vBd5V1J?g*TKI#^X=IG=WD zonL8*FVzPg=^+ybb>EY#RfN@96kO3#U9ptX<9@TT z{3r9XOM3(CckZ@_XNJ?uYUqY>fu_lcD*z+91239ro-G43a8PxmS;ZP=a7bOP*(?tX zN0Xu{>78%mv`gs$=l@OoNYEXT=|!qapPht5-U(JcxyY#C+Ibt8_H|<0?=8-MDx%Tw zM)*He@zMdy`K*8G1d89ke0lGGgqwirwtYfj5#0BmanNmg0%5E5b$I)~Rp|t92A@!% ze<*$-UYFeYue(29SXXZAsdnQH@q(@R$j;Y*`Oy4H#L9AvhsZ*<&xNK`yve(njK>w* zDA&L7ug}gCmty9K75REU`4z+cdtVv5JD^OfXmug%W52@KH8F)}9nsXw_)*)~Vqaqj zJ@+2KiUaP8KCuXWWOh)7GSE`N)(W_Z;t3yKF4nkmdoMEs#q>D$GNPd1RbBf)RO?$~ z^SL9tX8Jy#+b*S_%}k2nytjvlf||k+$Ls#&w5Yhgnfws>QHMD2 zPG@z4C@txjxv`j^blW)-<#?aXlSw>Gs*cmKv&q^PmF!eyc&ctZes9DS;e(@emi{}; zj0{0Zku;YSEhpGjio_@*k{zdhU8ay@6$W`M9KmDWRQucfWO@2;@uBquvH4FxdaNI< z=?u)d!q7wGGt7!$2cgAVagj3gbUrp+4cg8<# z%Rs|-I#z6;B7rgG>=)Ia#38oPT;ASFc(h+t)51A_N-;>s9 zUR`~)QB9vXN93PTFtvH}S?u08L7DhP6IM?7-{=4P7b8dUG-{u~N@f)Bxa~|LL zay9bf^W*xbc|xjZeyW0ej6nIIWvz{l@JJ~txOI1fWuYyx#j|j17|>796JGDi z6nkC|4m?6-3v?UplWNNHz_NfvMkXQlkk6k?X>#OMZ zh{7E2Thc!8*7z<|jzW<&pU36OhoPC(FrO!VQw-8hBSORN?`^52o_H<47G#oG2?r2h^tgWy$MnUQFXcH1~#rq=Vl8-1I(%zD=Ff`-6SA*zT$lc)i?ut3CYoK zO0#cFt{P@_FtT5GSvJo=1)Ev3kkX7?>n5Xs4eh`7Y!j?=ke2gShvSeZt@1bGofs#T z?p<(54O@KyY=Q8s@$EXBti{~S40Wm+VI@{Ki;>rVeBHYB1(dyP)wfJr|A?!|x9Gs` z;=(>tBZRFCzd1!WIZE}zaC_5Osfp9qOJ&Oj2bZs|2v;Lcb<;%a*QTHPI*#}O9R3{pwqlf8 z0B{rvtg|!uJh;zb8OuHvK}-vms+i<44X2m>JUqf5QANguj!Py;1TDd1Bh6j>jP*}O zMM)r#6#?hHI$|U-Z7w)Xyt!-GOUIMJwXt`3)Am(fRY3Bm8dso8p?6L1;8XgEe1*wa zx0jfa^C~62?PwU$)17OoE;tYFy#+i^$`zCff`YGg(6sAUytd*6^Z!!r z1}i+g98RK&hoYklF>e+w1k;wT(TZM~x1|Ob(hiJ-$=Q-=nkbAO6kO;{!^52+EkU72 zd{G#>M(4A^!g70ZxPfPY!u7#16YlgvGvoEmGlg+g_WA2^rCD7b<@^-ywg(vDYudmn4U8`rX zI_g$nanQNQEo2;r$HhfXV(%XyBa$e6D#!vWvPBFFS`A=e3Ik)EgJ=QzgmfBeSZ5xY zXsj&{Hb6S`*H@Qk3(`k$NqFA#Zqod^U9(k<;kIx-#gQlK$Fi^gDO)W}wo=l#y{{uOdE8Lw(Fzq7Ys#IaYIfCziI)TdzXPWQqer|tJ}B8fJ9VKj+dPuiPTz{O@RKo)<|POQ ziR3552wtLrBNnmNt=0!*olR|)%ngqZ#>5o6Pqr}Z_Ku6{Omzhm`dMx+uolD$?)F8M z4_hPr^6uWeb$ZTq8(L6V&=mH60Xn7=*0Y4!uF*dqD z+rOM~jMu@6utM4)jg!y(%#X@Cd-u&~`ab)dh%lH{biH=IDnw}$J6VlgYp{ot6+ItW z4v?lFq`RG(K7TBGDCz4kJ!y0rj7cNbSt{Cl`@e1hCh_<`?8%K;KdZm?{&q?oXp?pb z!3|2lJP6NxzkBY(A%{LbpyDojb? z^anj5vWvJCE2L5^+}I;Ny0P?!!7_uW-WdMgq4!_PYWO(+dqhAI2K)rx;k(}c)CcK3 zU*KlFZ_ND8J&^mtp~;d6sB(Yvs71jya&D|Z-Y|LL`pb8!Vodv168xxg!p{tdf@TZ8 zet(fD3cX{HDDTgCHqOjP!hj9~*(MHE1~@kpk1ru%7#N?rvS425F3umG8pXDU{DR-& z7d3i_o84%FXu0r&Z(||35#a0wY9OXB*^sPqt7nuzbaWCHNF@quXlg;)I=XuL2h>&m z8euq2S*X2;Jsp=3yyPx{hX9`#zktA?5GXXvP~ICjkpw0B#-EG`r>O3#0ZM=bkOs8= zr?Oz7e5_49bzk5y7M7$+Lo%|Sa!Lw{UXPViBRwtb9QIrt58Kerq>QJU*qf_dgAN@z zddxuTEC40DxtKYb9=BdR_@8kqh73@L_jNh7MgYL3f&?y6r332*h6jxXA*zX~nYpuT zr=>`~sTJ5~`!dnu5bdb7k6IW|nGN6$D)JXIB4j-Cq{cg<<9uuHk50t^>U;7&CxzSm z@k_z@>pbiIdZ{NNaz=J(iDWt+oyuISgrQ*NI2kMeg?}4 z;EMG9IA>h^4uOH<<-S0+1Wt4v1OR|PjEUfSpvo5p7ySh68=T-DM!#x*=^wQ2@6-O& z|G}CyrTuesflp7hat?m6=INE2SA+9wE?;T79b8?LbLD(ehhA$3{CaR(P0N+X4}%}n zG+e3g30(>Wli`g13)auev|sk$vA#Q}{bT66mgze<;co{zdE=J^|EoW6_;2q}Dicnh z^}FJv6gJEIaMAGvSGCzPnjwVNWUk^iZj+LeB#yN&ZVMLy*- zp*<0AjjDAPG{y(;{Cr&Y#R&)B#&YqCg)PGgm4qZ8f((pbKAsyO;=M$1lqmopL`WEc zku9gS4@cmLHk^K$_Zgx&yPP6`VDm|S>F|niE+Fa+AZO&Abq0mbT;#=j$#D32MeuRF zOT{SzSfLk!GMF)-%S!{xW~B< zx)u_^lLlWPup&Ydx98Tuja&SZP?bXwnZn1Dpp4fAAde6w#WEj|ANu)}2(D;x;MVn& zZActT;H1?wcnO@ip-No6cvOOW9fJ``1m^@PE9w)uq~s(rNKaFV+Io(ljCctzWS}A9C@&|huy@A9 z-C8D4>sN-DT7mZ(_zaZyX-lPCGy^N22w~h$3m?tqabhlMUA(fC#wXbfi3{2vv99~u zDoWPApM1Ry*3k1XPO;*=A-)r=#)KOw!FP)?FMy895N~I0A6OHKa^(_Q*A~^T3mfgl zY2OYR!{fpAYX}SiKspOV!oW?$`dK0Z1$cor$o<_900=sC3xML_>>wa|-6XFagkq5N z*O-F#zF+J^z<1Y}e}@mpa&}DZH$3HvSg!YL^sqGm?w&Y|30o0}*)}mr+wB7~zV0cq zqX`AW`Jlp1>7QGr1tSY}9g~OhwT~=Ra=RYH+{U zP}ES?0CpC1YP5rIay`=>F*mG)nwg Q`^bDWa2wM;a-JacKL{_t%m4rY diff --git a/16.map b/16.map index d763399f..681f62ac 100755 --- a/16.map +++ b/16.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:33 +Created on: 15/10/03 12:14:30 Executable Image: 16.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 12b5:0000 0000efb0 +DGROUP 1323:0000 0000f230 @@ -34,27 +34,30 @@ wcpu_TEXT CODE AUTO 0000:6440 00000058 16_ca_TEXT CODE AUTO 0000:68b0 000003fd kitten_TEXT CODE AUTO 0000:6cb0 0000080a 16_hc_TEXT CODE AUTO 0000:74c0 0000158b -_TEXT CODE AUTO 08a5:0000 000076e3 -modex16_TEXT CODE AUTO 08a5:76f0 00002180 -bitmap_TEXT CODE AUTO 08a5:9870 000005c9 -16text_TEXT CODE AUTO 08a5:9e40 0000010d -16_in13_DATA FAR_DATA AUTO 129a:0000 000001a4 -FAR_DATA FAR_DATA AUTO 12b4:0004 00000000 -_NULL BEGDATA DGROUP 12b5:0000 00000020 -_AFTERNULL BEGDATA DGROUP 12b7:0000 00000002 -CONST DATA DGROUP 12b7:0002 00000076 -CONST2 DATA DGROUP 12be:0008 00000102 -_DATA DATA DGROUP 12cf:0000 0000056c -XIB DATA DGROUP 1325:000c 00000000 -XI DATA DGROUP 1325:000c 0000002a -XIE DATA DGROUP 1328:0006 00000000 -YIB DATA DGROUP 1328:0006 00000000 -YI DATA DGROUP 1328:0006 00000018 -YIE DATA DGROUP 1329:000e 00000000 -STRINGS DATA DGROUP 1329:000e 00000000 -DATA DATA DGROUP 1329:000e 00000000 -_BSS BSS DGROUP 132a:0000 00000d9d -STACK STACK DGROUP 1404:0000 0000dac0 +timer_TEXT CODE AUTO 0000:8a50 0000020f +_TEXT CODE AUTO 08c6:0000 00007bbb +modex16_TEXT CODE AUTO 08c6:7bc0 00002180 +bitmap_TEXT CODE AUTO 08c6:9d40 000005c9 +16text_TEXT CODE AUTO 08c6:a310 0000010d +16_in13_DATA FAR_DATA AUTO 1308:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1322:0004 00000000 +_NULL BEGDATA DGROUP 1323:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1325:0000 00000002 +CONST DATA DGROUP 1325:0002 00000076 +CONST2 DATA DGROUP 132c:0008 00000102 +_DATA DATA DGROUP 133d:0000 000005c1 +XIB DATA DGROUP 1399:0002 00000000 +XI DATA DGROUP 1399:0002 00000036 +XIE DATA DGROUP 139c:0008 00000000 +YIB DATA DGROUP 139c:0008 00000000 +YI DATA DGROUP 139c:0008 00000018 +YIE DATA DGROUP 139e:0000 00000000 +STRINGS DATA DGROUP 139e:0000 00000000 +DATA DATA DGROUP 139e:0000 00000000 +_emu_init_start EMU DGROUP 139e:0000 00000000 +_emu_init_end EMU DGROUP 139e:0000 00000000 +_BSS BSS DGROUP 139e:0000 00000fb2 +STACK STACK DGROUP 149a:0000 0000dac0 +----------------+ @@ -69,12 +72,12 @@ Address Symbol Module: 16.o(/dos/z/16/src/16.c) 0000:00cc main_ -12b5:0750+ _player -12b5:0850+ _gvar -12b5:0860+ _cpus -12b5:0864* _gpal -12b5:0868+ _dpal -12b5:086c+ _engi_stat +1323:07b0+ _player +1323:08b0+ _cpus +1323:08b4* _gpal +1323:08b8+ _dpal +1323:08bc+ _gvar +1323:08d8+ _engi_stat Module: mapread.o(/dos/z/16/src/lib/mapread.c) 0000:02dc+ jsoneq_ 0000:0380+ dump_ @@ -106,7 +109,7 @@ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) 0000:300a IN_KeyDown_ 0000:3052+ IN_ClearKey_ 0000:30ca* IN_qb_ -12b5:088e+ _inpu +1323:08fe+ _inpu Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:3b14+ MML_CheckForEMS_ 0000:3b70+ MML_SetupEMS_ @@ -131,9 +134,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:59bc+ MM_TotalFree_ 0000:5a52* MM_Report_ 0000:640a* MM_BombOnError_ -12b5:09f0+ _beforesort -12b5:09f4+ _aftersort -12b5:09f8+ _XMSaddr +1323:0a60+ _beforesort +1323:0a64+ _aftersort +1323:0a68+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:6440 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -151,9 +154,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6b80* CAL_OptimizeNodes_ 0000:6c30* CA_Startup_ 0000:6c80* CA_Shutdown_ -12b5:0a00* _finishcachebox -12b5:0a04* _drawcachebox -12b5:0a08* _updatecachebox +1323:0a70* _finishcachebox +1323:0a74* _drawcachebox +1323:0a78* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6cec KITTENGETS 0000:6d4c* kittenopen_ @@ -164,7 +167,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:72a4+ get_line_ 0000:7316+ db_fetch_ 0000:73ac+ db_insert_ -12b5:04a4+ __kitten_catalog +1323:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:766c+ LargestFreeBlock_ 0000:76fa+ _coreleft_ @@ -180,435 +183,466 @@ Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:896c+ heapstat0_ 0000:89ce+ HC_OpenDebug_ 0000:8a1e* HC_CloseDebug_ +Module: timer.o(/dos/z/16/src/lib/timer.c) +0000:8a50* start_timer_ +0000:8b56* elapsed_timer_ +0000:8ba8* ticktock_ +0000:8c14* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -08a5:0005 __STK -08a5:0025 __STKOVERFLOW_ +08c6:0005 __STK +08c6:0025 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -08a5:78a8 VGAmodeX_ -08a5:7950+ modexEnter_ -08a5:79ec* modexLeave_ -08a5:7a04* modexDefaultPage_ -08a5:7a5e* modexNextPage_ -08a5:7b1a* modexNextPageFlexibleSize_ -08a5:7bbe* modexShowPage_ -08a5:7cba* modexPanPage_ -08a5:7d0a* modexSelectPlane_ -08a5:7d2e+ modexClearRegion_ -08a5:7e46* oldDrawBmp_ -08a5:7fac* CDrawBmp_ -08a5:8110* modexDrawBmp_ -08a5:8176+ modexDrawBmpRegion_ -08a5:82e0* modexDrawPlanarBuf_ -08a5:82fe* modexDrawSprite_ -08a5:8364+ modexDrawSpriteRegion_ -08a5:84dc* modexCopyPageRegion_ -08a5:8646 modexFadeOn_ -08a5:8676 modexFadeOff_ -08a5:86a4* modexFlashOn_ -08a5:86d2* modexFlashOff_ -08a5:87a2 modexPalSave_ -08a5:87f8 modexNewPal_ -08a5:8848* modexLoadPalFile_ -08a5:892a* modexSavePalFile_ -08a5:89a2 modexPalBlack_ -08a5:89cc* modexPalWhite_ -08a5:89f6+ modexPalUpdate_ -08a5:8f66+ modexPalUpdate1_ -08a5:8fe0* modexPalUpdate0_ -08a5:902c+ chkcolor_ -08a5:9344+ modexputPixel_ -08a5:93d2* modexgetPixel_ -08a5:9458* modexhlin_ -08a5:94c4* modexprint_ -08a5:965e* modexprintbig_ -08a5:97da* cls_ -08a5:9848+ modexWaitBorder_ -12b5:04c4+ _VGA +08c6:7d78 VGAmodeX_ +08c6:7e20+ modexEnter_ +08c6:7ebc* modexLeave_ +08c6:7ed4* modexDefaultPage_ +08c6:7f2e* modexNextPage_ +08c6:7fea* modexNextPageFlexibleSize_ +08c6:808e* modexShowPage_ +08c6:818a* modexPanPage_ +08c6:81da* modexSelectPlane_ +08c6:81fe+ modexClearRegion_ +08c6:8316* oldDrawBmp_ +08c6:847c* CDrawBmp_ +08c6:85e0* modexDrawBmp_ +08c6:8646+ modexDrawBmpRegion_ +08c6:87b0* modexDrawPlanarBuf_ +08c6:87ce* modexDrawSprite_ +08c6:8834+ modexDrawSpriteRegion_ +08c6:89ac* modexCopyPageRegion_ +08c6:8b16 modexFadeOn_ +08c6:8b46 modexFadeOff_ +08c6:8b74* modexFlashOn_ +08c6:8ba2* modexFlashOff_ +08c6:8c72 modexPalSave_ +08c6:8cc8 modexNewPal_ +08c6:8d18* modexLoadPalFile_ +08c6:8dfa* modexSavePalFile_ +08c6:8e72 modexPalBlack_ +08c6:8e9c* modexPalWhite_ +08c6:8ec6+ modexPalUpdate_ +08c6:9436+ modexPalUpdate1_ +08c6:94b0* modexPalUpdate0_ +08c6:94fc+ chkcolor_ +08c6:9814+ modexputPixel_ +08c6:98a2* modexgetPixel_ +08c6:9928* modexhlin_ +08c6:9994* modexprint_ +08c6:9b2e* modexprintbig_ +08c6:9caa* cls_ +08c6:9d18+ modexWaitBorder_ +1323:04d4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -08a5:0042 printf_ +08c6:0042 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -12b5:0000* __nullarea -12b5:04ec* __ovlflag -12b5:04ed* __intno -12b5:04ee* __ovlvec -08a5:0072 _cstart_ -08a5:0145* _Not_Enough_Memory_ -08a5:0277 __exit_ -08a5:0296 __do_exit_with_msg__ -08a5:02f3 __GETDS +1323:0000* __nullarea +1323:04fc* __ovlflag +1323:04fd* __intno +1323:04fe* __ovlvec +08c6:0072 _cstart_ +08c6:0145* _Not_Enough_Memory_ +08c6:0277 __exit_ +08c6:0296 __do_exit_with_msg__ +08c6:02f3 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -08a5:02fe _big_code_ -08a5:02fe* CodeModelMismatch +08c6:02fe _big_code_ +08c6:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -12b5:1314 __argv -12b5:1318 ___argv -12b5:131c __argc -12b5:131e ___argc +1323:1384 __argv +1323:1388 ___argv +1323:138c __argc +1323:138e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -08a5:0305 __PIA -08a5:02fe* __PIS +08c6:0305 __PIA +08c6:02fe* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) -08a5:031c strncmp_ +08c6:031c strncmp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -08a5:0359 _fmalloc_ -08a5:0359 malloc_ -12b5:04f2 ___fheap -12b5:04f4 ___fheapRover -12b5:04f6 ___LargestSizeB4Rover +08c6:0359 _fmalloc_ +08c6:0359 malloc_ +1323:0502 ___fheap +1323:0504 ___fheapRover +1323:0506 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -08a5:9b72 bitmapLoadPcx_ -08a5:9cce* bitmapLoadPcxTiles_ +08c6:a042 bitmapLoadPcx_ +08c6:a19e* bitmapLoadPcxTiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) -08a5:04ce strstr_ +08c6:04ce strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) -08a5:05d0 atoi_ +08c6:05d0 atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -08a5:066c+ __open_flags_ -08a5:092d+ _fsopen_ -08a5:0994 fopen_ -08a5:0ab7* freopen_ +08c6:066b+ __open_flags_ +08c6:092c+ _fsopen_ +08c6:0993 fopen_ +08c6:0ab6* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -08a5:0b41 fread_ +08c6:0b40 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -08a5:0eb8 __get_errno_ptr_ -12b5:13a0 _errno +08c6:0eb7 __get_errno_ptr_ +1323:1410 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -12b5:0504 ___iob -12b5:13a2 ___OpenStreams -12b5:13a6 ___ClosedStreams +1323:0514 ___iob +1323:1412 ___ClosedStreams +1323:1416 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -08a5:0ebf fprintf_ +08c6:0ebe fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -08a5:0eee* _frealloc_ -08a5:0eee realloc_ +08c6:0eed* _frealloc_ +08c6:0eed realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -08a5:0fca __PTC +08c6:0fca __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) -08a5:0ffd strncpy_ +08c6:0ffd strncpy_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -08a5:1039+ int86x_ -08a5:11bc int86_ +08c6:1039+ int86x_ +08c6:11bc int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -08a5:11ea __I4M -08a5:11ea __U4M +08c6:11ea __I4M +08c6:11ea __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -08a5:1202 _dos_getvect_ +08c6:1202 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -08a5:120d _dos_setvect_ +08c6:120d _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -08a5:121a __I4D -08a5:1269 __U4D +08c6:121a __I4D +08c6:1269 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -08a5:12ed* _heapgrow_ -08a5:12ed _fheapgrow_ -08a5:12ee _nheapgrow_ +08c6:12ed* _heapgrow_ +08c6:12ed _fheapgrow_ +08c6:12ee _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -08a5:1366 _memavl_ +08c6:1366 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -08a5:13ab _nmalloc_ -12b5:066c ___nheapbeg -12b5:066e ___MiniHeapRover -12b5:0670 ___LargestSizeB4MiniHeapRover +08c6:13ab _nmalloc_ +1323:067c ___nheapbeg +1323:067e ___MiniHeapRover +1323:0680 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -08a5:1485 _ffree_ -08a5:1485 free_ +08c6:1485 _ffree_ +08c6:1485 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -08a5:14f2 _nfree_ -12b5:13aa+ ___MiniHeapFreeRover +08c6:14f2 _nfree_ +1323:141a+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -08a5:15ed+ _null_exit_rtn_ -08a5:15ed+ __null_int23_exit_ -08a5:15ee exit_ -08a5:160f+ _exit_ -12b5:0672+ ___int23_exit -12b5:0676+ ___FPE_handler_exit +08c6:15ed+ _null_exit_rtn_ +08c6:15ed+ __null_int23_exit_ +08c6:15ee exit_ +08c6:160f+ _exit_ +1323:0682+ ___int23_exit +1323:0686 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -08a5:162b ultoa_ -08a5:16e9* ltoa_ +08c6:162b ultoa_ +08c6:16e9* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -08a5:186f write_ +08c6:186f write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -08a5:1a9b __doclose_ -08a5:1be5 __shutdown_stream_ -08a5:1bff fclose_ -12b5:13ac+ ___RmTmpFileFn +08c6:1a9b __doclose_ +08c6:1be5 __shutdown_stream_ +08c6:1bff fclose_ +1323:141c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -08a5:1c6a+ __ibm_bios_get_ticks_ -08a5:1ce1 clock_ +08c6:1c6a+ __ibm_bios_get_ticks_ +08c6:1ce1 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -08a5:1d08 kbhit_ +08c6:1d08 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -08a5:1d1f ftell_ +08c6:1d1f ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -08a5:1f05 fseek_ +08c6:1f05 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -12b5:0098 __IsTable +1323:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -08a5:20fd tolower_ +08c6:20fd tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -08a5:210b unlink_ +08c6:210b unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -08a5:233b open_ -08a5:2372 sopen_ +08c6:233b open_ +08c6:2372 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -08a5:239f close_ +08c6:239f close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -08a5:23a4 filelength_ +08c6:23a4 filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -08a5:2402 sprintf_ +08c6:2402 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -08a5:2431 getenv_ +08c6:2431 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -08a5:251c __PTS +08c6:251c __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -08a5:254d read_ +08c6:254d read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -08a5:2686* __clib_strdup_ -08a5:2686 strdup_ +08c6:2686* __clib_strdup_ +08c6:2686 strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -08a5:26ed halloc_ -08a5:27d2 hfree_ +08c6:26ed halloc_ +08c6:27d2 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -08a5:27d9 _heapwalk_ -08a5:27d9 _fheapwalk_ +08c6:27d9 _heapwalk_ +08c6:27d9 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -08a5:27ee+ __NHeapWalk_ -08a5:28e7 _nheapwalk_ +08c6:27ee+ __NHeapWalk_ +08c6:28e7 _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -08a5:28f7 stackavail_ +08c6:28f7 stackavail_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) +1323:0692 __8087 +1323:0693 __real87 +1323:0694 __dos87emucall +1323:0696 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -08a5:2905* __exit_with_msg_ -08a5:290a __fatal_runtime_error_ +08c6:2906* __exit_with_msg_ +08c6:290b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -12b5:0682 __curbrk -12b5:068a __STACKLOW -12b5:068c __STACKTOP -12b5:068e __cbyte -12b5:0690 __child -12b5:0692 __no87 -12b5:069f ___FPE_handler -12b5:0684 __psp -12b5:0693 __get_ovl_stack -12b5:0697 __restore_ovl_stack -12b5:069b __close_ovl_file -12b5:06a3 __LpCmdLine -12b5:06a7 __LpPgmName -12b5:0686 __osmajor -12b5:0687 __osminor -12b5:0688 __osmode -12b5:0689 __HShift +1323:0698 __curbrk +1323:06a0 __STACKLOW +1323:06a2 __STACKTOP +1323:06a4 __cbyte +1323:06a6 __child +1323:06a8 __no87 +1323:06b5 ___FPE_handler +1323:069a __psp +1323:06a9 __get_ovl_stack +1323:06ad __restore_ovl_stack +1323:06b1 __close_ovl_file +1323:06b9 __LpCmdLine +1323:06bd __LpPgmName +1323:069c __osmajor +1323:069d __osminor +1323:069e __osmode +1323:069f __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -08a5:2928 __fill_buffer_ -08a5:2b77 fgetc_ +08c6:292a __fill_buffer_ +08c6:2b79 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -08a5:2cbe fwrite_ +08c6:2cc0 fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -08a5:3037 rand_ -08a5:3079* srand_ +08c6:3039 rand_ +08c6:307b* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08a5:9eb6* textInit_ -12b5:13b4 _romFonts +08c6:a386* textInit_ +1323:1424 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -08a5:30b6 __fprtf_ +08c6:30b8 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -08a5:3209 __CMain +08c6:320b __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -08a5:326f __InitRtns -08a5:326f* __FInitRtns -08a5:32ca __FiniRtns -08a5:32ca* __FFiniRtns +08c6:3271 __InitRtns +08c6:3271* __FInitRtns +08c6:32cc __FiniRtns +08c6:32cc* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -12b5:06b0 ___uselfn +1323:06c6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -08a5:332e __DOSseg__ +08c6:3330 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -08a5:332f __Init_Argv_ -08a5:3392+ _getargv_ -08a5:36c2 __Fini_Argv_ +08c6:3331 __Init_Argv_ +08c6:3394+ _getargv_ +08c6:36c4 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -08a5:36f0 __MemAllocator -08a5:37ba __MemFree +08c6:36f0 __MemAllocator +08c6:37ba __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -08a5:3917 __GrowSeg_ +08c6:3917 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -08a5:3a8d __AllocSeg_ +08c6:3a8d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -08a5:3b7c __fmemneed_ +08c6:3b7c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -08a5:3b7f* __set_commode_ -12b5:06b2 __commode +08c6:3b7f* __set_commode_ +1323:06c8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -12b5:06b4 __fmode +1323:06ca __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -08a5:3b8b __chktty_ +08c6:3b8b __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -08a5:3bea __freefp_ -08a5:3c8b __purgefp_ +08c6:3bea __freefp_ +08c6:3c8b __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -08a5:3ccc __allocfp_ +08c6:3ccc __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -08a5:3e7c __ioalloc_ +08c6:3e7c __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -08a5:400a __qread_ +08c6:400a __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -08a5:402a __InitFiles_ +08c6:402a __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -08a5:425e* fcloseall_ -08a5:4265 __full_io_exit_ +08c6:425e* fcloseall_ +08c6:4265 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -08a5:426f* _msize_ -08a5:428a _fmsize_ +08c6:426f* _msize_ +08c6:428a _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -08a5:429b __HeapManager_expand_ -08a5:47a4 _nexpand_ +08c6:429b __HeapManager_expand_ +08c6:47a4 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -08a5:47f7 _fexpand_ -08a5:47f7* _expand_ +08c6:47f7 _fexpand_ +08c6:47f7* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -08a5:482c intr_ +08c6:482c intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -08a5:4840 segread_ +08c6:4840 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -08a5:492b __LastFree_ -08a5:49a5 __ExpandDGROUP_ +08c6:492b __LastFree_ +08c6:49a5 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -08a5:4ab6 __nmemneed_ +08c6:4ab6 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -12b5:0050 ___Alphabet +1323:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -08a5:4ab9 __lseek_ +08c6:4ab9 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -08a5:4af3* __dosretax -08a5:4af8* __dosret0 -08a5:4aff __set_errno_dos_ -08a5:4b67* __set_errno_dos_reterr_ +08c6:4af3* __dosretax +08c6:4af8* __dosret0 +08c6:4aff __set_errno_dos_ +08c6:4b67* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -08a5:4b72 __GetIOMode_ -08a5:4ba7 __SetIOMode_nogrow_ -12b5:06ca ___NFiles -12b5:06cc ___init_mode -12b5:06f4 ___io_mode +08c6:4b72 __GetIOMode_ +08c6:4ba7 __SetIOMode_nogrow_ +1323:06e0 ___NFiles +1323:06e2 ___init_mode +1323:070a ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -08a5:4bdc __close_ +08c6:4bdc __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -08a5:4c12 __flush_ +08c6:4c12 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -08a5:4e5b fflush_ +08c6:4e5b fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -08a5:4e70 tell_ +08c6:4e70 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -08a5:4e81 lseek_ +08c6:4e81 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -08a5:4eb2 __doserror_ -08a5:4ec0 __doserror1_ +08c6:4eb2 __doserror_ +08c6:4ec0 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -08a5:4ecc _dos_open_ +08c6:4ecc _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -08a5:4ef2 isatty_ +08c6:4ef2 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -08a5:4f0e* __get_doserrno_ptr_ -12b5:13d0 __doserrno +08c6:4f0e* __get_doserrno_ptr_ +1323:1440 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -12b5:06f8 ___umaskval +1323:070e ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -08a5:4f15 _dos_creat_ -08a5:4f39* _dos_creatnew_ +08c6:4f15 _dos_creat_ +08c6:4f39* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -08a5:4f5a+ __grow_iomode_ -08a5:5041+ __shrink_iomode_ -08a5:5082 __SetIOMode_ +08c6:4f5a+ __grow_iomode_ +08c6:5041+ __shrink_iomode_ +08c6:5082 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -08a5:5142 vsprintf_ +08c6:5142 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -12b5:13d4 ___env_mask -12b5:13d8 _environ -12b5:13dc* __wenviron +1323:1444 ___env_mask +1323:1448 _environ +1323:144c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -08a5:5184 _mbterm_ +08c6:5184 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -08a5:51d7 _mbsnextc_ +08c6:51d7 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -08a5:5232 _mbctoupper_ +08c6:5232 _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -08a5:5255 _mbsinc_ +08c6:5255 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -08a5:52a7 __ChkTTYIOMode_ -08a5:5315* __IOMode_ +08c6:52a7 __ChkTTYIOMode_ +08c6:5315* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -08a5:53e7 __HeapWalk_ +08c6:53e7 __HeapWalk_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) +08c6:5627* _fpreset_ +08c6:5652 __init_8087_ +08c6:5685+ __default_sigfpe_handler_ +08c6:56da __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -08a5:560d __EnterWVIDEO_ -12b5:06fa+ ___WD_Present +08c6:574a __EnterWVIDEO_ +1323:072e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -08a5:5631 __flushall_ -08a5:56c5 flushall_ +08c6:576e __flushall_ +08c6:5802 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -08a5:56cb getche_ +08c6:5808 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -08a5:56e5 __qwrite_ +08c6:5822 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -08a5:5745 fputc_ +08c6:5882 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -08a5:591a __prtf_ +08c6:5a57 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -12b5:13e0 ____Argv -12b5:13e4 ____Argc +1323:1450 ____Argv +1323:1454 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -12b5:06fc __amblksiz +1323:0730 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -12b5:070c __Start_XI -12b5:0736 __End_XI -12b5:0736 __Start_YI -12b5:074e __End_YI +1323:0762 __Start_XI +1323:0798 __End_XI +1323:0798 __Start_YI +1323:07b0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -12b5:13e6 ___historical_splitparms +1323:1456 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -08a5:6a8a* _heapenable_ -12b5:06fe ___heap_enabled +08c6:6bba* _heapenable_ +1323:0732 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -08a5:6a9b _bfree_ +08c6:6bcb _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -08a5:6ac0 _bexpand_ +08c6:6bf0 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -08a5:6b1a _DoINTR_ +08c6:6c4a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -08a5:6e93* sbrk_ -08a5:6ea3 __brk_ +08c6:6fc3* sbrk_ +08c6:6fd3 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -08a5:6f0b fsync_ +08c6:703b fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -08a5:6f2e __setenvp_ -08a5:70ba __freeenvp_ +08c6:705e __setenvp_ +08c6:71ea __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -12b5:0700 ___IsDBCS +1323:0734 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -08a5:7118* _ismbblead_ -12b5:13ec ___MBCSIsTable +08c6:7248* _ismbblead_ +1323:145c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -08a5:7172 __mbinit_ -12b5:0702 ___MBCodePage +08c6:72a2 __mbinit_ +1323:0736 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -08a5:724d _mbdtoupper_ +08c6:737d _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -08a5:725b toupper_ +08c6:738b toupper_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) +1323:0738 __8087cw +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) +1323:073a ___Save8087 +1323:073e ___Rest8087 +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) +08c6:739a __GrabFP87_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) +08c6:73cc* __init_8087_emu +08c6:73d2 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -08a5:7269 wctomb_ +08c6:742f wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -08a5:72b4+ utoa_ -08a5:7355 itoa_ +08c6:747a+ utoa_ +08c6:751b itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -08a5:739f strupr_ +08c6:7565 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -12b5:0704 ___EFG_printf -12b5:0708* ___EFG_scanf +1323:0742 ___EFG_printf +1323:0746* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -08a5:73e7 ulltoa_ -08a5:7524* lltoa_ +08c6:75ad ulltoa_ +08c6:76ea* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -08a5:7595* _dos_close_ -08a5:75a3 _dos_commit_ +08c6:775b* _dos_close_ +08c6:7769 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -08a5:75b2 clearenv_ +08c6:7778 clearenv_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) +08c6:78ae __Init_FPE_handler_ +08c6:78e7 __Fini_FPE_handler_ +08c6:791e* __FPEHandler +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +1323:0760 ___FPE_int +--------------------+ @@ -624,6 +658,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00021b00 (137984.) -Entry point address: 08a5:0072 +Memory size: 00022460 (140384.) +Entry point address: 08c6:0072 Link time: 00:00.00 diff --git a/bakapi.exe b/bakapi.exe index eebec0193f4fffbcf33bcfb591f71543276cf1ce..6f7c74bb083761e06da11615ad8fd7f5df16a870 100755 GIT binary patch literal 87528 zcmd3v3w%_?`S;ImHrXVEib9~tZh}@rFokk* zk}cIrE48*t>)+bcLQ8EVHbyQ97cT@_3_+11;w~Fw8qyGwn0>!9=WMb8jL`S;dC^~X z_nbL1&ph+YGtbOC^PE|WJf=S=dn}_&ZkylV^P2J+MY+pdY_%);zh5jZw*C$DO)Itz z2k(Lpz**1)^3#j0zgt#p{U2wsb)2i%`a^I-PO){Pb$h=YKHmVn*A!cS1McEl3@CcK z*!m*a1`dLcz&Q|_TWp;G{sG#+O>2v-3E*F#7TlUwZ2c`L0ms0Xz`U;5dLzgHB_Q;f zVrx8QOaY_#J{imfi-9A**m~+m(7wLddI5aAq1ZY`Ew;|oimgSU0RT{N4h7GQ8M2 zFs9hL5LCwUIkMRLJa}wWvGtFj@91LdI&d2N;cj>`hB|{qV~efx#*q&=1G2{#Tg$*B z6QC2Uh=V3zi!Zho0%c0E_4nY$dy1{2z&+E8t=|JlU=^qX|Cm{9U2`w(1bzyuzBI1YTE1q}Rdv2_|)0&>9K`-`muW))k<&n~tup93Gj@4?65G`KMVTB!GT z`K$oL=TaAt3tk2X!J~=A);zEk+?`ZxT?u|Nuh=?2nfihkK{+@AdM+roP6CU-pTJSj z2CiL5`-0z4)=oa3;qz6{%J<|4$Qx9G5sQkgso>d%i>-eL`@yHc2P`SHF?awx0xl<_L{{hwm%_g^cvYQXWwV(TIB@*Bn08QYN6 zKNnlKgI(Yls0Wqg{rq2wtvzBp5XU2CfWzg0gr%u@H6l~;6rd4TmWyG$uk6b zu@qa^gFk^Z>hLqL+6vvk3z~s7oVEo?;E&(~a11Pnp#8uvL9a;K6FkQADxc4TgPSO?tTO%S$3QLY8kKmtestH3WoDR>Wj0h+-_ zX^K(@LemxHI&dqP3DUr?z$@TwuooNx{{qe6)@76p?gKvno55)i`-q~<0N)3zz^}n} zP!1YE)T4@WBNz?V01f;cya_6SWx1l<1jc|AkOMY>*TB2r6L20RJ*FtjK@k}BIOT&D z&~JsJOb7eG31D8SD35_W@LSNHp(yGqMd`m9+Q6MZP?R^oU%Bx!%%V&% z)u||pfCgr{6lELepRFjvK?-;QG=i`kcnU6no@*3kFc=TM2Ob71fC@H)mq96b51a&F zgRmzQDAwa1e}|$9FJ%zM}jM8~`^ZE6P1!F(?Ahg4e(sU^no9`xc3w1MYK0a1{=XI!5_gp-~-?T%^>7K z(tr%`0{9!)3od|L9#WKXzyTJ3Ebuo_1;QRiM!-z40z3)c0K33fAT$LYf;5l=UIHbc z6#NtPTa2uL_rRyXOrKu_o&qCB@C;MPA*zdqk-~w*&CioIqV-zJC{2Y7^ddI>W za1eX~298veIbbRHA$SgK0~O#u;QCSI4ITum!E4|v5HVU&Y~V%^4ITr}fFkfBXa_NO zE6R7lLXZW14BX%qa0HmgD9X)X6j%p-2L1~6f-3MaxOS|f+z(cRAA$G57vL;t2O;CA z0~iOAz&qf^@rsfOHUM>kq7;L-!3UrL^ofH9U=~;j)`L$#M7*L51NVXD;3e=G2%U(| z1NVR|Pzv^eT5$6uu7MwepM&2|M&E(!rXa`Q@u`aP4-h{M`oYh^!F%Y7)1i9?WrP2K zfey+ADIgtWfFFXbU_SkQHFy$i1r66KO5f`!8;k?@fu*1TybSh%Qy}7c?t^I{1w0OZ z1fBf6MuIV5 z0+5I;aFypaJ+n zL@4qOVnH032U5T)umNlWe+0Y0Q4kuYD0{$B5Nc&C2G@gIKn$1)9tKZ>*T5cd3i!d0 zaM}|56zl+pffrm8fjoly!BX%Ga1a~=H%IauxC_LCsbD@>4xR#T@G^KERD)X33KaTs z1h^L1K@6A*7J|pYdaxP%5tM@(@tw!s27AB<;21awe4rUbnaB^^2EGI0!Avj@EC#E< z)1V0a9Q+!*3jPBA4i17t;5hgaC}#Kv27)_4g$Wq|hrv-$15SWb;0!nm>Occ%0xh5& zn9b;05D9FcFBkxB0E56SAR62WMu3rE4442WfoZ@2z6)l9M34*?ffTR=ECY{$43G)3 z!IK~tJOeg>0^kPEg3VwHcnQ1$UInj%H^864n_wp>1G_*4H~>BXhrv-$15SWb;0!nm z>Occ%0xh5&nCTl~AQIR>UoZgN00x0uKs2}$i~u9S7%%}$0@Hv4%*b%2XWC=$vX8vW zKI$&}=)3HzGVNpR&Q+p0zYHJv}2UH9I|1-b$D0V?=@*ZnU6m)dfoDM8}g5?Tfgox`?B=( z74~IhF0yiErQ4S~?I|gd_b*?Xp0PB2f_-Mf{r2opkxJW)`ReBhCDW8Gp)3O|OiW&o zUpu1G(QH)mp-{ zk&3%Iw!E-r>o)6UpB4{B1w9zZRa`fJ!*$CyT(>Dj*`5v>3acut%9fw8bdv9AwC6jT z>_sW>ZOLZoqr{{X9^NwUSr+gdo-MPVr)vH!71V2ex$7E#c5~@A>su^1Iy|I`EMdv# z6i*gbsm=14EuWAw!B)cXy5&4y^Y?@eC~`D&Wg1s3ERE#v36INdb`FIaQKTTDu&VH| zAcLX>C0z>l<=aYTbYx~JTGp~SWzoa44$_Z}fA=Rny3DEEPLk1Nwx6IM*Df3d7aN)64Ph=_g zu3DL{BrVNcLBjc~6-Vqy5DQ|I*{+pboc4GUm&YrPaf)NCG9zoL;uxbi?p7S56~`#W zA(AUkDT&J;dDN*SJVF)^D-SA*ln0cB$^zxSj4UNtnXk-Kl9WVcu9Be4QD!T%l>3$M zDc@D@Q|?t}Dh_3aGF`bxnWjvo9%;&CB~BTyj8n!cW0bp<(aI=gq!O!4UEx$>l<#0m z4Oi|`hAFow*C_pzJ_>e9!ra89dGnJO3<^s~P(RPFjcnWbeWmu!(>qfY)zPxi(Z+Z6 z-Ke~}jr-~f94+xDoeA;(ay?M;fmMigq$zfPhqP2$O$zeru6}u!RU1?;M8UHDxU)z8 zq=6BN%i`zKHv3MyQm57~Txh*{amvFFKDg+Cg&)diD#Hdwm=xbTfsB!t)uMuVR^VvgX!YLX&&zF@;2HpJ^w>faLkA6cqfN$h zDS^5O)sa70amLG{+VrCG6pD(Un)%cCML9)A?adcG0Tn;+=Q-N#-p2yOWM7=*4+SZ0 zF<+3gyBhjsrm30x;-Adf^9`9g|B#nKj@S8h{Ws9ie@S!mYi&g-bpuL9DM^J@TjIh{ z70cQlc#vKx6=i=TVKV8|ijp3R&GWY3U86Y~Rg3$W=4et$-=6aDZav={g7vCR-KD0~ zyN^{m>R9P<)Txfz^@pk5My13I$xQ{bo3@z_pWNtZSby00L`ewOnhRz(>(?4zOkU8k z(`2r#D|ofRU+_kwe^k>b|49G*zi;eUJImR(p!$}NL(UZ(97S&NF64$FO0a25~kzi%Z zFl%XVI!m2ee?FxyIH&WsZ#)@unmZHMDmfuuk5aPEzn6Mg8Qm_*N^{i9a_5?m=gZxL z2&?L-zx2u2{X8p)=nE0`f{6McBI*M~)I&t}|Ts z*4!1QoUir{@Sm^t{fzPXlFGo~oJg{(#EAAbwlfl6E=jhmZ{4LC&|EE=wY0x7RB$|~ zrgedu8tndAd&yeG>u$#!xTFA>ot;{0yZjA<)0aGElstfvVRKN)U3g4GzbH%I+zzW` zC4btk%QVJ{G3R8}FhJ+}iL+I{{9(Z{7TNcIlrC}WfI(^by zX&+hCU3wRoRBqB{nYFvs57k4Sm;GvXBb|Y-oBitU@fTd7aoLT|dd<-=ez)sH(Xu86 zcd1AO9VL-E8DuH*iY+hQ-fwHUb?Yvx%~Kmz5}~}s3@eYm<33)xFl6fRBBN9<{vLJL( z6oX%QY&jZi(ZjoA%Wa;MEv|a>fqp^fUvGnd1~bg`^B4ZRZjL%Y^ly$m44q2euz_2C zAykFv#f_qe2eq!~UR1AR2=q+p_GHRP)+N(jdY;i2Wh%P*H&ZI)TF(?2zVb{5TqaXddDOHaK)Vg8yv}F{ zVX}uMBqq(hs3j`Zqg@qltNL%9Aei`(u;4KkwB!aV+uz`z_+78=R;$!&OGA`lvm0U$ ztNYHMtMaO|u_+qV16U(NVY%rD{7Gq6TTsU`OEI`>$<6AuTCzwOlH3%WD0qIbUnHw1 ze^{+In?9$|l2IgFhHd&~kzrU6EetCGTAH<#x{GLOfffWhFh_w5L5oNjM2kp7zY9tv zID4%p`fEBphTW_KW~Iw0FJwHw)}mGvr8EvGtV$|MX|j24Yi5{VU+(NPF}Gh%6gluc zl<(O+M9*oKoqRVISk*~pN{17wK2z&$p6|8GZe-k*Em&fVu0}_SlpQvI(mt!=4DlXR zNH4SrTCOrKEW2;k9JToc2GJ^E%aK%kxU1E3FR$*a4an@PH|!pUS$7Rn7|o*1GutM4 z?wmQ%B(nRl5>rlAJU7!_9XBbvZ{~K-npVavXbJ&kBtRZ;^^^(x5qYl#|A9lj;TSw zoId3}-ELGe{9-Otc>V2y$0f!9W=NXFU?Y;|>Jij7WMkOz9nIgMCd>A&QY(-Gy}cWQ z+B>$~Xzm(M)&-d@Q<;68x@y)zy^RBdZW5!M`#9sAI$)PDl!aX_DYWTA0EG*T+Vl)G zS!t9>trViE->3-H*(WTD%Upg-5vg~@lrJbaS>-+VhkpCdy}p=kxwv!eO$ZFW3x(=5 z3(FM!*0kH?ifP(yc8#GPB6DU#G#PqqdU()Kq&X}mC>QEtmsy`UR$8!__g-o;iV2qQ z&xW?PcMO}N(C~yriU?}!*!{vtp&M#ebe7P4wd%}pwVGTz^i|gsn2(d_2(J4Keu-&Q zN4qtu$xR*ov{7%jra-$P>4=u=?wO*W9uvGtk#-3)j-r$Xo5$B67A=Y#^`0K*{MilK zY;2f12=eS|_dSai_*)Y*A9{6iLjq<$dNGlUADly*lul7zINyq*L0I@Ao}?a*h6I(n zb)IM1ecDg^{j}dLH{5c=k{j0WpVYQv4Aw~F%ASxkmwB<{r0O`KIAt7a3{EXZ4I2TJ&s!GjP3gsc>Dz(;?oc6o&KvzW7O!|Bf0p`D5&4ozPQ^ zf=6kOAZjt)f+RYBve^|{={W88U#{#c<>e_gxzorOQY$NS$?U8vH|hhtJQYNpp)g(l zTa_`EiV7^Wzg%1qvQSv_4Wba-hRzT_vscFSu0jy&kKJD&7JXt)3z4c25_P7^mU5l=viLP<7qhC0VcI_85Oh?BcQ!y3L94AW0DC+dlWPr&xeH@f@PPv7J zeNtN369RUtC{+|A779??F{jT# zWpbIauauXJif6j8BEvFUQOORx@s>bTpV0@3z*W*(YO5o3(5C}*QhvtgPL@AUd%tciN;z5NI3WgX&%-`O zaGU2YpRdAJvfdonq_DVldiS@M`2D`J*mB=a@nw$~VNZMCY1OSW!}!7+nww~hvn^fq z$v2O)ENC0%P{7vfTp*C<6$_hXd8T(Z_bWXVB6>%qyE-~=QXbQi(e6r?uqQa0T)nV* z7MMFN5w%*ZCet#GKyzW~Md!sUuJ;NYO`Xf>C6F zquyPERg>HxDz-!_Y2Boo7V8(rn>4x&<1KiSl^?G-?^c^_p6`FjbZVIRmdrO-Q?M;B zB;gjX^RH4QuJ^jm`~Ky#NsI5dd$a2)(doW~FJkk+5_8(-xnOeFR9J%NZ8~=@o=520 zDV>b%$e@G_s1SLG9R@L9i;#qD^0>|iaVOT;&R|Gmo>BjTTB)){(oppUJ0)Cb)%ir* z=TB6ekzyXbvQ|{ok>764{LtoE*B(@5+3Ev2<`HsP*2z%l+@@XN{xFL{_ZBbkr!Yl`211q-Q+heAK>bnLTTjD|2bO*p5$2 z&vGu$NOdk>m0{0Je?)wwuUVR&o|a|rOm5srU9n=-(o|=9n%GuPU+#RA-Tlkw^k`~E z+KP0A2kfaUZ~~x!boT34%ObLbR{%v%pEb4fcUHPH%f8f=nTZp?iYIu=C`y?%Yw>i~ z%GJ|Tov9P-_pEZQNXxk0Xhs2ELS5o>T6GUy2(wzye%tvr(m`3T3+cQ=< z?aKu1gB7D;QZqACpP(9bSP*%$Fj4ao(Wy_Z?hgWvky(;qw`|8w3(zEQ#GmNS! z^Hw=iSIo~!Pt)6C#;jTP>>+DL3|V7O%}!muB6Z1%blE~lkFLs@C9{}d*FWt`WaU<6 zrXe^&Mr2h)qj&No5@EY?K@)t+a;+9shbM@LXxfQG7Dg&dTv<=pM~px)oz!6ka+|4$ znhZyJSBr*vG&M6VCp8mBIfYOQ?-k@FJ=Km!3S3>GI7K}XFIoSILlC=8Ns$sq!`;6) z=~0(64L=247^NTzhZRu*m#Eu~55}w%P3+)mf;02d7LHU%*df}83jI~EHbOy2ovWab z77b9196Qt3ARKU-8q2m2IqPk4>lpH2 z=!AfZh_%m}M&oCvu2`N1XJn_xrQ!B0yA%;SP8aZb>7&LydqyhG7a6P8tjsd{;zGUt zot=>y&qSz2h36oiq6$(L21h=y%4N?<7mRS9XxM`q3w{mM^6ni-xghxK=Jfn(zmryU@s@Yv@XmT6EEhP$<>Zs*EhEL2GAG zi9m%!V$n5Lq^=HX=4GyorG`fRfs4kVN8_i20Ih%kaYI=KnJ((mRS@s&+RLdzY}}~W zmCBRpnX8Qcl$mbi*iE17=ue8yyFj15NZkwdh~hHzrf3k<$^EF2OJz-Pb9CIO(JPf@ zWP;=`S-xVq^9lHpnkD!m6vyh!RgYw*uC(VYN9$)H-gY71gYCirM|SLcR-~t9NQ`{q!tkvmDmoJlQ^NLl# zMVX2oiE5JmL=)_2*Ofw%q31>2a#jUMj2M!}%Ey`^tL=9TSvq9tQ2Ru?=-(YGVP)!y zK+_}T=}38G*3#6B;gclMLA?S-=-p~%>hg@vJ}ji`n>+2qEBqI!=mVQjy)ws4+Uc7# zg3;`*OGd>zyC~P?qK}9%P}g9xK5|^@Wd3Da?;<|0TDFXV9uaXq3Uw=1J<{1B11cqp zu|+T;_@>gK+H#b|9UVG2#pU{QhiIdMmISqno#83afwMXXA7u!dWPS$KPMalFj^0A4 zfvzscReq#VC#lG!&tjTO@6>?|brsjuY(Ph(uR)gJP+)M>)oE6T?ubz$=Hz6gXHJM& zgRj@hm1&qf7&bXV#|wS6jGl$EUK6Q^QNp;GB}bP?B{DrDZQ?{^6F*%!4=@bafc?5N z&r+gHe1CA}P>sFj>3p%TJC&z6Zz!>fAN?ZBoxOHU;#)-JhDJXV^Dsqx5pFuc#=K!= zALy`-lNYS3-KHcYiupADJgm&svMzsISY>;39WXZ<~nJLB`q8vIi-d&coIx0l9WJe%`dEx93L z$>OD2|Bid)GgftTqu<+8+4yRczj8yJpk7h(bL&j52MhO={qA;mb>X3+vU~k*wnT6W z3uWHYr(n7%zrq~7afT_s(#-7h%wjpOEf4U>Eju1|g z&MCUK!Qt07PxrH78?@-l9)P&We8Vadur{Vo0OV5Q*)F$&7T{o3ms?CTJN9T{XmEzi z?>cWacDcnQyz^FrYK>tQ5ZKz#XBWogaADG1F*&p_Bjbe7fG+go-qVzy+oZT6J0IRL zL)+Zs7rb_c>Ee-}+h}r~u$5eQYk)b-p}Lk;X2^(;kk^!?xs{Hl%N5ovi6B4A)3H0I zYcDnW3wCRpn`LRNbw|>wEwpMAtqNHt=S&3B?`RYcJoO>pUl4u}VrOJSqJO(Bur;ET z$DY?VC(sTZEK$d@1H6_s7P--V5XtzdU6+h`-zFI;EkzsV`3n!n_&q0YLN4ZAA{Q-z zY;`^d*S%Phr#p^)1H&7nt5_GOuKdYUvO>HrrP5I^WVv2&CqZ-9OYSsW%pK8VMUy!1 zL;n1ZdhvkZPJIV=98DK7C%O5Xd9y9l*9d=Zx=rU#!j|(*ApsT_aA-Q2k@11_XpX_>+ zyL#odZgchB(OduN3|C*O^Q)U{{r+r^mQw4_M*p$oW%JZ1+bvvhr!;EWEk{0!EjJBR z&-IyUmhNoEI@iT3EK*}gPq!w&HGgtc=7z2^7I00}`?By&{0Opxt5wI=5sHZY7Rg|} zCpa4PHMd5VZ?eb3Q|VIyo%#Y#eb54r@Hed8(MJ;%qP~7d(_S`19ZhkT%*u;OwAFdC5M-eo)RQxa5Fhvn~b+)Y5WwyUVI4h`tkubCyE>CC9(dKP4Gek6 zJbrgpU-f(;et!=|Y4dFA30lQ>Dui*RX6P>2pJ)@2*ijp2aiwX~qqK)?ZrmGZL{XUf zFyCp#y$^*`k`SuWaZ@@Av}$wAZbx&pIz1{{z2Byeg@nSHW@r$U;n%WufGbRFjO5sY zoJ74JBa5IaYZjD>a4s$~yVZJ^%fy<;n7tanr4Wm9k?62KU%$pCPYf;Xo6=t zIU*;mzcw9NY9fWWy=P#wV3GKkY4-c&C&EI18pxBCGdZj&*~g(vdUQwe0V$?6#iMSp*8Q|hj}FS@X$}oB9!ZoeoN^g z4lz_D*V#N@wFozmE?!C~wH%mQr)Ae`x%Gv+=+Ne9Z8WtH87p*QZ>0}y7Cat{25nNu z7Vbh93j3zn;E}uL9g`wjgvD|xw7M_b(+{lMOlR%MOZ-fl;`?V02}7ii*gK0ofXRoc6SNS}(wHZ8lyijW&;h znB(UpMn|DKnsv+PT()_h6`q0N;-1a31y3>YdBb_G{Z9X$*nF`b8yRGuTqpFHT8$v9 z2l5Xi%||?l_aQ0Xhv?^G1QQ*WfufTvhM8+o2tqN0c&E^XyADNSe35$)`VHebwv}Yp zem7dZHX5ng6CbV3M++UhXfwr#@?~wsi(pdv}?Ha_uCVwmwX5k zWscfdJQ5cRtAN1>w{J0xK|^7F$UQ^7CUUUXt0N7uy^K>gBEhh?t z4#CWA)RdE0yVTb&e4Q8E4hpGa3ohSy9^TGh#@`vx+h~ zpEuJSm)zodcYM9;??$#$I);VLE+s^xLvlL068*^NHwVHE`^4ty-|YR%*K(lwUAwou zUC)$$ZS$NBVZbw3UGIIiJ-T4J7~ey@t%^IPMT=Lnn?s(0T39PA5R5eTbX&$Bz<1N# zKEB#@f%zK!b7F|{^y9*UAG#;E))=1lu#HH{KLZuG9xe(OX^1t);h68eJN5u(Ib-dmvuhuyj?xS7!sG<=qitMG`LD_C5sJ(Mhi>RmrZ4kf9*+W zGJ3kq!uM=+UV2!YFm`GqW`+eN{C`}tS>IT*TjCszuDx-|4X$!q$s2~!y-cO9#rQKz zRqy-xcB`n?S}L`7;E|JEE2c|oz}D91mbL`_h|bF+BeVfA5e#l2-cJ;Up9^B$D)~%T z5f%r;8bx6YT;)Q8JL=?m67#4=#W~M-q{D`lIxxGjL#JqpP*WkaJ=1Ya4jMhX)=frr z%x%yvxE#!GRI~LpstS>(tNdazbelrj9D=@p0^B7cpXEHMpGl#Vyg3C z=TPk*6cgdK`(F!TMJ2b9ZbZq`ZIm7!?Vb@CEh0W>W98%uQ`r@zw#(_`WG%ZE@yFC- zK|%Bgcpy53cdp;NUeqhjan_kbPE-z)>TI;upEZSb%rARo4|_|g7c;;^I7BwB%iz)= zcQyXozr%m~w>RfG{@p9DqL)mX^G_BNn8rKmWBo@?#x~(SaVR+L9>Fy+$CH#B6f@(? zZuD+#_YWG)3AwH_tE0UG=MUD^ma}AXsag_k+AQ0&1x2WB9*1WZin~GEFySkkXW_M* zgp-Er#{Ar7#dVFiD3Wmc!hLN(6$CB@tv1TRon@wpx9ZDG@hmejz;?GriyCU08736( z5vALQwEpl~t1FdGnez|@jvd-rAj>BU-tzmm zDGhJTDX_fGB6JUZ5t^^Ay2ZX-zTssDhg?aOym$J&(@faIn8&t~M~5bqjk-meQ}SWI zC{mbWaMz;x~|CC3E{s}eBli?To7GM8aStf$jl)p~<(I&+XF6|yf=4g%HP^MW2^3sOdvH@tDa>OLWG>IZ>=g<*<=x(#IhQoMi5^8`io zF8DfF_Jl-qSC8L!3R`!{=HC%$Kq1N9q!a@x2I2y7;83^Z2b#3(X7z~sA+cqDLESwY zkQ_?sE}7_SOu9Pz8cQzf0SfKxT~a~Nr@k@SSF#fdMTjm8!3Cx&vYou=i3*8;-_riR@F^_Zj#zZ~oj*{_13lD7Z_7m$%XK^0ySU_u4 z7`()^5_=QnElzZuHs+Haamp{3P0J>^eB@F8&62sFQ+iA`n3gceI?hO2^0;%VJLL@Q z#R?j{z6oW2qnJw$Sik=%tE;ydD|)CY4TY=~GCGjinU3X8nZa&|d1rl-SX6HEJLC7J zG>Gxc`RFz#CE{zIGj+%PeBHRqpJR?`+ITWC<}{P=CR@qTXg*Lk^1QM+X*ky~vg%R6Q>L7Q>}TCw}S*f!j^dB$^ZW`{MG= z)HroycWlkN&+7fLHEQ+6)B=)eWvJWel zmy-1n%W4;qwFk1wwd5vARAp?-x-THAMGab{xyZ5?tIbhj@kog0>=v8nW&F>vKZ+Ew zXBWI?r&))H^+*P=BObLOq2#7pY-|lDFaqhJa#$n^7@}CF{;?%Zx7bL>>YtGy?BWFT zhsp%(6C1&Hf)e=0+DfJm4a{13R4hEbd|KM(gG}@*&+D zmB89s2b=Kg{#wk+|8mDgm*1C{w-|fQL>pm2)rz|?+dUXOA(nm*nc1O|pYl%X# zFo_)neXS7d-(Bq=GazwgE<8w%$Gg?SfgFEg;jpy#GmG~xmTXA-P1cOO)?a0g z%_gs3lh06=R&qBRD z!@Og|%EGZ+u9OH-@Xz&vw}^s&;VLhC2E+JDpZA7Zyx*~SAFz}?5`E=QiBb!*rscJ& zI_oso56U)RAzg8)@0+~mP2R!gY$U^-IUyU$H^H(M_N&OFx7j`gO!-R82jn37jo zc=ocq)*odq&0d<c>UPaD-y6q|wo326wlx18^3!b=|oMW!0!-iZ#fMTqQK@%@ zR|r=~?~Tff3wtW7#f{%9tM#iUZ>S>fnt#Rlp35A%iHNYXwmY z!D^In3^>k#JI;y|f1r8GbvLm6&cp;$QJdWqoT@x10c*F(L0*nJR}+GEE~X?T5|_s9 zC{a(ikExa2nJ7%!l_Ym{QOeuhrP5cISol0#l>N4`yhQfh+fz(1bKiECcg{icFIaLy zyf#x=k0dR3C(pA0kn;Ao&i{>-t?;WUdLLzcYptkz7^%Kx`N1eY^6H9@Ami>hY8bi) z!W5kw48GsSPj5y0Ed zXI#hi?Pm8w<~%mpZ)MgUFumDCVh4#R0D(>^mda~=FKXF157gp*r+zAry)t`dO3O6b zn1nEo_uxGzA1nwhtRXO9U^0Z;N@Jc_cnp;sCCc@ytMQsu1BO-w}VqS;=oWU2XdVDB_hOaI;WpFLa~fHGKtY5hI$g- zSIn-eN_sC~ePa+Lmkc%~@XMwi5Hq~lyoN=sASNq%FUU(+=)4v^zKM}vr~V0AjTakv z)-8V~kBc-+Aj+2X>?he7TCq4KBvx8(=8j}OU* z#MYVnmSUcpn9#xP@QHrED@s&ePA=I*-10Y==+6$v+s2%a9N}ee?m#1?$YN?{gefWQpJ>1s+g0yp@2!ePJ!5dV#ie7?az)> ztDuOb04UDQlSs06}LodOiEs70||p7&kL-6~Qmp)hQ;!166)-lHgn**ehFEVj!2#;}oE) z1oB>&%c%U+uR0f$z9}R9iBS6PH}t63E|)NS4AuCr-I?fGX_?d771z9rDoWLy^kt`;!u;&bwE+f9mX+B02x-=Q@l)twj8# zRsGpfwvyftCJZP_Zc5y84ewwo!h3&DRN-NprzMnSxITznPu)L3t!0ZlTzJ9-bgv{3 z9cJ7ycr(%zIQc9*_j{X+&ENbF!NelBr+t9w-MIwP7VW}pl znhdA08ojaJmVt$Yf~hcke{CLJ>2C0f$RY#Mp#g} zN=Pub-&#cf(4%7{1f~iya9X(A5iTOI$srLpv@p41j>oJ?S-(GbdWEA^fh_0_mF9@r zjPrko^2%?Id1_34`}no7`E@bGNqw4wEluY$8cp^7+07_Nv6sH~Z8GJubi(Z%VDj6i zJ{6hYzG!V|e%)B#?)>&t@$*UXbAfM1@W`TD?_Iq)BH7@_KdX+ZLUNm}(nCjR;4A%i>wO3EJ4G3)%SIi7m%`?_h36Yv@VXOenDM zSbRoS@A%ZLVet>ShEkC41@8w+PFRJbDbnYTcVNQ8J}^WBu{ju2?ec;f?m^ zSovc0-sF#8<`Q3{ynX%gOI&^V8t%1X+`$O4^R~4U-tDarp)VFyrFe~FDoq9kmA@_4SD%|4xhhE|(qp}p~ zmlGnG9KM{$UxIjwohaOLI0z!*Qwd{sHlTiq-y86tS-JzF;T{!ouGxYlX`N#Ym zbm*-=*jd@VDdH%NFpVjNz&^=MvWL1}^8Hh%D%hrpVlR*FGCX>0p6{~TCd?H>BpRvVBQL93}Ez$AY6GJc{u zCLv;LVuY8|f|AMr9r~nT&iCxYIf2dz@@4i%(^FSt0;FaVsv&&^Z#&>@u@d}!HfM$v zj>bDuGsVGualT$8nUR<{Cy{KHa4vQADtSy-MCZ`63)~dH#B?JqbtPv>Im2v3RKNsL z<|oaVuIL9|?eoNm@W4MABS8Pb(dabevM4)Jk(38=6~Qx}AWv!`5AQ0D#2GV`;TQe0 z+XENK=`vqA#u_nkA}8;crMgy7`-z-pW$A>&(W%)hL`_9;a%Lo$5X1rb7>v^&nXW&t zz$U>h!L>^gXK+zZ9bk~)UdAe3C&H;@opqdWUi!E^NH5AJ)`ASy80g3PZZ!VIi1b{PYPTh@MJs|G7PVrD5adfF( zy{5B61!sIpJ#@Z$@S)gUzJKUr)kP~;1fDq_>o0ONiV<&)pV?q;qd99JB=sTxvFg5{ z6)H)W&m*l7;m;N_yOA_zb+BvvV$ zsMb4|T!ErWk&+{#f}aOD0^;T?G>+`j>ai*>G03CU6Q&PfnCzN?r-hMZ)l(6M8I4mz zM%M_3Wcj>$QxK;vD!7J%kE+#htU7kLZ=cRFL;VCIRiPQ+nDk~7vCE4bb%J$+BbiE$1 z)+*WL+e{7AP!1IN@Lv-a6z+s;%|<=d+(w^U=W*wI8N|53*j=XN#roy=8hdz)iMOl@ zUxs>)r*Mo%cmQLP<#862F>0k85+70Pt2l`*;x!X^POOfwVb1u7L#2FNkVDPug{n3d zGJ1;8Qy43CTh5SwRfg_sxhvL>eIM&)(Fc!fam1rRy}Oh7`W5+vudu34dM7OKd!60V z`e)t~P(8Rlw!-&Yy>*Q08m%MrHl7!_kO~TA^PCmU^I1DoI|ve+pL^DlHI_EIi^jP_ zkWD=plBFy3Dh6Y<9?r&V51A!loa;+z^i}IbR!KkmE3G9A}AiOIh{$(|76> z>dda^9z4(SLe;&b`Bq2^I_h~RhuY3Um5<}LjQJf=m-PA=L$Ih1F>k3%wwPxSS(a(R zmoOKy2g^<|pyYQ;3lEewFU5~VHskS->_*?wlIEp8kInNV8}F4cB5ND*g0CRw%5TkC z$XH2tIkoZ%UnD2Q`=oF*QFztKMPybBGLJ|yWsMU`mRN%4kM~*<5^bJn8_S{JNz!11;5T(SVuG5fLDY0dNq%mH2tvKq^cFS8-xTWcuT($y7JtDl z9f^xlwsp5w%i%OFd6#srEHBF5*5O_$$`LM{-9B7a*HO%sEi~`Bw21DAD?5-DsB?G8 z1dm*4fN|r=GY@xY2qNuAuao3DoT@fwl>FW)C1aRW`y;+ zvu1qzTg^+ox#F*H3lEzdb-q``k5}c7THhNYg8@RUk~kZIu-H6(B5CI5f|~jNme3ti z9fzfUBGv`2*9aYfn`??3hY&iw1EG`;hh9qIst71t_WyvweX2vexd07{ADg7`6vu9g z9Q!)D1r&zhzIQPX-w%hv13?sa*HzeQN{A`P=jQdL38Hmvp8LPtq*fFja@TxIZ~3}I zj7yzq-ANiJ0}Btc{86-FTPug`3pw%m(C3@*gD#!5RKM0($azIEN=))h`n<6)Saepp7hPGr|7UU2MP0eOhlBdrxApju z9n^elkB69q!YZ35?EL>7QWkV5hbtz<+x*{=(k=7y(uA~${l0Biu;CWqiuuBSN6NP~ zP~z1$(D45oT$$d{I^RMulFM5eF*B7^_}Cxw-i$Lb_Y3EC@fog z+-8t-)wD=O&uH>ZXDvk4uGYG6r&MbeV}C5LhKLsV|H_OwEX{~RG~Y#{{cVkNwPwUW zE-m5;#iYAr`MF2G$&BE}<;{qHh_*DbRg4*N;!-oBrmGq8SaTOM;vXhQeTNyrk4E1c z|GzaUZuLw!_g%{G|I3b&Dl>?R`KhF5xXxpAWDQ9y|znsT%^f`4PE&$HG32ODdyxuuyr174NA7z)- zEY)(ugvUfUP8Qw73)_<$)>Vh#lF;S6(Ys;=k_CKe!3LcjEwf)?3BDK0)?Hyz4oH`! zU1{3s{<2rnuB?*0AM$L@zjLl%b2cY*jn2J5{v%uc(EzXPi}fzW5&?#^DYh8qh>Roh4D4D2c7QvVaRi;dV;6IQwTs}b0kukU!+mU+l>_vpo)Gf; zf>+mx75{RULpdzUx$<9rn$h@6R=ee^b@UtqC&Bf#)80XO61_^#^HZ`LX1Q@52NR4u zJt9x0R^lWvd3t{NNlN`Mjl}Yp_Afti)KgGkRK7%tE}~urBavZHJR#pkwo*7?kL6(8 zo~(hx_6$mp3!w|oSI32o4$TQKJQNqU$Ko{Ke9*S2WTe>Yga2RNnj}_@?Q;kSyKKd( zoWVrC6Q`$H=;>Kf!D6N>EVi6CF&*Su>B`ye>bEMUzFc9^2JEx&baQCv_!!RoZLP4V zTu~3@onGtX?Ly*pi#!^k=U`qWp2pNI=Y5Elt@|vC4l3u5oj;y`ObOYYU!`cr2I14; zK2~5G6?*fL&q9vq$@3?T3nh{|JDvx3^mu=8%xV4d%i}^T!?>fc&SN^bBV68rXqJW$ z{i5XFS_;7@Yy;HfwxZ;=l1D=mSr{%%W-I%(VVl?*6_pX~DbtI0NhPN&hL@0cqh}rE;$X> zb0QOeFL$-fMt{L?C6@}BW8(NkBX};fZ;IZUOr)#<3qyTxxKrBm?zfqJ#ihxiu*Ey$ zF11|J+MC#V(I%YNime1EY&>X6!e3#xeD4z*xg4aLR#jN5mvwkm_8zYNh?2@7yzNSnL>kqAO$gyye6T*5iARuqWuzwzCLj zzWRww@e*nK9rp~iCp{rT|K4T4FJmdMIUhf6-0+bxF(XIZdFP$>+4Jwe-=3P4wfqqx z#S)b^E!~;ERD`XbO%Ut!j8(2j9yOwhvR{;;^o(p30`P9>pRdW^-7D|2`e=2~=+NlG zK_fy#KGv_etF+I!Iwn**Qgv4UkC#%63{_l{H5>0TE+4ipt}3%9{4Dvkx$pqI=r_2q zT&t=2O#janQrhk(gS$etnvm+~mq&!U%ZF9(`0o3I3qN&NzOzm#nB^b&W!pCUxi^Yj zezBE6*rQ1hp-!3wC1Gn{u zoBpntG)qsreIhmNyY4CTxUsI=^PaLyWX<$_lW*WeBJJM5VfrW%Omy`wm~M%($7i^# z>pu6l=U0U0#Vf_G7XG?+7CRh#{?^rkL(;$1F>^x;!;(U^kF~w8#E06W%feJBd+X2F zytB%`DP+vL!#Q~9_jbn6U0W`>UXa=unu@>k2&`}q02 z&=SAs`Lie6Jf|mZN|4(oZAFvN_q*Qe&07pj=l-6GW8NeSy|-t=Z$d+N4B0y% zER-7wqsn*o5@ipcyl}_;?+-Q<)b4`^6}xZG>o0e?jzgGOE$2F$4?+2e_k!Va$@L$I>kLg= za$oWn`LJoz+va(ol~o~Hg5JdW;l7L-^6Y5J8HPm-T9Y|umpg3}p&H#OHb@l|vR8t0 z$jv;dXDI?t*cb(cUzp8f?YvG5M{%9fxc*g3M|zw~#dWCW`bVAD*~bvqp_=RO=-1g| z7K1sb`(jRW`!E;OUaG;HuT%PB1Bgp!r0QCPr&VIIY=LlIo{1wW zOG0H+H{qz&oQT(!@W{g@aA7;CQx@Sg_*}xXJ<6Tt^QV5whvocdA^R5cr|MwRj=SF< z%>K}>2M;EyHG|y8b_~IhanZpZhu2)!WB(H~4ZRPy>RSYUscw>eV>X?ty(*vRg5~E^6){SXXOC#@?D{!y_MVQ37xm= z2s^1xo77Cs9wkce@rQB-ixI~iHX=0k`1hp3sUF6Up}W=Ub*)P8>`#2vLl4O=Lzs*K zcZE)gX*zOJgp)g@?y`A?OxLzs;=EF#t zAkJnB&C%brDyZL}^4nMMyGEp3H-&Op3Vza0(I#(_Up?e|rekm)C_^}gc>kzOJmb%4 zHtJSS-LiQ7OMRG9cm%kV69Ej5 zv^H&Kr0~XS5!vPp-kaSbD)>9${2@6A0|mc&hi>g3>T~<<_HGcJev)VAboeCp?Hz&# zcgzsH5AiPYi|u%E<<|C1k_=}^8P4O5cB77R1^qP7t4%^FiQu7Vi!;9GWbN`N^=1g+ zHJ`mhy+epv)uJvyOW@B}>F_9udhK5P=P{FKnsuimNjh|S@AZ3|+SGEGF13e+_rV@^ zUbn7gdq3y(vBxQ`Vv633aL8p7>Vs<8=>3~~>?^hzv>_-8GXB+nr2bRK?oDZfU$PL% z^Gd9uK#{(kNr}m_*{gMLKW)sKzO=`r@fA7YT7Of+_+2@RWDme4*JmGJ9k*D3iBK9J&F%W8de!LM(@ z*>K}PX#@Y~oE&3iM4s1Vbe>mAiD$rexO$B7ProZ>u>}L&j<$^{?S!S=c$0XP@&BA~ z3TPAR$aO$-e4r)2C;JaChYpze1c4Rq==gpTxG*;|5;v%(r>+&F8ZMV8H*p%hzh6sk zSDSsi$<=-A{E71^ZTTk{%qv21ES-ODlkZSKXRfO?n-lPW6PxXn|NE(8!kypZclD9Z z_4o$|G~n)?W<}f3_O+36?NrJ;BvOXvxB8t&#~)oA=DL3Tr`gvKIP|ER+fIarC}{KN zFzfQ|^d;lGUsTrqb>WeiL+;(dFUTcfglptFs%*|4_716DB7htPsRReHd5V}EJuS|D z#Fh`uZNI+4btqpl$M<_Jx7~L{CgkPf?E6ge_@)SYHVMi#?>&CWF?e;9K!H{6<0!J- z!l=9c@LuAe9YOJgu0Ouk?CKM{Yi~+;+*sE&>LHZM#Y}?K_R&?Tiu& z2m{=GeV-VuU?a?OA|;MTOSZ@k{6pn6@zQ8bc`eU*L(?9+>zZxEEUb@3BNJbLaMj_e z1B8=V#)u+z0^6G$oMD%5EO*rBomtD9y{BSz)q9pPWVOp0-qhr1nc|#-D@&t?2CpODWhU-y?~mCx zaT_BCR#*Kdp|8yN^T(J8%lI2&61Gjbt$o-@Z4aK-6_ERpTvA|jwUH27S@VR>Pv%q- z>oDXM@o%Aj^Cm~SRuLCQ#Jd)eV9(V{-B)4l=@-GA3HjLOdJOYxfOsz`omx~hwVejg zD}e`Ov*h7We^GK{aH4p@jfqi;3*Fyn;=SEgKjh{q-i3bO_1>RAY%^E$TcVtAlR$cO zN=vtsM9(Ajn*?Pz)naZ70--voUSIdAi7p^+LV zblfDf)z#NcpwP($miQc3@4VUVX74J6D{^t@EMB@{Tr>F|icgi_p7H5+n`rn5#V}V6 z=0u2PCufW|MTvL1!i6Ss4)G;2LAy=5lHBV{jUVQ^PU@z3@(ZbSwD7uUt8ct?c|~am zZ6#WA=vPvgNeAUg1c;dszclM*e0Ixao|ag@?=a6#@l~S9kO?O5CM7zrm&sS!<-+al zzSpHblohRBH_2jE%r1*~6hUU-HCPp?Wk+ebHeJc08Bxt*dZ3HdB+oBpY+58V@AkF` zYk{Mk_XSS!oQa6fq}BSHV#}KxZDwZ(o8f$!LT_wQ^4{Z|SQ6SXLFK>=(+Yof3sVZL z(I_kn4s3|Yz$DL9BWLCjqFaS{8$~4RfIQz?XUt{91sQ|UMh-nMxf;gR^X$7LYy{&l zd~s!I|KchWHQ9{FUf>qlO!DmgQryxU;Vdl%-NR5uAB%f6qDUEYm}=!AEEsn!LJfk7z(%P_9CJNC5z(%%%E=mT78#p8)=w$3{kP= zHqQyDAPz|z7M$=O9Ei1p)@n&87)T?;d_f!V-ojq(GL4qprdIpjO^$6!wt0T)Pw8CD zvf!O8wb$M;8~RFN)W@grX6ItrFj73R|7r#A)C(O!Vwu05KEKHsM(mmsz6xT&3i%OA zX_Dt*k+!DE8X}J6v>1$f;6X7)%HKB6T+=4sX%x&eeJdU9#Lmq|rrT(%(S$qIiLiM# zkb4jb9&4>^*)AkZ@_he1k%_b03RBvBZ;^*)AqJ+~L8wA2wGu1LB7o+A4Sl0u-q<%< z6?N>-8qvnSL;?1u`en^y59``Iw%j`}&_C!LqKgRKff16@CR+s6+$I~v<|*X;=VCbO zXcI9q^S=l6GVTtJ5vwWNIdlx)O#)EqS?<0*Z&wIo)g%(SIX2Lxe+|10H zH*b8uO*}4g^1a5mr(4HG$^FGL!tB1r@+8Z1G_*~u%T4lxUyB%uX;WZzQpga2d_;E^ zWmlb*JxT*;VOC;ZX>;_cnzqpGex?wQOa z69R@15WFBnixEUg>qi9=6dp$R^+Lirdb%C^}<`0ib4uk-UOqmhTbEH~d zht>GHHg3<>J_)OJSl~_$o*@z?y{Os|9r6Tf4ADuTeBHvCZO0;72Xo~H6h08a19hFY z4N+V6&Jk@BEm!AgO_c7sB`QR8BD+`awHye6~xf;W7WZa&c2C@ zeN3S24v{5OttX@QfoUrzxYo=@8d5_Y=L@wt8t64wsA=6DrB{DFv}P|oVV<_}ZRp_< zq9d+qI(yrajKrMUITwbK#^n!5oO7Ofy5xdHjc?l6j6`?4K5$rKzayf3HWnmZkm$N= z0S_`0?N6pbC+oM-svRSfO483ASej0&Q{~xHJX>0luJMTbLKh)YWVJa?rDmVn{6?L)LKY{C?2s4OT5Dinc6H3IKsT;~vYQGK-flHfMYaYnNYtab|7*JUDg z-=Y!z&a?41i74s`tw*VzN>$2Yr6_l{>WK=w44Y(V1EfWQ%1TGA6JK`idZ|cgNJa3Y zFQxynK9yc%i%5`fnd+%_TrW&fYXsThs%lwnmx~CJ72kuLtMRcsa3yT;6?*Loeg1Ux z$wyDcW%ebS~kaWjWLPA6_M0RW8_`@Kkl*Y_U$B;#TQS>wEBz|!*Vf5uJ-BGZI`l$Q{Gaa6tGrbyn^u6fCMcev(z5;I&|hCEl*L=v;}hrV!e;c{R6ZqEUU(wB{aDhgkD zY)eu6$~sGPxsP>=wfWY~`&23W3r>Eyb7bwCI;@Nfx&;63EmwL*_-+m-sUxt;*^fQCcdVs?C$(Ktay;*KjsPje)7^`xpEhi&xd4Xc}hRb zD4o?lA4#37Z0Y+RC9?B@&bGVdNdleaj4pj2pPN1t7_uk$5Pv5G6P${yu~%`6J!W5P z-^yK^oOWk#jV-j^E_*bjh&_|@AohdLWuMvggF4k7&bB@A#m{9tUXlGbdwBdaH|cE* zm-QhIf=rkUI^RlQ6rl~_p;3;8svXWP0~+5O4bO~DbEFo;6aKFZ5LP{+`=(^q5ZbX1 zOa$Sy%pk=@GM{n8cge-z;0&c(?iP0@rz7!vOWd)ZIvEpo!oM7&)Q67!CrPV~D^{$V zv*Z-lK9xO_F5CMI8R2^8xJN&x94AG@wJyryzRJ;qnyFJe&R#}$+n;Bg8ik$qhyRWE zWj&trE$eVn%$Hmr@_hP|7t)1oD)p}1K5s%W#21lE;v^AyOFHFlp^wp@gsrLjz;g$Q zJ;~ObEdEhQ*i&V71eFO#B zf2$G1Dnh7>IL}C%qJ2mJ#~f&UNa!*d`}*DAXEZGg^5JFf;62MGgzkooW`Bshys?l= z!6AvMarRb@6;|Q{6uS~frs7tuqL-H-EumYd(o3*F7(-}y5uY`Q$=dui*hf4V+MG?P0FWSKjR_}!t8wo7(K;XY1RLN+x8ePtbK za}z?nyUhz*$~xS{YdYiU9mT!07VAw(U;hQ>cGSSDye^FFJN9;Hfylc*P}4>P4DP4% zYVg+UQreS_k&))?l9L*H0)gBKY|1skRhs8YE=s~6W;A}GHEV5E>$6B^xrYD*uM;2s zwV9&0OrFCfl}H+o@{wAOex}vh>oGlc)sCw%&v$$#wL=SK;$-!QCq%2(zk8i|KBBAQ zSuB_#Y3SvqU0L1Z^5mU@(6s%!T-CGG$sN@~T%r7jmomYKOGgE!sK~ zc*72P7!!e&V!%t%f&@J=tEP0{usqi=jxFNciZB7~3Q6u6By=wex(tK{OI&&NA8v9#0`lxv9+pe^rJ z)!`$N@H|yXmqgo7^@cl+H+TaoOOQH)?`WrQb=rfu^{v`1*IQA}(67AhVy5wGg4W=HHOeVh z4$#S7)3ux&n3c1f(0^~2IdY~vQNNY9+M1nSCyKn?TUKUxxvMVGnS`z}G!VWGT@S z5M$Oprl8INW#wDy<^%!J)RhaxAdTa!$}>X`eK$J?x4=v2e#p%D_igpf|E* zCB&CczBQ;vd&B-sdqqBMnK3A&_C5?(kAo(Hje3m9ttWi898ukwgVa}@!x%`{?Dku*FQr8#RwgWw4pu8o*^z$WIqz z)OQO2RRMAxDVEF#QmuEkAp@rn=K(#bA`wGz6a41@SNYPL+-b3g95#o>`GS3&AA}h( zS>HekXO6ELQ62sYNqT$hMA(!kY{!QdTQN6o>$zgYCNOTBqJ`>8AzIdLih26$h4+Y0 zE4o+a;7m&S$%VRy=zOq`?9Js~iFNm}#Jc;0TE6*2daqB$?xXuZPPOXCR&NYY{$Q&w zE0i4dcZ@6P2%+jx%P-cKb)THxmp4CAebN2fH1eTrcQG`v`t?||m6VV*>rW}Y*Ujyq zPw5SAL9$*mJUloekLvu}-7X#yC=^s{3o)v zVpy^E!~bf_{T*5R8uq;9ZP+6w)5bj`>KD0rdc5EEtbN%#+%5gKD-bg6{(ZalX?bCL z7LW|BzF|+p9yfoZ_(JaL_bHOoiEOTEk?a}|zTyCtJNm7cD^3d%@}dz?s`Kpn9AjQD zChEu0oUeCP#|e7py}C z*_fF~0FkoVdu_ce;x6gEI+63K?En(qQ&_G&;s1$-i=(3W((sAa2kSS;S+a9X)%NJb zJ_sT)DI`S>B}XX{KRe9E)8~9mqci8e?z1D+t+3;Aa5$&e@0>ESMm46U^rDZ3Wr^4U zo8c_|S@*B-JxA8KPqhXxChFm8GD*S(xt$Y#Ac1g{d+y@`xgn_Irm$n8m)sm$b9+gh1K0ftSwu6 z@}3A8BD%-F`*5B`2#;KFSX6NG*44QNhS!d+HI@evGfczEiC` zV|DhR?~{tYr<{nFtM^2I8`{(Aa|cPZ*`*ZZ{5z#q(e)?BYK_dCSam#erms*;P4b>z!X5j~21T1pk#n9TF`+P;E}_->C2w)IID$v4 zttYuFJA&p=-H}BerW7I#sFS-Js@0JUcb4EdXvF#gi4tMK-@1@&d|l#|b%oO|&(YXs z?F+wxnuN7OXiypJ*-kxmAWf7>t64vfjDO^Q-B}&HOvL`Ej~1mVq$m-qtgq_P6N_j& znA<3#6e&A`PtyT<68@P4?F?TVH~2bRXD+xu)q@W2VtC+3 zuJXZI(f8i!&rQ9mt~}70De*!xg4Yt6gT>R{Wz+2hPx;`|6Sswa%r{ZzqKu|-ue_dbonJ4n%XN+`wS*Lt>9u;-SMxJ+ zmK^X(1)OiSeWV#!rgI-EnwgAe`R4>ElMo;NOGK8`x7hg}DuCsDyhpyz}K&8OgoJvdWzu0#bC+9v9oB?Lw zoKs$%pz6KHsaJZa_`YFZk$Xe%vfS%J2dS%c{MgVwNjzMdHzu^>l-ElMv}M0X<{@DG z85mU8bpN{Grqoim{ZbFP82AxUHg*%480}51A57PI&`EA?B}6T3eR`1#+q~cYtg5-S zAT@#Dua4>ijlQ-!I>T!pj{OQ5(Y=an^8nemxw$ieL%HgZrK=U#ngxwP%f&w6V!u2Q01CgxgTOO^cv0YXi07KD3 zvy%IQsVnE?F-rFerXi~pAD~Y&%b$M14ew6&NhH4reia13JRv+%G1OG6`F+LVsD4K1 ze~9i(V%of@GhS6!5!=?e58b! za@^56)rxI*h%Q11!9dv;)NrgU(Tka8J^<*5+z)YqeU7bx`vkuv@XJhEZdFGP7)He} zb~i@L@7$`1RlMvgUsaRPENkD>Mrb&Ll^m(V(6iEu=wx9>*j-=|PA}j~3kQX-j%L-M zY@_q6M6KRgi(L?^hXmbPS^V4VnhF9aFfINb2A!cI9p z6M~5-dZo_iC@b8VM*oblD1xyq9AdK)l2U$h16(YCHun&#k!XlKc!PCZxn$W=680N$OxT}em$wRpf8M`cmu`z ztD0WnKZL&Ul;hzUWFYp0pY=$LaxQ?AC97zvb?JoaObw4Ff_Qz}lVN&D@*|RH2n1Zq zNJg>5`HHr^WmNF%?vX30A=F2e-NKKfLmRUZ30hceus2!IlE6+yT+awTjEbw=&vo62 z$;oZc%kiIY_1@$RLssu0MTvgMtK28r_Ims+qePW}CjHlX;d4=c#2O6juLX+tU^2fK zum-KhgS%&hp6}}YXnLRFb{bH1d%~-k62>Njxi9GS-RAS8(3juUyGQC&zq&iH_&6Pq z))VCrdI~u;Id7y~EZ=kUIWcdPD|qa*{siy*uA2LwUh~!Qnq&6h4JS{lhXA%EvIvFq zo^V#|OD7fT$KL;4Exc8GO5a(8T6hc-(mn7$b-x_9 zSYL3GfN-AhuSBuba{|cXOh&yH@q2RM+~6Nh?MuX()}y)_IucsbW0q#}J~+%afgGTD z=Wr%mDMlPxH&`OuI2Ol6BbwECy6m{!`#Xzaa;t)F7t-2go%&Y7}%#5@8g`7(F^CQYRZJ6%S03;iKkit z*??OxbBN_oZmHp&$9YHFCCpAhgB$Pav>WtW`)G|n)MiL-K2LbBtIof7q(Dz_DRj2q z=PucLa0YAPX(Sb4e`hHCiRQK-z+*Ay;kKw^YcSQLY zQCs~kZ}=WahW!uzx0l`x_{Qm*<*Y?tRJM>OoF5_Zuhah{$DIDdAl$AlhV@z5iJu1X zTb>?FhAzo2(5tGrVk6dCrn7TVncqt2dSN-m(p=%Sk-Rj~u>M_i6j>x}R5YqOy^R>_ z`o~FW2A_uMA+tyIGf%1h9brEo1M&WEh?s93$LhUD@Uy!(#k596#+{H6)filASAYLb zDA|uGfQZs2>#H3VvULDJPJ9*_fLp0LKsuicx1NkiV~DTfM|D*l6l>8Ml)8=h1N|DP zM-H7ot17g~?OjxG-|)AcA_I0w2EX9lPR{sKu+0n|6ybs*UgiwA32@QD;ojB(k%*Ujh)4*vF!%C3eCg zm0+86ik29rq&yf$x=I(Uv=v3~IX}XY^6qmLW#vtC73Jk! z>na+TccCjZMS2sHd-)z*9B&v=FRHbLACAr)SjoaH>=c~0_3Ag_l`eQuc(gn3F&7Z1 z1+KhD1yA;%EBIjE{jTE1_;5Vo54l|(DII@d=x<$-=~JyQ*D4zzlsgLTtbRRuUpoHL zP`M!Tg~+Manzhw5?DQRpUR})IJYv5dJ|y=5r%yn3?DLE7&MR^iU7h#1EA$ktFSsFf z0v_G*5*#&z?xE{d$Dgi2fPFEd1nN#EBqmVb5Jlcat6MxQ@29Td#=MX#WcPSK#f492 z;atS~P81&#dJyCJ7JGT#ovxzfyy>oBW!M`n9-nuwD`@21;|l$yN4d)m+drSn-9f!? z@6Vg$np2)v;0iZHdNczIE5z002=5IPQVVp;I(Q^}9f<}ydQ`Uj>+oVYO>5XXDX~1J z-OP%^hCbv^{q@wB`!9L5e_hh0K3B#Ie)G+uRU46- z7n;`QnuQJTE%U9ZmfEcaCzhRF``qr@m-&K2uDZcplqmI@v33%zv!eDP8W|C_zj7ME zq~S6gGKp)r4R>8%YhPC zq%R4k>jNK6Us{~L^ws{_z&YtlX7l{z{!1TEU;3y141=Tu(uBkX9-x!fg|*GPo!nAc zXbsG+nW|4lHGOmn8`kmwB;eK}@b{yzJp9hFvme*im#s@kOmH=PJ}lDkxqBUkx3~IV zeNE}-g6-afsR+*-gOeAxsNfYqi%NhNA*%ow0@)6)xO5|Yi&Fv%7|@zlZM?8-5kpAg z}!@9&Z0j^jJMn+P`aA2u_+HnQVx4u~|8icNx%z#7V3VV=N z1Vd0a@$haJIS=j>H|yLBf|nDVS7Q1WcT})taxVz|u&m?|@i2O54qjXx*BrP)Lqw-# zf7Pz(v>gTbC6i!s$PCsXTI-c<0y z9<^P~GddN1Dk3))ne}E({5VFeA}k95lB$|f6W#hb# zXQiqp2!CxCM&JpbAB7^}Ln@?P%|*_4Z*_3->oL zf@(D-UkW+8k^PQZtzr|Zc;#Y%Ztv-p%2LAgA>59yXcBWuow}btyizPs@gHhXnaDc# zR@BFS|DpPwlm#)!%QR^jMj-r^(By(Y;*38dXV;uwobS7dK42eKyjPo-pxuRUYw#LP z{P#jvtEZI{)AYe*wFB!WVn(l<2=1UqqeOyA*HNgQO(`ORF!`%e47?*TOV#ure#Fbuvsjv!B7yDo)>pcWV z$XQ>Mm|cT9J=Izr&8eSrN%mHh=!44E55bk$0TV8{)F-91^%R%i@NYLcka%ardV4Fr z=z?5zLYXWXBR)61%JMHsjNnfc3xsgzmP$W4U10D0c#xiAudizI5Q}CUe~KhBjly;w zyi6oyI7A-Vu-E4p#cM~19k{X}lG8NzZKXv=YKalJw;FNTeBf(8fZj9JS2Ehqi@2L| z>Owb_vD-yl1q0wIiPR-V9S12M^l@w6x`Gsv+)Ye~7J%z`v!yyG!9f_(iX=k znA1}9q8&yA9gM5%$gWzjPqiRcxqzr>lBABaHId%gi?VCBIH@Ak-*G^hSK=r{w0dQ9 zm{QTi^%OtO-~-ww!ZPG+nllqr+ino=1u136lZrg6l3Y3K=3cMgnyk-A%4sORStCMD zn(pJ_joPirBQ`}R^^q7Ax2q8RoYh{U(QAEKVtRpBo9JbQ(XUVfUX>t}FT?scP?A31tIhM;Z^uU>Q27S> zTCYa2jzAb5tW#x&5?a0P;5YgJW{icR9d$Qi2Ms;y8KeyuHv=CERaF8lX*0Ye))4ZwAxVcG1$>R!=frS(#^JrW_ihNAb zHmP1XL&6bR8zA)Bg~0g}*SNU}b6;ebe0`o*^qHwz(*&9o{o15HI3NlzrCnQ}(^NDJ z4fTkohP^pW#Zz=Pn(jjZ>57ecaKb9Orae8N9=*!jF! zJE0e(mlwY~R_@G7}x`!*IIL|EWj(y44BL)@5&%A&YugVK`aS(C{= z&djMR@?>gtZ+Xk=`ZgXGZPt6PG~%xKI~y%`-GV6bSma3+HJ*@IEobj|ddIxC-}0&m z_>Eubccp8&oZ*2MSSg%$ytI!uzya|><)%@B!X$!D9~DfdM|?iYY%h10>p-<)qLn}+ z&U?O|?~z8Qu)PXc1?E)c@2F4miaT?GS797)^#ZQeZb{cZjZRF}y(8LrI?C%pku+|i zEP{zCSjqIk6bzEd6QG?{NS5}~;O9fdQ+F0??f zD@!^T){7U71R5BtC5}Zc9*Z~7M=4$$^n??AXpwz{Q#W~wD5Izax+%$5EE5aYYeR59 zA!*TZ8!{~|T_IA1?zML+c;}~ThSh6{$^qazUa}rrp(GkD*WRgEdRx*CdMn!*=8e&{Y|{;tQF4 z;=a>u4xcjhy=NRZVv31Ow()Y2tEgwav_)1b{RiR>JXjL!~iv`YA)Ay1rXWOwT{o4 zGSyjl%+(t*P|RS8*8BBB5O=-aaL`L62|g$@;5Ahm*wh~lc`TaS8*mQL~?$8x*>ov8i-GT zJf<8?Bpg8~!guG0s+=r|4jS!Ebz}YuDk)hP$2n1nRJ2#(J_t}GG(s6wks&&O(6V@x zHWNv(gMMZ&7lE@~`*eiA(|((FcRQ;cJ8}%}if>^D;=qQ}d))TAvp=y$(>b}|Fh94` zG2m1TIU{uAM#QnW{{<&)H7v0*m`B)~!CWUGuHzYtG6>!!cZ8E&d5%?UfKfkR9R` z^FlAqoAApg#YHae7zf_qI7dbdv!8E;k3YScI6?#P<4MD`fGU5A_=uLTdzVO$L+2nX`Cb@| ztLTi|(W}A+@p*AgL@e6ey1q0h$tdOQ;%seSS*^~e(<^#6_uJKctIVCJl(Dx9yN!7R zPKn(u)@)0vXpWt34f`3zn7;+bXh&mD_FrF*+&@$Aj^QY35p zU$Hk&mp(3Brlb!pgqxLnJL%X4SKj8v97xa(f(HTfjSFK=0EV^8eT8d(HNDUYHc9U+ zOb(8%gnJ{pw8lR>CsPZWA~l_AMS}m5P)e>~ri`&B(?L4*FCPS=dRu1s&lSiFtdsb? zsQ|r%>}l(vXMfVERdU1b0{>+1fQhS%2#Mt!>?LPN&#DJtyg0pX)QTc4O8wncgMa+9 zs$*z5cP>Pd?NeJfM1upB9JU~TtnXz{kjTi4G%%chtXadWmC8ow*0aGJ!Cz+fKy3|I zx+BFu-g}i61dQkkrXvY=>F56Zs`Q0&_QCjOnnHxwdEE$hnQjRd!@PYe)2$tkFSvZ` zL8)~+e0M?!cM~9(Zjm}%BB{K`E80Cyc7hOJ!s-Ma!frLp4lggnmlfNJjCA z2~ycgRT+%!?HDJ*`9^G!t;(M;+l_=|=tITv)lgP2aqa*;1K06{2fHPL>c_F6GLExf zk-6bYDT!z+{Y?^CGVzsa_3)qy&R*%?$-{i>@XP8U<}$+GR{Hly$sI3q_F`ei@<+6C zLIL1vBLNc8t!e(9obgo%5G4v&P`ISz7^M ztpjv6E+p<9rLJ+4_lCSV>w{>@A%vW}y{kCG>nM;A`mtiCcdLQjSvEgS>|ogaiVxKJ zKOX4Htl*U0rk8vS`x8@R8J>`LIFS`^MZpe9CJSr9!hda)qe9Kg7L-1o@NV&w+AGlu zj>)F`azk-vraq=@f5cPVIj*>~xUbfx`zj-ZhHj+sYKWa@fI7e&g+(1GIdfQ z55rPkPtZ*2C;p$Rm8+-CIiYFD58*^5Pv|EN&isr4MGsS2;$b1BWNZi(W+0GkU!C{+7C=t9)~3)FtJT z@TM*)-}iGqPvEoN9N*n#2Zw0uE9zJEbyd~yYGujZs;0HczN4>b^>29_+lTed?NyvW z{4lU^^=qQ8?(Zihe)wMOjT^=L-8mzjV@dTnc)sd#NNIPQ>XNQYJWSg^OkD0$oNL|p z?EUaF>&W-2w*Tp(#6M*w{^??_dY4Dx$@#gAh6M`k=%r+i>1-Bx(r#7Q?n8*;6ykOEyYfx3z*;h39;E^(mHigHM(T#B@G z)*Hd;g3d?qZV~xw(USN|8LtzQO2vSZYeuRy-}wcR)88J#B`TtFFG||Qi2Vj;WD&Q6 zfafHXa=tYLfNxyH6InuV__G{^=xIUe-Latbu`Y`ri;D2{H=;Lp`)ayroy)N}D_kJY z#g9Y!8-7Xgs!Va66$$?Uu8_|tS5mweREauD0C$wo8-=)tsr%s`lB_RdY^cps=2gpvUHnP zrBlfNQnN_W@y4v7Y9y%J5$xqgzxlKnHPIL44cVY-@j+9tkG{IZ39fM8D9)(~;bd`z zgkiM+_;+)4#l67oRavx+7qP8Lj4kCT7;iG)dR^72417rI;QhrHD12*FoQ*c2{BKf9 z9~FuKclLyUoWP?Fbx9-Qolmu>+;E3|_E0sEDF>`b(2kxKlk#Fh8eoz<8VgWDM1gsO zI9433ymQ^*RwO%0>No#oAB`V`Nsa@K0Mlcf?D!w!>r;(F#ku+Nk3t!}htth1r#szP z>v)g#)0!xYWV~{|?wX5N)cZZ>;#W>999PPIeTv7;Ex-ypU0#j=apXG1ZP~ihc~1%? zi978~W&oEA++YZe>Jy)7U0x@LHJai>-EG;qG5sk zaMtTuVn>7?l_eG53A_Igix?%IP-taAF1BZGpkYKvzR_^}(wlF+^9Nzv(zZB-BMPBA zd+`tICaipH5@nZn1}}-XHbo*;Tp5RrpkWjFk+0n@#dyp1*sas3iz(q~znvyNvYvB1<=E1gbPhX8}dv#s}Y5b;&k`_UbYtJq5K572}HmhIet67?)Qw%3Cjqv z)w#-!jQ=`P{mKu>=_oW}E8|S3Pr_5cNy&~!PecDtE|wkK5#A@0x$*}R(3z*Vy%)ZO zprwQpWT03>yU8q2bYe;=AhK zN_-c2(fZS?)pM*hDEVXRDaS)-!dnh8o9UTRBJW_9Cu zLN87cD}0@QD_U^>$D85+z3JE#>}3csF>gK4o6-#$3EB%KclH zr3HtU`?oLjg(pUrB?R}XUuE5G80?@z?d=4INS5LFm}UTKATzc*&KNi%+2_E05nkoV zDUKXCEXyz?CecAqKvQ+{zI+J4b0DLc3NlTEnrEz}WD2o>1V6}F4lxuUm>&NwkAIKH z588a6hx7-9tt0%~TK!v-gISd&&r~#&mF#qtTwT=+*~-ro!a+6GCUg3}I^}-2C^~s3 zi?+f3q_iZQ5h9-SHdo1pH5o2NXi7&XZ?g}SmF#krq^-&7@pPAc0J7y4g{IKlgiuCV z$sSk9Xva%IS{tfb!;MO+*kkXB7N;>&YHQ;=N)i|A1uiOkT_s~qsdle@Aa`1DtEz3! zzpt&VWS^^q`4P{IZ?(41-cwex|MU*;w+{$m;4n{voMx15r;jqWbg}4R7Dd!RqfKCN z;-{UcSeIEl>D&xUlvaPzZ83IX4{P9%+edZ$>BLsd(?OLHU+^U(A5>5Mkp1xF|RlDzC5N|xmls4%!L!rwO2_U zGXo&;MQNgKuiBkmlT#o3mv+=InwKvp*k8xN0bVZUBI{$JJP{3nS&is&r9R8a)6`j3 zD}L_h4-&Kb7w&cTZX{BX5pOr*B?spmpMPrUqXVV%Zc6XSIbQN10qQ@9?Yabx#nl|V zC1@U34XWNy+}<5gYO?kb*6m?Ww?0W=t+*GOz{zP}`?c)HxEjs4NmO{sOD*>MgTLlN zrL|P8@A=r8_G?ZTd{Q&Z`)bDIg?;T8wU(X*kpES^lWToh_Ah$gd|J6qfopzx^SlXo znU&2?i3V?DPjPaJwLol@WWr`?7mGOzbevjYYR-253VsD7DyV$jTJ61fOYv*f_BN~) zfp)k>t#=gf|KnFe^;Y{Oa4jsqKRL~~g17QINWj9@S}!&5blm)uC$Hi#MhX*wUZLuE zKWh;MrCEuZAVOyLW^b0`u~b0(qhGNnrTVp$!h@^TA1#F^rV;N2W(xivUr5gXKUM>; zruTvc)5Le>e0s&=EMx?ug9U0NIibgDy;*i`tU2yYFgb5F@h_fp+UPNS>+|QT@i11r zQ3%K}`6DYF?;i?i1=|ju>t+FqA z4bbXjt$*Sa9p{^$Us>E<(QuQbSgVnFZ`R&-+%A#eYW*iT8~*uAR%HkM262eRswIjI zLp2obYuAaG8GbPCFL{nmph9FnE^e&jW;hBw<17)dj%Df-&qC4}$e#iH!45nzjX$aQ z|DMDA5xf0oQk;xk2qZs}Vfd1rQwIk|ge1KHHCGG&u!0Zq53A^)^TIiG{sSql+R(GIvtZ#kto8F`}i^P2@DB>$E_Jxz5NVFc9JQ671W;sR`kO-tZNP;WK@?j|Ize zL#(%X(cGT~kLOMgev^A|NKU9&f3E-U`V(G(Fblkqy@+GCSH+Xz*rns`nc|)_Ici^N zPf&FUI0@GsF&`Fy6rm|81alNfXr}mwd((nA@e*8wA3$p6K1ly&N5d&1!kzQ)ny|nB z?b;W`Du=Y%r#LC#h{R}cuDCg&Nj<2Xnn2Hghrd%eH-hJc zWYJ)x&x7!PIy&z;yTb0>wi`B7w%ZjxSBV_5D!ie~l&Yo|A%_2BU(Sg4B_sUV$*!^p z(+%DI#yCr_zo?4!dSv*WlXLNqODUTN=k(T2JU4?c`oz>2_l;T4->DK87y|an_%5cb zD}-175f{nlgkI+=(Ce9HB?nz-x=;$i|505I_l9rrhPQcgA1j)XJ8RB8bMKAOC?j}V z*E|xvQNjQuv04gcVhq-c+%At>gTz_Sp*G%zbc@X+ac^6!niE_o;AW0YknEb9%1!{h zKQL*r-pk+Y0o(d z?7F#gMAU=4(xvi=iCl|>l(Og4wu%R0K-nQ^*d$Qu2zE|O(?Z{8{xZ-~DB|gNrC1A{ zM$%J3I76xcZ#e=!S2l=rwae9z}by8KrjK; z0N$?v#JNKpbOopBH>7Ht7D+;yLQA_Lwq<0)SPxbx{Q>7V_4QoN-`ZK@D;T4YQ5fYy zA2W>W*exd&ySnjYc+iR52ZOD-_tUpNCkT846&yMeilm~suxd9!3!+0V_Jy~coJ-z` zleyE2QgWx!>wiYVJ34qYI+}Y=D3iHQN7DmgCZHY?O2!z}jD+$6oM$??Uuq{|(u?Ro zf-BtES^QjXL9hy`(i8sv$#8Xt+M7?X40^tcQ=+ow{5kGe61PDgAAe=-G+IzKA^IMTP>4iIVkzSaCRagEUGjw{fF!2!_J`pV@ z_I_6w0!u6B%xuvoADT*18u3hEJnbm*WT{W@TI%`Mn`d+{^@MNuoz2bSn|B|5l_hp& zXIaUClqcKZ!pX#|E*+m0v?&#xm=^9hp%{>Ob~6|z{+JRt?bYAiqc%eYW! zO@3%r_{fRmc1R1qaRP}v(Hq`>LbyOLSGes&*SrW}RcsS@6cb}@>@7G77Tg>}3{KQ^ zB!EXwAj+ueDSvTEdg&K#lp#$5TL||`>C(O=i5{v`-IZOrAW*FPt7=kO;SxqREN4+cHKH;>Xb{P`j&<-Jk1M? z&=VD#tJYbUxTXz(#v21kzkjwMop2yGspu$kQ;6sEY(es~1*y*#BvcfnVR;)mV@uLB zv28}@reemq$*bR%q|Z&!=l9VcORg$N!=N^Fi|H~&L*yvQ(z8|DbZyx1^D8s;U2Im$3c8|L>7 zGs`fu4f9gNyv#7i80O`MIo2?*Fw83rGsiHmGR$#?nQNGNhM8}e;|+6yVP0*R*BIut zhB?tNCmE*SFbfRx2Zni_VP0>TKQzo64D&|AoNSmk8RitjyxB0P8s;>^yu~nYHOxZ8 zyv;DD8|LkXd52-%X_$8z<_yCO80JjF{E=baZJ0kc%zF&;Uc z4;kjehB@0XA2G~F4f8R>eB3a9VwiIbv&b-mh8Z%8RlOM^EJbK-7uFM<{O6jSHpbMFjpAnTZZ|zVZLLS?;7Uc4D;`X z`47WfX_%`FbG2cvG0gup%zql@TEl$LFl!8RonfvwOv5lY80JR9tToI!!`x(;n+@}Q z!`xz+9~h=-m>(MEM}}E%m<@)x)i4_k^JByO#4xuR=BI|)WSGr{x!o{#80JpH{LCewFYR4+&Xt=i6y>W7~?k=w;g=TN+{XkbC=s)L0amTkd z3&yky!nZ+ArJ!d2uhTOi`9#3yttoxTVW{5Fz)bz7PqqERG!0AN_qA$Z0no#%ZO1*V zp4cGB6pi-R-KHbQ%0?W2ectS2n)Ro-B4|5e`52|^M1 z?XR_#k^W<(q;R{eYoiiQQ?xGPU*LebXLGygoFJhb?su~nLnOf>=fZ2yEC+8o4ZozVkCGf@4Y9g_RP`fFUn`5fuwc0A_^U`0V z=ot80?go(h7aY)0(PW9b6ul6%zPnV^L}-D>5y1|xO?raMC7luXO%Xu=$yA}M$GHtZ zN&Lakm5nM@daNVjHX7Q27>9;abk)1G@UJ3MQ?0XlJ7~u4X*h?WIa8w#T;6}lYyJ72 z!SoC!FeM(2FNlZZOPX5cSV*5wU;0A2UC*rSzr4eM`r3cLb&>1@5@rQ0qiQ#~~f;t=!|nM_YBOE@?ObgSsRE^pVMuC72|# zQ<-bXWi=!)xkob07bRSV_8^p?w+#hq*TF|gKReU9KU%fB4E1IlHXt==+p2a+bmO%0 z+uX!{Y|Ywd4U1lTtsRylyX;`H)Vk7rAENmxp9|-z%98ehKEl!ZPtr;aQq54sXc%60 zjf3aOTkzDJO`@o~*^qhZgTTzPPz&ar2(VyP6k&CPfm;XGQ-QOjzNe z%<~L^ECw+UCSVK+=`2e0f#_YMp#j)t{gH)UXbfW^RxBVrJg`xQ=CUMN7q34ltJWz4 zwk)0(YPd+0mBq~{=r>`b%Gy-XxX|AuIc)1CTyUVHGSG3^R+Y3?u5wxqh11BPI%V zcexMW>T@o+JNN9lUhmbSDVB{bB(k)5)%H$w)THwOaT7ZkrV4$C>{09gD>;7Ote{;s zJ@!+y@?tYgtt>{eU9L}FrWd}71DqasO&5ILXuV!HF55A7+<-YLBu8H_G#v9Q{*VB8 z%vr+kZi#N=4_Yj?U!w}Khzuay300S7L|va^>+0T zG(3I@N3;(al7G1tcukwU3@)z~zAE$9g01iu7Z3rwgPTxX6aL2kaEgeIS3sg%9wu?U zLI2T)+uBjK-C>zX^f!!7G~V<7$0;oOFls{y%ShXWp>@}Ir_=}wZdEh)p5rgB&b0TF zdgK@0O)n*)xWt++D@jcWr3zb}cU~xo^E}~cQfQ{)7Wg$+ZW09wR{WWWjTfNsqmk&x z{gJgU*V!MUjyxOirP4|gKW`K#BFjk`-t(=7C&(h=IHf31KBzdpJ4TH#&t(2}ChXRVWcFdKS000{`E>(%FgBK) zrQMpeaqU9axWppfoLv>TN-N3GORkg>8G7ME+O6J=C9HuDYUMbc8SbF0Pe?Wep#6Y3oOxsTDp@ z){!))8ecwN#a2qNu>y})`Y+J}m&k%7|4p&1XJ@}YurY9nvtKE)k8pHS#JZwb?N_JB zY@$k0q<~D`lo_lA2CFjtdzN`pFKZHKzW9uWBte!oFyPzxgKx z_m^&V+iRUHI}18sSZPZ7eSydip+VVOCEt$Yne~WQ^v9r`?J)Al5q{{ts5seux+P?B zi1UP_@K9Vk(b1`>D?~c*;@l-C$?($Z;G=Xodk4`sH!t}$7o6XU)SOltoS@K-lD|w( zRg!e-uNyiVn&gkN9>oh=p`fC?pvx|#2?4e%S8)eb=%9{orVIx~gkW{H_(+ScHmRiA z{z%EeOMXkczm8w5`YfSI5#FtRPga9?OSSG7DJ3S#jaP4IbW7b}6apA)ym)K_;*-=M zsn!=o)m%{Iej=++S*z5k8$(``yG;HYU6y~S!WGJL(CQ;@oic6ekfe7ne`z`*@n~(x z!HUrpNrx)ly^sf~Ls$Q((mdZc^o~2H&)DL;7#uxi>X2K@4kqXa5msG`YyGbI&5ybk zmu*N;?~JY*a;RdoKJZ|LdH#%{cTC?x+0=h7^DQ{J%%>j=CM|9*cG({OTHNMOK*;S| zwjp)K=;&BpijF;4u|){8DXzjZx<8&^H$vd6TpPYxzqkGNO;++|{R??;N))lsv9uu6JB} z(Zv-5|9YmDx#G;KZTjBm*fEJq$0X|OqC>88GGjeU+Ndf@=#*HzWAB1lt~pUi;~T0j z71JS6>Wq(8EpRP3(a}tM3tjeO3r@5*2fYhUeA66Cu*Ea*t{gc7Z3E_;f4 z;O2oll<`?p^C9_O<66*BgP>*&cefeIu3*AvO){uq@>`a>tRqV@?|92UDE<7(`fz=? z@%^&BiDey$ArAvKrrpMLr055mYj!YqER5+hXXtGUzKYIYa5yr)ZFgDSgx=AT-VIj6 zj)vxj?Kjz9_l`c`e+VV7y~bw^XC5rK%V^%mRVU@MCTSv=^jXpkKHJ-z zbc?UepW<={6PouJPU9oD+KGB&*w9kvdYjwqw$EyITylr=t<`Q*<${TspPgxY zGMmrj;>z(@FJU2jFE|dz5wFqDo)FgbzVcG{uix?U}_v44clt5zY(c<6J>AyU&`(y553!7trm4{(sW;f6ZK{8k6kH0 ziT#(7;+peg*R_v@X5X9f=wrc*#~#1$(Tod=GG;wCCu8oM2ZIkjdVh-R@jGYaU3hOs z#!nts|77rel=_@<`kRm6J^Q|3@V<;$56-^tD(Xpb%{}eE6xXF0|M|b+7e6tAcklgg zNK3|1KG?^ZfJwk4j@d-+kwWS(n~B!|C$ruUvTVMMW9+JU08WIgl>J zbv*gbbc${0yUr?@0C+mw|T!*|y`QaycY zVcEgK+(zGLqR)^;F^Ujr0SP*VCl*2WtO8f9|8v*Cs^)1G4dvd-z@CNvJwy=XE?QJ= zH&TKx0CT7D3kCaO0)f+rjO%?UH?|Sti+q_jZYn!|)`@1A6 zw)3C$Ty&*3>F`RI`|ye{Rf9yH5V*ct+JlU;>_^e;E7ogtZvdDlC z?rD9cjP@b1t!g^uSe&5F#j;v&o~v}up~_jUiXTE6r*AluktKFEbh0jxh?Mc?YK-^I zo=Wt)H0_AmHcLMCf*M+~jlYi|Q6L8STUWMl%y(p|SjYz@u=hdqdrjcfCb;9{(CQ^# zolJ&mamva}=-zvFHRPl6vkJM))&jg&`oG!SU0YT1>q1aU;J6#CitPwy`?z@0F`E@403Umgi5uD)(K|ncoJvu+lPY_}NT;kQ}KS<40(tDs! z#DHqiy%Y43J;be*{_mCk$GeUnV&$xknO(5}r>q=8-*0-jdb$J!l%6X9aaaF$KdjnE zu0$=FsgI}M4Tqs`-NOxsrDKHIX}bum251eUCQ6UraNeLtZeUFyK>QL|S~`rFhPVXe z5XD9dBUHU43c>;D<$+UsX;sdO%!I(st~iT6zW$KVS7H}BY0@42>UhZN*E_^uVxk8#~p}KYis^j_r3Y}klUA=6ZMamb zJPlk~j)`^8*Jv#iaDG-zA8_rh<+J3|8LPK@v~>qB-p9q~I50{U@L_G!;T8Q4v7i?= z9pY#CF*$gA#bv8&JT!wBYRp4n{zD>L=|t9W@l(SdmUFu(g}T>PDr0~1!RqNUa?wzU zrVK16waEG!ulmXOg|X5lYBTcsc-7S4J#7ZS%+3{^emKi zBeI|!db7Q)aj`bf5usd#oo$Wjw6{Dcw4u{#N{=jhE1_}kx$7IzKA@jU>hbk}Oo)>J z3h%=Q)k}jK4nU%Y18sZ33VQs<+@#Yws6*ujYo!0c4+ICMj3Q z$|zSP9J;3b86dA!2cqRo<zn$_{XV&erP>IMGG+qOy{S1}bj-?g99~5nw8B zkzs;FJ&k+b;%zoet-r(7NG)t$t9)|%yYAA@JzMuVJytWL2lgH!TL<|AKos z1OGY8p>hV0QIhbV(3XuJ#ZD;n>K(>V3pG+sPQXuL;gyh|;5+`{*tO5>ey z@~Hn%nSU2FJ`|_%o;Z#7L1QU`^Rp2A|DZ8UuEz|YN9*6FF^8db`+Y)V}dbnChu^JbFd8f!T0c_6}IjG>K7@A~J=r8gBQ_ zevxzW=)KNN4z3EMIqZuN+sB;a5&f^!#_=|^!3Ox%V7GnY945>+fs)`<_r>`z>Si}& zZ0+JN5{G;rYH@4>FnHOD>e`xbjZ}3KC!C{64SpoBoUy^|b5H9E^He zqOhsZ=kiYdo?(3#@^AE+Bhg4zdo=V;oX7|mHRM=vD2>o#Y4=o5&#sw}=XK4KC^#|= zu^lC|{V>1&r`i7Ip6{CN-RkLoKii3_6=c`%ob6vqZU14me{xTp%K!ar=czjX-_Q2{ z{)gEP|2W={@1E_=>i7RIv%P#WP&QvdS*<(lcEzXuz_+IU#V<`mbxF`{zV zA3+NoKm7OeeV=pqALH2Hxuli9cP(k>@Rx&jNr!Xz?=8P(C+wYvp5jz>^vfQOo%Jnj zE6)25Ju8!4hgWX!==E~&52-)`)~ohM)d~Odb)5J|UsfCP<)M15hn=Oj=yjfF*p%(j zsx(*V!_^;oRz#7B;!&w%`$%N5@BIkbY0An8xUaMocixOyr<^<=6I`uL*FJCk3KrNy zj*{|6XP#a@dn<2Hcvie{kJ>k$R(f?!R5d{HE8@kS9WQnN?zGaXX!cgsmE?HwPIbdm zzP|U*oUSD3WW9tVQY?E53)?XW-YX`$;`_5(asEj{GCk_>|CtU)C?B-)mR=X*m~(Vt zVJoI>kRmf>p0}45wk{l0+A)9b6{Q{Hi#@fz@%{x7muJpu%Bymgue|VBbn+2bVY~f% zRduTMF#@UBJ!jyihECD`AU1mOt*#5iWqcJ->DcNzPgc#L?AFzrMS@1)jypX4Kjoc$ zbW}x_z+b16bb!zVMf^Y^h=PDXGOk7^&;dd^d<7Z;314PJh=>Zoe1WqN$Yex90E0WD z;_i&@&U%=2P+=XNXw*RwkU>2gaDinUj3KKp1Y?shNdQCd?^eCn-HCB@&+Iw#$G$$d zU-x_UdF$4#Teqt2MO+el5EE1h@tEM<l-F1) z&{$c+rHPfW?XDW|gxKav`Vm|1P`Y3-8_7B>fK|$PN;#NPiZmYU6Jgw6KBF0bX(-#T zX0GmRc)=k33vROnhhF$=+al+@s~@kF)33}c4n2&F!odo{+<->EaApqi*~&{jIB4LCD;gmaM_C$on|*&;i8Bo4 z14tY_nL~0&<-9P2i-asZrb3d>qlFe>%l3|JIrsDKGEDASHI%U;gQMUuCn*sW zumF6pt%Xfc&W0BwgcY-ym~)H!oapK$J|ZLThdHnh-GkN4MXJ-W=vFJ|L~(F##YkoS zr*rtSeTo7GFJhm0ElaK(4{((qCeo9^NLXbi&A>v*=A@NP*}f?8<66u7ccJ3so1@5y z;<+ON;sxL$8V(3CLfU_ zyxzLD79KV=Byn`&48^Q)wOJ&s%L=Dv z#uIEl0e`nz1?+^s-t$%acER60lJxgLg~z;VemE*_`PyAornq; zi|-+YTbw~_thUZmGuH#kypLiT_`jZ~X%0i0!ve*}lx3wr@rf-p{kLYpk2p6~H+yTA zuqD~2H+ySN9sDsCZsQ~AL!j)x&Hff>0zz1cf5yZ0~@1f_j$bi=63=#{=FN z4&LsSy;EzilcPxOM)4J8?>eqBjAZY{^{%3pcU`owiNyvN zxxx9aXQO0YI;CnX)iR0bpPkuy49AQ(!(EJ~J|qi!=w=XSTzD)y)C%6F(#snhYfrh& zXJTX&Uw32YunW6{Wt>0Nd7Sql?lJ$#B4-SR*?InvHpW_PR%L2a=_N8?ih5T}=_N9V zQ*WNsZ%D2Msm&f=v^IAfX~`HLmpRLAzS1V+ASt)Gt9m}+W6lXyduKDnNj!qq(P^}` z(Drne%!i;g&!Q(qyQ{veRLPhJC8TWgFHJ#kayE3Zn~H7z z`*W_q#?85HvR8sFt?k%1O)*xBA8AbRvDeXiJ_4$0Cu^ioJ%S`-X^EIRiiit1TE}&e z>?Po=t_&(3vu~FC(YA0!G3Pb|bEO?LYxOHuU&j=f2;yBG?)vFm_^67)b`r%~CDtK% z>JJ}R-Va97+;!*NP)=%8A)3eB&Qpo@u8c-9h80uhGM7^-FXKrdh#2@NN5{M3Hy~I8 zzuV__gWutT-_>(9elKnJsT`Nh1-EJpIhA8~$T*E-8&jf}ho$hy=jdQ4-XfxH8 z3qg~|JU*ujnmzzcgw|-{{QDfG2I9y*stAcqJXKn?`(~@hhz{ri;|B0KRUdKb;_fT)%H&Q^hC4}JEw{^!LiRKjKm{O zO|TH;P7vlX)8;Vzl%Y8-!x*#12@%V@=bcKE_jE_Y0_6q8r&gD4&eZ)v4r|$(XwBDN z&D8b9n7#`gCSuiZ9hM>!nMiAy6Az($SF4?msqJuj>eqIk9B9Rlv8_mUoKObloZi;` z13!**Fu{u6WUH|`@D<`UPtCSVqvTYj7uTg3rb|=dVlGHgus$do4-@JW55@bL+)<*h zR5M}!2$Q;^%$7;%H%p>VtvPdA_eZOe*u}6{eq?2$uT=_8J!RH>R#AfGT0Dx+xcKOy z;@isoQIW>D6h}Tzvm+BZu^mIJC!lI=^--qs3qD5+JiML7EVua+Gr9O;{)aZ1qP;19 z=>(roU(z+cl`zlGk{Xwuy@qoHnX@2Kt<`!o( z{+iV_{p*(%8|yArJXw253%>N*S)vTcV%uHpW*0MaRKPupZdc_)A??`s3CEHdy2PT7 znq{sde5{_(xFySjp)B`{pTTCx@STdcQJTG0AK13>^T3FDnYveOGXrg(IXUtlSsvw0 zpHhUG36VyNvnt3!txV9n(3m#AZ8)48PhC=66xH13vieK-E%q1Qfeu3~V9=W=KAwLP z>T8;OQk{SoPgXrU*s*$q^Rem4C1(B}8i^xk4HFsidN#iQ^{Cyi@_B1_sAYQjbj$P$ zwTwy2oDH^2536N9e_0`~2{cC0y+dtuYgXqrTH@1`DKitc6{&qyGkw7}I-}dD@UBjn zPO~uGl>u*`}QKst8&1kzOu~1B&BZ zHB+s*vKDJSGMzIkvBD!bhKSmRy~ldaI~W=Wmz^zDg{{#RF$1e2GiKXMdPNuPUxgPe z&5zQy^pkKGGOPv5m`w;hS?A|gCG_3=c5C3nxGj4t{&aUadZ+SVqOtOxS7r!zxXls5 z(ZhP_;u(qpSe!n@8Nm~Z0y1W33P3{+Gt!HN)~Y;;YEIQ>uqw~l)5IfYK>&m0vJU=0 z;0?~nvga<+FmnQkxmD40J~J~AGP?yj# z)%^+miVl6i6$jeb3&e6F`@`;C{NUnM%WX~c^^)rJpq9rsTUh}{o{2MXY;gYVI6PM4 z9MsP)g$qIx_@l$;AuO_4NCHdEr}5Zl6rB{BNG?8Kbl65ga4lYB(DmdX(wj1D&9Nn= zRZKh-xPz5`Jn4NL2`M0{QeMoKh|&{>W999*2QgphsND8nmm@76WOI2*b7Yq^RhLxy zrRwRT6)msXFgB^U*MZ1*J2ISh+eyh&a>Q~9XA;Osa+%a^E)b2mf0{L-u`DAS+Qmkt z)+o%KuG*qB<(#qBEp}CGJ{e)CMPKEL!5l*<_8Tbu(8bb}368aRkVRDFOntNLen%c= zuPjTatpUAOYDG3edW!=)yC^~R#&7Y9+{@0AwWkk#OcXWi1L(BmTvPFtXH?nCZxvazfP|j z^!l$}|M%+J>Xz!MZ$9!I|HM`ZWDatkZH{4_EBUaDkaQ+2R0nQn3*$6lV9lBvn??jr5mV>c{3_9YgHz zO5<8jd)et=kfgs5fc3m3U8QdmsP*(u`YA~l4ha=6lnHw4bitGAtq%sm()*R29xiUJ zl@wL9KQMK{p#Y~z&5<2)7YCIU7>TT{$U+Iwhee1}iF+XjpGdBLFI31o?Ahz|pw}a9 zNs4Aa_6o1Ur-~KVV~$Z}VY!MR<>z=@epDqow#DwoFh-@Lst{Hnk9oCvZWoBW)mpwoJ?*AI<`-!ettnRifo%M@ z$OQhE_RY$9%vaS@MLa>0@|e%2NiDr+LQ>b(G-lUlkhz3Q`br|p_c0QMrM@Cf>f^1q z(dRZ7N{o7(h7w_VNmTj1p#kQ$LM(bjJB8?_7wSl6A7;mPSL9gDh$l+bY_U=jMDssg|U4Yi_EWl_Az{KiecmZ@71$A*@sI!XoRBP2zdPtv@VYy2)Kp1YbziJWb zrL$7?t{*c<93FFADh7EJanL!P4vRiQYzX~ls-nTqLp0bU6^i;?(;$+{e=4#ezh=c1 zo^03s1W9$G)sLAIH%IjoCdw{AksuCsedFeh+OhJYe#61xdQNQ1EMFlv$FC6VG5-mD zeu%wsMr5L9ZyvK?qV%gEdox=nD1TJP)q5gQf1S1tf@>(7y?M+38Tiv^mur6Zhqk-Ma%Qp_uboAT(I=MW%obu z;6us7?lBhqc+HaHdsnWwb@Uixgb{BipzcH;MJgjnEMa}8H{bPVz~J#iZn`;V=!jc} z|7c`Pk6GDiv**m8J7uQt@7MJFDT3}T2fe)pIcyfAIe)S#{)*K%3Hp&FutH@)vCPwLUo9MH%oJu8b+{O zPPu~rfYCimr2{;zu1(!s^4`%2OMi<$j)=&ZxcKoKI_Lcm!eRI& z|F?0hY8@cgFsFuO?sox6!0o_oKq4>(7zK<3CIIQcG{BDM;P*Mwx|Vx@`z7E@-~!N> zXDm1yPN0GRUjQcoi8~nR;P(LTR|8i8R|0*2-as#4IFJF@dC9$zI9~xb@VkxsAnq=p z2QURl15$zTIDgIWxmH@~+-CxUmrTIM!7P6NHR=DYs4txyM z03QK|f%k!TfVTmLLt_{4Yv5mjXMlx(4|otj0c`x7dmS)@-&x#SfMvjL;Gcmtz%<|! zAe%6BWFx|G8aCMqy><+RMs6~Ug5k7pMuzm$1PLiucl52_=DjM zacBDOy5iG*##LYRkG}eJA9pMxtkWg;KHR&@Pv=tGYnMwPJm=tVyxD&LD^xN&P6Qa~ zd_{nv&X*I6b-p5k80`G~|NXxn4o9!($f&5O2-f#pH$}%d0{s-t3DtGQYgcR?i{5i3 z&u9>PM2goVQtp~1xvL77=dFytB_RPa<$7w(E|)-@YQObYB>ZHTOF+Fej17VH0d;-F zeLXUZBc9&mayXJF@qK4OPrl;^Uc-0Pq#XI3w?w}Cu9xqTtND&xRmr#GqjY)lLN4F^ Oev{4jpkHj*<@z7=MiZ0( literal 44682 zcmW)HS5#9C)AdOYA%xIE6+`d6_Z~V%KtP%xU8;}-Y!IYK2=HK6tO#~dPqjC3?!^AblVJ19VBD#H14qv^hjWsFAjDV=4|bB~ns}=~OU< z7)i{CN{`u!Ny&($sRYTeWAvu=iAOOn;mE|uv;-nmNw?iYSKnCIfMtZh zB!C&j3{)ENK?{IUu*(A)hSW{LU(bQ^po5;g465Q8w^4bF6)k zq#QKg5RTu$0i`tdd6|;s;a-$F=VO^r-ZE*H2m|McrQ!1xjWwB1AV3L!q#~gI6>*G%LwC?t*&7s%52fiRPTK@~t`{D+VdS4&=H^*Gck#-Bm&R@$3f~G2 z^Bx2MH7`FfK9&MFQPPu=F)?ETzQT6oIOh~VD)=kl_s{YDSHO8GL_B?&;uobUtC7L+ zO2nr&Wh$hkb| zlj4qveq#g+=%Be-eEe87vXsannLv%Tjh^XpfRoIG_i^#R9K(PR01O5W{;xO#fl~MP z<3K>*SlZzxMPPpl5!g2w0C=~x1D=4#*q&3%fU8}i|DE8+lktEnf{s*3%lt+@u@$J*k zG25*XAh4u4+ZqWlwn&>rq;0`=MkkiOQncK^Qj7&kYIj}8 z0-NKbNN|M}7UKODzpTq(K-!i`Ss>SeSu_DyuNtO`gx>WDetQ}@JzX-1SL^_Ml z*gPKaleW=t{J%MhY4etbSaJ9!E=M0MJ_A4m?RS^y*}FS@*uo)j9E&9yB_D3u4P9_* z0+lx{C#ZyL*Xl~fBZ;g$x^XSUEIk~6a35P-+o62talyK&sqm(mUiwuhZ;y0 z1RqV9QY9`N=`MLrQ?z=#ze)e+L6d;D?f&-dBK_9KGhavg3sWA`St&htU^oU>UT7?yIswQ^(V93>rCFO5N`RW#EBAZTek|aNdxLPWVdA9Zgv>X=SNkWU{mlur25yb$2nWxQq{uPU@Czv?G2o8kfM|jJ6f9vF*mO-le_cy!*d$d%}^7Cn%cv zn-yBe0!=)MHdL_vrtLe{y)bZ`QEu>jvq!!ILf}vJ?5r|fp{4KkSAF$k@T_YMM%u)| zU6zz7izh>gmvv;xupri-4e|%tyNXW!Vf>4o9m|v}yPyC0*5#{dR;YBAlndmDByIV=q6`?a4M+H(~7dX4ksD;L|2n?RAMvG*#a8d+(LK5bfLIr{6=olrsaO}F=rClS< zS-G>jaieHro`b_f;=ayG^~fxWNK3fh=)B71bYqy;3S!E$y+t;jyRf|NvL-O%w;!$0 zlF$XUuszE3*5jKnKCCf@<||td(bnRy7|%=HT^9cuIaYW|A@4fhqMJGu(9OTB?RMx) z^9zh$ny)@2nT5=&IucR!F~8k<3E3$uQL7~;&ivZ8nJUt|F~=xAk$D@--9{G&b_zK( zbfelBGn-Uii|`e^j~yP5ZG|5BdDU%Br(13F@m}4q`@j}mORnOI(`Zlk+G_qJ!YW+s z{EH+kKoD6zFD54QAMvp0MVB_=aaE@9n1Sz{mN1_&XfWWPF77;lG=v(CJ9WkRsYd%7 ziaHPA3^Dk00?_7*GPIBCzKE2<7VGyH1R;IN|CKXV`xs-ATVEKz%L|Jdym<#J5=Tz=1*$`(?!2=BtJw<`9Scoi|VOHHrZkm znOKJfK}0=GkY}+`Q6-x@JQG-TVuU>W{dkD6XZ0>Aa-$O>8Ybi5R*k78-zghWhYdRowrDORbv?r>m+_*^J^7++-fPI>=+`biEv7$o z7iT-K>1qR6^U)R4@A&Cq7UF7b)nu!mUN>m!^zp(RdVRko97Oi}|cR{=` zDnVSq5FSny#9!OfoZ{9OxvRQLF8&pi-#df2{%eh1`WwAsJ3gQB@5vjRcog0LwM#x_ z#gCsF1nH(_)cG_@cGl@9#DLs90Cvs&?Z1cP1|(3%vJ)6Yi6`| z|2!5O`19ycMb;tZ6K&*X4BQe46@DHz&F6PRsQU0y>S@#0uQVUa1ql^FI-Jq@OdcG9 zx>?@^g_+CtiMZ5j^~4xP$VPCVLu-VH(Y|dIG~!to3-c5?jLE7-enZIxu3Wo&tkE@- zt$=|uy2Z4tLERovbL{@&!GRYH{zMN@|wT!WW%q360ylMt0|AU ztAh29OXafX1^{U3@qDo z=k=|4oOTAWUeR5>+wbS-@ z7z-h}5CwgmY!Ezw#Cs|=e#_v!c{>Ybmh)!6VGN`-SBl{;@V`Pc*d^m_ zj{5Ls5aIS1@?Jys^}v6{+40^dkiDdln%>VPgl*njS7+VMZB9@&$aL1mpF~3bo8f>KV>!6Y-6z`b3viG|Lda5vK_#_%SgL z4jEj8WHV{=EwiTT7YgpsHeIcef#*cKf^+|!#O7tMCd=ZUBW6!KIOTVTLrLm7Dul4` zvW{m3ubr(G4z6{xpl5;L3)&cm=U#hG?5Mokv!nowZFs@Iz-{cSbCMADw|#TSIjbPZ zLI`+DFeIMLy*;D1EgLS6BG zy?yh!OR1=N@-O5+lPNv?NyqHRE;!@&)wo&fY`gf`F*!Sa_)Mu1qjqCHjC-ZivrT)K z%k9NA7eJYT!qisY!oXH@vn99fauB!mB>J^aB-xO2FIY|%LEX0FuYZIpiZ zj7u%CUNKyYo!v%8jt;^0R9-*DR;Alki3}tg3pzA*S?>Kx6|SlagvKx$QsfI{ zu9CXrofb?@tR>Ul79Y`7_PNpuM`bk+L25bTn&}4ni?HqyB(kH}_s97R|KUXHtcpfi2X|NKR81JvD-{YUGMPe@=9^`aV9Un=!Q|N0R(aCwb z;}jF3rpTobxA1oK%^o<+SRRXAjp0(gW3+P z?EgqVm=u!|M~Nq(_`XxkTF?pGBME^#6jH% zsh!BAq|~SyO<9O(Kup4rnxt$zBkifa`tu* zZp(-AaB3qm}HxEl_V<0ljEK5k|^RH3LooA zZY>1n^oi`WF{{k+TsL0j+ z)@lKID^pEs;)Gg{i6_fCrzB)MMn!Ss0mbAviKa+amH=D}i4CbrPZ88N<_h^qrCPpA zK~cz(MsYF89~`GnB@$Co2{N(UECqmgrQuCe#IaseB$fY-z@`Rw#kzjgCMxF&7No_? zI=|5W88KWqgkN>+Z(2DVBb1n0PkX2>n_#Ay0thVoB^*zW@Gu2B8?mtjY}62|M(b~4 zpKox6gmTSg>qO~s6yP6!Ekyc2Ph>Pxzmgu7O#9NH3vJJ%MJ6OgmLAqeSMK=5r)GLH z9X}mJHRLiU1R|qN8>6Ui&}nOz#D=l?@lrIkx~a5HDX}y~+PLd@kc))atEWU=-OqZI ztgs}X^fq8XXWl<8?Lm!5VSOgdM4d8|SoTUNI!4enAwD1i(pr}Zx_GaWyQBIa@h<@@397&>v%oO+D1;sy1FW^g|Orr zL*bp+N2PR${BOHVKKR%3MvQP)yig;t+47Qj&CVxo1_nT@RQ_b-jRfhAatz-XYTXo0 zi9V8lR1cb~hMHi5?W?U*E~h=yjs4Hk>OQTGRe6qaK+y z2a!Py1rYbI9Hn|tMGvQqh7>2!Z%Ro7PTZrW$3zzyYZm-y?J_uM;OJ2x>$XpO|Aq1l zjeV*>CjnZG$dz9r)?du?@sf+K^+t>o8xI=Cra5!}9gav&u*)c|b&`B$-k4Su*N~Xp zm02s3)LHfN%=EZ|@GMZucB(4(4m#Kv;q&9ehvsVc$-ayari^I0y@onjby2a0FOMWW zA&XcO4eyl zA?hkosSpjK&3)qZK~WuQe$uAF=#Q9WOvcbsijHl)uLLZ{Eg;zdbK+e0S|qK!o@N8U zb==%NbC0w4bJK|;m@Yn+>Mr4>i4OHiND(>sB&n0|f%y8e%E|m!0s?V@Igzm^dlgId z({2R&$9a|q`JT#1IL^E;2YUjH-^>IKof`_W^|1dSe(66p{jmLIN9aOodWR5Eo2tTb zKaj$|9sQQ3b|KPjF+o5Q8yY=Y*I%toPN06YjOqI3QefI*S~cmJr=a}6q>x-|^-Vw6 ze_w#MV6SCZkt8=cIX_~{xWsGinDbhVe`go~*YO5a9I{u7RFcknI2(0DRvP zs`p5}!G3MeU8)5-$-XB0R#1;WEvZZXceQaIu^7C_a;vuo-^F2OsfX%GQK&$WQMd|P z8>a7L*Ec$DP)Dqo)l&x7Ix7<-WEI? zCiuMZ=8{pr(;L+E!v!IrX!#x=tbeug>QU3A=?Wy|bIITSw!3Pn0VPAFf7{%^GK?s3 zTpYn_5-HwthUE1^vB@sTjy`D0skuV}fndo9qr0>)Rdar0?=qqE5^BR2*ZfRf+f^2= zn5%pTWEPS@<|XGDkcF$oUE_)O$ia4rEIiO56TPS^YCk)#DsDf!q)M=#T~n2^?_%&# z`W-bvK1~F{t1vp8e704cMbI`?Wem;MTJal2FxKJqE<n6X2>kRQMA z*dE?CnOVjwSv{M7&YI$$mJ_mvr{BG2fTwWMQO+qKe?wM_SBv1Tec8K5*@6sMkgYr9 zM#%e%9Tj?I(qwW8k75=d-k;9av!+v!V!LVGxSu81F)X6UuPgJ2>htj#e3?XIGO3** z&qkLZIpb*Oxzx>B7FMM2>M+$;ugL$T7kK$rzp2rYDn*s zXqK_POTKcGDATTqxxunNV;?a=+EDFS2@$bdX6!QLL)Rp<+GXWnp-_wM?hH}JdWo5O zD3;0d$1$cRE^@!Y_5_ZPXmssUIDb=n%<%FSHJa(HLJP$SH@UlDX-SN*89{bWPiXu< zGP=^0u|{bbvP4OqTIGH0d!85 zo12XtW(r@rj#K2+Vu<>U|JpSDSY7t^6|yqc%xp6AX;CVVw5-ZRKpVXCYW%KkslFCs zd=LtLvn+ypU87c=B+F=jZKvAj;>iZm5LZ@A$blt2%n{~#S(oazr5dh(x?3sowVCBL zL3r!y#nrEp?WfK9oRRceU`nL(!M`kxZbtZth0R?>H_&m4M`nx_eB$)vgb5~|O6G$Q z&`hChy4ZCY`GAgHeE_3sHC(TibA1^+%IGte{qEclHC-cHiZlh58Nby8v4I#%%u>`_ zbadoVB6OLg*)j53KJ$t#qCX>FRfR4&YizH50x#RuQX7*?Z1b#Ctfh1 z5LR#|eUE@y8bhKRqw)l5hA4AqiW@sq4fr1v46Q6dA{`<}=(MPsFL z)z;J5-74KES+kys|0NtXQ85eOXvd~TRA``?`6yBLipKHFS6#Y>8t10n=--4jMg>o3 zfYZ|a+&*A8FLx|3Ym2dZ$ZM24`exW*l+_9fBhnDU`T+{JXruG+nKi`IPqJod1zXo| zugFZ=Eo5b;Bxaye(ARQd0*A&JwV(g!M{O~#Ze|1sZ|VPRJ$d*0@_0M`UQ+HzLt9F^ z^w^tI;GGy_(e>AyCWkhwv3W#&FI3M7*+(PU&*u8fv+4I$1=GL3g&xn_c#co8Av z4e7UnBFSc&%?x?(&W&j4-u51bjGVLB;d)}<;3<-bij1fAOv`;M!7`Tf zC{Gr{!n)t|a7>9d#-|CepLTV1g&bLlHDaTAA?k2wl)u~c0t@%a0v3S3rj>Z~37{ZW zD+2m#EwHz@{qsLPYYbX@J zeKcQIaH(PqwJx(RcJQts*LR~&soYRnYRtUM<2U0?-bnX8FV_gSq0ynAu+Gt1hKc|x zHc%3;Clw-Yp9ehzeC!omQ5Bc4D;xb6VK%3BnNJY~528AN&pRO`0Clih0$($Vn6@8(1 zQu^5@C(&PIBv`N1$d{+~%-z{<&NO45J$#5KkycXs(3OZ0ITbm;SP~}|>G#m??`5d| za|$xCdWgs!W2`YVvHC;YE7?*M1nf?HsdbmnruLl0)Cdhy(6>pZX>3?=ABH!XKuiuZ z5A~^s&qq2iZc8mXrOQ-ES!up_U9nye0w3Vvg&b<8;n4=#glK)K+wY+ z@r{KSJIHvuySob=yM$|)fX~u1i?A79-VxsR!ns}5VrQS}N%bw@vDC^*YX)sYb{>ij zX66p{SN}S+Y4L`Vs!+CTF~g?*lXzx!%LWE~JeA1r)cDi6bb9Yc0bi4eS?m~MDwoh^ z(M1(x4&fUO=fZ1EeCt^WX`qBsZ+HRtx0YAj^*3^FWDxHSiR_6_-nFoAbD~Qj}k< z*>V^<^EakKK2;Y*F%~Lh3f1^fB%Me6g|$jL_S<~sV{Z*nYigyEB%E~~jIl$wZ^;(@ z313xezn8Flxvy}M8w1Mm%Qri4dw-Hv{9GDty1RHwW}LGQCYSm6IYyMY>bov&uj>Ro zxGO%KjlKc~yKqwhZM!5{*3&ls4<2HHuSUBs2x+mt5lZc>>&mJNUHI{!<*y(_znwEj@<2Y zs`MD-_MxRErocv!y5JYZLT|@wiXTEg_9T5-t@+shRK*vuhOLG#p5k7vL#>bHd^GtY zQ_;olaeEBI-65a7(^WaLyG9s7qdI3Mf%)uzWlg;Sb6o_3>Z~No<_=URMpS8Ehq|j| zJHY33NiDD^%p7>(~I-8=rTzwkH9 zS{XVs&wTRxN|&>_{La_+MyTO?W7j@X4^iHSxIa1p%KtpRKm_-H$L#-j_`Sd}nTeTG zFE5n2UfO7*&@5bwjO1}kA`>4*5GUFA`JN^vxWV?z=eX(f=?DFD4FiF z6DGckl>+4swQq7|4+(G3{zvP1I0${{;*#uY3RP78!7W7l<-65;cj=_x!t`F@KF!Qe zh}&Klduy~cF>OQIjyCKeExFg`CdluHTRQ82n%{)wg{oaP{iEB#-(z^`8iNju;qyy% zJ`Y4jb2rg1nU~Ce`J7(qF0}lG*fSF(SzcJpp~@mQbR>R>D?00*DwE8=bx9nfFCn5h zp%j~Lqhx5E`yoF%0W)97A9`w+H43ziKUcEmn*6dkXo%`b+;4cB9t$MQ+N;R_D(iS- zB;M(qSeKJ%)Ut4t7JbB}Q2?fLc85g<*8lW1tpl%J_s#T-bU;6L1vXcIh~kq@<)EmM zrWZ0pm(t8ME`up@fi05OwZQV~(|spe9~~3)p2kpD4spA-xH@fvDkFgPf@X@3Bjld2 zpS;T2PP_)W%}7ykz1JFCOSdcTC=Gu;aa6dCU#q15@vWssooYWh#K4p(9)wqj6?SK^=+R^@i7YH}e<3Pv3-*N5G+(-`mdyCr-d zOsiR}&X*4_a|GxHIr#coOVS<-ds@IxBud(xFyiu0Q+;Lg9k9(2^`<%9BtqaFUI|+iD3;_pg?O zuc7u#@inz}5vBIsV1d#MhEB5mWag>%D$qin_o|5US5Fn(@`2 zh1cfub?3%ZXHj9k6+@RK{(i+9y&1{$2HobV>+N@!DjsSSC!;in5|mZKB68z%h}@sG zI$X}Bdf1Hz+xBM+h->Q6w&BL53Izt-g1|=ssFs#HyLXdAZ`532!hTeI(rx!op?5%P z&VCZ3E)Ru!hZG*cz#LtwNv4R2A!e{mq#~qTYg?h?%cS1 zVH>#c_%$}odi$o&X2?=y$&4qp6!3nr;ix30A~7i9UNvf)yy`HsM-e9^Geb5Q&_C#+ z(o;0`#jKhCnWz@Z0@3F^qlw23Xh@3!e% zx|7S0Ok=EGQl$rd-ch~y<%K!{`>vz{axBp6%t*Ovzhrxtp4$@zPWL5m_beQWt_hClqrnGE|Mr!#Et z=CY2!!=6~?r{1?{n?}L4LhUaY5k(Gz-3yl>3uNd*V>`6q&`*HokV?9i;g$-&m|>}R zfnu(Ao@%<)R=&4naDO!in)V+YU}-OWN1S*8r&X|SXSl#m-AFg1je6cnnpL|&JhdOO zaN;@i?ynCB&#gP@)-)UBiE4@!Jf&)({8V0Ji_7S#jlZ zUEAqem8{$X1g1$3dJw+U7N<&8+$za%ghw(g?U>Y~ZR|p2s(sfxHfJ5G&VdZT{1D-`dc{a>*w1pM-2Pw6_Oln&iRp_9c#Ciqw6r_gD)0r`yx%bn zr;p#r?P|-qfTzjM;Il3$bUi_Bs{aoss(^a?|Dey5?Z+Sxym?!SV<&E^>mW|Qyf&{I z7C`*oa|dm8{{`Ao(J>F{qTHh(E#}rAmB{^hr&-q_B2qD53j$uh9;5;alW$}q z0iI1Nl#1>ZH^VH*0;wWGK-wv(hfk0a9W}o#a!}A4#lxgEcgi6F0b()$yn|j@5&*WV zbO^ww&S|M3fqp6)kB+jb5R__@hIERI_Mt6C!AvA|)}oU(BO*|}M44AN-&VM)0mnWl zdpKWVC{?RYHQOa0OH!DB@$|+>DeWcXT21)rzb^FYi0qeT9gy>(d7b~@ zq(2}I(kAG~%x-SALk`quie5fYwDkpj9Btd*Civ!4lZqFzBU=~as3+j(E=sD(l0yP& zLM6}p58PkqeZ2b*_?Uk8LYRY&?uxf@anWAg7r*&ut^$o`OeF^A7k#tU_u>mU5Wc*a zq!6tJs^goxOt%X?twg4Z-gCnwyRslixQB}7@)GyDUh#_6e8BuC#trh1M7p{99b}f#ooaIwXYGr+PDu#~oc^E^(zqW66;jJ5CtpX~ z=&e$*2Gt!I`krA;nKB>^jnGO)*w?C0>*(&i-JnA1e72ivA;#dLqigj|MTMIoK#bVw zCtqvSWpwCGtXw~qwqLm+dJi@rP+>e6V>&QAbJl}7bJo+{c4a<&QuuvYc<=p<;e6Rx zX5*fFX!{m4;HcyQ3lt1CQ72vLv+_gOq|{}^LM?#}bv&^{w!PP%Bg2Ht)ut;saN=@T z1YD$Zi{X!5JW%TRCI6I{EO@6~5vZ>h7Lv=zzF2qi2Eif34ejjSd5`6`-^oD1&;Zhp z!4F`d*Fcr%V%^fAz9L% ztL~N1pvPb2juatuv7e;B>%s6L^u_Ci6o zzA~2T_OQ?ex9OJLCH$a`Ap}q7%-|vL?TtL!>O*-{kGjym%*MH0j5lEBV%h2GKtkD^ z`m4p)8W~Qq3t?M*ZMF~beCk|`mv4PI`*cyZl~m*i-{TTpzOX0cr5QoBox`0K(bEjA zo!!NsIZ>E+r2j+%q?O({UX`=O?QAv=Q$ER=54b?Rcj!43c|eQ@y|2$l^w$X)J)h0` zU1ycp(xzdrt3q2nql@x}yf;r`4yE&C0m$ywR_ zOZVorha0OVncoMaM<-V+7QQ5aQME_AjJgTChA~%jO`{GoB64 zQ~0h$jzQjvzPhB^DOaK8^_i44NWdA-JJ<6J2*jT{_Y4yfE-PGl*N2E9T;-?U~7v2NGGm>h249G3Bret8O(}Qb&2?=rOnXOoqfTE-tci+YW4Y1Do)ZFxycfg6T z#`ZmK-12~Dd{B2hdUw`~v|1|J=1>RK6rsf)`$b(a0IgSOR0Hyk;DRcciJC;C7WS~j zVyT#a*acB?(|J+MVe|son2GjjUfABHhfcM?aC-9;--jIj)=s?P>IXJVIjet{WV|S$ zC-;{56YX4~AlT>J`j+t-`YGPbawJ=o*?OwpXLE)(UK2SJ-FzWi^y>xXg4PdVtzbd(UA*q#i&Ye(k8Zr2A;7Lc!@O@O2d!ZwT0cqzOQb zmOY>1zn5TdbeTl?9|xMTFd^Z9%}A=Qd+`eVV^FB(FPqQIfK3T>ya!D8n&8GqrexgN z+vuPyCV?CvCK3wA6Zb#BZ`mce{-uDwP+ zk#etu4y8nYicFR@jgFT>d+iT94Qw3nb8!aHK5_nlg-9U)yi&S?LB}>o2%cENw6G6E zXjnfz=JzQz*6rov$!uR27eJ>U2(}y)h``8H_0j}vhB(nHk(1P<-!?56-$u{mu=Pk< z(!$FWnDiMq8X{5QS%y(7Ih{f6~c>B|z8^};-q`UYckmCq$|7`CM)_vt{a)BdcJ|&2 zoy?H45TPD2yK~I2l6~wOciws0;o}F`(|o1m58dML`aZF_Ez%E7j&lDLOoscLCU$wJl?2#ZEYg_7$bF;?8oeTu}vbwqb>KzZQ4LZyX^4|3XvJjRx zSL9t+;FB5Zq&+6m4qHN0{}cH*6rLoV^>xO{rq3Di;7bAe8^njFcePbO4*{f!M41R; zgO&A9ta2}Po({RwMCSg&g%oo6X3QMuPa(z~D}Ow`{4#@uY?Fw%{mkWW>PQ7dF{nCo z%O4VNtb2|Q^d=`OizdgZcMQ*$EmCZo>H9v6Ohb6aD~D~B+ru0T$~lXaVSR_LKa%Gv z<}!)HDSUL}co*&7bfZDjB0c)3(>K!&laf~mrig(ImoTsb1#bj`3zX=``<^p+*c;P( zdb!ox0h37ljaY0k-^TH4`;rU>dcUPcme|QM zt(ojl=#_DX*`z3!eWQ99z8q)R-RJUx*r0bOIBy{=kr!v989OxSGbHp{_895*ikJ#T zpv0(CiR^p6F`y*=!`VNGAiXfcr4QCg`yUN%#g&@+e@J+ zCr#74o0#8TfytK8E}*Sw{sg<$V5 z((EwfJ=~r7yh8hb(sONIu3~TOP}gz9$Tn&Kzskt6sB4TBk7F{Uw0 z+@~k)2{{3NjBa^tGl~dCXzsi;1yB;A zl155yEgtv|qZBwc_l{FWhV0#BFN`2!Dzj1rDj`=Z0n>hH#~WDc)4IC3N`m|UK4&VQ z^lLX(&caa7-l0w25H%gE60*qp9U2)Y9z~ILIJREn%jMgL?4ux*2; z!Mjfx5udcL=bdx#jU?ZD;uT&IXRsW7h_4(+IQP5E(7tA@gk0KGj9gbh%mzklQWrLI zPX)G3(W8|g{(4edOiY9R%a@S!EsuIwu0vmPu0u~288nZa0jzEniG1xLK>vFgt>9F_ z6I5oR$|ZwDND|Q}zK{>Wvl*hdEDq6XnMU6 zaPdYz4l#feod!w>^>A>|>UD;k)@%79GPn`6&bIoClt*Oh2n^Mr5hl>DBmye94weZ3o-l$xmk+%^~jOf#DsADTQulIb3e^c4y#*=O3PrPrY{Rgy_) zX2ESX5Q_*4eZ=?1DiKC1V~9SxlfxbMWprJ45V!>m9^8MeL^%Xsm8d411FU~p=j82t z0bt#E!>`_bk#Cva`=uDS2eGwx^gC=+*EY{qaf(lYH&5RmtQUN@q@X`bSgw?q>_bZb zZ;%Q?r2Em$^@f@{%HK-^@XzfDN#AgU5MUddv)$~A@NG>oEeUw+Pi@!IeYX_Gd+WvN z)q|?3ul?^^06{)oF`<$O-WEuZ%a%BxIyx<1yjLFt^SDBJTSA#~u{ujTdsG~I7dG-7 zGAW*c0)9(_?y3sj9A{9Ngdi&o^-)DjLj9yR%<|{|?Jk$AR>>8p?iq1JtkfugyL2xc zl>3AgFPchN2-RlPbv!P@BRo4*A;C|?SM5_v)&?N~KgNd-72Wvh+q}X=ot;RqEy81E z!gZz~vU_l0Dd8BQA!r%04#Xq;1DbmFx#r~rMpB|ulZhKndQMtex2JP_sVO?Y{S%U7 zTyCxD63G(iu$~N3>)*6v=`Pd8M0F<(VvpZ3*y1T|qI*h|f9jZpx%sY+p}xMMuI4mA z^f}bLqjoG!Gl zwfPp5oWc5z$Dd3pjeDN~XcGzV=+8*c${5 zm$z$)f1Z}EP{Gzxxa^#5WK!|FB4u7gOJ03k+-dC@0vaEVXhOk_uD(kcR z!5WqxR3`tRX`26P2!OOj2@#1lzrKp*o`iztW>hu$Nw|%8yV5%dSI$OU@SqGJXpPMv ziY4M}lHmfNbqW=g`vcq!X+qe0jyxHnZc2KbXnjH=p=zpyyk~#&8KzbUI>#nq0%Z2w zQAH3{A50<{S6c+N+d(o)>w{s(pGTgWYC-~1-YHe7=n|a93ZhC2c}Yhv)c|3%ymf%N z)Z8GKVuV{WK{SJ#{}SwOhtJxUlNqYLa(r6=Sbg2{@OerO}eslm*9Ib zKeBJhhcfqx8ciCs6lpn9)Q_`KWQHG{&{zUBDZz1hC}o?YyQ<(|>9X==aqv>(LW~$N^$MOc|cbe*j_te)Yu!x@DE@7@uI9X_{~s!<>_1*bkTloLKbJlirwi@?s;Y7wC{zx_FcI` z(21a3ojL8E-{;Ba5N-O<^>1gFknnZE`u^4+_;AySdK1iNrf_0cxI;5?T%O!>XIrQl z$^!*QvZMqDj^(H=* z5S*;WRXRatKeJ0~Wc^RWS*RX|Ijog803LsnF2j7A&CbMXb(=h`!S6vivrwG#n`hn( z9tb$}khucmY>5q|i@0dE{LO^t(0*-*@x6Dlh{IooIqk;MZ~mt9STJGi@P!50YO$^1 zjNja86vv0@*tXGYG!jmT9ejY_Osw=zK$ss@<^ipmU88p`#wfU}>eKGc0N#Qz~A)_wfnuk44pFcWtV9a*Ny8u;Sm&bz) z>7XY>ya7`)0T_&XyLN6tUoo7_G~GnYi0SmVjTCbW48Nvs+O?(NBm238y zcc^ib$I~DSeu-b^51)3QSdwG(?KxEg*vviWY+!lp48&E>D&)^2OA2~-Mk1cH9?V$3 z&9e@?Ya(1PJ--Dxw9fEvMh5U5V3&Dt1c#Q`1uaCt=owd)9U~r0f*XwYqE4TQ4lMHw z&#Rk3`&Z1Iu%iDSB*!nc4(XUVbhXqtA_RZ4g<_bUt{CrAn^^%l`EjJsg6P`Ji95LoD}*4lfJv=?UXf;%zsBNzleWQ!~*?UomYh_^{3Y6}E? zSC==KZ3Fowam!30NJtpB@_aJlcHAdNe9ahgc|iqyp4<^gQ{gjYyXS%AOvwh3`D_JO zD7q(_*?PHc!3|vCH-&Wg_3jVw5*jlDq;fY?{3y~Avh3tBd#_$o1uE{9?Yprm&z*7( zceQLg;#!&dk?3XcZ~3)~mUXmJMxT>}e)&qTc9_jfOFJ!iH$&5XWd^%(5d+J0PTaJKE!=#oBEl3Ey>~N9U ztJ3~g zHof|oF~OR|Pk)>TLgY`X7T;2IXbmlrI}3aL_fOIPF?1g8Q222izkTP9b2xjQy@l*~ z)=4Bgdl%VphhNqq_C6;q4ws?stO#4s4-it^i>1J&bf5Iqv(OpBNIynx@(rw1=F-eGxk}hQxusWT39Ry(5Sn$JtHsc z@TgPa_O<4yAq6s+%cVnmOm8d|c1RM`n~&qQ2$L`MpaSk(Xa9M>qy|fh{Kar3`@Se> zXC9%V)G~*(oe>z6d3tCt2UyHmaie(gnSiYo4m zCcN99P6f5n)w{gQ5eC8wykTEf%DTq5_|}rw{-gfIPqZ<9&=Oi3GUBKBRJpqtvzchL zy&!(*lC_r1z7#c)2B-v`*abLVI1t+D!JP@H1#(Ah+(oH0G@B8Jzfy-kxEg=E5DgMG zWA0254&E+Z2O_)L(l6K(@Q>0)~v|Vx^Pdtko+IKi8SmhJDB7Cs2PLpFv zf>FlHDqIhNIcFlO`(Y*JfN7`(p*%5^;&uh zZpg`Dgi0p0@T3s3(`p~SB(nf?Hx@&Xe(eoFx081(ft~m^XK{q5Q;N=4=0gh}&nsFW z>;Oz3#Wgx@JZkF1-Ob8{3k&De!zGM zl5Z^wKZ$Aqa5;3wja#B_!{T{>46g}8e>Pe=!?xLYkqw~yQfN@~6m(vKs7ChzY#S0u zhyw~yMggx}A`NBfpJMnMDvgl@?&}y@dV;(6n}I%XMvmUwCCjV^n7Yl3%~w+>?&D#1_ZbZ%c`?)L)xg1?b1#f6(aIM z@m+|2QkL;xmbyKV;65p-zrn|j*%KskNAR_g+r@t}TO{~*f3Tydv^Z79YN0ssl#yDC z+f=>j?F_`t>2q^%BAbw-LWaA&+8+)s8{W<{O-QpPgl-v-qhg(y*)7tA`*=cViMKbd zao?U}mE($9*)M~+J=z2(Q>uYqxMt#r(dnwb$=WSUhtqz*R|_lNhWYIh zm9&f_@(*ViZ_EL5AR8_w`y$Tx_ql0ys&WM1)S7ImG`OAe9V;c}fxHfK_zJRZg zPgIKrxO0~HeGz1yrN3>%Imzp=;wy)@JoEE24z8-*xXD(Y`4&Ta%CsB9+z8QmCIG0- zWT*<-?Thoc=0%-3*9VUeB?kku@O3Tr^^n$%T=57lHCD~15D0tRsx_n1^^q5rpAcZj zUH=GM`iNGBF1p*o66b$%@4JIJ@Xc6VM&fYpN@vlTFiaLOQgp^@1c>R6g9FmG@wPCJ4fHdHW9ai=_+PCAvgAH(lU``n z;uaw`O1G?8i!A4GnBiA>K$u(-;uatW+?4rJ!Ai!ucTQcYLCewTE6h7-omewx#gIz| z5oQBZ)+Ku0#y;*a5V&6huOl+FrD%-T`R$P55vyrzi3^-PaN81|3i8!C72kuFyAbWo8*|plRj3?{fXjXaTkT;6$?}kjZ8aFjnu>q zO=#KCUY$<~F8hvZy zun5>aI?EO9U9`vk7iQ^}8aF6qtH2Z-VoX6G5P<$ zjHIx@ery$+Y*wmccN@(8q4vds1oii(zXUs0oqm^r#`23dAmbG(5}+#)4l2n(8y^|NE&H|0YB3vg!L@J#d?<1W{BL;>X_q!DSt_zN9A&j1|+@61(`o|*}K#_lNfn64a zu1UURQC!)S`t!!N?-hlK86(SG72{%I%}^Ju`4D) zwY)mIe#O1Zrl~c^l_JVt=^A{z#Xjx7)+1##1pJ;z%>?0JBu?0F<5N{zo~M2l4RKb* zkrh}*>pVIdsPX>9Ftv@jZW}2lssGcr{7uc`YM>|F=l81Mh#zB0VSwn3w7bo0OQ4}5 zR=Yxt7)5z!E!${SM`(N175YR)%9<%4De73llM0670E1IvH+ph)8YINmiuGN+D1$gv zgb{z4FaNgPjzf*-;}D~!e}=$o4tK^{SwH$^7~gsRDa8&qo^n(X%9k46TSWQnM2Pq3 zWl{;X$c{r;`!p-vJX#7I@7_JbEK?T~sxdAxM~qNF}Z(5Bzpo*n{Z=G0i6` zOu#P7hiH7|_&mf86u!lZQ-}o|Xpp$5k2ux16^k!y3^%+jhW3g^1TzQNU}AV(VVKNl z3mI`GO*v%)w)<6L!gIPT_{9t$+CW6oz}i8twNR;Q;4P^hAjJ&8dx^|97idTuPExv1 z{Y-ZjnEV+>zOeT^^*K4}1Nj5k@wFj%)sbvb_m~+Qfo_Ptn#1 z`i1aChB>1kvAe-|qc4OVRjc|D$-_lXt5BL`@CxAiM-$ci7~n$znSB3K2aHCBJc^y$ zdS+~0PkeE+_R-dJIqzQ5Mh{(_h0&j^KhF^ul+KdU_j9s!^m_CIq^yZ{$_#|tzx1;Q zKFB;;LuPaXBfcW2C}4t_e6_%RvS;4lIvXD&Z!`1Odd8K|quR`*QcVg~@QR|_oxUq> zVl;m>xn9ucXD1(VbL=g4sex8n<>UhubmT=`d=j*K{-vLP8Jo(J)~)rb@-se#!)8zq z5F|>1!D3SL#B{k!sruYBZIL;6vcuUS0T}0yrPZGgDL*JtTY1SKS5EYeRa|43MzM>1 z@WlWz?;00EykBQg4{IS^t9h0Y39oC_(SIap_T^-np2hUvF}=xy50nYiyC}UpSGu=J zvO*WB{-Mky_09C(J`>pBkP3`%m60p_)1=SH=-MQOW9yY(p`g2jbOPfK-~|`bS($g= zmD3hubKx@}?DSb@k?1E*o*b82Pkh?QLv&OEiGh`PD#?F}p#Hh|M6YnD&w5{j$xHbH ziZCUFB&*9++Y(nQYJSmREIP)$SOC=1V-cdp$C?)vhR162Dtu$LsBhw;qivF3Mt_uh zy~*HaNF#c^md)Dv$7l?GhR?&{t8-Ab^J(LKcAjniR@JI+!kgl#)h>%7QS;m_I3=!a zL!r>Cc`Za)D@b|c&4-fIG^Opgc7Fsr?IUNnI+y>MFTPU&zTKfmvJ6&EV<6+-cWh2e z$vzCR$&`bF2C9eg(oeIhEIiL#P4Bvqc+s?5#PZh#c71p5T*2)0VoYt))oDsU09S9w zSa8%Z=fB%^x-)Yq%9-lX%|ECG$vS`M@tldj>kr_N`;bLMHe8i}iEk=H<@v}CPH-JZ zbdNcvKgAJD+p=G=&X#sGo)hQ3p3^LDY)UnJXF5HS>P(r#KLQYm$9Hei&|6?WNM zcF$^h*5wZM8fcAJ47zyT-*kA8o zcoH$Zp}o&5XOUC@v9I^xS%FG3eeLx2;(|luWxddxV%4oylV61XuR6rayn1;E@F7~}G5 z@Lr##8RsOY`#9LFXn)5{UK~18DgtZ>k<9;TG;{%~kyg+X+_vL*L?gn^=!u>xxZ=ca zhHRMR8gOhxn45a^h(Bdgp&oB8XfEJ!=rP|s9dL;sWkBeJKtnc&8w?}J$V*|Sg*{7W zhLwh`X3XZCfTh58Ac0k{Ri3LAQ0X(xUJ1KoQ1DlYil) zPWM;!%h6riS%T=!>l3f0o*(2HF-j8Iy6;XIv{2=%YeIH0bX^wA9(N=`S7C8o_K_bu zXIxG(<)s??8zPo+tq1GRMR`wgQm@WO&*17GelwZUcw+z4yjp2~^@Lof*D}X2Mj|NC zPW$8_iXHJM8Jg!Zt@UrRQ6Ks!0#*HS=LO(F7tn-%ry_>+N9GP+aj2NG@6WN}~rcY|ItUq0# zkIJ)T$loY7<;ZXmc9>FP=fqDa>J?8^_U`dyO8PBK=t1BmdqSRg|7QG5H^xwiX%mGL z87$&NVcNtjryc6T$!f1S?ZRXp^BAR^q@ZIINo3+oru5Y>ABJ`yvF6{rlth0akCR{W zGR4b}@=chz#Gda%Tm26{0gs(t68JHx{@$hX)@wiuYrJrC(CF_@mcXbwN6~2dI0P%O zbMGP)L0ISpxaqE=ifZ98e0qCoUF7A5`nE1pd`li?LqtOo{NMBD1vNg|r=;gJoeUJZ z&?Ue1INQ;dAx-~B;Y>U8X(%1&T zrNumMi`rne_}BZpakS6$&^_(ElaOwot($Y~d6nOM!VTAb^)=%rlyo?MQsbDyQDCbO z%if44pC*u9<#gOVqx7pGhC?@))9mbsH>#Zw;h?cMh00i6p)-AYlFDEPQX^S^cTLc+^F7-cW$KdSRMq})vwG%yr zF)qU%!VstHYNF9wPZ@q~!W_TH!qprfh@pK6U-jhEZ)KxltkHK;bHbylbnK}W_^kmzqeb5dFzR>tyL(FyWeN*&> z3i2_D+fIM`RgA}Vk1K6ve=>a0Kf-aM;N3)?zGh(O#SX3!y@MoV+FIk|Mh(Nmk79OU4?UWYbkTOe;OTDq0b zOxpuX#ENb9B`y63<5X?&4~G%;QslEs<6rH5Z|o4bTg+1$AIVS$x9h)>Z|yKyEMfRv zN#Q{@xJAZ^4{+>v#?}%Vfe;x6EY*DaETo=h+U5J-xvxs^&A*By=M-iei0i0(8;}|n zifvk_dC5vN;MWiC+iSy6vJ@3;n z*-vD-2+4{Al4bebYh&;Krxk*xUZD!Jh6!o5;_gpMTv;-CjIzlD*6{UepoT<23ARO^ z6LNEOldzKm_gBysk5}c#mk;0kk4NSHE?c&Tk=_*Ky{e+l;GqHODRs ze#uyJ@=BD^mmyv$yogy~oPpc%x`P}~q5sF?&!n3(rIaB9CTxGnXAoA6>>{W?XKjG8 zn`yylu+5YrSj2A_ph&Pjlr+{kR8h7Wl;sl9)ix!7UUr7dA zCaR>D8z!nh`=$Rr)9@fP_OxM$t*54bDSRZd*Rh3pMr^FAImpnCMHDe45brQvCI&5p zFwZ)ben}0Y3$pyvj1crh<#224iI+l#;iDw|&kpv5f-EwlhH-+ybdN(AUM6~grfr4v z+3NA`z;_Lqj%q~E_!lTEZqWxt*}9gvMQNpl8lRC1gAT60m{o~isy zbMhSHb-U-zT_Fc`a9Ol z^6=S@R|Sze8(7A?Vcf~Wub|iK#1Qi%IZ!J`DSqKb`Hec`Ev~npa5>$gwnN9pPg8Db zpxTzVBiheSMV7pKr>g+_n~OuizN8>hN5;Hxv%=?gUUdkxVylyG>-mR1Y|pa3{K^^y z?ixBxaY`CNQoE*5hxAb^(-u4e&5SZPxY-0z;ex+~05>kAIHjRA6q%Xb&?^UgnZZ1u zdot<$h;WPbU}u|!M~Np>?rBe)SIgnpIK3^I<(K=1XPfgs@wi$w7A7I+$B)A|e+BkOU;;#d>GsBibBY{oz?g?kt*L2wl|`=^8)mFRzE+-qf&<>BvEAVB`IGg9 zVPu=f#qb`+Yu=1;Su%@i)?+dJ7?J(nMv9bgdu!3sDBJFsdg-2$H3$6?=LeIbbYPy> zH4|Xd|Ea_F$V6G6b$A`;Q^nj7y`K#r*eUIXpHfA=s*Das=8AMYV_CsKjxmS1A*dK# z=NP#72IuW0U@`AMAxU}1E?~tFAN<9ImCJoodU!&p?(WRQ$hEyoCBwZXqO1=Qa>F9X zIUe#7Tu?#iSY0YIJ{Oc(41~SQM0mGCj#;3uIS2OS>#H3fe8jv-PcqGG51xdoUjM-r z{(H-!t-KfRQfK0$`S>>8{YJ^JECDt!N5F`@t1ed^BSsK4mxi7H?DLfdW7YQZJDq3W zT6bo{et!`DVDMWP$E~;wzRIurr!t-q-spHCAvIx~r?*qs0<#VU>W26Hs-M=5Tx9VZ zm~LfcLdh>huX^926s4#@ZfFTD=L>?yegAXX5$!qjn5jF_H$T#{;`tTsIGXXRc4OlE z$?mpplKko|C*=rfR%lG-bozcyw^{gER_6HKq#S;_DNB{g7~iZLq<{%|0T55!(DI#@ zg19>+x8bLpbMBA$+=^1UL77OR6~S)hPXw=nL#6-xf|8tW3@Z8Znl{`7=zlXC(Kw#wmcbv^+L-eWuE&oc1Pt!=Wdy}v>Ph*EffHd6k62VN zIb!@)ZPSgJHk!~rKlj-F1#t0e=52#powBN{;y9r6t*W(4t$>?D=j(|bZ48tpsrF|n z%*pk>GWlK6Kg(!g6djg+wK^h8$$+-xg_^*s@VRddT#F-mx&wav>$_@V?l=CU>!`rf zEJZA$;Q#EU|qU-MHqko8)d``%M4G z)hovK;64e{PVSTI$xI0z3)xI(-(E|bocz8Wqt*Q+HY2?WbqHgpd+n0;LIlJAFZYEH z=hE$W&AzcKTjqoUX5HkWSS-M^+HO_LZ*%Ur6oa}SF(FlF<2jLyJh1a=~LdXk@07k^+ zR^gkF+Re=*U2vbNX5;A}O^rW}kQ;5zA=ZLlIp11k!>;yPK^AOrp(g8DYbb%KH~&r| zU$n1l3aj*baTjOY4|6F`8L^>wvzM8~EdhjgmQIrp5%WY2xs=6cfXiMAmDg8Z+BeM} zs_}2eDI$>6iAakORR2v5(bWb6K{KiOR7L#>Qk7&EC+}iu-nqAXwAyXx#P`D@Hz_9d zPspswnG725?-j!q&qzSbS!w}a5)KylJFD_0n0yS|N{tB#_){w}|U-7C99M?E3 zUMDO)yv?0|pB9`4uV+2VG&Y(%WOn@6*!nj05(s!-yD^(_kWixmS#%>J_$v{f5kFPc z`Oodr-fpQ+XSjfZ)Mj7EVwBs%R-xV@O;?!b!gm9IjgMh2eMhGWokPdnPwJ3M%nOd%~919Cs%Xz-IKQ!83w%$nU zB#>Elggv6~93ierDlOjk$AKAI=(f1wBB!+-qam(gc%qIjts=lo5vwZA+fOy`I{PrI zdk>-4m(;v#>J@mJX@TD2!S#jxwczeExT0^0?bbhbd}i1^=(7n)L0(V&%4ncSeiq&# zE=8}od_9zoJ-K$qP*(y0&|6zkaFdX4J*oV1s!IMO#@_gQ`r(cEd!J6s&C)|I?l9)AQ6LX2Ii4yf+)o4fKg$>}G3H>`j=adYw+UyZ+w?9nuSOGy)07QGTwoPwI zYu*H@0(Xp}05Q^IX)k*sMMEz}K`t5vJ6cbSe2x$zfA;YGbN8(bDYx;(6Rj!p_w6rn zGlW0H*_$*D^1EimNK#4ey%z>S3eAt(6HW?s*8E{q)2r9nCU2(S{lt*iiSsv# z{q)DwD0((MIc>)ljR#4%>4joq7YvfF{>!Asg+FFKas2NPNI=_S{YhBDyZ@w(65jRp z8j;vfQBCkwv6<_cu2Ae}B@YBU=ba74+6yDk%bv<^Q91>5NR+9GQBV|Hw^3k(>2tCi zQ}s9FN<3Sq3E$G9k)>`zu920u`7o1w;bj;1XxXiOXLja+mLqQmZRHQ$n#ImdwN0to zq~B+n^wN&5TK050IavSrYKEIevy~nac=};Xn5SIvVi^E%)KV}|h)nQtQZi2uCF|P3 zPo#Qyc8jt2#a9_yPJDQgB7lk|?^Yw5?ok@zzJ#n0_m-r)HH*?IMniUXkX1QgTsDKp z0{pZCWLGR-Lym$_YDPDVv7t}50>;S3&E>)l7{Ak)!p;qy1tqUgn0E*ondK<~>SOdn zGOskT?8Wo)IGr5)-B~%GXfLTb$46rXZ&gFuYl2n0%pgJRK;IS^3q7~`DOe0j6PWg1 z52{gs)&B}226oHIII_zk_>H;k1L0W7GJ|YAC`#YpF4PDbh0=kRcAermnfKblC0|z2 zg2F{Oh$janYFV7{5^N%IE|^k3fLoMQLU$7}!~HE6Ur{ zj9juPv^`Kxu(bKF8>=zNHjo)UmBQOd+>%FZxvgOfhras(-VU(r0n!q5OolV_Jr^O9}09 zE`7cX&=l?o5GET+VhEm7aTj6NgtosyQ4Gmpk_~l6LBYYjJR!4Hx#*tQ)o(+LalZ6Z z_qwnz&PZ%9>H*BrH}q!!DSW17%QJ`}%;4=yv@h&MI)HjTLZ!w+C-``Z0&pEo);Sf= z7m5CPiXL3JYy2U+>FQ!IopgT2(Ho0}i^%Zb6i$4NC$#4*2z_$pvs!hgbI7WS3giVV zjs!OpUB}k4E*Z7GDR??Bqy#8XfPKp-^Ms-!EOqQo%WM6TmCtpZ)Egw(RI&tbT}2pr z4egRSXGqyNd!GWia8P@uMZIOaX)vmX){9IaG^Swb|(P!$xoHNPlq6a-dI&zdN{>2a=qj=`5Mx-a#e+=1kqp=c;<7Z zn%|UJF@OHTKpQCE(>Sc9kZhf>V3I%atORfXbZ8L(ld1j^v%etuLmA@gQj`}aItEVv zjU!B+POFEsC>2@EFLCJ2#jx?r;ph48HarAK0g^(qwZ<&oDVR}6(~=}pUfzzIm^_h; zz5>3TYx@W7e;_*~etk2Gj3J9QD+V9bzC}U=ct5!x4vA@!0RX&%+k#!=g-08&I7!c3 z*0#rzC+dBX-qXN|XyvjyH=$z@?70F+v{l9lefX+v7Z{?dt=9z=ju3P&b>L2IW$6vY zDD%RWGkY8PMWR;uW0o(taL6XgsC%hy2m@>XeTuzyvQ!~~_|@s*Gy8{%0PJi5TZZvl zB9nxK;3S*k3+{U5e+AF#i>&58nqtl>fyJ7o4ZxKx9ht#hZ2L!)Zp*_Fyi68xqjjT0VrT|89RiR6Ki}N(^bc z00p}x4aE3LpQA=}<`t}E{Db)vyt%^C>x@60=Yq(~^p5Qsj;rZo3Kod9!Les3Clm%) zR7-ZNdV6JqtiZEqF)s{o1keE>MS}S$Q&@xUG3nDfpoX zub)D@bhzcJ#opkndc~8KJRjoYRw>O$-DAK{3?srVDKjg4x8VR`+Te zFobD$`fTu(>YPZWcA3)NDg2_kycZpET%A5>kSzo2fkWzCxWj9$9ZG&e?a1O&DicoX~?P?2&j?lo_%_8H+>+ z#6@1jyCMJUm$2e@TLG%?Ul(G81h?>eCpv(&PSI6N`A6V~rh=PcPMCYKVEJ031^f_R zL`~CPvs7I#Yb)#IoxXMB-|f5-W%jRRpH256B?``V5q64C3D&<(%{H1}=D#zONSV~h;#g-2g6 zp&2lr;4kuKOtINEr8P8-CB7Ay)xVpyTv9I? zxiexLVryQVXn_Wmji2&lfK4AoD_u!gDk`t!7hSODFs5zl#L zsw7lT21;?rm#%!XF@W+CGp6u~>#E)w@EOu4wwFKn9{Solc2r5$xtb0@BPhLErjKVe z+lW<{j$08LUkMUMkB_e74|zS%GEKnUDw=)A6Lkwg_)7LG1F-Tq2S|XO*-PC3?kT)R2~Fp@9V{ zK&89)*{jn9R_Ic>_jp{RBzfVYz~v^<(nbHls+Yr~OG~`t*Z%#xgoow}{m2ZdtW;fg zHcr!Tm5s0wX~~tI`?Lqfi#avtlZOzwDMr9H?*3noUs@WQj=dQ|^XpmmVOG3rUy4xv z;O;JvURL|T_E2(_aA|<2ska8#x8Y;U#m!LFLesG76POL>6b{7}(gPFrOVE`}zFGkC zkp%Y(etv;J@<+xLwE? z{3rUODvMkr^-B9_ls!Ck4_K-%xs3!6ZpS?r$ARnPiTwNn+%ea)*P z-30<(mUv-&VQ-qM;9>vrM11c2O+XXSyD(XVRR$3+934<5tZ7w>_r+Wz23 zjc2YoD7A&BjIebRg^~JKh z3d^5luf&Aa_jX7(5;ZA*{kU>ktz;A}9&jb`IwiaxJ?Z#Q1nLftE{$T9AD8ABIn`;% zq>kC8Ro41P`f=?IPcA?7{KlAU?iJT1Bn6(yQ=6mRxJ&VpqeWbBDQgv;L(c3lrty9g zy&%h*ZcIN9A{NxC>?w<6|2gMNX$DSkJu_br&&9@i-sapG+sda^A5R%)xTFKRNHAv zPkBtEWN?fULfy$c5WmTG;a88r4_;`+pG9@<(zq{^zbhc_BHv89#!w>N5t_2Xm*e1P z=Z8hRxYX9>weIAgj8oPUzjd9!^PyYNq8(9~tY z1h9CPt3<4FL9;AHLd{a%p-O+<68*SqIS)l{JL5VNKDvN^`z&Q64{iv}nYWwcODS0b zD;EDkKCtp+;{(168<#bxME#B@0}Sk%m)P{Wx`=QntpqQA<1-4#4?92R6Y>pq+oj4kOEAYnnHl0DnRJO{hu<_~0;?`ukrW%u ze7_Pmuk^cl=_uT3i@5i*C+?8k?3b}T%>*M1B0+JS6+QUV6Nvj2#HY|kLYcW9G_TC^ zdM9@?y1rb#P->1BY2+#1dH(|c7N;Y=tbrTvlR6|Q#z}V7#s=h_&nAUTmMQ~w^!l!93UDM25QnQXQ@2$l<^-Mtob?L zR7d-d4A?o>Eo%$QvzGCvdLW*sPzbHDTvbb`ts%#mUP*54&#p{>+I%R%JCo=JOgmcb zEn5{e$%Fmq-}~>m0(DhR(7scv@e+x1Wgcu?O}QjgQ^N$F?WdU!rkOwYx_OB)<6lwU zg@u{ZF0g>XfFR&7TZSK-R_ou*!aTAHH*2(vO_4^Dlx9QoZr*mZtkU8Xf3o7BI-dpT z(lf2}UvR`rrk0KGZm(!n+47^j$4%w#ej%5lc_3~czO*-`h&=Tjc6DSs=8!7>v&a+{ zCa|{wH7oX3mTp{9KPE;`6 zh2_d|Wq?9?)JbeMfyn&;K`Wok_5LpOLCq=yCCl_~ z|2oFT_i+>X_4Wkqp4X{C(i3p5y}mTYU;LebIR!5*&_lFL$*IdcC52LI5;vT&TFsB` zbABF5n*ecn|BXCW8t!;3pknTlbCg{Uj7K7gU>E5;?QESBxGI>wly8}(1g5G>=Mc_; zk=%cBVC4Cj|L3Cm`|q;W-zWq%9#$(W>2@V_JlcA1B|ZZExpPQVj$psKN7T*j zP66%~QqhVx-v|8DxxaU6> zplmNK_!4~~;yN{B>N20uIR~bcv;~6XA?W8)pEO}mH9Zy7~ zOalq@4K5Z#NGo}%m*Tp$zv?`FJr9C1lPTwn9%WZ9x1GYfze)1lc3?Of4l z-49wDnssg;SPuUc7qaXsXAE)}qetWvI*#-K8(*8!)7FIpgs7@SiH|W>!g=T3V%pTm zALfL$4Py!LmdJ>6xYv>kL#n5I+atuS2#0~n3ww+ORvaeidBN09B}(ZoiB_o5~ByRr0X!&~W)UvyXfcE#yqrRWJr$)0LBOhWh>8q$> zOH@*)C&2PR7)J{Qp{Yx{3>_#p@u4B*h+|b`wpaS;5PC!2SlKIG*oJONi^^^ok>hlFXeegWtY|5@Z;6 z#B2$o??ns95}S;(j38hYSnhX`duM~O3RTL0?ta$+_q$B(wYO8~1ZiFAOxoO8AY(XA zr{x^+fY;EDG<2b`hS!wueJ6vrJKO1yhC&$S8B!4LyYr7l!F~xU4=OX8WO9(Q z9i+rL`_UeYXm2T%6cwVVq15frMrqs72Nu0t*JA7M6s9OLW;X@u%zs)ozhFmBLm)w4 zAxD#64abMk9?Wl?ujcro@613+Fi(=jZ{cHF$w7Ypg{eb}?bUhy_j*Ia#I;%!!Ta>j zB|KwO!y|Ow@}FbO;sGnmWx-cpN+72$r|hsIC6bcMSC)GBJFt@xp*&y>o9I?Z5z+gX z+NBcYwLIT6Eu7NuSGn#ZfeleC-71?^ma@))bl0DdNX3l0X{cK)EC+qtPR z$k})}p&>Vm1Zh2+giljR%t%FkCZ22cXp*tA=cy+vEP8yGHaNLmew&u}iZr4(^C^-HX{5q_+w|)KF6bR>rJbpMBaHGJU@IYKc>p@Bq^z z^UnzW47)=iRAHAK5jH-5CA239qs;vp zJIE&haDBf926A(qG7FG@|IfJ~(17Zqq+N0d%;{>ZP|Hl!r;Z-rr>uQ;BvY*O#50C} zPSIm{{7ZKjql%$E#8l$~%@G^OSxawoD&8k<$kkN#5L7I5fHY>^5J-0x_+IIf9vS9? z_-w?|F6pnlEPau(3lnM@L*Q#!+F5d_kdxjgs#WSg>-7h4- zI6b(-u$uf00OrC1S~HxF(}18aa69?I{9!W4r1?B*nO z8TcL>>_CLf@pA6)>}eCZ&(YEXB9_yWvMjct+T|TIrrNg#d$0B4}7dIlvK>wXwjv_J=wMPwaT{llOk4EI?@epE` zip*h}nY3oA7aAe8LK&3lfi|)VFT4X4Y$ht%Z4nKfUYM`)Nm+9j+iPZ?s*3dvjy`*&bh_AMcu;9J z&qo0)-Kzv?X^Hn;70*cG(1T?3T@S!rDz8$0BE>m!Ew_;U`ux0Nw33^Y9LQK+g6l-C zuvi=KBy`n}^Im|9@qN0y_Ic+S&=@%Ce5|+O-i4UJ_#jg$XZaB8{+JtS`K7QArLOlD zyFZfS!~W6uU+x;5fRDFt7F_4fCB z)tb806_U6wR~tsQD$n|Yp4+)-C`Sg<-tT>UW^ut&#S5RsxxxF6L%$80ayIWO;F5y< z^c~urVHP<_$ex4(N|07Tm`&d)+Qi!K<^h_HVyUGSBWFqRF`O%XZ{aoZBG5_*;&k>Y zY6_Fj$?cgdatJz_lcIE{=;DSG=|n-I@;(1KaU?D0a}c-au;~0TnZ03wOc5SO0)&0& znKGxFdO_BFXA(-_kZ6Uy0$`*@450F{RxMBfJitA`{sl(Nbt*q?*^pd>AHL*Tmu#DL zS@($SXY4c5-@ulw0+!dmu?x=YSgs z5Wj^VBSo6Q{_p5a!=ZZrFn-SLW*9Tf*q5>IyX>-)gs}{U>;~B?Yl~$NVbD-b>#wX8 zr6^lewj^mGC0kii*+L~`JAc>z&2v3(p6k3i&+`4=_x%yD&-3c0Mu|q}hMNdfT$y9S z(gwE^i%skX;tOBW@;rd-Irio?@l(55#>@}-{x{A57~TD=b0>HClBQLBFj-pT>XW+B zRo`=T4e!_J{cC^0Es^%wgt#-5mM1ougT`s9#dP_72WmA9hC5DKhb1X6^Y5(({IFev zjLlzd2Y*f%nOjQV;M({}uiFg}j}+sIvG~T~D{Yjwn;Y`oRloojwE~o-!y(q4qCIO3 zxQOTs$aFZ)!@kb+3Yq^oE5t86e**URbyi|>GGprQY7jZ9G*xswh0Kq)!C11ZaHlon z7v2dGy!*CZFo~~U@7q`vI<~mbQY1AECapsNjGX3lyB_-!{5KIb_bQRcIM~cm(YC7DufH$x!Q&fO!U4`Jx@D>+y%OrKMu= zL_w@@SZY^S&|O*(=eVMh2eZJ(KX2D_ z*4+v^S#utcPI;V8^6pl1%;NGM6mP~vc|(CHA@hBf=n=?IpId4iVJ1J6hin}dfuO3D zw0Ki^FF!QK->$vZ|Ajf(P02j`D6?N*z;yE5Y@CRx;sl?B8gMLB>Mas`NpY}vps%?` z8`G!qUS+nO)z9UXrMi%K?(#jhx%!BQ{Nv3*IKly@%VgEmVZE?COCS9DTnoywvFmy` zYg9>eJAlbO>>urhtUT1+NJf&FUoL+0?K4)lJNK_WD;N>$+_ty7OUqt9_eedF%P-=usBujhdh0FURA zXBcn{jp)V<6OQSAx*5ZqV_`|RVpwx*Z0UB4104H7B&esq6E04Fer9?S^gFhc2JjBw782zn$ViW40}Kgu}9IUY;jqkwV} z66r~d6P%N$=%*RUoRn008iUD6&!A^A&Tz7_>1P=^oZLJ*i;>SMD5RfbusKD=^z)1h zoQoX#CB|jWm8;(`WR!J^Z5&XV(&3EHBJA@nBjc;PXED}<;=~~7Z{72pG)** z#tP@xZ~7m`D(CMSeVwtv`L{{mVr+AE_AI6;a&hqgnuPw7@RH|>z=a}-t;4wj@E~ti z%=-faa0eQm?@$MTpYM={j0GsjSkv4=^P^f_yo0QT^Zkf>a1okir!K)e04B$%9zh8n z467Euh8T?!ZLiACa_xr=s91)Hm)3+O9fdC}%{5vw+m-oNEwCK!y`TuA>4+Ro9lDIu zm0m!N(M<@uJxKO)EBjBQjEB{C#?d>HJet|fI{VoA=*8UB?I3I9=qs%J50ITBu*b0zCA5bKamS0hqFWx;A$XTf2Dr`PsvZ zxeHIO{NN^v^FLn1EmUa@)MV(4?cyA-@{MJ1teoX&V5H2)8bpgt_>7Wsj9?A!&@Y$s zb^=_2AC1)c4ETW8?@7gz7&T#}RMh;E>eZ|aWu1=t(Q%L1>;$SvE4DK2@w~iPXr}(A zf5vm5?iH=<{C)n6`FrB+Fs_b8?BClTN!X1%b%91(L_#GPEpA&{bTCNej@Kakn*Xd7 zQCw`$#!Wh}_WEp8)bVX|g3RzX0F$XEx?mm|$G5SoCj`Hj8{jv{Q`K)^?ghAo+-zi<=+xtj&^oJM0y%9XEklG# z=Am?!;m+iO@pDl3_HX`MF}eErF2~M1OGlPPEdJ&xbTZ zf3J8&86JavZ{yCmfmB|r_|xGF>PU|R!od?^v9krlS@bvdJKZ$>R{Pb>ny@_ zISj3lc!Dwvbag988Xg!k-9=b^Fx_bzN6q#?bH5$~rrWMBs+AByEv2-;^heQ`Fr4j4 z??V2=2a|>!Kre4pW=T;*vZ*w$QRXxtp_4Iwtv(pwv54z{=|r0(h*qrUNa`7FW+>Qi zuf_Y%hC#}&_bl7EcKhVqp~5dN`fY?f&m8cgGeNX|c3T#*vRasPdZ^^*M;i@bT)py` z{GME|TF^`pK<9lPvEAuqWLwv+O46j?51m`cAB9ZQGh#8OyH_)k;A&F97oI>M+3ysP zMyFUGcp8ueBT+te3q($oMvzKukqB&eu4a4s=YX|q8aXD!J$-r_rS5C646F=L4KPxa zY$-_WAIUv7HbK>VnLj8hHSEsKO?pF(IEig=Xo2ax&s*8y)>f7y-f4d@pXeo)56pD< z{}{6UWCW$=b*};qf$iktr4hj&WHNIpb+#{+ZNB+|ZC5S)o7sIxt>M z_C3q$Xw}l`)#;>9ywq9PddnJM_3+uAYa{itiZ)7~n)a(rzO~tR`Cctob%Vs6p9)NT zQ>Fo}RI9{1=12Z$=5dAK6!RPhMe(05KCd9;YS_BRa?hU$tL2wd5Ja0AacfLrs|MV= zYN?%fCdSjS))Xu_R{Xcql7~n$RroX&1W$9y71d(1m;46+d_`lmYTsS!0CXQMQ$>R zHv8C3^$nFb$fvE3Cwzl}|L7um@^Qo$DJUShqG3~ZSIq}OS0awpEx?G#y;9H5^u>tD z%%90AqNfTpPG$bseQr1r0dZcoiOBl(^I60l+IK;m9U2Ymw^%z5`UI&zY3p|~>}g8W zQUu48HtuQD4pm_Foe->8^<2e|qSyTLND@4n6NgA(YAD$UI_)ClpsC-8(X{R$EwyVR z1OyvZUY7K5{dd|z_}b4@ZHfcWyQ4N{>AU>L+>dyf)a0f4u=a0~9G#EXo%V0E?zB}r z5-r>6dzwuWb`1(RbB`FOLo8+Ca2#LC^sKgGAwj|xqef~np(aC*;GSF4 z&aueE^=$DM`_SJuGDXFWD~(ZOiIRr#kph+vju}RvtK?&bsaSMh~Qo%+p(G<*61F0JH1NTT9j2+znHQ}{-)E=}{qQH5~kE%e3#+dI25I|%j zudc_KVvVJlBIMkI?+#QhwMpXvZDW+sALi;0o|nG#Vr~%^(K)6F7tD%2+9Ipn zecvnPm7$!K+^A})TD1J0c;W#(JesO1|6o>nMtR`LjYmI|`lLsry2(GK?O^#bVh_b) zd*KnPV!siSQ3a=GR%Hw;1*GoOYh&BG&6>)6Wql)5{q}-1*y+LmL9NjDQ&gx-FZWAd zjScfdrVZIhZ(Zd=L{;+Y)T>WaNB3o#x~b(hjNoqY2|JoxAeA{K!0S3U_IqatJ@Z`H zcN=TtdvAJUtpkk5SA_N-R+KA39QM!oe721}q4=HI3oevBLp(4?ygI}ajZi0EgQVx3 z1sgmF+K-jqv+Dyv{B7kA!OG^8s6IbBh#A3Z=aE2}JJ22AKNR(b3oCj27a|ufVEpq- z`p5Vf(g(k>q@>?k_;WyQ<2S8o4 zy*-uwFX94E*tN51=c`{yej>G7dwF1v7im;5Lw9*5wzo^(phM(o3OGjvp&PQHFTcaC%rnyJPc#Q4Gw`*8Z_0XrnkgN>mb% z)k@T+0Ma{(%BPfu_Ehsg>=7QVbhkrV>CRG8lmLJ~aK}eZQ#-`>ny#2k1V2;%ZSc{o zC?|~iT5xzIw=`zqZ7Lq5q7^$+ols>61;SZZb%Lc2k8=FCbR6h~^vrb^>p$~q;5*MR zJ~bOkQ?*jr^wOv~a7khcs1nF92cydKkPf#e_ZYU5gq7Zt$}$&I-%4@Q1Rm&5D!TAS zwh3C>U7(IeJXZAmVgO`2=gA%%5U0fg$n5R0LrW(AS-M4=EN_a+oT#qVw+7a=WcjB@ zjstHiN!P3jQdg#1p2MxRA+Imb1>_}a@E#2d&t96H<_9VPh1udws2PD;7W@G&@6>*6 z>4Yyealv)Mu5XUvRUqHrHCL~UO)J+7}XD-Dh0E(+?1tjZmCb=M>3{(htwUIl-fRQdvG{o8gu zWvzJyjOW+1N!DDX#zTt&W*x&0DDzsd=ly&}_QwowE`ND>kXCz){c?ZC{Cf|@$NtoF z>{ie=2flT|>IXeO{y&raWCDNE|>in21Ua4Yq$`x^3FHg@;tqloOak zl)Ly@qLo)OspoOd)0*>bH>;0vJEB=;iA@8`ccGeND0j)58&MAxT!d;zdg^BOHui+` z^2A|p+a(x(O5W-TlQpsX?n8$+D)ndE_gBtacAK|;+x0J(7mA8Jy5HZ!^GQE}oY5QFzH9&|t%}{I8xxKGyCf`f~gu z|58$a&hS#4gcyX0|5vNiGTsgkl|Hv0_Q$~NDA1AH3Wy9$mMlq~l>yLyqL0RGkx`$8 z>`FIUA7LP7OmX=hyNyg4%J7C_N{X-QIdfwMB~x5;p4Y;3=r^LfD^inoz4?y1eMy|% zijIpHG0#`|-4SQZiqj=2to(+q)WHU)MLP$9l6VdW)s7FChiSTfE}|(2b`8u+>m$~u zvsjUxa9dg#Px0ABMl`5Wr+L6V46azsOzEVuZgtv9=`><4^E(`IH%~G= zCnNbA+|T^}Fa8+9_nfhXwfqQpCin3!xZ22z1|Hse-x6HHv++gf+r<2mWnMN>!=-Q@ z8qFK#lB?cY%Im7OSM%YnZRN%OsZJ(u?Bl}bvW;Rrmd`kpsL>2C@1Nx-#MuJ z)}0QL5De?9ng4gP-Sa-Gc;;z0($YRhsxQa#>myQZm8^3;V`BCAKdT!jvv|BqAAfH+$A z1pqLaL!&rlo=~wyQT=gw(i62r8WVVPSGzG)=1<1cB4Doz2gJLLN6dj6K0%YM@cm9p zQNi*8%nZDlb%M*!?5DJu@6c@Z-fFGuM}RvZgV?%u4#1`QVUAL%hm6 zpU6p*FF~t!yllT)1}}}L(*(q_%(K4)vzKNj4QFmjv%$hfU%Cd?q3o&d+^p zRybll_*{10yL8baQpR&-Br({q+(?ma`xnwzz!e0Oa~uEhYJK_WvEe3-H|XAU6EDY- zVNY!p1Unxc=?%b)7871Sqeh)w1p^+8nEkiOk{nnlGoD>o&-=>S(X3tmZIKK`V9o|z zWRMaLt%dmK$$Nn~JG^-vAKFxF@<7drg(EQbh(*IyRwD&2{8X7x-e-IE7TBDz$W#mr z`-`0XX-Bs9eD(Z+=ODf}G7I!hks^{BMmEr=Codi8J$Kw=kw8;gPhqiv{k6^BL&K5~{q@KKw`%pSfxD`DE(Lb9oMx zygyZn!!;VhDH1osEj7BsWF=g}4?NKd&+^*|JD~pLfLK*n(aGE}X_I?Sq-G_wo$m@b zSDLbkpFbG#fs1|XvL!*o#4$93`NDC6FWwdE550l*n9nz}{*%gm=41>@Wx#eR)xIHA zMOhTPdS_lr)f-U|hIX9vSS>v*U-VH14Oxjj>%}~iM4X}RKOV{^=JWBF?si?ULYd`G zYd+YIBf?WG5$>3)i@!1Iq=#tU=^3Z(8Tq}lrSv;;`b+6-;P(FT(s|kK>1nTpap5oH zBzPkJz~(S#e|!);=hLI7-PfD<;3?gUAA4^m|Ghy__VcQ ztIM#IFZQ16b4OZ; z4c{GQtjlq2Y4!fR9I1^{WAP#CS5^|kwAN4sB~|t%f1IF@N5tJc!RA$rvd4D;wT?Ca zo4I#F>UfXha|D@dn`ue<2*@lE{sL`gyOX{Pz++opYbaRP+>)MOg`Donp59ov?k&Lo z`~#T5mFI#)FDJg70$VP)H~KGe=39D?TNwS6ayIo?75X*|mX75K z+VWYqolG7gdBpLF$r4p*!R{(0V&?mHqNYvx|J~u9Y@r2gZs41J3Mh+x>%pY@_szad zpN3=D6d|CZOV@!GWFNV}O`RBOX__b;p@mw=ZvQCnL|d@9LrI7 zuj(8)^#OBzYjuxjlkeEvo51FZf#)e#3S~X^0j*x&k)nieC>e_7=OS`KfX^pSu+K($ zHhIjxXDNHgUaFmS*U*Yyc*<3kd^XUH5ZB>YPPJSzN8$2jnfn#PuX$SzF8V5|smLh_ zlenCOV3jum`aVdQPihhOwf6>IZi}ebR~D-jMGuqUS~=w;Ch}AQWqgPSuj)92#3;{| z!6@OVl;KvG|KI62A+4wBW=v3A@<$^eHe2<{{f+JK^w9)V*tbBt{njXt{5;$q)-?eB z#78%d*{AsZt!+-F)>hB@pRD&@wKXu4ye-eqw9NW8{DUepJ8b~&aYGng`kX(|t83pR zI-B07WnhILYYNcd3b%RzPJJS)!nk62hIBchFv)*y0m*u!=aE$3l}YeEka4;<0wp)a6OW#KZX7cl8}tRS6z2P-D3*90Nz zQ{A|A-*<>lfzQM_$yrfP2T#+R8C;C%)QxQp8PVz3g5+arQq!cVIa_j4mu zUZ`R#B9E zBL-b=wY#{GP8JZxD0|U-&UZ1XaNfFJ%;l8Fbn02`n!ETdH20t$S*aFFAT< z7=`ZP6ls;iqU>(~ob2IaDf97x{Ymg(b5~sRm=@C8bBt`I8?zOV{U7?zMREW96|dtdX71{b{VdN`mdaN@wmZW2y7` zv0?>d4R!Q~Vk9_9_o?H|Y{MntH$2==)s#wXKmAe~Czv8#tqcGrb>7yxj3qG1r$i0^ zC2bQ<{hF~>lO&Pz?vmGUFcGl|EBOKU4bXaTVK;0HGkl~isNRV-o(64yS^8+Kz`ZjH zpeNqk)Jlc~yxI2N=_~WZd+nMwlgW|3HzmEaW$rUsKKqt)$>}S;@P{vT&HwWa zV;)KOAqJmxdE~A4q;sESS7OqmOf>w&_I_uGPsqIA&ikVOOEWb)j6eLyetmBzWAd%S z;|kuBhQU@hDuUT21VBnjdo6A)GiGD#x*P!{rHXjYCt&230`e zbMl||M`!gW>O_YiTTYpp?Ngve`5*uuJq-6>%B|qfYt-|(8~>=_A8Ja>3&c~qj9Fuc zL$R4}^fk77e^v=qgZ+}#p(zV8j7Z7!MInUU+d}S@0?y3V!7CdT)X2fK^JoTy|7?9QR-aVJi&X)1rPq12#Z-_LnVX9nGo5yi83OhvAi}cs*8rz0=x~01pwq7Nm{psktf5F2RxPfB z-^=RkKY~Mg8kw`=r<;Z2_gf^N<>XHf#H<|ac$tw~+4=ST7Vi`WA0P4UZ1Me9tZdAg znWfkgVFi))KJ==y8{5lTcDFsJen+EC^Pa?k_2v}R3W z-m~fg+Z;lbSnlRO ztHc7`R%~RcYb{%#8N=*hK zSiL7L2DAEX0EK;1Dr@dj`A>r1h!+|rPy=B<`A+uxeXWDokN8JRSUSfN`d}KiX1r!D zup(^Ei%+jI?9jasQ;#z@+>cc3ReomQ^-<114SNpxB2SF}gXZvo>YXO~$Zk5~n^daX z0@d<;F{O=l+69zU8Q5Z)=qKU=qbMf2XvWjH$>UO&qc=k0jxc7dxx{s6YqJ>Ps0(-8 zU-qDU5rv*)7oxAF@`qpbO?VOouL*NueE&7OOa&4QTtd08G1c#p6<4c2 zMw_2{SS{pA#)#vasP7J&gB=OCt(iB6ZwgkIrn-u;*r!RYcwzM{yIpjETHXsdZw1ud_>CRhNd7R+myk7gU2(FH<^sbi*ol+K~FjSTULXLzB31jSk(Dd=lnt ze?&@L9&fkqEL5d9Nhc`EX8p*cZc9x#BRLXxHOn?zGkcwpu9=u~w?&TS`4xz)v-!>m zUitdh>Fz%F6NfY2USV~+IkHpg5oL;+lrtj6c!-|WDw4dvy%itQa z8A2JNf;wpg;n&e}F}w>;_`h3N+E`+SXcw`Hhf?*I(@od|V0??F#~PM@+p5aK3AirY zX=&#);6yoJgLtZC1@R)hmUGU#d}(mHNO@H3iqTx$KOTobG~p z;%nTyXm=mE;$_5?vm-CC7Dr_>Js{EY6{bsgUXg{Jyv<5*d3TAUDu-r%PfH4gFb^pg z_={Gg9oob$pINBfJ_|kaum4*AyO9Dl=``gveQLt}ZT4!8X`HGDPvth}5LzvOTG}B? zhu|htt#%u_2}}0G0aM+AX_#VX?!wv0OtvN6fCxA(?Z+^?mUjadgTs8~2a2Zc>!U~<-V z&_Qccy?L&LL`OQaKZvO5AR;99XM9P1?lnLAVYT{qd9_<%=*#(= z#U>m7&L#&0%D8JsC5k|;GPYv(u0 z6@P=#ctGfenqaq}y}+2*B9B1g8qW(fMaiq?CWavKU_I|#-QvbBy788}Oy?=;eX6hD z;}e#KV;aFFiF>Bwc_XS_@P%KsY$osQrLqH$Z`vkBF{>`Cll9hj(J!SZG6@gYIX5q@ zHHYxXy{U+;ERg&qP`jD+jVL76J4=y+e%Z17X7j{gS35vWN!v{HSdm@v_g}qs11{e$ zXU=~4*sZr9LKf$2oK+}Q#InC^{6>-_1VHH*zGq(wf5+eW9qkBe&z2J{G{4y$_FKUO zG!Wh_bHXY9WWte>qk`)^02CM(5*ijB z5eY>ZD+BmzM4&|$|23PS^&s|M5WASWaa$ix0Dr? z{8iG@Ts&=D-RavjduQtDlr{@DYg@bB0|y-r8A+#J`swX8ZRKIL8aZbL8O0{~re_%iS^2eu;) z0v7>0a2JNa5Gdx%PV!n5g4eUK5e82Q0~QtF@bAV~4fT)gyQOngOXG;zEtRVlcF_*- zOZs2}{MRQ3VYDN(6%YS8_|KQfkBo=IKh5#_{(z6_=r2jZTXt}w3ur<3E%H@<-XlD> za95Qa+avwq3kf>QpWxy+-*vR)KJ*}*%hBFupA}o@Aw08|hW1}~#t3SVI(o zJq^DvgKP0X|Cxh_-KxD>dg6$~t?_10nFJT028+o@JV5Wri_6Hyz~hueCmbD}Z)plo zpkDDVf|BP-P!JD#KbHkzo;ZDvZ^B=L{6he>A^4RS&wb4Wj!s7NzIKL(0h777a6kA< zfg{`xFdU*AjKOaT17K|88XSPjxFP7LX{rE5o(s8}hs%xh0buTJy=Yk!27CdLd}aI> zVJ4*?+EJwf6&guN*o7@Pfgl84-m-a01!>mfNP{@+I7LF}pJmXWUn$Gni82$jcDR(* z5G1Mfnx|ySrL-s@+?Vqii)9&<;ZFa+fe8CGJQ)3%UzhMk27ZokI66&yV=ud$6oWe~ z7$(D)Y7G$Iam$-BI!Xs(P!A>m-~|vY%M~&cLwbnePQFiiEY|;rXUA!=gm46G#zDE&Q2wf!0pMqA{O>xIs5by)BtnP+wL65)vcw zE0W3WzX}&!mD`~116sVi5Xrz>3YRLNzNbfU=hl-G7U>k?dDn!%ia{b2E}geHCXiR~k48%7GWG z23v6#%yz+u3#K2f7zGAU4=62gazhb-*axc4$4WC(6Dg;vM&XJ6w#2>I-ljCJ`3eXw zCSZZ{P*K`7An;1dQ^=qB4!BG>XloL5Fthp^$rFCEGRpsL3&|84fU;>-{6<=k7)?Q5 zRPnUMmXD)sm=3j7LZc9o#s@yJ5|P~W5xu}8<{_?J9)^Qdi>$y>U;UtG=eYllZi@QX z@a?;*_)|)!=oyqwk|L3X-43ofR)aVE#=c7W`8tX05^N21leMXzc{UymX_p+3fH{hiEDge^=fB*mMhpig4Hw9Ii}MN>oJZ?x^h|(gE6-5G*eX(Xoa{aHD_& zUN@0@`xpSoLKOg%0G|y9V%IG4+d(J}$$X9}Y#&&jJpg>A$NjUn-(!qS?V*J8#BA3F zwR&f@0AgU%0Z4+w;KsuI z|G$9RTemks=9$xbo8nCOdORF91kq6c89f&8JC#>4p$umu_ oCj65(q`{N^)WR30(|dM-*um)uG)nSG`{=wXjD>C=jmC@o56+1{UjP6A diff --git a/bakapi.map b/bakapi.map index 75cddea3..cf454beb 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:33 +Created on: 15/10/03 12:14:30 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1344:0000 0000ee10 +DGROUP 13b1:0000 0000f080 @@ -32,27 +32,30 @@ wcpu_TEXT CODE AUTO 0000:52a0 00000058 16_ca_TEXT CODE AUTO 0000:5710 000003fd kitten_TEXT CODE AUTO 0000:5b10 0000080a 16_hc_TEXT CODE AUTO 0000:6320 0000158b -_TEXT CODE AUTO 078b:0000 00008bb2 -modex16_TEXT CODE AUTO 078b:8bc0 00002180 -16text_TEXT CODE AUTO 078b:ad40 0000010d -bakapee_TEXT CODE AUTO 078b:ae50 00000b86 -16_in13_DATA FAR_DATA AUTO 1329:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1343:0004 00000000 -_NULL BEGDATA DGROUP 1344:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1346:0000 00000002 -CONST DATA DGROUP 1346:0002 00000076 -CONST2 DATA DGROUP 134d:0008 0000010a -_DATA DATA DGROUP 135f:0000 00000554 -XIB DATA DGROUP 13b4:0004 00000000 -XI DATA DGROUP 13b4:0004 0000002a -XIE DATA DGROUP 13b6:000e 00000000 -YIB DATA DGROUP 13b6:000e 00000000 -YI DATA DGROUP 13b6:000e 00000018 -YIE DATA DGROUP 13b8:0006 00000000 -STRINGS DATA DGROUP 13b8:0006 00000000 -DATA DATA DGROUP 13b8:0006 00000000 -_BSS BSS DGROUP 13b9:0000 00000bfe -STACK STACK DGROUP 1479:0000 0000dac0 +timer_TEXT CODE AUTO 0000:78b0 0000020f +_TEXT CODE AUTO 07ac:0000 0000907b +modex16_TEXT CODE AUTO 07ac:9080 00002180 +16text_TEXT CODE AUTO 07ac:b200 0000010d +bakapee_TEXT CODE AUTO 07ac:b310 00000b86 +16_in13_DATA FAR_DATA AUTO 1396:0000 000001a4 +FAR_DATA FAR_DATA AUTO 13b0:0004 00000000 +_NULL BEGDATA DGROUP 13b1:0000 00000020 +_AFTERNULL BEGDATA DGROUP 13b3:0000 00000002 +CONST DATA DGROUP 13b3:0002 00000076 +CONST2 DATA DGROUP 13ba:0008 0000010a +_DATA DATA DGROUP 13cc:0000 000005a9 +XIB DATA DGROUP 1426:000a 00000000 +XI DATA DGROUP 1426:000a 00000036 +XIE DATA DGROUP 142a:0000 00000000 +YIB DATA DGROUP 142a:0000 00000000 +YI DATA DGROUP 142a:0000 00000018 +YIE DATA DGROUP 142b:0008 00000000 +STRINGS DATA DGROUP 142b:0008 00000000 +DATA DATA DGROUP 142b:0008 00000000 +_emu_init_start EMU DGROUP 142b:0008 00000000 +_emu_init_end EMU DGROUP 142b:0008 00000000 +_BSS BSS DGROUP 142c:0000 00000e02 +STACK STACK DGROUP 150d:0000 0000dac0 +----------------+ @@ -91,7 +94,7 @@ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) 0000:1e6a* IN_KeyDown_ 0000:1eb2+ IN_ClearKey_ 0000:1f2a* IN_qb_ -1344:076e+ _inpu +13b1:07ce+ _inpu Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:2974+ MML_CheckForEMS_ 0000:29d0+ MML_SetupEMS_ @@ -116,11 +119,11 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:481c+ MM_TotalFree_ 0000:48b2* MM_Report_ 0000:526a* MM_BombOnError_ -1344:08d0+ _beforesort -1344:08d4+ _aftersort -1344:08d8+ _XMSaddr +13b1:0930+ _beforesort +13b1:0934+ _aftersort +13b1:0938+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:52a0* detectcpu_ +0000:52a0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) 0000:530e* wait_ 0000:535a* filesize_ @@ -136,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:59e0* CAL_OptimizeNodes_ 0000:5a90* CA_Startup_ 0000:5ae0* CA_Shutdown_ -1344:08e0* _finishcachebox -1344:08e4* _drawcachebox -1344:08e8* _updatecachebox +13b1:0940* _finishcachebox +13b1:0944* _drawcachebox +13b1:0948* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b4c KITTENGETS 0000:5bac* kittenopen_ @@ -149,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6104+ get_line_ 0000:6176+ db_fetch_ 0000:620c+ db_insert_ -1344:04a4+ __kitten_catalog +13b1:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:64cc+ LargestFreeBlock_ 0000:655a+ _coreleft_ @@ -165,455 +168,486 @@ Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:77cc+ heapstat0_ 0000:782e+ HC_OpenDebug_ 0000:787e* HC_CloseDebug_ +Module: timer.o(/dos/z/16/src/lib/timer.c) +0000:78b0* start_timer_ +0000:79b6* elapsed_timer_ +0000:7a08* ticktock_ +0000:7a74* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -078b:0005 __STK -078b:0025 __STKOVERFLOW_ +07ac:0005 __STK +07ac:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -078b:0042 rand_ -078b:0084* srand_ +07ac:0042 rand_ +07ac:0084* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -078b:00af __PIA -078b:00a8* __PIS +07ac:00af __PIA +07ac:00a8* __PIS Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -078b:8d78 VGAmodeX_ -078b:8e20+ modexEnter_ -078b:8ebc* modexLeave_ -078b:8ed4 modexDefaultPage_ -078b:8f2e* modexNextPage_ -078b:8fea* modexNextPageFlexibleSize_ -078b:908e modexShowPage_ -078b:918a* modexPanPage_ -078b:91da* modexSelectPlane_ -078b:91fe modexClearRegion_ -078b:9316* oldDrawBmp_ -078b:947c* CDrawBmp_ -078b:95e0* modexDrawBmp_ -078b:9646+ modexDrawBmpRegion_ -078b:97b0* modexDrawPlanarBuf_ -078b:97ce* modexDrawSprite_ -078b:9834+ modexDrawSpriteRegion_ -078b:99ac* modexCopyPageRegion_ -078b:9b16* modexFadeOn_ -078b:9b46* modexFadeOff_ -078b:9b74* modexFlashOn_ -078b:9ba2* modexFlashOff_ -078b:9c72+ modexPalSave_ -078b:9cc8+ modexNewPal_ -078b:9d18* modexLoadPalFile_ -078b:9dfa* modexSavePalFile_ -078b:9e72* modexPalBlack_ -078b:9e9c* modexPalWhite_ -078b:9ec6+ modexPalUpdate_ -078b:a436+ modexPalUpdate1_ -078b:a4b0* modexPalUpdate0_ -078b:a4fc+ chkcolor_ -078b:a814 modexputPixel_ -078b:a8a2* modexgetPixel_ -078b:a928* modexhlin_ -078b:a994 modexprint_ -078b:ab2e* modexprintbig_ -078b:acaa cls_ -078b:ad18+ modexWaitBorder_ -1344:04c4 _VGA +07ac:9238 VGAmodeX_ +07ac:92e0+ modexEnter_ +07ac:937c* modexLeave_ +07ac:9394 modexDefaultPage_ +07ac:93ee* modexNextPage_ +07ac:94aa* modexNextPageFlexibleSize_ +07ac:954e modexShowPage_ +07ac:964a* modexPanPage_ +07ac:969a* modexSelectPlane_ +07ac:96be modexClearRegion_ +07ac:97d6* oldDrawBmp_ +07ac:993c* CDrawBmp_ +07ac:9aa0* modexDrawBmp_ +07ac:9b06+ modexDrawBmpRegion_ +07ac:9c70* modexDrawPlanarBuf_ +07ac:9c8e* modexDrawSprite_ +07ac:9cf4+ modexDrawSpriteRegion_ +07ac:9e6c* modexCopyPageRegion_ +07ac:9fd6* modexFadeOn_ +07ac:a006* modexFadeOff_ +07ac:a034* modexFlashOn_ +07ac:a062* modexFlashOff_ +07ac:a132+ modexPalSave_ +07ac:a188+ modexNewPal_ +07ac:a1d8* modexLoadPalFile_ +07ac:a2ba* modexSavePalFile_ +07ac:a332* modexPalBlack_ +07ac:a35c* modexPalWhite_ +07ac:a386+ modexPalUpdate_ +07ac:a8f6+ modexPalUpdate1_ +07ac:a970* modexPalUpdate0_ +07ac:a9bc+ chkcolor_ +07ac:acd4 modexputPixel_ +07ac:ad62* modexgetPixel_ +07ac:ade8* modexhlin_ +07ac:ae54 modexprint_ +07ac:afee* modexprintbig_ +07ac:b16a cls_ +07ac:b1d8+ modexWaitBorder_ +13b1:04d4 _VGA Module: gfx.lib(/dos/z/16/src/lib/16text.c) -078b:adb6 textInit_ -1344:11f4 _romFonts +07ac:b276 textInit_ +13b1:1254 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -078b:00c6 kbhit_ +07ac:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -078b:ae58* pdump_ -078b:aeba+ colortest_ -078b:af0e+ colorz_ -078b:af74+ ssd_ -078b:b092+ dingpp_ -078b:b104+ dingo_ -078b:b19a+ dingas_ -078b:b248+ dingu_ -078b:b2aa+ dingq_ -078b:b34a ding_ +07ac:b318* pdump_ +07ac:b37a+ colortest_ +07ac:b3ce+ colorz_ +07ac:b434+ ssd_ +07ac:b552+ dingpp_ +07ac:b5c4+ dingo_ +07ac:b65a+ dingas_ +07ac:b708+ dingu_ +07ac:b76a+ dingq_ +07ac:b80a ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) -078b:00dd getch_ +07ac:00dd getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -078b:00f7 printf_ +07ac:00f7 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) -078b:01d6+ vscanf_ -078b:0204 scanf_ +07ac:01d6+ vscanf_ +07ac:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1344:0000* __nullarea -1344:04f4* __ovlflag -1344:04f5* __intno -1344:04f6* __ovlvec -078b:022c _cstart_ -078b:02ff* _Not_Enough_Memory_ -078b:0431 __exit_ -078b:0450 __do_exit_with_msg__ -078b:04ad __GETDS +13b1:0000* __nullarea +13b1:0504* __ovlflag +13b1:0505* __intno +13b1:0506* __ovlvec +07ac:022c _cstart_ +07ac:02ff* _Not_Enough_Memory_ +07ac:0431 __exit_ +07ac:0450 __do_exit_with_msg__ +07ac:04ad __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -078b:04b8 _big_code_ -078b:04b8* CodeModelMismatch +07ac:04b8 _big_code_ +07ac:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1344:120c __argv -1344:1210 ___argv -1344:1214 __argc -1344:1216 ___argc +13b1:126c __argv +13b1:1270 ___argv +13b1:1274 __argc +13b1:1276 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -078b:04b8+ int86x_ -078b:063b int86_ +07ac:04b8+ int86x_ +07ac:063b int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -078b:0668 __I4M -078b:0668 __U4M +07ac:0668 __I4M +07ac:0668 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -078b:0680 _dos_getvect_ +07ac:0680 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -078b:068b _dos_setvect_ +07ac:068b _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -078b:0698 __I4D -078b:06e7 __U4D +07ac:0698 __I4D +07ac:06e7 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -078b:076b* _heapgrow_ -078b:076b _fheapgrow_ -078b:076c _nheapgrow_ +07ac:076b* _heapgrow_ +07ac:076b _fheapgrow_ +07ac:076c _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -078b:07e4 _memavl_ +07ac:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -078b:0829 _nmalloc_ -1344:04fa ___nheapbeg -1344:04fc ___MiniHeapRover -1344:04fe ___LargestSizeB4MiniHeapRover +07ac:0829 _nmalloc_ +13b1:050a ___nheapbeg +13b1:050c ___MiniHeapRover +13b1:050e ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -078b:0903 _fmalloc_ -078b:0903 malloc_ -1344:0500 ___fheap -1344:0502 ___fheapRover -1344:0504 ___LargestSizeB4Rover +07ac:0903 _fmalloc_ +07ac:0903 malloc_ +13b1:0510 ___fheap +13b1:0512 ___fheapRover +13b1:0514 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -078b:0a78 _ffree_ -078b:0a78 free_ +07ac:0a78 _ffree_ +07ac:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -078b:0ae5 _nfree_ -1344:1218+ ___MiniHeapFreeRover +07ac:0ae5 _nfree_ +13b1:1278+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -078b:0be0+ _null_exit_rtn_ -078b:0be0+ __null_int23_exit_ -078b:0be1 exit_ -078b:0c02+ _exit_ -1344:0506+ ___int23_exit -1344:050a+ ___FPE_handler_exit +07ac:0be0+ _null_exit_rtn_ +07ac:0be0+ __null_int23_exit_ +07ac:0be1 exit_ +07ac:0c02+ _exit_ +13b1:0516+ ___int23_exit +13b1:051a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -078b:0c1e __PTC +07ac:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -078b:0c51 ultoa_ -078b:0d0f* ltoa_ +07ac:0c51 ultoa_ +07ac:0d0f* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -078b:0e95 write_ +07ac:0e95 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -078b:10c1+ __open_flags_ -078b:1382+ _fsopen_ -078b:13e9 fopen_ -078b:150c* freopen_ +07ac:10c1+ __open_flags_ +07ac:1382+ _fsopen_ +07ac:13e9 fopen_ +07ac:150c* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -078b:1596 fprintf_ +07ac:1596 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -078b:15c5 __doclose_ -078b:170f __shutdown_stream_ -078b:1729 fclose_ -1344:121a+ ___RmTmpFileFn +07ac:15c5 __doclose_ +07ac:170f __shutdown_stream_ +07ac:1729 fclose_ +13b1:127a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -078b:1794+ __ibm_bios_get_ticks_ -078b:180b clock_ +07ac:1794+ __ibm_bios_get_ticks_ +07ac:180b clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -078b:1832 ftell_ +07ac:1832 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -078b:1a18 fseek_ +07ac:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1344:0098 __IsTable +13b1:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -078b:1c10 tolower_ +07ac:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -078b:1c1e unlink_ +07ac:1c1e unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -078b:1e4e open_ -078b:1e85 sopen_ +07ac:1e4e open_ +07ac:1e85 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -078b:1eb2 close_ +07ac:1eb2 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -078b:1eb7 filelength_ +07ac:1eb7 filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -078b:1f15 sprintf_ +07ac:1f15 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -078b:1f44 getenv_ +07ac:1f44 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -078b:2030 __PTS +07ac:2030 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -078b:2061 read_ +07ac:2061 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -078b:219a* __clib_strdup_ -078b:219a strdup_ +07ac:219a* __clib_strdup_ +07ac:219a strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -078b:2201 halloc_ -078b:22e6 hfree_ +07ac:2201 halloc_ +07ac:22e6 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -078b:22ed _heapwalk_ -078b:22ed _fheapwalk_ +07ac:22ed _heapwalk_ +07ac:22ed _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -078b:2302+ __NHeapWalk_ -078b:23fb _nheapwalk_ +07ac:2302+ __NHeapWalk_ +07ac:23fb _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -078b:240b stackavail_ +07ac:240b stackavail_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) +13b1:0526 __8087 +13b1:0527 __real87 +13b1:0528 __dos87emucall +13b1:052a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -078b:2419* __exit_with_msg_ -078b:241e __fatal_runtime_error_ +07ac:241a* __exit_with_msg_ +07ac:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1344:0516 __curbrk -1344:051e __STACKLOW -1344:0520 __STACKTOP -1344:0522 __cbyte -1344:0524 __child -1344:0526 __no87 -1344:0533 ___FPE_handler -1344:0518 __psp -1344:0527 __get_ovl_stack -1344:052b __restore_ovl_stack -1344:052f __close_ovl_file -1344:0537 __LpCmdLine -1344:053b __LpPgmName -1344:051a __osmajor -1344:051b __osminor -1344:051c __osmode -1344:051d __HShift +13b1:052c __curbrk +13b1:0534 __STACKLOW +13b1:0536 __STACKTOP +13b1:0538 __cbyte +13b1:053a __child +13b1:053c __no87 +13b1:0549 ___FPE_handler +13b1:052e __psp +13b1:053d __get_ovl_stack +13b1:0541 __restore_ovl_stack +13b1:0545 __close_ovl_file +13b1:054d __LpCmdLine +13b1:0551 __LpPgmName +13b1:0530 __osmajor +13b1:0531 __osminor +13b1:0532 __osmode +13b1:0533 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -078b:243c+ __fill_buffer_ -078b:268b fgetc_ +07ac:243e+ __fill_buffer_ +07ac:268d fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -078b:27d2 fwrite_ +07ac:27d4 fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) -078b:2b4b delay_ +07ac:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1344:0540 ___iob -1344:1222 ___OpenStreams -1344:1226 ___ClosedStreams +13b1:0556 ___iob +13b1:1282 ___ClosedStreams +13b1:1286 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -078b:2cdd __fprtf_ +07ac:2cc6 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) -078b:2e30 ungetc_ +07ac:2e19 ungetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scnf.c) -078b:4069 __scnf_ +07ac:404e __scnf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -078b:432f __CMain +07ac:4314 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -078b:4395 __InitRtns -078b:4395* __FInitRtns -078b:43f0 __FiniRtns -078b:43f0* __FFiniRtns +07ac:437a __InitRtns +07ac:437a* __FInitRtns +07ac:43d5 __FiniRtns +07ac:43d5* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1344:06a8 ___uselfn +13b1:06be ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -078b:4454 __DOSseg__ +07ac:443a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -078b:4455 __Init_Argv_ -078b:44b8+ _getargv_ -078b:47e8 __Fini_Argv_ +07ac:443b __Init_Argv_ +07ac:449e+ _getargv_ +07ac:47ce __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -078b:4812 intr_ +07ac:47f8 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -078b:4826 segread_ +07ac:480c segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -078b:4911 __LastFree_ -078b:498b __ExpandDGROUP_ +07ac:48f7 __LastFree_ +07ac:4971 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -078b:4a9c __nmemneed_ +07ac:4a82 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -078b:4aa0 __MemAllocator -078b:4b6a __MemFree +07ac:4a90 __MemAllocator +07ac:4b5a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -078b:4cc7 __GrowSeg_ +07ac:4cb7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -078b:4e3d __AllocSeg_ +07ac:4e2d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -078b:4f2c __fmemneed_ +07ac:4f1c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1344:0022 ___Alphabet +13b1:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -078b:4f2f __lseek_ +07ac:4f1f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -078b:4f69* __dosretax -078b:4f6e* __dosret0 -078b:4f75 __set_errno_dos_ -078b:4fdd* __set_errno_dos_reterr_ +07ac:4f59* __dosretax +07ac:4f5e* __dosret0 +07ac:4f65 __set_errno_dos_ +07ac:4fcd* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -078b:4fe8* __get_errno_ptr_ -1344:122e _errno +07ac:4fd8* __get_errno_ptr_ +13b1:128e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -078b:4fef __GetIOMode_ -078b:5024 __SetIOMode_nogrow_ -1344:06be ___NFiles -1344:06c0 ___init_mode -1344:06e8 ___io_mode +07ac:4fdf __GetIOMode_ +07ac:5014 __SetIOMode_nogrow_ +13b1:06d4 ___NFiles +13b1:06d6 ___init_mode +13b1:06fe ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -078b:5059* __set_commode_ -1344:06ec __commode +07ac:5049* __set_commode_ +13b1:0702 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1344:06ee __fmode +13b1:0704 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -078b:5065 __chktty_ +07ac:5055 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -078b:50c4 __freefp_ -078b:5165 __purgefp_ +07ac:50b4 __freefp_ +07ac:5155 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -078b:51a6 __allocfp_ +07ac:5196 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -078b:5356 __close_ +07ac:5346 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -078b:538c __flush_ +07ac:537c __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -078b:55d5 fflush_ +07ac:55c5 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -078b:55ea tell_ +07ac:55da tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -078b:55fb lseek_ +07ac:55eb lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -078b:562c __doserror_ -078b:563a __doserror1_ +07ac:561c __doserror_ +07ac:562a __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -078b:5646 _dos_open_ +07ac:5636 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -078b:566c isatty_ +07ac:565c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -078b:5688* __get_doserrno_ptr_ -1344:1230 __doserrno +07ac:5678* __get_doserrno_ptr_ +13b1:1290 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1344:06f0 ___umaskval +13b1:0706 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -078b:568f _dos_creat_ -078b:56b3* _dos_creatnew_ +07ac:567f _dos_creat_ +07ac:56a3* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -078b:56d4+ __grow_iomode_ -078b:57bb+ __shrink_iomode_ -078b:57fc __SetIOMode_ +07ac:56c4+ __grow_iomode_ +07ac:57ab+ __shrink_iomode_ +07ac:57ec __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -078b:58bc vsprintf_ +07ac:58ac vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1344:1234 ___env_mask -1344:1238 _environ -1344:123c* __wenviron +13b1:1294 ___env_mask +13b1:1298 _environ +13b1:129c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -078b:58fe _mbterm_ +07ac:58ee _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -078b:5951 _mbsnextc_ +07ac:5941 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -078b:59ac _mbctoupper_ +07ac:599c _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -078b:59cf _mbsinc_ +07ac:59bf _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -078b:5a21 __ChkTTYIOMode_ -078b:5a8f* __IOMode_ +07ac:5a11 __ChkTTYIOMode_ +07ac:5a7f* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -078b:5b61 __HeapWalk_ +07ac:5b51 __HeapWalk_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) +07ac:5d91* _fpreset_ +07ac:5dbc __init_8087_ +07ac:5def+ __default_sigfpe_handler_ +07ac:5e44 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -078b:5d87 __EnterWVIDEO_ -1344:06f2+ ___WD_Present +07ac:5eb4 __EnterWVIDEO_ +13b1:0726+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -078b:5dab __flushall_ -078b:5e3f flushall_ +07ac:5ed8 __flushall_ +07ac:5f6c flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -078b:5e45 getche_ +07ac:5f72 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -078b:5e5f __ioalloc_ +07ac:5f8c __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -078b:5fed __qread_ +07ac:611a __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -078b:600d __qwrite_ +07ac:613a __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -078b:606d fputc_ +07ac:619a fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -078b:6242 __InitFiles_ +07ac:636f __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -078b:6476* fcloseall_ -078b:647d __full_io_exit_ +07ac:65a3* fcloseall_ +07ac:65aa __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -078b:6487 __prtf_ +07ac:65b4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1344:06f4 ___IsDBCS +13b1:0728 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -078b:75f7* _ismbblead_ -1344:1240 ___MBCSIsTable +07ac:7716* _ismbblead_ +13b1:12a0 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) -078b:7613 mbtowc_ +07ac:7732 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -1344:019a __Bits +13b1:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) -078b:7730 __FDFS +07ac:7850 __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1344:06f6 ___EFG_printf -1344:06fa ___EFG_scanf +13b1:072a ___EFG_printf +13b1:072e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) -078b:77a5* __I8M -078b:77a5 __U8M -078b:778a* __I8ME -078b:778a* __U8ME +07ac:78c5* __I8M +07ac:78c5 __U8M +07ac:78aa* __I8ME +07ac:78aa* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1344:1342 ____Argv -1344:1346 ____Argc +13b1:13a2 ____Argv +13b1:13a6 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1344:06fe __amblksiz +13b1:0732 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1344:0704 __Start_XI -1344:072e __End_XI -1344:072e __Start_YI -1344:0746 __End_YI +13b1:075a __Start_XI +13b1:0790 __End_XI +13b1:0790 __Start_YI +13b1:07a8 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1344:1348 ___historical_splitparms +13b1:13a8 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -078b:78f0 _DoINTR_ +07ac:7a10 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -078b:7c69* _heapenable_ -1344:0700 ___heap_enabled +07ac:7d89* _heapenable_ +13b1:0734 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -078b:7c7a* sbrk_ -078b:7c8a __brk_ +07ac:7d9a* sbrk_ +07ac:7daa __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -078b:7cf2 fsync_ +07ac:7e12 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -078b:7cf7* _frealloc_ -078b:7cf7 realloc_ +07ac:7e17* _frealloc_ +07ac:7e17 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -078b:7df1 __setenvp_ -078b:7f7d __freeenvp_ +07ac:7f11 __setenvp_ +07ac:809d __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -078b:8019 __mbinit_ -1344:0702 ___MBCodePage +07ac:8139 __mbinit_ +13b1:0736 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -078b:80f4 _mbdtoupper_ +07ac:8214 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -078b:8102 toupper_ +07ac:8222 toupper_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) +13b1:0738 __8087cw +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) +13b1:073a ___Save8087 +13b1:073e ___Rest8087 +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) +07ac:8231 __GrabFP87_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) +07ac:8264* __init_8087_emu +07ac:826a __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -078b:8110 wctomb_ +07ac:82c7 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -078b:815b+ utoa_ -078b:81fc itoa_ +07ac:8312+ utoa_ +07ac:83b3 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -078b:8246 strupr_ +07ac:83fd strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -078b:827b ulltoa_ -078b:83b8* lltoa_ +07ac:8432 ulltoa_ +07ac:856f* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -078b:8429* _dos_close_ -078b:8437 _dos_commit_ +07ac:85e0* _dos_close_ +07ac:85ee _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -078b:8446* _msize_ -078b:8461 _fmsize_ +07ac:85fd* _msize_ +07ac:8618 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -078b:8472 __HeapManager_expand_ -078b:897b _nexpand_ +07ac:8629 __HeapManager_expand_ +07ac:8b32 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -078b:89ce _fexpand_ -078b:89ce* _expand_ +07ac:8b85 _fexpand_ +07ac:8b85* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -078b:8a03 clearenv_ +07ac:8bba clearenv_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) +07ac:8cf0 __Init_FPE_handler_ +07ac:8d29 __Fini_FPE_handler_ +07ac:8d60* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -078b:8b34 _bfree_ +07ac:8ff2 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -078b:8b59 _bexpand_ +07ac:9017 _bexpand_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +13b1:0758 ___FPE_int +--------------------+ @@ -629,6 +663,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022250 (139856.) -Entry point address: 078b:022c +Memory size: 00022b90 (142224.) +Entry point address: 07ac:022c Link time: 00:00.00 diff --git a/exmmtest.exe b/exmmtest.exe index 2edbfa6ab2e4db40c84fce972d8daca01f114b8c..a811b6eccdcb76fbcb088991161fe687c87654d6 100755 GIT binary patch literal 64634 zcmd44e_Yg6_CJ2-2S3H}H|CFYG{uxMMom*-)YP#bf+HB3KQNR*O$CA(&@#kG^Ti8h zsdn3K`>|`aZQHi3?OKach$7&Reu(TEVyUUwYaAj%8W3>i`#ksc8W{RP`gy$n_*#dV zdA;uI+;h)8=iGD8J@>w1mOak4v0-X~UGMGj{H9M?9b<=c7}GQP--0g~yX=2dcLne` zzV8J50q`cE;7i7~pJl88_nH8a=NOxFp0PN<-Cr^Gn~RM7`D;867)i;ba z174LFTXKc5qkvffM|G!PW$bo*&fCq{3xIvEF*Xsu7XY4mgRudBVJz}Z)B$)9uoiF` z@bTXmOMjcOOu%mde*s(ud=Hracg8XRF9B)*7XeLxf$uOj1F#H`2B-qG00RDj>wtR! zTLAw;yWYa*n0FZy0mXnX03N{je==4HI15niVeEOpalp6lG4}6&Ggb#^1B}?qm;tZ> z@G_tn(EEMHrT~@!Y=Bn)acJi)AK*GZmjWKf_q_nse;6AJC;%J)H120?SP5g}0MUT^ z0jmLT0R98008|6o0RulodjJW5bii)_R{(w=G4>+hO~4_*r-0?9jNMtr*!e?@T{r=L zRx|bi;FD8~eFf+M1bz-)0Hy-+0q+AWwcrO}+-b({13Uo;fgBA6!~#A8Tm)#(Fm?-I z0$>K(cRxN?1KtOC0F$&wbyEQ|07k&Q02AN=KrGL(;*Llz?qDF z4*1J_#{TypV<{;AC?FLOyo9lnfCfO+GR7_e{8mDi0gDqE+YeB$0>1#Cu0}hO8M_B? zAq8y)_^oBk^f+UEL9=cvWE1cXpbilGBx6=UDDEA>XEPu=2eJvs1pE!KXd7ea0LOC~ zyLCHc5-=R)O@P3s88ZX61Bw8D0{k1`1kBrkb^sm&ya_k}I1Tt7;0E;BiS`5L0#*Q0 z08as427Cyp031X6KLvb=@Ap5$m{CBx0M`Joi;!JFK>_5(4xRlCV}*eC053hu*mr>K z&oTCUKsn%Zz-Z9yz-Qy{7z=v=Is;hzd&Wuu5}@B77<&s4^djW`kKo-)xCi(Y@Zl@K z31|gqUWE(*EP%a$qkva6M|H0O-UPf2co*<5zz2Z+fKtFAKqcT9;8Va!z$rkD7WD&$ z`!RM0U=1J>Pzcx!r~_O91o$&H6Yvn=F~DyD{{&P5LIcqjz;=Koh_TlILweymU?X5F z;6p%U2xE@`Dta?^2C%6Q}1HJ$_0UJi5{{SI+JOju9)Pdh; z0T%$50apMGfF^(o&<1b=)Q~%W(m#L>&>s*A7z!8x7!3#mOaM#-Y*N8i09=4EYV;xC z6Tln|c&ma801g2v0mlHJ0!{)>0qOu}0T%$50apMGfF^(o&<1b=)N0TK2nOf?{Q;qX zp@0#9(SR_(1i(bVB*0X_41f`EFTezN01yj^10(>J0TKbL0V#k-0jYotKo;O}Kn~z3 zz)nCuzz%p0@B-kEfL8&p0p0|>4R{yuFTe+Y{eV)yAwVVI7~oUDNx&&U9pEhB0^l;> z3ZMbd1aJY`0B(R9{o)S@2Iv6&0il4QfDwSvfH1%Wz(l|#z*N8tfDv#nzyx>z5DSO{ zBmkBH5&^3LDS$@-selYX7T|F}4&W)kPC!1u4tNgm0^pAThJVY0$7Tkv3}(qm)}*^O zq^-|fw?1cL`kKuw)smDE9W>UIu4h3(8`F}KgW2LVYtlwN-O#6GSS&Mwg2ryN1+(BS zNn1t*vlOMgQcNi!7m=St3GajQ<;?YuTl8ru`qk^#>Q~!RQY;xc(PM!z%%}473`=IF zJ}GsJeuHI;-kPRgla^{-pK7z9%COElqi^sZs7+5xqeoHa>S*3P{!MOaFq`*~@U^)( zeU?sgJYKXkE@8>``W3T^XEB`=`b3dXD;z7)GQ-iToqs;SaBbVxBB9b=C(c!i!*`U~ z0(P9SX&gan;ha!en0TRZ=c@+_stZo)q~Vi_iXREs4-qg*E0*bs$1pSQ>!gIOMR|v{ z1=XQKQ+(m8uO0{$TH^~7T_|;SDe!3=6{;#ztBQ#UbZyC2v#$6_X4Xj~=sAB~@oxMu zN`1Ei2|iP|79Z7_Jy|YYF$|DSYJCEw0#QguxXlICD0ArvlqEu>SzC!Fom6Km;)I^0 zLMZX*yn^aNlM7@UrLY+a*^=vFr4+8_-Yv8WO*-ioP?6KhK;{^gu6PcoNppvniQeCl5MeUhII6@0wefvPXuK0I! zeFJ{0W4Bdvr*;#a)2)IHus>8Er@YdE8m zZeLpz4=%@3`C_@eR4iY+gRZ@yTw5kzOJQcYR||kGkn^*4ZBb!L+p5H6D`Soy2xJAH zI<8(9Os$R?Ze8*B%yHUHYS;O1LHxQDE1P4@*vJ-G@GXx2Hm{wV%I2k7Eg396J!y@F z&9!V~<_v568a5{>J#)bZHa9Ihm8GU_O0#8JST_E##YwAi;nB1$ne4u_O%@ivCSxNC zK9tT(hDiVeAcDo(HsRvE8{%0jwXxcy3-4 z7r*$Sge4>V&1T{2y!zmd{g1Hv377UKF~QWf%hZAI!oiT-hFwP*@=a|~=dI?buWSz& z+eynxf>nm2I_ay;MMAl~t|~yqAcXeX-A@IGBXR?vSlS(DtiAG#Uj#Cn)`LrX^!xR! zL8xE4G+^Ya#FfjJFMD|DXZ)Ee|1Sbn%z40z7|IU`eP%Oy6x9l!zm;nZAG0mulHsUO zS$(;>S~Rr^S5d;UnW{FF2>~39q3rc90v($@#k)9tWJ7e)?9D|berS%%e#mfCz9T|> zrSUS|hNEHmq^i3DPYVhSJjd;o3|R(Qp4@FyqVUi z#qa9!6Wi>y5uW^Km}-~Fotxt()m`OLnij%&_7C~#e3N@ufMbp)H>YieEflnss98ZB zZ@ZXHG~`ZEDbFSHw%Fw7@@6t?6tAj7t}2(Is;Jo+&qgiFE>v2t=1xTcPQ>3u=0_D9ylZ9?|;0gWT*-ce-1I`3aFDTwj$xGa1Gd( z+c0Dbl~9n+@hiqg{R7G(12Qy@c`9BN{nTdqiCV$bK!qEHHo`j!I7o?(5-Z!n14{Zr zSsH}Kmc#~N(s(hojN5fS;u7veZ)4dShs27vdn(Y5fD(T%Dx>s2t6Xm*uXm9O@~l*2 zl=gMq0}%m&snPezm-t;G0%U=R{ve`}h-k$4Z{-x?mY0Y|5RtWkQ=kDx?&A}}~R80e}3Fo9`ymxOqW7^S0` zoqdqS+uP8Ez$pEl-$i$|i2)@8*?8i(PWoLZUT;ekcK_C0yp1{R?vh|{1=4zNSui^* zsYK_!VU!jsHPa1+)18kQC4+Cxp{N;b)=9%Uv3YAI9;2gQ<~6_I2CH}@pLNSJ9Y``A z{@Bt*al%2;rwNyK9JTh_=g(@Su^Ki-19e~a@XGRiTIT$=L)Ky_y>u;|tOHjBt#C+I z0plK(7^4>N7CsYBNV`2kRx^|VUtjPD<Rh)CqXC64Id7?rCD5yn@Pi|ccZ-}$-uTjXGu;`ZtPanD{w72R=7RXhLHL5(lf(ieP#Z8ijJ9S{PiSVd1e&hLggPmaEkcAr?MGlW+{) z$at_^RRjJcwhC?NRJ8H;Y20EYvHvEW|HqZirHcGt|TH1&fD(FKC6(YU+CY94dw1TsP zrLgPJ3pjQ$$JDHAln>a%WjwE5OVhRH(1L0{`I5%8Vwm4iX6+Z9Gax$z81UT>-?Ms= z=CpDpFNX(Keco`?aME0Tk%a3(H&-L&F7Lr&KDzGSs92R?uCRH=T>;FhaU5qTPu2uh zt}4=hL{R2Jq4h-!qSfTfp(uUWYlW-3ZwV4ZhX$eL{ZdQzVwknp?Socn#l>n|n=n6G zMd+Sk5oJJy=jPjMBaI`1GTxImw_{)e?HZ}EoxEZ-Bf)>&PX469qmvG9DuRb1Bs9Q+ zQ4@t$=_@y>kxU2N2<}#*-^inVeiIibQ}cX5o99ty9OKQY^4|q7vr}|qj#GV(Y!6xn zr%tx6A?T5pHjo_0!;qfh6Wd;@yf!slBZ=j?HTYzzJh#>sqOiyg7JZTD_OlI9oJ@b& zAMCS<dI~>$`uhQXh6OW6z z*E;P{@A###5t3%><Tg4t(%p4Z z<~5EMQ<+^|wkqbh+{a`A{<9tp@_`tk1iXf-W&EYDt#!TY)St4YRA>T4>)@D?Nw0ARb6ARnFe2@ zG;TdNooez-Vc%TkDqDoBTy2|*b`WyRhR|iOV{-$!C4cWxZskl`6(}cjaY!vs9ILeO zm@CMjDW2&wk8-)836}F;inZ2*>i5@?4?*@i&>V*1z478& zd6uC!$1lRX*mPbnofS;*+hGD>fojS2eJ&>a_dWlbZ)z$HE!!`bc?>NGxzRL28nnI$ zYDN6)wO+RE0nz>}J4h(6zBtkI*SLW&1{G?@9)AoHvbQoOwM5r_Qeu=oTPI_yTIcJn z>|4h%<_8##MqHv{(^MBxMgn5`0<5o2sjE)-LMJ`H4x@UV82bf=NFm`0TC{zg!f7aR zhlZx|vUnJ!wfs&#rso_N5Qzy_WJDNRWHgxn%k|Rq_CS|#8KvJ-;q!>B>O?5)T7x0s1LN8zxJca)7Qv3YcBDikU1A>e{Z>HMjS7o~7 z@%Yre$y;}iyqv$blb08S1oEQp?xck`rc>37(lCCfJ1-l(yu9G0?uQiKX5!@yG{z`Z zq{xjiN+l^W7v#n$p`v^(`kx0^NEQm}x`_hfKPldNpX{vHs_|gK;q?}SISGgyi!105}_23GUa+i6eomAfxwbUofsDRU`V_KD(B3q zzNo6Ts_uIc4ryhvSxa_|6p;Ll+bpOV?YGy-mf@Euk=c?EcHSq#(%;_tS+Nj$lR#T$V$1d_HIZf zzV>WPjMBVhS%MHp6cXxn(%oK4$s>5;x{pQ;lkap_fO@Y2zyT(k1b$szeKIcKF#&d$Ckas@B9h^$tKrx!}>RGjaUC7m72N!HNlwp)ICzEyC-8}l&-Dz z@)D!sWvtw+R(hS{n|F2iaN{%iPWQ%K_VTj1lb3&8-O0-vu#8()do5#{w`R3%XO*c* z#T51rn59)ODmli!FAFdPG_aAxI$w_uMb>}g$vt$$IPH<66YcXv=w*A>+2yvARS-j^>ow- zY?kJ#4!o-?DbtX4RM~68a*er|mQ1l%A%s1{zx1M9wnv~MrqC}3b4pgf9_C9&PNo9f4a;H zZya+I9!iNhF3-vMQ@wqjlH=a*_xu#5;zsH7M8&@j^zq1Weaj<=&U2RxR!_c#t7{&C zn`EzpSCi004O`8PwCvK|Yw(cs#+`1(d80{|bQh?A)14Q^tXN~yNsoMs=~Os1Xl4zjdGw}wYN#pCtM^KC@OboN4{>_RtDFZ>O6m0r-n@%@{VCdXB#W)PS!Y@^Zs>3(b7d&M^Fm~g~T>a4h7Z4RqFAsAy{ji=mFwEc{yzu z_z?-bPA+#!N8-bnGEJ|^IA?2`{waCy@)c#4LAtjPczF*&J7hNnK8BBH;aKVQEY7W@ zhKO1pauqx@ItF<0fW%#<=3l_}QYW@&SCX6qA?6`vG)!y3R`kXeUQ52Id4|^NJKgLq zU@~8b{@*O`nf~#eHI7v1M~tJ}M3&+(ozd3LwN(1D(UQ8>x{i_xGSh4sYmi=$p-;AC zB4Z%Qx;`ycpJ7=`AC}E)ESBUn`xIk6UjhWr`JJVv#)UUB+WLQ$I8@J#or79K^vudtwQ~F%w zV9e0ZNwaNCP90~}r=?p`^)WGXABr(gG)zU#f-N=KlCnM(v?W=s8S7WuP@#28x`i!` ziQi<|6wR|SfGZ{`eO^rb3_bqnEg2aggW&^edZRhWfQt1bv?CV%^GBOuuRL^Olwl~ z*v&y~byB7!-I@_SHew2X#aq_SAkM^FtY%xrTFVUmy{LVIJ~hp%Pa)bzF{O)>GBT33 zpcxq%Hfkcvv~koawvBfnt;DKN(x<0o@ZN`5phvwOs&x6fq)h#43wWKDWy#3SSPxdE zvY>e6rp)j`#nz{0B1L8Wn)Tq79_&ub*rHEQT5HMFuTNE)Ca1nUlxazp`(j>9j6Q4Z z=80oB>yxsQ)^AK&z0nfP+q5n%JBFi}p_f1Pt9j$nGLj)UBqO{j)ahM335KxUxS$cA zGHvP9bnpZsLR}j@c4;tMZOh!EpEwbMX+;}0LT)n{wd77nZ#s3ofJ>>PBXzOdyCCZ$D4j>5>#KacJa%Rq^X=)@0-<$im14k+OoQ23$hh zhAofSMC>r(s@aXfe+@BFu7M z3|l^BTIA$un^5VLyCWyxy@{o5L^lByB@uDeQRG3f30@On(8t`1&d*BPxIP)2;YyE` zhH;sCE+Tp)U4YMP)+zV&sYyt_NKM=r zG@Cx#LX2OBZqv^IS^Cvmtd>lY8pROk*PtiRr4xyNQzk`Dp0ufxy!3TjGS{zx8dJyU zQ*E18Lz*n9YoIRZWKs>;D54eJtRg7nW-2W;6U{(xXQC0_22o*Bjg3j^J|-x|mbyl< zsE^su8PMp}uxaV(8$kfwU@0KehPHGU#0lNC98EApPBv^}k6SX*6n)CDC>VRtxlVmz ziYorRxhL}o!=>0w>JXTd1u!E&l{Mn#l*q|bHn9{KJ4pWO^&8h)w}3B6nZy?|9O)Tp zYcrBI>9g0v>Ssc{^(5b;^pt?Z6?@J`WXEwkHA5eZ_P}tF(#zVN36W2>BolwYEgBlu zK%P=98#8~zBUGMY4et{7V3wI~S+hQco6Q?G0Y5@Y8VS?H^ND8YVO=+oA%mT#wq>Vz zNemi`OuvZDW7GAwk6kl%&3JvZo>KBUO~R(6joz+@lv^O>!I^84Qtvc!qJ6Z2@&~zE zZAx07icXS$a9i@rN_&H8e9^&79@xnAlDwkFe%T@@-ERBIs5qgUaa~V3LSvw8!FYT4 zxYWh`pY6RL@i{Fe1p_@q#JUdDZA@F+rI22e;>~;Xy-A)6u_%aTvsIl6?OWpK^tn^C zVS-ls^ot(DlUIR}J?R~M7?M5NL#b$XvOMg_os#5Lbv~}*M>5*P4cQ&sf3nF+?L{b? zxbAKPY=mVqWCrD2tStih6&#wwOhKGAd)dh_&Xq_@du_ zA(vT)76;Ie0l{U~K6{P$7F4yf*@KC>Kcg>{rsM0(E8g)jc&QGvJj2~yzlWLQXg-a6 z9z^Equ`b3VQ<7l1BATvXBC&VYCu@T**;ef}@y{i~&L&UPq>P1oXY=3lg;yod-h27? zNa2M>kMQahPp>o9sJzl9&#a8zk@%U@N5o%8Aj@ml=4dEn@oK$i?;QS2fbc@I$I+YZ zdeh~p+Sx$VGnSXrpt3D5I8yrc?e^M&6NRPsdF)scK~k6>rhfhM=c@85)nU8lsq(7S zn0;Pe#pl%pCx!CDo%ea9){&uwFWl#e(-nU=p5h1}FGBtzigZoU#hoUP_`+Nd7Hs)C z&GUr3Rp0FvpWlsBo@(xP zi`K(?d!K3&0wORA@Gfo0vkN>d85VjY6I#s37{h`)r@zL`=|_5xD=){zY{6X*@0};U z;PMc!t^TrjncTE6|odk*i~}-~^FkExL!Anr7Fa>wNQ5pxn+Id8s< z{Tbfu@pCqVKP$(|{4w7Mf6Ov}%7%kK<}UvHHumTELt62-1ztH+%c3z<~GFzYA z_C2=#d;7{it%1U;4IbfzdXFbd5)IK@dad&x9%R^x)&8}pt}!(b2=m}2FnF>QfarM zMrqT7C9}|UxohM?Vorljdg#F-J0fndQ(>ZC7?SFQ0AZmz>~L@4voLX92(IW3=QM-~ zeqln4`tZ!Ks(IKHhQAo@!O$hV6=-{yxIkw=6o$s594)1HYae)Ycp6w2CTI_%sZD6N zx?)<@T>o4I);e=wA*iBue7Uef?P%lK6J|=EkgnY)%bHm@rS$6V2RIiiW{N9A#3yw- zJUnlFl>J=(eE+v{ZQ8dqws8A7aY=|cUnkTERp8A<^&s?8^zIWd5l|@rC z2?RYeAG^9pFpSbC_m@mj(kf(pJVN`Q`vpJb!3htk1*A+x+Y_7es`_>yIijG>By58a z2=nj7l3HJe%UJ)FYuN7gXtthtPb)OmoJS>)cftrkj%|VF`cg4}mO{q8ihRzO@1kGD z0C0>_@->cO8kr&xN;-Ah{Y4~7RY-L3wjK2MzTXbLLJX3N5$M$liy=n0hM^n6&=0ta zym?^|x)4$VMI)Z!x&PhAF^L1QR|jQKf^Huk9!4ypkNIkkhkrs?pijf`WYv6ZI^^ub zRw-ySBA53;id~&jjOvjyAS_WM2Re2tYPn+}ic|Jo6*z$LkFx~Vm)wW7N#yz0AwfJK z;xZVSd!f(x8iQ;6xgoCYRm`1t%7g5^ruVcp&YEL}n(Y@neGD~zePC9RpHU-uvYP!U zt4SxNx7!mND7$+mD$b@1u$i&NeHokton$p3ZVgYt+vcz46Q#m5tom+4$F?H1xjf>V z{iyt6pYe4EkWF1Q`#lm}sa-fFgf~l)6R;Ac!$a+vFN%f)YEDII|^>WdIwj|V0tBW~+{om74m*JdhmMlo<5 z21ZJOfzy@6MMe6%f(rw3fZ+^DkJNq*92aAerP8dE7{nY|FAd%?_6ZYJ%|*1Ex{)rf zG8NHCP)S*=O1DT4nu+3E14lM{!-Xg6za8hF1 z9km_GEQMC}=FF9?7Nq+WR-t*XIOY*{T8j1YaXFg>BnIfDqw_eoIIHNl8B{`M`JS$S zc<-S{o{Z5+&rxPzpnUI-$P}Y}Uqf4P?Jx58xYi%ru}PrnA9G|ob_@9@LM;SYIF@%3 z(p)1!yiaiPJ^?+a5sVZT0|n|caJnHe;$RpAG7K8WEU0kTPz3#-M@E6KK`28I{NK5h zMJlWI!7yQP7^LcORG9b>EW$h;a$lkHrz>de>-=^>n2Q1PJT}YWTd=OUU^M*vBXf)F zr{T_3O!HWSJyvWk+kKl^%)&mscjp#Cj&RGKc-|}y#H{JDFtYG3%(b7!jf!dX)Yd?= zI0(0{-)=^`aCh@ukjOEL(~yz4io600Mo9a{%ylj-l$?FZn~x{>V6T(H=PGMc6&R;R zA_pJ3W@O8WDIDcK>BrZ&oim#j;CyGmL!hfCSv97!JFAJT;#jtK;^Dr^fE`-t0M zGOh?Ymqip1VnW2&%QU1TvkG;ZBzP&232nB6(;ICcDQIWWuz>vEIX)^yqJe8I_maeS zsuJ`_(VJs|ihrV$2DUo>eVq?9e{nm?+%i(=wNARC!GNc*y3z5RJ1l=Ljqe&qJF_RY ziBUpUt7a>x1#8Izfs=+c-L~n+kf~vJPp`FI!+Z_;8LeSYZXgeS{NbE>Wq3NA(};C= z{18l+$t+GkWb1{Ln@H1T8`6dNQ);aT`=7BE0!Mo8o+-`@zN2y53!4+o{ugMMRDl5+lM?mxcJyX_vADrq?Jdc9_~-Vx+ZROv!X& z4s06vzXJ5v_!!g4MC9pvoP2hI2AKC)cUr)6J7|60qo%vc~*k z%SK0gvq$7MJ{`H~^^GAcd=_c@3@ozxOd^&H|NL8BkWB#eXD@#;d0n$aTLDl}(#*HG;l(HQ8^ zd*0F@qLR}LHA2mEb*Mcs%swwTtO_T4h|~Dw3a+v(L~!%z<4iHD9^wyI4*>?Wwpl!d{E~?Y|&@ z`;C#grtkaYR`%gVv;T)+0;ch%MuVs3yupR+i4(qM4-?mDj>joiD8`I0tJ(3o+cTp1 zCo`*4n6nIvB)hb|z6>FgF0+LFKT+O3ZRLI1216*ma1S!GV7Qyaoio1ENlOP~pL-j# z4dc7r2hl|;0{yZN_L>6kMQ^mx5+x7r2s1r7Mh-JYAAP@Help7HD)CXRfdzAZR!#; zYq^TVHJqPxbRh}&Nw@$xjcBR=Y;LJsJ5Fv3uq8n$vsa+P>I7 zSXp7>7d_QjI(4k~0l3aRmBe;|f@(9|`ob*Ar2Kvk#naJUwQ`5`(5liow|na_ocxt4 z(79Ag0LYf>5q#@`K=t%I(F556DU-{Z-fgMAp9D_$lzT+irjra~qiz$BzZ!-7rp$Ro zjwRQ9WsfAHr*=5HgsrDyzZ>uEK$7GhO45J|2XP5)IFvp4;VLn!Rj9GA;5#51^U77| z6-)|toZRYf`)Yb^#+T3(FZP~Lm%cgShKhY8cR%S*4}=P}$U`(^JQzc^x&od99BXTc zeWh-nnIWGzu}yGex1qM>`|0J`6T#9AJ~BV2C(O=&q4b+RrF$y;qrUnT?w0;>^bLw# zUvgo6#ZDS9yXeNn`Z6@;9@e;&^N3PSX{OWkRY?RECWbR3y z@XK|y<{UK%u_y|t1|VG+%RMNe(GFOl#tIexwm{F+ zR?PP$Dp7BsRy!(`GZOgz$bbl%vIt{xz-s^ zpRr9Z9f?7}e&|Y1S+jH7U&_2MxBYjSGjiL1YrQA8 z{dwEe(i8fEuBjm7*=l>*FHbTML$Pxf5$Zc()-}K+~|W; z@$cn||40@8!B$p!DD1|cclc=?cWE6DYfJwMclu^(Ey%n#w_T7~C)yq>t%Qekqt81& zQ8`*vj#26?NQOOQMiwY90?XbRbrU%HsvR@bj%2mNt}Z=r6aAKzlH2}t#+s}(x$Qe` zNu~R4zj5&??R69NIPZ2o;>c%?y{z%2OCJKLd zGlgg0gas&l_hzJS#7&sv()61!hNW|EMhE>cH@RU`@XgI|WA#lmQ1&M2bd!a*QyvNy zA;{Mej&Tp{hw>wLZ?5FaFE1VI>0^EcAFMH&HQE9zDHYN& zjJ>?HH%q4*e`D$LRh7e!(OvZ`*x&Orn{I-F^m;wR|G_MXDZVAq`1f(-@gj6rBb*32 zLOX8dGuGe%8jDm1`_;hjnPZ$@lkIQ2H2q-Kd7ZSvNb9wdx1fTHGBZ|jT;!>0$y3FX z^NsRCf#D1`++nXc&L4nRHFy@CyF=@eVl3@D8|5ukCKoVDKgdhJK3R@3SIwy9TA1t& z&oak}3I29dv2fOYTBz#DMZu(f)?#~YVd959m6D@N2z;I_%=!@L2pBMX1p1!+Da@R6 zKD3v0%|Y|7X|pvBovQS~#bVBWJdcTa;)nLyUky9)$W|a#ZIlKZZlvlXsN+{#g|?ee z>c*-M<}H6Q;)Ys&r1X9u?uny{qkGJs$=rZ?{u)0Wm2RJzPw%nH%!gK~>{zEsY=G08 zKa$QYbUpJTE3;0u(}L+0?tb%tC}!+5JshB1N9x;1`wDd~7TIsZtlR5)V-X1}NH_(6 zW+X{rn0X^qUhjNa%tG=&J<{)lb9~z?X3vPS@e;D<_=EX=1$8(WkR*B} z?jZrDIafYrRYZ`*g);eAK-y($%Qv-BNt}{aY5E=#{QX$WYtarYYGSUM;G&ky!mi3% z^!!yLP*NM^Ga$QeNvDlPGOig|$JOABO1XttsiRa1!x@i><|uDZ#B5yc>DJUHZ>zn= z2A|26)wZ=ftJ{QKIJ99X2^(^(`qfDrlF}{M{e&$PDY(OnC_O@X7*E{BXULdcRTrC= zQhZ~fv8Z^I%8Xw+;TWIcZK4%4nkLpF5(=7BW4$)7O+Mem$Nq$KeBNmM=VRm2uptm5mVmCQbWvj7Vci`5q#+&5HLylg4vPJ6~+?xdwXhe{4vAR8gR+T;=K z{!Bz_w*6I?XYb5UMvcrwa(OFq${mAP;JWW;{Nqfqz}SpI9q4YKBiuT*{S3~JQUmxjP`hJA=G&J04+YdUNF;>6^LvbPnN&^ zT%0)4Ogne~=|<#W06!~Y+)UpG=;olAMe_DmehQaXIcJ%8zn#~O6V7mIa%@`(Q^l7s zbv#k<nuKoSc}6eaoU>G*e}!&;bxoM$-BX zqbaAN60~7K3^y}wqr)$^H!ziwfyzIyli(^H_KN+_qp_4l137;lNddSvZZz$Q-kyU| zdFv}(0i|C;geQI&CHO&ieKcykOfT1{$GApapCN11W1Si`4C{u9Mq!{eO8*@7%Nlih zB()z0?Qwr&2QMk&w8F^fPK|>8k4>I9Hkqv$n>lf8Cc{Q2>~h5hs^l$vH!F5_@J**H zf?4c>c=PPV_pt@DW9L(G{4ek8z(K9(g^@V%7rlx;))kvqm_rK_TyeNQDHJ3^*qkRZJ2UL;mstRHM@SxMu<( z_j2p#&Fa(@uUtX#s|-CZ!+tm~>59`m23=%P!^aoJ5$mq$}jM~mP51jlJ3 z(Iz^I%&{K1?r0dWeiO- z?1_y;C&;Y~xKk%h_rYT;ro<8?pd`95e3~R0+cl0qxRtXiRImZR8KLxxW4kwVm`;%! zE?b7}^-M+fi)`1y=@>KoDJOXA`u$8*_-d;g3v?LLtJUDj>fF*+_ifh8@6CXp_d%;0 ze$<(t=`tjZ)j?J!j zGq=*z&OjFE_T%n|(2C@L*uPuhvmpJ@9kF$4o_qQ>LtaA!&Pja|8(Um0sm-cJPi!lM zo7U2|eF&tu%#(1t2ADke?5)9h?q%Ej@*1W&%k$hx^z(80xx~4*%Vc{;5z6L>1kG|b zM=%!nk)PGT9VbP9u%^+Fuf>5NsW_}8YK3(sb~@2!1!QrOtG3IJ+y3{46PM{(s0pmG zPWsbu+5d7LFqFZ4?__RgZ=iRc7*1(D`C2>{m73W%Dk(EOYK3h)DssN)_>^V)SDIYG zPJ5I!GdL>4)(^jWJ9D!`@EL@25}gYjSuAR^ExItF5m<3NjNxb;s>b<8!}qBfkDe#H zfec$-m~A#ujG+dFPy(AQh**cg6P0B%0AVjjm?t{`UjiJrdZJQn^fkoM-xIak)*oL3 z9RYCezzCpobhvT6+dd81OH_&}4-&B2uk(ss9>vnpccvfLY>r4rlZaIU%5samFJ*Fx!*A=bCKTNHwcr% z-TmHMSy=w$hMmj9PH8PXdkM1-IE=b^cGLihP=X+~S>I;N(FZs~QWN`v6wk$X!uH za=AQEVf>eAA&kIuc&KcaX{o{}Ro(+h#FQ6&!&#>~sn1Y8sv$!%TTQF|z||LRgnLHP zDQ5~hvRN^$U8LN?dkq<8KD7c9j?$(j66w)rOK z+DI*>>iEovnBcbKOI28h9nNbu z@V&uQxA)yAw3hYlAg@*-zZfFEA4e^pfIL1sLZ~F2swS7`TddFqkZ=DGM(>(xC*G+r zLa0`q7LK6gT3*r$|B%M^V|3Sc0T1<~txJuV)Bt^S|;~ z#n%Q`4e~~=aPZ6-gQw8cOe5Yx4}900W_9LpJlPNUrwK=VB2+r*qY*_;iF+fI&!&lO zMj5qGPWyO-D(60aNAPoA<*PlM<+Ff773@T9XNwLOgO9hlxx~C8(C`SZf9KAfu6Nks$UfhdpGq2g0QEjU(dD0d!_IR^8CRq}xf$8gp+ag1lP zVadL!(9}Sz8^KxEpv}A;6x<3lLr@z&7Nc%6}*Ei9smu2QMT6`&BV&^a5)GIGda=pap^-HWWFLe?uM=(h0X=pUkB}Xs3|V?6k`~ zruQ;z8{p+J#_m#k~9YVZ`51eYuqdqGj#CO#yt6&kCt6PwO! z#=(yi9l?S*hAsHWkYlViQ~U(&CsPd!7j7-G_NJq!;H=PF1olj+PL*>l4;ei0?+tbY zeUNcYTRfVCyStb#U%?YOQ=A3W4LmzxiN|5}p>^A2Us^ZcT4ehd-V;zes?kvCd_(RX zKD+r%f2DWGZX@#oDWpUp@185vJr~`e+Jr-5^K!0eGpC`C?m*|=T-+=AqB9U%+$)C*7hhn&o~m+&V#S5Jx9{ zJRDp(jLdUnp~_j(_*Q@(G&SO#9D*BxDkrwvV$AP6bxCdykHZ}3NA1Cxw`fcj%`waIEAW3(sR&G2C`Wlhy1zReX=dDe0uAb$G9Yaj=Ab*Fsr*^CK)T{n1=lVrV7G@(AMLWXu|NSlP)r)4~7-lYKhDmP9|@$xp+19 z7JbrJme}p}_rdKzX1WgH&@_vj47sNrc|k9{)813oW?|``b|hGIIvq&od$(QqjJ&Pz z{zZka?rA5F3uj*<>qtxIrSHBKu?oS2{}0jZ4+%YV5CJmXaUAXWLQ@&>+i8&7QlkPj z+kL3%iITjWN{04tQ|&{`k#7p>kzXl%`YZW@b)AI^6ZiBKtHtItG2svoLYEb0?Lqu| zD9@gvdMJgn$A?QNTD&yfSfKeZRbiCw8cLaGauq!hR~nq+#otpg;*l+x7(@~*9N{wj zhauJkeq*V8E{1;p@T=>=chsk z>pP5u?u1}E$?X%)x+Q3r-^ZQMhwYJ2u%v(4-Y#7a58Tc>`{m7H;SL>f|LY#zY*s3wBP6;MIaHthc0UR`RpAneI)tDYH+?>dhiB|VuN()8#h{ZuBY_E z4K@<*hn%p*u;t+`6SmCTB6E*or{AhEzvGPazFa2Wrp1jHvBvas%RrT*%Xc?Nq#Rzfp;~k9BD>5cJq=8=R z&@41{9@&8&^8GyC(1$d;|MU&2`xCK z0|$`s^CYHoJp70Z7wym%aBvU3sEC%!ZuQnt6%a*{t%;|FCVu!6DnO2cno~ei_nfiH z$vA|Q=Fu1Bd%V`JA&uyCo|b!>`az?jen9L(UK)`8K~D+vrsTg2wx13Uzy|sfNkjPJ z11a)`*#=&`1644!y>u?M`6WcV`KxtgjIsMt65+(uigpqMr}Wa7iH$Ge4M>BF?C1D4?U%kZHKHQ#sO%#}^LSld)PJW^ zdW5$cHKERzXut9=k=QuAWki& zef^oZik>|KDQgvQNhigP2KOkNBOd$c8+Fq9F%)mA#r7gF6VY9qe1q+L$jHJ08d%Wn z5a$QeVrCnm%^p>e)h1fC&cD#w306Sq6-mbpW#ZHiw6E{iI_=K89Xq)M3dpKLJ_j)4 z6dKUDE{q3^mU$4C>&LG=0S{;TDT_X(Z7bqSlbozL^ug3o)f1vjd9r+{bTi1qX>8T4{%{q1wc>*Kf3k;HfC?@wJbQE!-j&pmfe ziinsral(WN`q+mSEYK%qX0Bh06Kru>ZnDK{SwqKZ$Kr5pOKO^J?KT3;4^ zB(gfAHom1tyU%OwW#P4ZANXWc!8v=? zf$c0m#xv>Ljy?LT?-trT;2KU#6OAB3FnU0Vzsk?5nSJ$*31x4;y>M^DC!@k%yMQA@ z!!ByY&!RLLOYI}@9&Xo1OeJc2>-EuSVgK!0)%Q%ZjmzDtjYcf@6P0s#G)@2>icS6@ zC=hMyn?F|@qK`_o1#JJ?D++K?#qy)aedSK#mGCw?JT zyw;%Aho$=qplsi=kOPkdgnu@v?CRTj#_zz1TZ&`1zy6)JK=-}&^{e>!LHl|OKCWpe zfBTSFUmUaj^>+Nct}XVEMS6FPPMSNWh~C3)uj?o@VjMcOuP@%NsJi;krPfFs2Rjsu z{ID)0-W*r(nf=`U`?nRVa8j&TJ93%W)bS3|XWGS2!;jsMQ(SSj+y0nsSa+xIOF70| zM{mU{<1ftbbkkQ3d3DN9S-B*L$3UWB}2FCcxNfnLMc8tq}z2Qswq)?Rk!Q( zzA}1Yu2FKdlg`pnEB%3=@L(~iFH%q1F%F?868qA==KdHK_@36p%NVX>6@Gk>^h8qsnm^8aaW>)N(}Or=-_S$7NOA$ypcPxKjjqQq08Kc zFGB`coNUYj$(XfLJZ!|cA|#Nt#vz-GG6gYGEOnFVS%wo)o;N?&tIVoyx$rDLv@I7k zN0#DG_3`+sE)BACD92M%Yj99g76ZWygD++x%zC&Rk2R24nKN4 z@>s@DY`U$@4hb(8Yr~I*pF}#(aeSV6{+VOPA4&*!i4B@F1CD0&n}?!5WNRa9v%YH` z;ELI-uRdLUCcJ#eu^%!THTWGuFPzqt52()k-g%Bb#ai*0SULG*;>zPRTF9pStk$nD z8`Fp*{SMV&h1aU%mdV4N9bAYtO!@lc{=9qgm6eF?FcJUow$=usf%G0^%$jc?x9s9{s0ZThiHtylm9GS z&{teBaQboUK>kx4oOeZ?d%-QP(yC4<3%WFNe?~82CE^v8!=f(6p!=h*c(Ugz&PNBF zkBoaOO>RVhcoRiaN2GtHHfyFh^)RmxOMbI*bKJ~&4@udh<7fqC13lpU3_cUN1yl(J zB!A}ok1(}TOO!hC{uBCqy;AEebsA;N3xWmUoPI5%9~L+d5w?E~f#(3fVUj%L;bl45 z@;hLO`kexPI~$#YsN`2;$#bDqC{(R-#CwDj&Zpwz68ObhIY&*Lst*E-jMFQ#1I2-= zrs;>WmvR0ek<_Zjoe-Zpvt-U?QyYD+-!5P0jkoEQADs1nm|mF`B3}S?4bIt)Wafi# z62J9xRox;##H)H2PrNM?m-QO1)7x~ihS1q*aKJ!+Br47f66Xd}Cu9#yT(doJQk|1kLQ;9Pt67hL9?{gOUxlJ8ezAEv!B0_i2et=5Te_3JH z|Acb|H9~BM{ftP*X`K`kP9QO`Fwr&n=+wx;83E5dQ$4k1+OvSEcoA_m-k*ml0c9g& ztt8$ z-PExw(T&3tcik#`-UD2;l-)tXYC9&HJ{1!_=JJVG8Y|SRadasqQ6pm!$L-|=2P3(_ zwRJGrJWOdI`m_mophrw_3$4y_V6~raIokrq?kr4wr61BE|M^3a^MqHUw%4oGX5?)t zE6$6?p#D>NZ5~@co)V6%R{=X{cOEEvkh=eUPd+D_zg<~aW*=D3|TydYkQ&GQJJzm4cABFaU_91rIh zcy$WL+g01oVE#}}L;jGH6*#@C2I}j#)&TIajZBt|Jr<*P5&3GUUz-wx!`T6Xg7~(Y!C_D)%HR1A2St2x1&m*kOAV zgL5do%@RsY4NY>R1LQ^^Z?Bc}aJ;85q1m@k6mcUugxLn5dKKO_9pC}Exy-TD;~e7n z4Tx>U)x5S4>xU@7^ANco)J39nunGIcC>OQ!CG$qv8Aq*V2zo+=BAH174c^|#}A%bEGwsBBx`+*r5Tk;ZU^hcvDrx6~ikRL&Jq zN&MSWPI*+Pjt^qWV0Jt^h=L{72uC7|vf2X45?RMOm zDpMO?avb2C&J#6ZG{{;}Pv(8kZ5dCiHR4#48BuF8cO%oZjN{ZAJkFDNewMQemJBk1 z++h_9%k86bmUO!?&h31g+e6;a+U>><{F&OOrAHyinC~jA3Kp|M#2m%Q!ZN}%lk@0( zf!lDIV6* zEMS`moK8Wsg=gjOy@6q<@w1*#WSW0{NzB2zOMbr zRU}-=SQI-6pTr?{e z!h*?p8<}C+kzEFj*BTnqmpEhX54M!# zsvKXti$^i%rI1-p3B{er8v-Jubbch_B_8L$m0m%9IHnDh?CUPXSvLuk>tu}N1aCAb%H|PVrZLg1;mk|>>gj(mp1cNI9 zFJAQ|Qb<9bS!r=T4!2$vQzr&-VU#nX0$Z)Cc*k(@G)fogjNL=Rwa%kW!G}vNMOJ^D zxN_E6i4#moe#j^prT_5rcwGS++Dw9%xN}B5yqv~J{u_O#Dso)>?AzPa?Dgk-NOYjy=Ls{-x)hzUw z88qXN;{1`Acj0{zk95%Egvt39#yxM;X&_B9WBV&nC(#swj#i>h^9F#snF$>-1?l3x*r_;oPraGF`lfnvlo>!}d!W%}*Nq96qDXi~~6LHwKFa|Hxi zm+-l*T=z5e&}8!Ve3hANFNvLc9_N+#;#sU@ zBfKHr)W`r^3lA(VDVfH*^&FiqThv%`0hFMhPT`m8`|(wrq9LbtpVUsT{Qt`P`nV{o zyzgsXm|+k{G{sT^Dx2~p!z_y!5eLTeG%| zZP%^cw#+uoQsgM0cvQrc7vFVt#>#15ZAwYqYs|e?z1!nR5EwI+bQEMqQkd1rSD%olfs>%QLYn(CW* zmNpArEb!5LYu{B*K1(xh6HSc(n5Vtky-EicxPgHnI)D#!0Hv zA?>Z3w6{WiD!54%n8H9USH7fVM~OXx_g}k~cH13FKSS0l=*ubFu z>48;1+Jz}1(UzUQ7vNsol>{n)+}>qJ$(Jxe!{N)AwzJiDIIf(!D(s03{wzU;w)!q# z#wB)dP@N1+T!X=Xh$~0^@>bfd=T?o}3hB)?4b(vM$XdAzUqRnC%NKn8s5OvhT2tyO zF=W)@`|z@S3H(8SV_@QBX+`A3dD2QlM50s||Ld|wMC7_I;jN<2Bq>btNpCgXAI2s;H zG?D35Q?9{(lMd9H!8I8<1QU|Mtrq2yK<61<7CcN8D5{|8hL>gsfGn2~1EEo)NP$W1 z1C<-CgT_5M56lC;)|rTH8LiX6(1Og8Vw_vA1VDk8a&UWZ1M~}|p>Mqy`1Cr=84B6m%8vpBEdaWYZI8mq# z^&WK=NN@ybyJlZ#qxF;2v6zZb9i8 z0{Z}li~T{?ZdfoHwC_LYe7Lvy6N0!g%33ty19SX%&_6#oQ$uDTE5pB1Cwznl6m#ad zO86;^1`q+x>Sc)65Q*=wDwl{}IF}K{L3F(P(+I1=R{%IJJ+=T}pb=a>z(=~}OUNZjY6bY>ofxCK`@e^k zNpYbb^>!v?Pp8DES=LOk5ZDyLRw7Dg0AGY*9cag?gb91PiR4gjS6%{GBYI=SIe28p zT*D7bh;BH6-Q^mlp`+oOY4SJpCONnJ=^?gOV$~`6YjxG+IdRSm$WIKuR_x(~>)Z);yEyE{Hl-zRY*R3?hkeqkiKJ|zHKA#Yr zbhvE@gN&F=dAHR!J`P-&^E55fVfK7sAo|&gG5&I`&b4rDntK7D=(yd$qPJ&*R5(xm zA+FhnTLl~ODHOX4MmhOyvZo`(b1`?WHZPbk%p#lu_-;CZ+PZP+!&Tj98O;8u*>>YEgU8EEq_g|k## z8$@V;hIWu%@RP?0hbT6Jdtxgxs~dYZl@Tk2NJ)^;^*C3ZEb!G0N433xjWq~c0CIGy zFT7sh(BYk4dvx_!7Us|*SKa^0UKc!b%ws@h=CLqE5iK8~Tl9LsY%n4%jV`mdGiJHo z-LF^HU+3-A!S_)AS6cExMEd?lq1aDCL<{EdMxzA5Y|DvG*%?@WuUIyCa{$%4q7s&8 zJtAs^7Q{$jIz~4>g!^zx4kw);9;AYaQe>V9LKN0;Qf`vl%c_zPnDH2l=|6(6^AG0} zJ2NtO5M8>3OYs%8+<8o{R;wVc>Zu)Ov&c^nwPP-XSPYxrpB5~uT7MtE4w$_FS=cb~ ze}^u_KZcd}A*qa+!1<2AILqK)3UEl6AIV0Z(V#md7vhU|1%$0mEiYn3K-ZDnk^iJz z1Hge3S^^XhJ$UCRVK)M~MNbFYfbK0UZS4cV35> zMNv8RKoH^3U=-{&DJzZg3ZuF%JQBig>e1J|Via2;@G`(e*n3{zX4JWUgol1-0Kzr- zg07*>taC3l43!6`5Da%cHktrFG`34y=&zymjj41jVCD)2xXhQ-^SsqODbp&YAb5hE3{qlD) zO#J*EUQy;-<;FBLOGxR|Xt0tTo$X)|RV6p(jlfPE*;s!fxiLReiPK$B%xi&d_3 z3=29cI(ENX6?IVMw;`RRDLayTNc;E(AF5ybzC-R(G6s2B3Cr7eidn_<8ew_u9~SHZXoJJtC~s=B3Beo39R#fyx@CIxooXEC#Ce`^h@b`W zg3a6W&q107&7p39JA*%-;HfC@kNfk$X@6EpN!Hge_4|sO7VOtRAN||aT z#&m{Ju(4(taUseN50VcC)2$SX2)VZyB^!20I&FgVC_qsqu4EtDqOK7{Mf{T%L9vCV z=AwL|#vBY%Ffg(>^?w)`7xaG+2ur5m97c!@wK+HV>!pduNaHL{N--V6X~fLQ)tIyD z0Sz$JbQ?BHG4cfg%3J6dx2)iBBhv$F5w;ahSeira2W0c7M#Qa@k2ai^?+~ojnhY|1 z%`nB{KSU)IVc}{rtms@u4E-EL4+hhJSHsxNT$3>@Mv6Eclrv(n<4g7_3FCHPtffF> zoSoWyW`J&>eSpSD_3KED5ds z3emJtcn%i>M7jV_)2*jAiID(Wi%@7_Xs7xPHi`DkMA7vl;0H%?LS2#w&JYjuGf{?K zlRJ}672LFEM3Mmg5Z$1LH+r6evn6-}UacMc6n+T$V;kcpCG4+XT)CiRHHpt7S~&qo z!QwEw`h$~*h@IU!sbGdqw*laF!@Cu@0WyIt5^4=*yvBKd=vu6nVAtKyr@nq#eR3;R zBX^<>(lU*S?k$q)JJU$xZ8Imjpm`X8Q}jOLtP{_GTUl@fGz;0BB4_a!lrKCjgjvpbtg(r>+b695UDNR_jd5^I8;Lrs1BGC+V#B3n< zZNh(o1sF{PilBrom_S8B3Jdbqc;EJxEmO>!qRn!ksJ5_cia9T~V3HnEQ)W_I@6OQW zw#i3|Gj!^Ag@Gw%*U-{*J!w@%`U-;8M!i~7((Ee(GcciN(}bWBYA)iw#VtkkTjrTJ zO`+O-gF@pnI{%Zs3Td8nNT-_=3&JQ^7pRL^LQU}H*5nKg zW?3^BANN}-uC`R%Yr)=!Ga71w{5=j<;LZy|QTX4V-ddXNiyc>)rA&{^lrLlgd_j2^ zLQnk0)p-Fia;&~F7t28bRe87SBlJ@J@ezUgFj}xTCdTDggUE4E!2` zMc17`!#zO5VZRRK`Yu7f-X`K_U8JK4G!!9QiStG=Tj9J-^MMAA3HdlMeuGMY=Br;q z23zKg+~(=%MN#2EM#G>UIY0~_h)E#%iG`|bHWWM0XCdAujHH_pgOd2CcOD=~WLC*m zF|09C8USN8@JVtN2AV;9bO_HW{SOe9-eZdoK1x{q1yl)?&4kF&e^i36n@32E#eTi( z4j5&VEq8ur3Gp?qcRzsG;m|ki!`=Ae8zIXRx&`rgO|Ks8c}f~7R^J<13H39oRNd(R zlZ3)L*B}TZiGA@g{gm+KFXR#^#0X6F&BqKu9sxfoggizm+0pQQex%&B08tUf?WjLf z53PhK@sS-ZgU@^`TA>RH(Y@pWpf+rX5i6(&3?~VY62uW?5wLp~&6@2Nv^ZF^zz<1% zzTHprqaO7)BiL0Zh>vYftBrJTJA`IA-OTeK0kd0h=ROc5;idQjk3I3d9ryidKlw1K z67#ggRxt5;*Ho?hAq|JO54#c+PiJu)*fhYyr zd&s1JJ9)p%N}w2D)?_P~^r-tC{{n=bi@=ISp*yeB{JQ1dYEpdz#n|9-0Av*b0)zj$ zAZEmWUihNYq`hly$LYGw0$?0TGM#xiOo$DJV~6&h+OK|x-GUnfJY{#|d3&nG|stI93 zGpS_&0)nq_NkO#() zcjC@X2aQ^jj+?tC9h8!s-YusGylSkR-YNqflv@dVnD2aq$)v5dNvn3bUj2Ng___v7 zSGop+i8~(}lDb9!ixkrlquZ#M>E`9S1dpcScsJ`=HH5k_JsxbWO7f9k6&)j~D}x z$b}Tm9;qvJz?T=mJ06}xPl1J&H5#Dnn}U=_n!m#L$c>{3%>{&*h$skE$t-{KNCqsv zGy}m1m#-6;5iZWq1osmo_O1k}cQ599zW9kqCMyEE#^f6J3@=VQMG6V%%mz=OCPKqL z8<7#MlZ`bQQE+aWt`BD5_owPi2u;bJr1{~e@76D;7d5%y(I%r&lyQ0ogiugc%0))pO0I;9?mb_$82 z<-POjHcYUf3TjzXU=*xg2sDJUpa?ilAbZUxCM9;ANu0DvkgtB1tI)tJ_sAiC>ApUJLPHn-1xZ>5>55xgYiP4%>$t5iJe0NcfwHb+asVnltBy-4Lzz!zaub2 zo18<^?=_<=HYI)xNxw%~WYV7>V?ng;@Q7&E#e$F9fs#t>7>lqhh-`FSw>=&&XwGJ4 z=urmtS9{9AJfeE6V=QWINM$s%@glYTh?mx&1t8HxjNwW-0W~l8C18$`5hX{khc`V- zPDBN-;QfQx-B#U(5fW}%LmEaI*rfq{>_&*90hA}|HVqQvT(BE=K|nsRagYQ$vaC9( z5);su69ic;u0hms=k5?JB{831_Gmu35>Nv+Xeih-+1mmBqP$s@r%Ck?YJy?NlK?oo zNN)gY1CU?HLqqvL;K1REN*!ydNa__MLy;H$j)0_Ml5mH$&|=1pvQ&&g5n>yojS5ct z2|dOdx%5CtAQPioOX1Wd#eAb7E!qGC2+VVuB4F4*h_U=qJclj?bl8wTD4ai&i^=eR z9MJrcSJ(B-8$n})zwIzc{(YK^TGMcd09uX^K(yds$ArWKW=o|{A)SLSOa)IW7xC-Y1 zjTAJzvJ1L=L*HsANzCL$E{0 zquRl3&a+T@s3{QvITa_|xq#&ZZZg!*P&s^1YJ|_<1YY`Qm^9J8xPA`1p20>@cJ*Onl{p#M@wV7)^=IMUIru0y*=wcYLvko*s7i%tl;I>GGN z_R}8!xlTKuAnkCT35~$|%tgl_k;RY`-P5*{XY5sSgN3<B(yBvx*ql_3Ax||ncsR5vdf&gpjdG#z=f64!SvbDR#o6K<8BUd4mvj6CGMx6 zRnMbZDqc+p&({vR+He|&S+Txq+FWF+KYj)K|4*n) zh#LsFbtn^FHI0U_mG0d7VmfvedOs91;pJ1Sl^`Iiuo z5k2fXHjHFlJr4EEV0dW05j!+(cD%agZtw;^F%_Apf}~!SptzPbFoy9Z*W?ZW+r{(f zMxCUqO+&0@?NLhVtEN0qVxXGt2MLJtszZ+ocBRoI;2?u(i~i7%0N8t`*4MvQ-~P3p*QV*AAg?0cUq{rL%QqP(v6D8i_bcVPs5G6xu9F z*_*%rJXnm6`2%%lgh8;ve8^t+JNA~4f<8Z zLzCL%1GeH{&9aQpC7r@yf+R**5JI)Ioi1!J8c9F?R;^a@yn6(yjA{#jI1YpN9NhL1 zo|be9cSzr=2N!1tep)xQ^@Vv44rOoEslJA4QAa{CILbgtnzP!r1zoWM4=YSPX8MD{ zrJqOmWyX#8@XfffTo6VyZ+#m~E{;nI+KOKbkHmGepwPt4_Z+oQ1zJvW4I&aMj2+Fb zrm>=&dMS)&->!)%OI(ssLk!_41EmJmI5km21F{>|udwH`}M%X@+pqPXg0Y zvjcSmO2H~CGO*~@g&+~^G)U*P zO-Q)L>97wO1x<%N9Bv3~5$rJNIRok#{Bb(61KS@OD#lT_jn)PXb8_=r>S!bju`jl1 z*2r+?Ev%6b3ES5-T1l8F!+5XMag0iqZo}Q%szqyn5fFuBZzStjP_VM&5bpRg+;ko= zE+Pd_Qy)L65O%}6wiKuKZ;fF zg=}t~BFu7TfsKVJnNFYu3WN6qaaD+Qse?$%;6DnzC*KKDr@2Un?jPIoyKTzt-qQg? zes@ZKcm4pm9q}hgd(`zu2ua@G0NGuo3XaG=7{vg0qFdF?#J(eBiE`-{rfb=kqvg}@ zGUr~!xsSd<$S1hn{uiKXLz&ofjo5X~2rf+suJ0zig@adP)KM)q1rDZ11jqshm%jt6 zU>3DPkZ}roh6YRGKqGO*fG~~-&Ws1JYg~Q!&eaK^Q)B8fPTN#tx{P59$n{~OdK&P|*PK|^{C<=1 z{YK;ahaeBVBM6wBdLH8#R*;I}xFT>0Jc+$ya&1*VORA*eO%Rr9qExg8aTY}Dkfmns zQcbh7?@OOL19Jnf7roY3;A62xHtiz}w53w?sw&MeY!sSYp^~ zw4fku!ly1jBCwU5RF76LHdFkW)t~@4iPF5kmJW%umeFVi97jSobc|@ z)hUD72ca~u(=c9ISSjE=?PwsQVn@TLMfmAL@e~hmLxPmhvVGIEKH;2zsoWusiSTiI zMVf0_F)(X^!Z`*CZeQ;-M}G>(91SM{H+NWd3`HcVo{V}5 zS!4t*1n0${Xvd$ebl*@D{gT;Hb0B8Xz9j8xy!+PqpJ z{!yVfqDfqE>9GwFlJAxv_-!y-@{eqlD|@z(@E$I#*h0`{=$0^a>6K|2ME{gNGOdS& zOpDe(tVeltGtpknZ4pG8O@g$bkFF-$Oyk2sh$>0T^;48a7OoH>xv>Hf1_!R{WlAZQ<8j!lvPuG z$(uo+P(Nu`pt&IUGdGGltCR>EG^q*K6}=esJ;3-<8b-M7%U?qx2&xYhAT{a;ASjS+ z8yW^$hhJzoOo&3m?`s04GTOFjjf0={_1A(rB?XLN1c*IYIgJUo_7&+f-4#}>1J{di zI{dV0)&~Xs6V-oEU(k7>wfY?D!u$bTr76TV5XE$Dp-_S0X} z(7n*py1viA;Q#(>R90of1{BtFXQi5mSH-l4nuGp0=rf^#kAtJ&C|iBGn>1R!jhvd( zl=%*Sh5Ndjrl{{q*B}>_<60ycHtrB?>%Pzb^p!Xhpd3O=f*tUiuZXz5l8k?25`o7roD`*#r=@*O5_8YUL)z?7nauMsl_dlKS2 zQu!&yG8VzPZ6h`=MwhS&D_l&`t2>3D=D8pY)gCT(G+>5?p?0s)xZS=zr@Pp(Z*7b# zq1e$}WcH^A*Xms-#8U$8+v3U^ZOVLL{A~wmI9wDyWxQ$7Ng06_A}ksulh`gH3Zum z@Nmx2Sk7Z8isO*Mam3&_W^kM^I8GVhp&+|$q~k!FV_&2zsnqjoNxj!|Nb*cBYr?b@ zUrQ5*S;N2qHYuo|@47F`gVPUTaqHD@3OxQqH;j4@NS@-BKt1dA9FaUR zo09tE9#PL?+U$AmOf;9~PV{-J*(P>ak#c3|30qo{+&?OX_`Z z0SiANd2YX=+7s$o`%Kq9QQNV9U7Oc)O7dWRz?=H#)=sI%yq?oP?eJ;!EKLl+^edOe zDBFKJ$`I-gWgKWU;XsqlLpL}ia#zV#TlLi8i9X^a!_*o3sb(tlH00`nNuyj-kq2pE zQtlFa^75y?i~>BI8rgmp(>!iRG0a_mC?c=gCC$~2A8E(^bpuW+=?VYfJ)5L!kgLIy zj#M|3EYWM$+qMmb+KVzffJ$x9>k^vTFZU67`kvV!FjsnBGZnIv0{d9^Qd(0%3{oCx zn`CIE3hbj?GsFG67yZlA`WN-D``7?N8;6us@hL(|(`(AwlU* zaF5ys7G}Yu+g(%qizIE#>RZcWi4E|SdjV9@A)!Raa36N44g^7ow=Z!&hFpi}Gf z&)R3ZhS;aO_o5m3NWruSy~@-(><;&qD~jWRQLPA-F(#_-(o+h3LR<-IxIldc27^Ul z@Zp|7_%Cn)JO@VDT2|FJ8}ZLRC($Qtum{L&deH#& zUAeVy0iRi`cY3usNqsHUMS)+mfwdz~2W`C~4Tk&Pl~F<0Y@8_|r^E^e@7z3ube5qD zLBJXTVd!Minti^$9PkQKb=O#$)i(7+yR!pN%bMH4ig$JZT2ve1A)$0V&Nn@O528_Q zzxTCfB-bpw4rP+;wrV44Ag=){qh7ij_%2aGAaI3ZhSgWLk=mhV$yEelYvXnpli(l% zV$uxE?JeuI>NlelLgBPIf(3wyF_%fX82S&>y9FK?_q7Yr0NR8)0eOyS|4cv~v<`UV z$`B(-b}y?oCaKk-<}gYCIpa9AvEwt*MxWus|MzEQm==Pa1T3M{bVNO*J_O)3#)et| z9L(W6S<&7WZ6vwLQZ?vK*=9Q_X`5h(o zGrY*Gq;+qScZR}jQh3cSx*Ps|9hLz7hSCbeF|9!`=tV=p0cs7QZ~nzyp}javfmw)9 z1HpbQYXU?8%($8mtcx}!{c2!3u}FiF1|h{DS#8DX!!RQ-pnrji!>JY!l3&m;%#qry zlL0x;4>lzOJe*d1UTJJN?>}es-x=W_Vzw`HdF^iOw-rJA^R7$wIj$e=kGtuH3iapy z|6YImpGd&35>Qw^>NOjciDje>Hx(W4uqiJE{L_Q#U22-Bi}Z>SNtI+{8Bp>>Q&b4R zk+h0zLHZWsY7?{s9zfa0z8L*m67)wA3ODRuHKE@6&$X`#RgS5Z53Wr_HO1f;03eZ& z49~_@QfqYFv;ZS8lZ+A}MnLtQC--*G(nKhaLM?gKJ)|D)v&oMFi6nWcT&GNj{@Jom z1YH4={}w7s)w%32ogm+i=b-609GIcwy!ZkF@l%489Uv z@oTk2?bm)3V90wEv6%(RLA%1}9xn(Y3lwkvv%Z}CTevTY{==7h$^x0L@9j4vk5=Et zo|2>epIu%)AN#|*0+7>KojyDfKa_N92>J@quun#L%{-FEHwtAX_e~-s3u1jBN*PS7 zpp3U62N3B6_z%9^%|`#jM*jhWeOX?PeNpbh<&THvDA6^$XB}ZIGZ7~BChV4M zS{R1?LJXWszM-7}9@=dCj&`71I6P!!*y^k70zm<2rr`vU(sCByGxjjfnQupj*3S?hYVOD476gGN_DZ$+?oAN{PbPmX zq^6KacldrwBPv)iER!g%kOck%s~9m2&G&7)7_rsgFNpTEt3a(;o=d16rkA=@oE`zP zh^CUxoH$lFFfRxwDP>3vdfObg-bC0oXKBzc{!YaT(-|aIKG+a z_16J^ODD3%2JH*oHmv<1uuL#9Y2dTaOojkdj=ne}01ZUYm-1x*=~d`}Uh+3|=l|NC z;VJ`GY4G2A*`7!9MaRa4~87;0gm;lj=MC z5zSe#=vUd^qbIw4oe3*{%IgQ^#mPyrk;QU{GW&#u3Vv?7W3zj(J8?R z#HP>?ywD!bfhk2RB1UDwGY~1aB1(HSFd*9Mb9%PAZ&SbW-mR|x5zleBvH4a!fxglf z8`6zXNKr4f0}4mNI=Em`l1oLYV0w(dvr7P=f!biO@E2)6N$QvXqDOTf(y1eKkfd4> z>)~1&JA8EdAY8=$zjgs5k1+aAcM%k@NdES&o^_##rFFsV(!xNS#6l02zcBkp>*E0rz=`e$M3-aQ7H!>c{DSALG%C_h;P7_yERZ7$3;^AjYp^d@$of7>{LqDC1W% zehuTp7$44f9OKtA9?y6JWj_#KQ-VEj(T?_xZe@w*vMVcgF6M8;DYpTu|?j4xpPF~%1%{y5`LFusWKCmDZ=@x_ck&G;`FU&8n^j4x$; z8RO3~{v6}EjOQ`#V%*JmKI6+7f1dFd7=MxRe`9ckNv*@uQ3%WBfSdCm27;_$kK!!T4#$&oJ&|+|T$~##P48G5#&%=NbQw@m9va zXZ#1oFED%9;&O zl31R~pC{mwclN2MMsOEb&2Eq!2@o6H5mO3V!>XJ3p z@Jhg&L82Vk-kRJ2pRXo9CyV~NWlAvIyKEKC~y&_4lRTmzA0BP_}4^|zEQ7qL?}NBbu{SuDdZst z+P=i@4r!+cK6cF^o-`?F5^dY`K^Xs-1mFSgBDzV6eTZDFJt4k-2T(QKKom=k!pH^G zzXoA=On!IZ@f9*3jOj0p>LCC@(K#^hv3qaL{9 z!XFY)@9#g*1brGuqfYH7^rBrA@LSmrz6m}+tTY%)9>;$9S42|-=$cd^zl*sRU*F+Os z!b)C=O(WfVQt|86?m*DR9!-n$V+ixh_=9FZi?~tXF=4;Kp`N+aaTdjZR}hEr#y^yA z%NJg$Z15ipOC<>TVTlU78!Tps{0WU~Osc%w=<4UV+vx5JI=UU|_j2oK$3-}N&`G{~ zf*?^Eh{`5qX-zYA3GnCcwEAQ9;7NDGy*44(*`1*^a{*H-I|@#IMVbYhQI4HrDXRoQ zZuK^he66b>0 zW8edT{;v@H3UK{Wwvm?r&_Erq(m-d(;seDgq;wg0v(Sf!X+?-PGO$7nM_8$};MxX9 z3%to+SCspI6Uf4>PSp-k?=lR-(6psdVzVr3GRYPz5z7-dPZ&i^u~>Z%S7`cN5IUz> zDyCRe=*pz^=4DzcvMc~4A$#ZIcV}4&PU;}xXe2`cf0_id;@Q9_Y5;o*YaX_Y-1>=b zat3tOVCOx5E_*0zHXdx$!T%hD;163Wj+iQrnS?$X6__`di9JMU#Jv*(LDxMKF9+Qw zUro@zQJUBd{Z8Lk!7tyHHWW&}H-lexOB;?!F!>6BiX%`4uQ8G45?o7Sdz!H9;Go8j zuY~K6h2#)CPd^@%q+74FCqSpD6JN<6?0nyo!Lp-Xu)4xPhiZ}!lpP_@L@~v)bx^cw zOFHEn5xnOf)lYZ}A`DQi8+14-JMi?-u3TB%h2k&__6FNQa+QgfO+ zvGC>G$cb;gyxefhbyD5L1>}q6MX+RUfiISjR>PXza234EI8lOCTVZG688@k5`PM~g zYf@v{Wh^{XW7-XFE9EaaQqIts(UqAkC!0XuI0Cm-t~cDZDCnMCxG1nPxVmX+V0Fv! z)p)l06J0xWr6l(Vq21wI-E@BSkxQ$aDpxlhTYaQy^y zNZAaKJPJ!&0X#U2%`Hli!4i4*EYyMTsj14(#sFb@hs!wgHUVPh89 zuUT$1P9~;dk8a=0cgxj7q?;`49pIx2dJg#^J%@#raM2aIN3&?k;B&Xy@iE-+{;dRE z0f>Nf4Ln_5V?S#f@-kZRIcWv{}SmExBmtS zK6-C|6KMjB%~3>YQug@ALc`xRL}^gAj&C7{zJqg{$^G{Ded=zdUa1+sV|f-|VD0&GeLgJ~{V~uiK>AqWWt=j~=NB;`ETv}o8{XGaW`0^t)*;fPVXJ{1= zYBYH9jsSE&uh|XO)HTpM6CA2;u(NP~VuuN_tWSQY94;NOtbUMQQcvw7NET$69`->e%6mgsF1b;RKR4g8HQf=C7YcUUty z2a~MJkyPp!tn)0g`nJ9u!i&g05axx0=@!Ndh#M(8$p{YT`kl9_zbN2=S!k_yk4~Oc z2z4CyV$Ha}>mzf zG&wtyGH<4m3qvKR$l143CY%Oij;3iPAC|MTDf4P7nH(xPN6x;NG9yLF8?=(sFqy-NS6$ z=a6?&(Df8}V^50*kIK$tigN-zIi}3UmPFxH83A6LcFKcx!_(j|%GpcA&PpyjLZ*9) zCm(RnCf~8$)8TyyyCWsV`C@)TnbRdZQN^?Lrjd*$4`A^Azv%p*>%ev|b3U(latXo5 zdtjyNj?$jmS>{CStm(2dlCmR}S*DcirS6379xTH)`HnK@y^3d!?7W(uZc}FIw|lOZ zXPLHdE|O9r^6=)8GUwg0CsFa-MI{oI?5E{f#_b;LfnAibOUYgWdp*UosLYuudtww% z8fC}m;IZX)*?F_#oI<_5IXJ_*9Xl+=oY!CHSUS?|Uk|G?|6@V_IZ3V>Jw(oa%G+tm zEr%JCxnv(o;9xnImO94B&M~wheFInZIj>J`caG7{D~g|*W#VLKoK|K>pE6Hxcfu6~d{-#WCGblTaz}yaPoj)`Cyd@a5Fg=v34Tx% z=hG0gB(f)j=l7~a#xxl zP|25=VkNx$<@M$Do%M~Bglr*P7%_+6q!lFHqZ`pgkEMGbf)@5~Z_-5(K)eK%%tHky zulP-MkJjH*v}+HOVbN>QBxo7*?x{DSa){3d-;h}dyPG85kkKtc?+CA~kkyha4roy} zg4RL#Rlfx}L(~j)Ovp0&lE5lVktJ!YH`Q@Qawlnex+7<0&diE8t-s^FDB#Fr<@oe7 zV@phqGwW|ehBe{K4QKdu=7f2V%*mRG9CLhvfzxlU{B)>_0Y&tJW(6rZ?_7bGd8{| zsrR;3>0A-McO^BotxAu)mnHS~wyH>1r0*Hs#$QS5O>I>%$bLmquWhR`;+t1euWGA; zc2#>7{CG!fRM4-D#S#?Fr)WNx4BxjX6P38FMg2)|@>X3#G!Y&L*^1 zB&o{^yE>X&#=@>2o7{SJfznWTBF0eI8Dnrq_-0CKrpVACL+4IB+}L!UepgC`ot41T zd^hXbS)}CBA8w>Uji6^qI&Wu^`x+(NSiGy?_+5Mbd;JYNy(c2Poe^#W2Fzy8#&Sd{ z=b9>8uy$aL%$c8~v=^QUt}Og6Fsc2hwQ{dP=1L9q zdo%E}?FDmteS5RFDLCII4|$}WNw7w@$X!CPrGGTmD3@>jn4X zWzRm6(_dPU_xPeGpL+V2OHyw7h4ko)&o9h>Z0R#&#@;H8k`hDjYae+17Su8tl_jmb z;>myYWB7GxH;lN^X&ZI($oZoqEf39}n)UG9S@+Gz{I{3-OOt1&J2LLQ|A7bZzTP9P zm^bI1>?zZy*;6O|KWzU$>0keU_3YMv_2Bl~xsEuj zM#gd9clG>*^5=-Zdw=FnEATta z_5W|bYefIsuoreBy^2(TvI zxlxin8-@KeZ5o}+Bq~XNKexZNC))r2)$?%K-@c0eA^q%M^v~x0i_CvM`Ff1$%0E5E zc;%k~W4`iF-!lhS=Kp{H%c9fuGZ`ZyBJ_r!)jYx!sS6GkyN347Pv`ZgvsGl;VMQJm zBz%V?YIn#J&o6x9S=ZuaOA~KSN*XDOz*!*vRt{Qz2yhYU`6F5q**Ge}l|k|M9X?kA z%g`lCEAana>t4eDy77Ococ+JX{}JzRqVE}B(f{@)`ah)w{~K!|JJRW@|4cbAgO8^h OZ227jC)74e(*FUhoh4xa literal 34858 zcmW(+XH=70(|uAP0Yd1ZL+HKt-UEpADn0ZLLJ~lvNeuzSiv5aMuY#cTD7`5vO)+#t z)I^Ga7?Ae7_xt9@tT}7`&RVn2?7f}+l>s{d0zd&deh~bN{;&A|5c@B~fIMXTtW9TA z33*rINpoKLFbfM%NnMiF}Z26XCkpj$x+y_*yt`8F)AuDbtA`2POw4#tZ0g6Zdw`^pOm?djgN$Zgk)@Z zauOkSBZ(N9`a(V}!3;nK)uk*XCmXM)g_%+CY?y>ZLD0^d{LQT`#S%o*r!%VjJbXak z{Ix*KHcKFxi#4+fB-6-msc=B+=*>{^-7a97FZoRFVC4+O779|tin@u2qS<32jKKya zFD?pH1TR+{7vJeBA1|L+R1njyY6Ag8hd&x^PHzolX_K7;+$3iOaTZwx{cxFF40+qL z;!eC8B64p&m?^i=?&sR&GyoaG?d?JUiVq*t{*0UP+w5rr^g1i*dJR<&IAePo5j=aQ zEx>ICB&mE(ko!*0>_Ez*4+7kxf@D6nXQ~{P(lyVlUqY%u_vbB!N7=sfP_Me8`QWoIPOup)8~&)rMk3$lPrk${me?hhJ)G{nx)u zI9$p@4@u>I+yzT|$lrNF$$a1m6@`XK{nE5)##FZGc=9e@k(7{T@IG97Z6D1Ztxcak z&WP1G>V)zAOxIy?A!_d(*wHc~VbgCjo{%~%Otfa%&MHP%dFn-aG6f!MWYOFBj8s=H z3;P>IS$|4D>uim5)u#!A6;b;k!Tv#@^GFvGDF49t^81L~pT#gfR?po67}Li~zLNy&-H#I!{5^fy3wIzEg$CoVZN4RDwe83g z*72U079*%(fI&=Rn$IjwAF8LS`$DHn9XK0D2@r~*%v%S6KmgzmoC5p~0AIi{37_cW z8=n#uAPuy-V*%GBAHaE`3vdE3?;Yo}0sA@&ulRdU17ZNG)ldY$v;a(iq~^rBgy{IF zNdJhb@UV5Ogy7_h8i4v2Kn)1WRRN>{0bq|0-~qVko$f(wUfvox60B|y+%A9xYwU*| zp}^Xn@=6CD2syc5iaSi_Aork-@-|9`H_E!WO_vC6rh8wAr`jZr>#6LJ%eCs{anjY> zeL+JAMay3zm9!e(eFQ~<8xiLR4|z=BC@?QdxRb~Z&hs{4J2VbA$ZMN^ehA5~_Mm_O z|5G%-QJwW6R@o%;)vi9jYk>NCI!SnZkwpIdIFE3kBActZg7Mfs8vi~%PN52te@i{^ zPCuj7O>RWs5|OoC_KXa$O(+}(H>YdO|3hV&|G=#5eTEkPO6hE&3%4fneU~qT&zB<3 zQs+4#-r#d$5+Q?<{HYW!A1|C?M&7SJL-#*7U^YtHi$C*SlAzya!9H<@$Xu@(Z(2%&H~x8Iw!gdGPm88)Eo!M-sObF+~OZFw_upr2J>mZu9TFbKW_ zM7k6R(g~*Fafb?dHvvIB9odee(^IkXOe`a=T#Td;&DB7Z-gxRh zr}^X5XP+)DFX+M1u<4of{(P@it$6~zc=u^ul6$BS=rS(GTGx$8Ya91;A9GSi2XBl| zHk|X6;puviS?9|l7lzLP~|S;1ThZ- z51=E&pFw4n>r8M`eYSFsCUex$70_Za+TJL*#U`aOfLl?(>kzC*|3LJxF^?ok~cX`wGa~- z;4xK*M%~PK^RFNuRfGyL{j62^rfZhZn?2s3ocxy*n4bz zR3VxjB(4h$Pg`f9!11p)R9j>BeHlDy2)Ox)eHHxz?(3>lw$0uAAjjWTsoA> z%}qWqA+^dFQz*7MON!4IhTA@oI8$%-mE-(&@^=-96c9;q*%3vjBEfOS_$+ytya2M% z2ognah%8412S^gEvtG3|F>kl^E5cj{iNu<0*P5KmovAhEexKNT?_ih4z<}_xtCy)r zS)I-K(^Z#3)7#lyV&HRSj2*RSsOs_d7pJ8YNWT&`EL+5fZx+|L?2AyZaw>_Re&Ji> z;E1VpN7svlr-}QV~jaBjKs&$bdDA(?SgevFwrJG^tE6%;e@5j?>}5eC92g ztHsC4;VT!)k0*a1mqe5O#;oG7DXuY!!FU2syP(D-{efKSq%Ld&vf$A**dDXLx_)S9 zv$INPB#BQTrP^bAp+@EeinHQu>#tEVV~k!CLLlvYK(SX}?K3L++tO;nP1xkTWwhQ$ zT<|GoR{J@0m9()FJg?MyutGSg4Xm{ZakV0ai-K#cZEWK}`=(W~2215E_23%3mLI`O zC1Wt+b=iEVm4g#~j)G%yc4r(-N~nUciL+%0+0D`@;U(SpNt1L6+R)&S4e`{-OM@G% zd_K8-Iy|dlM9N*%>LIesw};cJxew7PxwKE*Z0EYh?P9@ws5YA@g_?x^u)h9}aWBE) z`wX92p2PS2{}4h|^>_M&Z*n_we_!&m`lBo$Pen|lsh&_iuw%HLHLs?GrtI+by?ivU zAn+rZiu_R7J0-027Iww1lWRtv!ZoGk@m+;$h&+ozs3|ShC3*Emd&)<8wF<)tG%D{CiGn1D2=q~W5|zEss{*7YRe1^0XB*P;G59Ci zY8oEp2+M1IJy(i_x&}QHu9Os6v-WzNFC^bGOgkJRPvsqdO(Y+Xx8%XT#46jl&jQbG zru%Hih45GR#0zuH*7oI-KN19~R1Osv(fEmC;_mOemF|C1JZUp;J1Ue!Qz4V2x50a3 zdLKRpTq$8b@Zp{jgzqcDnyd0<&)4*ZCrXOS*KdZP;w14-iFnNL#weQpQjb!_D!ie- zHygG=k?PowVm+iBB;4Bnr5H-lkabP}OiQSel{)oPaAxQzLQs=-1$ytY)3?FhxUWjT zy4CA(`fHTt!V!EQhfT$47c&GM-ooQ zGPpft5Assb#DzEqPIl>rqDsv30zRMD8zX>5uru}BkO|0Ik4$qV?_GBe8n+o~S6*jT zcM7V>f4rB4`4{Qf2}z(fK_n*IuX&3~cu}Ez%JvIp5SJppInC}9s|NPEBolL&!_-E4 z#sNzA7Zpa|Jg}C=m+aI^73Er#$pY1BJu9rG+Kx=D6uBMByH;8rFGJFs`ICQJi&F{~Lg1MVG%$S&aLz zYZJ%u{j^YRW6mH#jLP-MsByr^K|K*uXILDE5p0jE!ea;y&ws03td?-1^2O%M{BWl7 zRK;40R^}!PxKYh-0G{?_1EEa)5ncR=kVghlj?Ce{ zY9llM#&QiZtc%NIaifL`F`8Y2vB5+R?wjIm5)MQ7l5{V3sc5 zM--mP1&YYTlAzOT4?)cL5i_pUaB|+@sO$pODV98Et82O5W^4F?96de~eyMdy##rIy zv+(t$l}<(K=3TWmS#>R2)g|=$Yt<*R2YB`NXLOB-0%1A^iwuz0#IkUJ;SE(-1d{qf z+bY5e5xhcrU}IVR3PM{VKpcj0+uWYRhj?Yx)voZ>D}gauCmP^g zx=-`DSwNT^5Fkg;3o8a5s6wq;1LVRmJg}_$NA3uYFo*ZBH3#7I-lg%c&+sRya5IJX zc&y+9+55RZBQQz>-V(x~N8)pluk`RGDph!iZ4m6vTH)fp;FQS-ML7$64+2A>!Z3}( zLrz3jD31z)EbWX-Md$;?#FzsN|}H3Kt*w!C7;LXTh{B_2dGk zEX>{AwdKSbVw3LnEBx<*2lxJ2>m0k6=#%$1(55*SxRnaAWQ*XXiWr$&4IF{1d_4w{ zs;d>N74)_N7|ne(C~7nAU+tv&t#%-n`$>4S<*tJdH__{QY{4%1MLE3D zsQvuR+aX++LL5fT_Q(jKz69s*+ym605(ZSDi`JP3>9WAoFuGylW1Y8X@_FXtJS}f@ zIquH(8cUuga*-x|p{nGTq}rms>%KT}E+nRyk)UU)p7O5jqq?JuW(N}Sr>z@O$p;4S zTvSfga;KoYw{(I~q2+Gy05BN&Rj)9Pe4_%8I$`cSayX**<|r@~rTxHeRA|VUXU_Tp z^byz=d?x(t1|}Zn8TV8`9yK74$d22mg}s>FBwEJ_TqK0<@J6K5C5w~@bp`4(1U>8= zSLiy_Wl@FAN1w>NKZ43-lV%Van~|U}gSfl;Wfg6`WqyBvw7GVgP$?y7(iarKU2{Gt z0QI*O!Zrv8@>)L~R@*OL4Dc#^vX&oY+1_?*Y{>@sT%WDfsEY2M|9qZl;HdmEx@B{F z==^h^=r=zm55OjI{euECniabRE^#fFjw?7$7#ihFJ@$#UY3sz)nAudZzFG_{7OKQ1 z>jg+z#{`0bReb3z*Vu}*H`BIPt_NOuoI1DnWR*`$?nA}SI5N0zP zsf)yeZKDsV(>&Ti9=Kqj25P;*oPteP1#FH6xW0t5{SdW};vLvMh2MJE z->~CcOmQ5_5BQO{#NS}sZCJS_xdujhwC?Q~!wIM)I6gF?>s9Wl3nbyw&RhjuW_gg_ zVUkui3if~3hlI$aXhL2L)hjKTNlXooWIIg7MntBuv}oaB$H__9)X3-~*2s)bk;sTN z?1*}(&=Ec%AvwHGSrkHwi;SHYjZ7_cG>^e2Ma-H5nzwZc@$#6PGKn;NisM`w_pzp} zv(*UKdRipmbaS{9~}=WGQFjIpgYi3t(wNeTq>mJ|i-qKK`x zv)iV+mcCSrA~9t>GAbwSreY2uH8za6oD?@@%uRh z!A)H!I&yZ@I{Q^THYr(6Bx;W;1z25+e;b;VIhe>wTwlgC68(#!?E6%)vIdgalo(Ol zmzqC9$BW0fx2=a;H^L%0ix@tGETKf5BnqeAQ+2c$8Zp~e!L3?tz2GcD-E7jUuiq} zP4#R9OYNg_tQ@wt4cubFI@@srzWIA6)VwwX**Y83(6=NUSNZ4F+ zB@%jZFtv^5Q-4%%N1A5D=(k{vzg%q*%KY>vjUBj%9Q)Bjo_MA{nW+8tigwAJl!}-V zk(z6RCfCS5osHPk4!*bA99PmCX`^I(gk+zZLSu1wSYma8?6ah^ z2?&+QjmrI)!9aLaEHcyfg%|(og&X<7u4A`!gAxtBgnt~&rYGOyVv{3BTx`jZ3t%eB zg+un0ux41|;zeE>F~MeE{9B|xd)mTSlA8HI6IMVsve;)#>q%Ch2A<^D#42q$^g!MT zaVFe7^%<5&1R z=qfe5M`^>ZpO`ohF|(5ngj^i!HTM_=?w@bT>kX@h3PO`p9lB#xVfAv3^WI6!2v!pQ zvN7J~rvqCDD&pH@#jvW38`1IOROB+Rs=nHL{dqAbVJWG{&)oD%Of-4DCR|lpIE;0J zEUBxBi9U8uFO1&UKsks+g`U!U7D~>L(+sMH=*F?vjdRr9Wal@oHSNaOjk}g~b=0Pm z-iY zzqNl5I_;wMEH>?=%SND~fW;Y%*w z29Hd&Q(c>^&U4w5?=b0LlQoBh3Hj)5hzW);j%98<4Kg<-?ud6n%Wa^0A6e2e8uZ z9xo^VQa52~ z?-fbn*Q?T5TN9CmEz$(_^mjz~gh+ZvE>;|5#I$C29lq7TG=(nZ>~~!g&VE5qk&21# z>bvJMs81eSX)s~7@UWW(H`$Fv*Z9Y85m;|ZNa1&a@C#yDezI=viFebupGCx1b|y5R zwDIJWnt2SIo=#wL(S3(qsz`f<>aKh6Y^^3+pOv8XvcAL%dpr$y*e5^j`!NXzObEgL zPaO!&(L6g!=eRC~C^Tp+_5k}E(byNuKzD2HRkucP`V+%BELLXpbS@P6uZ-}Eaj8@< z_pibGeEzcfqM8PROX9j-)%Pzrm+tpMLJrz5IW<1J!yRVGogzW^bmoO+Y*U5qPu?`C zlPs{XOiMf>Di)5p{ubZ->qe>E6yBCWUd$jkF6Fk0Z)%o^U);1lTPRlIvlpKDzVM_d zWV3-~b!S>KNY2O&Fmf~P7MpMjE<9YeCw6U)W!vqDJFKol=NT zG;Ed6%7ae-_>|Ix!43g2;QT7v z=Iq4swI&Ht%V;nwo%HiI9rD@+XoSyjR|bbPkoG6PLRCSC82LAkJ(N4+Lm~dTffIMA zbEy%d@euI}jY=(+vPl>Df%kT_L8HXKjkY8<|2?8inWp$`dZbB(@^FGD#1fR!_-m}F zDI}wAPq@t5M$YnPmwoFAmQr8Q_f0&nDPw(0zS+zH()NOu2|RU(o5(ffz_0KF)$De; zMS<1%07=!gyzng=9=cMLKWjnRqxP9vhHl{Yjuw{9X3PNv^M*3yQ0I{u%mBW1Q^Mvx=5*V6KasE8p&?@5b- zolQ&W<}ps5!ZHhWF7Od-y(!ynzW+j$eAI6tKV+vy1A19PH>TWt z2XrV-l*+kY`NLHO^r8%>OnBN6=BmV~+E;xkm4kY9Tsl{hEF+qeuzE|#InLX>{ykyj481afq-7T>qd;c$! z3 znMeHgK??Vwwb*9)3{KGik7|BteZr%8svE-sM&tAMk3rbkS+Bj0yve2P&p4)ybt{X@ z?#cG1m+M4=IVwelhu9(yZ*# z&G1shit3cBSgSS)g`PCE&i$t|r_ZSvAsROTft^07ZxbHk+=W7gib9;L*mxg-iP?SH zXXw{^J6gVrI^->X;ZmB+%2iqvX66rV0>=eY8omeQZH|+Z`>T_0wI&TZK&~Vf7A{sF zxcWbn^hNj%i$9u6Af@Qw*4+(}d5iUobSN!AgM5AU83Ja2nL>heHwCF};WiaN|{$p8+;}c%EaI0|xEz@X03y9qUug zWkx96dGxf+)7LCQUy-&^ieC?H+HHiy6O*&KET(N4G(Q-UzxgXHIyb)XDEJx{Pk_YglqB1%FqjA566BWdLA|K%4JB| zXh!`MR5)p?Z)^!gg=idEhwu-wr4*dwPX!ll_L0Xg%{=ItY8HfcSofPo-WlVuQ@_qn zUK5-ikT`h5c6r%ZbE(KJiNMCr(NO+G`bSNhlfQWJhh!{BV2^_qC=qI8;x9=B2;Wis zVJ{+gHeM&jjdpZeUh+$^b-bp~4b3CHv&fes0;D5Fx9Y4I>wDMSmnjBn7bqbDJ-y|F zPxQuz?qLhF{oaJxt-XjBttmAeFnJ_N=KCwtHbC1E9 zesS4XO*2}EB|(b9_uZ&UdnT)1v%z{0y+%q%xMFYv-KxL*?oabn`g8tAF*ia@YxFil zbO ztvj!{Pz=r7(nY2fvLv8(o^Na7>hh*&=y(LKH zRvsJCh<|}6&;RK(g8|v`k9t~^ey^bLp)>aBc7u{14kVvLx*L=Fmfk#_U<;B$Ic znrN{p;FCeIU{LMPW^ozc9}dYi)Nhg2etiUav*2-Fk~!n}h#TW2ay#D!PL1k7j zG^XrQL4THo-@d9!)2+V}q}R1(m;PQtj*;IMIN3%0!)4pEHZWYm%=@Hlt0d-$_HUDt zvHME9G)C|IgwSbNPY*(F$=x5LW7^mVx-rF+_&OKChqgDpj_oy6%DdgoJ~L7Oj1lV^ zgc1U6)gDjL0#@I-84bsNk!$!`PW4!QjmGc2k&Riu(~Xw=f!px3Yrf-XXL!M!kE34j zV!WxF`&*X;>vDTmd-22S{}^Ob;Z>_1*z*|VJ5aTMO~+{Q%RXeo#`*G~CfE2wgs)y- zO!>9z8SwagIq^Kx3zU-!ihkaHV$mW?K37`wpjC{xYi=e%9dXMfto))kL=XcaVx{?cp6optn~@B?D}MsZ;xF=YHhfZV_9pu`Ub z0}Fn&RdEmVWHZc+pUXaXd9%`vUG*M(%m5wuP`QA}6MAfBu2T80{jq%|d~%0pfh@6T zz;Fi{uZlivJo`yVPjj5Bn)3L`R#e6MwmUyLgo~uI)DCPKL&l(9Yl+)QQ@j%Y+{JV~ z2)QqrhdbEf${XZT7EAwxIeE@!P_;=}rBl_4!)z>6C8d8!??2T;dm|jW)rw)%wj9$6 zA*W=XPQ7g8FcPZk?^f0xxoW2h8hbnz!cFe8BDW}44S$)O>!TJM|1!z&6ReWD-#`?F z?5+#&zm}dcs}$t@$B$pJPoUr1_}W}W)Nr=!TT|B2|2QF);#y|CC73Y@bZ*PWo9fm5 zGK{{4hau<%MZouyEq1IiX z-`;g;V4@rPxpy>{X+A2%T*T!fhD0iKbY;0&P|UZe-SnUV*sgcYO8PhR5=S~a zaUSFx_!gcwL#7^#GWw*{W85o46C)^Y~lPX!(T`?UzcN)=2 z)0fkXi!xTSy4$K=KF-Tugxshg&8_x+v8qSWGp51ynAFNzMHeiAZaSHp+yF znG9PPC{zZJq;$H2cgG$7ThAXX%Rz%PeNP@IZ3i~pQSkbg5EqA&~rcYJ|YAmjA7W8qkU#aI$7CXyItoee=PMz<} z&MORlsN5~D@LCSb+Jv&JEn&KMOJ+PBXg6~9Bh;e4lF;n}8x;7E|KYvGMELHO(U-n- zb&nVE%7Hc!Th1>g)E+{IRW+-gmXm6SZ%A{$;g+DoiXw#;1XhG(6u0c5mlm%#n8&CL zCn}RVUX=UQG3L?;BzVV?Q=NE3zw+0i=V2%TEm-67t8l+A<{!`nN%J=L#`9ZK` zOp(;;(T@hT)vHa7u-n#Z!8}?uC7ehr<92PW=XP{mnv|B`s;_rQJfi)^v9fpK+uO8j zu=a2FV!w6a@_u$h<}dIJ`G?4yzA~##u~pufUMu@FwstqZ_2cs7ai7*q{?|?L6U#l9 z;q1kNii?^?dirOZ@)87?Y|4uJ+m`5H4jr_;x+lAfT*d)ph{uO{6$tx$#i#1(T)&Op zYF+>@|(xfwfcxT^tw8iq17&AH>UKgVCQ6z){f! z;i+~EnB>_`unIbH378delM>6(I$5POuok3Zn>=mMrav!>R-m2*gB1&;$DjKSk<7HJ zur61WZ6OB=rdn7{__KB^e+j{{LS?QW(ow=P3C%StGB+h2LVU$6)~!z|QuloJ3peTe z&%+Dw>6uV+G4^nJ5MW$ME3<&yk~e6Tpm;&hLhYDzL=W!@*4z~^fj zuN$PD@>|;NzRp8ASvhi8*E$_!4cQknsugaSUDIgn?3Kr7z*sogHIkvH;GMKb3Pd|* zd73r6mu#F%A)f9WY>*@77rm#o?n0%Yl_cTa%2Z=gyG@mL!ZRrwY&bVxqA|2Oq7vHM z+11KzLW)YrDNGF~h0m}Sw`O;?mn)jHe|nY{jm=%vTMcEDi6%5Lflft5eU>wwJJwQ& zP6Z^<@@uDt5K_GJQ{hi^r*iL0^qt;fG`Uw5UHxB;tcuF-?M&(6Cbga7CIu{UXMB|I zeTFbr#;d|Ss+97FQF4~UzpSRLAl!zX^}R$c32Eep6-`0aa>Ygto64fhZS+G5DH^tf z6tb&o+oM~^%ak;d2g6qDPFv?2ep5j9C5{LCJMxDWs@!6eFSvDl%TEsEa=X333T&D! zK#9mf#UyryD6qi838WkdJz+g1kiV7A0w9i{x65!l{8tD}Ha09U2S69Du>pr8wc|JC zmbb2S1PG07u?-WEz`5{B$dPFkq=#qHc9CjoG?~tNL|ifIAuYWSJXtgUjIOBZR(;*I zRIX}#eB+7HxPfW7qSoWtt0_=H*tVOE`4YmMoPOa*nc~8nkmC{WzJ`sFGlSUwWIELL z=z|Q|`<#20ZXVdh>PlQ2xn?2XKbfsbdehSD)$5AjSGXXrvcEn2;4hp-^f&q#(&^+y$>~_K5P36}?pW<3GyMyBCJ97nbf4iG?tJ0& zscupZrf}g%L;I2l@EI>pJ5ChH~bYll0H}a;PSm7HuboT z1l=-8tMr%5lIs;fNsGP!-T&u>9LqiKqFFA920p<=5;RV&IzP`MAy9^wn0N7^rKf!y z6>{g2yPms^26Juomd3z_INgT>w&<0?lo4L~c?I`UPG#gLy}6R}ykQwjdFhOrkmNX5 zil|J>>76{w@+gXR#2iy*YEiC5YX9nmy)7l+wcH?Xi}vY@45ufzWWpw-mj7GG8%r-$ zZyG=pHy!o(N(DKbYP6z4{yl;zhr2=;gA%c1?!J2x^Ji|XEc8({7Ktp zCjmf6L}b_)F`DZW&PsVqMe{NKKUhJ)hT-G!DUo(@M^e^FQNm%;_eLla@ls#0^21IQ zek@aq7S%g#QjnROe(15UTwj9P%ohO3=lR z^lbC0Q+Q4xn%X*+JZ;SC{b%$Be1iwIgX%zO9@#5d#J7p_pcFW56u=Sf_Z!K6p}dxfQQQ513a_~wV4b(tZd-U;obAk&9X zUYR7!qn2lK3&Fa|80XS*pV*gh?u&Cmx*j`DHc%GYj&!?{!VMlI( zZkURM_a62fb|$#%EzeQPH$C@Rcp853jE!(&{xKx4*)|Hu$kKa0Nu2un-^+4jQyZcO zig6dra_2$#4!;zbUoOZco@flDw0!)2tmq8P+Zp$$TH(aa{_LtSRVEVbERty^ZFwbu zPV+;?A>@C@vsQkJ*5gX^t;KmxRp|${QD25hq+$}gR##r|BtK}FUpW>yc}_wktN3Fa z#&!eJkvBV2o4dN!)0Pnw6)QPQ7r1@-q3??_Ev}ve@mt=T$Vc5w!A7jU&dNx}K%>dC z_Ra@Dha72JOkK=b@nd$96(PmMnBv1P-7xO(x~!s;K2<)G+2LDG)99h~`$AF?Az*V$ z(+k-50;ZeBeRM|=Gti@=Qhf~5IPC7d&r@c^(y&-jZ26-Rct2M@Ir_6*OU6wjhspbJ zfPQs+wwChs`N-rQh3$Em-(<PwN|&E z3R05gyn$7yCE>6XUy8F6#&W+4*eB<$sR}AA3bV-fVd{~uN`x-8&h{m2s!p4t+u0n{bP}nqy{w(?o=)2ma)y-;Wk0rcR>2|rz z4s~CG{xh~wW@u$$*D$%!5>$v>Pby8^Hw`3Mloca=+1xfNPnWV_dB75p$TKFP@;VjX zg!3W_xvQ;RM6C&axs!zzS~j4i2rf>FZNOhNG#^Et!A*CH=qCvRl@o1KIa1=ALO*4ggDJ+uN14d(pkCD2)KQ(IKT?qYw zejuo0#4B~TX~^jv`R-@6*Pg~16FKr7)NGf1mrbs^-Us@ZmveZd?ojS(EY6y8-YsH+ zxibT(j*;GaRYbR7ar`?+*4dTRn*OY>#7lS zSNd72SgVkbSUwN2-(rmWVaF9$mp9d*%HQ7)2`s{I=mpcD8aivDL=PscM$30eYdir))oWf13y^w`P!VE%?8Mp7B&2Yaiuq>P zqQ68I*~SVt-!pnbAP81LQ-s*oZ#!`lzM`UT^XZ9t0g#YvpF)fpjp*cfZzKPsB;8-X z%6m+H)9xz@G!`U((M70xB0hKtMqjv#^vj@N+V#FRZH$Yk+@QoDV^UNUx~>2H6xj%kN)$6w>EM9PxuOZIlWciux&YNls$l(+P&y7>bSJG=jkDpK*rY-)MzaTSBJ zY-VE-$s2fL0%^;P{kwS=gJU78`tC4uE$x@K7BK~`YA%t}_*7Mw zq{u!sq?trg@>mwoqhoB6c4&?L_qAw+J|H*rbDpo^`8Zmivu8PvJSGpR5c6>L92eZ~ zUgxMmc-r^d&Apx+UxhT7NF<+!Oq2f|j)EwuN%GF7zZItwmkABN9h{*B0*@idesm?V z3stSls9oBQ)Rj^jMBuQwR*s2jvNS#3@&()e) zY@PS%Rl4*d_U9s;E%VRDOuIXJ|636JV*&EvLhOqUvoCNt zlUH(&e$NwU90b-XgSRa<$>Lslx~&R%yf3PZlV&oSrua3rs)zbk&kdJ9c_q$UpjFbX z#hvl~-zDlx(bB8bG&NGC%5`;!&A3v3<-fRjrWB z!%KyAvWJd6m-(Y*)3_?Cec$B3EB{2Tz&Pi{yhb3AoX9I0t(Y=Sm-$JkH_zi6-JO7J z>K2SO&TGkctyyW!mQMuSCirNUp?`DNUkus1t395?#I^K(BIzw^HMRbPot73}ZtB(x zeXYt9q?I(Lz1r|*L-6*2FUTM~g$yTaNyLZ-zaVc4-|iG2T)ltzZ2na)H}>{>6q7&8 zx~BJz&d-gn$IWqFqP96dIcg1bRrPKuZnO-s>KU?&@wv*$Ka7snhW17h!yfKhAw8LQsA`R_a2_7Ksz+hh-9Q8&J6G2{_>9k?%;p(K8kL2ZK&UK`m0qpnXi+w}{qhs^MZ} z`RkJhBE(r3$&)nI#{J-4f>NQV^QM4<3HHekNQ3vOk}XZsp**8)VOXT&Fo#WZMs4ET zGvMWuoAigmtv$4p!;?>>5Ml5n;n(QP|IGy(os4sX87FfpQVxL z?l-d<8FfKTLgHQfMSBBE*F~$|gcyC`X1E(XJ_CRT~*A zSt>~I2^aE{pMnb+(^CX%2`941J{OZ`=%p<&b4&Ez36v7+k{sU-MYnwXd_}V`W8L() z-EWbR!?N0b!}PV`#_GLo)I+ni)%q<<%%P7bxi3Rig@$MUUOPzuX3KRT9vV~0v zNu0Qe#(&CwzJjF?9{aV;&fMyxAqkv^G4TAsRv$iE)}119nE&rSy4h}QZU5z@8W%I^^Eb$_dNI5mR5z1))Y zkLb4ivRd?Bw;p#}Qeuh4=hOZ%8I;*ogQudSHuZF@hH1zKrOpp&N;JtD&LOEJ( zn8M4GQ5dP2FJsmGVuGde=q#7=Cs5I9b08mMe^?dyWXVxUKg)uL!d@kfQy%Wt!Uws$ zMpzo^j48xl^s$?t4w9)7{vFnhQ(T_FeI0W(;l|*20zdja*_!663dOm-nGzD{qlOcF zgn00{#Ki$cu5H}Mw4ZMl0%tBl>@GJx-NWPbKN=2fUc{}S65iBfC-_s|mM0Ha#-huG z6@}i7>an1a6a2a#B84-OIL}Oc&=$Vs!&&}LVPe~K`O5f8p77yKE{4&r)P(*!!(Qfu z6RPI>MDF2MdQQgFUWRAJzZuXsf}cd8T+MF(d2}7j;6S>zm7@aKpZ=fZl9F?dRYVJU z*P-ZUznqVaAnxAY%jD5aSdYMP{*`VkJqp^bI;@ix8i8jySiRNRqYUK+sMyZ?Do`Wa z)a6LHg%GHmskNSYDwAcvF4MZ*WgdVmS{rJ!p`n?jI`6EH-uBE(l|$VrMSQIHGc!2i z3;6Cwa9W24X90lY?TX5`UTwc!MIpB_oO#^0QxnBSq|cf`pg_@WBNd<@`ZVJ+1accu zA&yl8z;eue_=rh=+Mbw7zl7WQJVGYeEm8V%`L zFV~NCvHXDnvd8enTdY7D%TPRm)vGCY z&2B7d0{8<$fU>NIEAd>yG4#P6jqyhvc0NqxhtAE#+<)Pu_$7+|W603sG{bPrKc(a5 z^%=Yn(Rk^WD$C<fb|uO3+hcv zP}@DH%RuxfTypX&Z1UX5*lg*=`4}JWyGK$^DQHZK&mrpYuno@QVIW-Yb4+Tb%B}AD zGYfik)Mv?qFAmYwQ%c>17f83kSBdAh4hvSHHC7WzY7z*;b z*5zl_v2I>6f6@e;JW@VorV75x#VXn;VLdbX#m}iSv5raMGN6``p`n_#CR0;eT^TsW zx_F;FiJcWoQwdAT7iUI9I`oHoeoS;pMkR6okD>Dpgu?yf`0YD)oWt3B@4aU>p|Uw! zx{{T+Ls^HEbBJH1{mrV32-(8fBBGEz8+Q&NA?tqq^ZfJt|2&`1=ly=YKi7z3795Y} zGk%={*pNr;8J(bICd)q=gtWRvmm!Osjg!d|;e~?du5jd-2hOi@e?EdxzKpE_wJ`N3|81Y}D)Cp6Mu@=QLC^G~c@TLD|>nYRp;lK=dV@jqF*O;R* zRhMoT!^~RD>F-DZWHQe&H8zf`#eN@EWfPe#*(sb!^Cq{*^ComM>?LEA2{!v(qChP# z-?&hxroALn^f8=GX1L@0CnIo_=R}T(dF%y^lTnUVm~(yfAszx_S6bd!w^+kV zCfLRkwp;5qAf)hLSDAB^-l8dpm`t|UK;+|xTr3^`0Dr|#8r0wA_T1BKEPQ)SCdtK! z-7NHMW9B@}esNiM6{2~v;YL|+GHw+5j=uR5(!@N@tC9+rw^aV?$km>`x?utU>`qjT2Dr<}zW{lo@A9z#An3m~}{?!sFkmUr0I19>%Jwrd0Y z4v5d|8bB_jE8m^+C;uSfT(2-r8?2WRK==395dijF+pmHvG%qTO@@(7h2XA6Sh5M_& zdkeC;l!|&`h=gE90}ToXcRoCFZWE@Jxixy$x9}$0-&@Or{qM@R^>2tv7n-;9k^H*q zSVr$&9(ch}L<%_H%KH8|L7e-}vGK0k@cF*yWLLw~cch$dufdsM=aG0s`^N*y{LB~R zoZ5jicabrgA1?3Zx!!!UQmJ?4p5y;e1BsyhmAok!WS`e)z>^3Wi|DM==Kjj_da~=k z184G#h+}Wjv9u%8YY6o@jt}*Ldc!6)`E4wS(lgVpkv0Pu>Vy+Suy<%F!Y7_61p$3_ zNTZI1prZ5HQ+ooBh2e}cj!7AybSZw`|6QL4Qk|uYDUv@tyg3*pPiDCXa;MzMECpNY*JvOU#!aw*Y zY70Ea&N@afSXAN~i{4&fEW&5bQ*_43s z?fJNPIjISN(I1sohbt`Sz_d*32ka@4c|NRS5A~)}8jr+c=64xW@}t=rHOebI9d@Q_ zdta8IzLTRN=ZY3pWpaU||9UTufO7!7@7~jlqUy^jY7%iVwg0Uu_oK5N1PgqQu=+y; zELxPpo3>U5vPEtC*F(&msTUKPKl$;umPF}6I+6)zdyUs>6D(Pe=c3H}f@h_-d0bhK z1RD9hxl8EW2wO&Dedk+)vT4SLn%QDB zW4)bWf?IXK`MUhWi?f^>N4w5ZYrS7|@#>msJl{dLD#s!fb=x9tliBwfTMTabm1XJO zW*_3_O(5Mxnh-%tb(x&P8cqRrOmwOdn>8l-ed$k_6fAH@zbn?uEu(f!rHaa*%PMH9 zVH^v|<(-nVQ$3y{im{5{6nrG@EON{H#U{gy4*OoMC#VsEyu=5qqVtv;#}si(Hqp{! zpa2O+Mu%ZsaWpN`5_xbd7VGH!#>;rx?qHan$c%IO={Mm_?(WlWfv)y4MGETK)7L~r zbDMS)XLQP)3;(Ahq=Z;~4@exP@Bc*#WzO8@6XeCLFXTVxk8@^gf|2z)pNsGIJ2t$? z1~D~1=os=w!B78pXG~{bH*XEBQ!pPl)`}%$A36;rJ`gNYfR?!maWsa?WcIv}KyhYd z2%S;AuxH74wm_i06x3l+)SAv*cWtU8PXi_!g#)U>CNhPZ%8xVZzMH8SWeAtt_&ixo zYdHoyf2Go5Ju;R1vG;{zXjdByB%xLAI;M5XE3E5_MBXOe`Dxc3JEOKvF)5C9PT2C-?*coWq=avzjU6(J{=6p9 zo0v>d_U@KzX_VW?)hF_J+3;(lYw{KS$xOne{$%ngjL5IQ7{e`Azff671qj-(Mm_a< z4U=1c!EkqLznI;Adcu26Z4{|fl-tKE=geX$zGu3* zcDDFG_gWQx*>i3`fu_$UgIeh7U0U(M_4TL9FGok$x)fP?2#Fh(bzsS}Nu_hMl zJj)`Wny$4Qb4&@D!Gs^m63J4vP9|0W^d`M`dXk@$sJcO;Y*8lh2CAK z`0esRSAu>gRR2?((yy6DS(7eYKlhun-=q)D#*{ONI#|#2_cehyy?=f9u zbgVgCsi=+C`F8p7g!P`gE1!fTlj=+fw1gS0X2JzyGT<*`nak8;l|Nj}fr_a56I(!o zHFJoVyCX!O)4sp<8*xz=dg+u;QHyou*PZj}$LD)HfEG{gIFt5hjvo*=lQ>eD0WyXr z|Jhq`Vthx=Y-d7@V#B#fsy{&VYfS(S$4;fMmfrTTNUn$OK1MZxEIp}=M-vIlEC9Zb z>O;Yo!CyNFYIHw<5raTPkoO4E@@4G>QtVrYwv0d{#Rn-tPmPFH;MVR#pkExEp-+C) zgQJM&MXiHAUw z??$W&5ff=WNcm_U98wQ2`+c_*pk&sTxWzXnQ5@3)m-j`RUBPo}!W^9BM0UF+hSjsf z4YL%xB>ME@ZwrVo{}PQCzJh=#rV9f9Lx6i9u4pUz&rgzsl|W5^hitbUgIb{^I5bY`)OB``2O-YR%!%Ii)dE0hs^&QDS_Zz{@L+AAD)B7L$P~Wk-`iTndYO%(fNg{H zKqesT*QcBxZS5Y<{AtWW!0u=0FnaB3z7;!&ys^Ca7hvl9aU#B;Q*7NFgdGdcS z-Ez%h>g$ar{_}^4T<(;%a~^&0g%Hveps7HO zhTdCRb3v|Uhw-!BT{DCer}n**mRofvg%_C%-nme1Z_2LEX2biQFq_ge5TZHYF$(m)l3v>mGdg)0UT&Q>Y^^A)aP;*<N}J$JVtXYxkqB()seUe(9Y6`JU=H;btIcZm9;=UiCG3c*s$T$_|IG z0`f|QYCwG3*(D>S^)f#-PXtQO?ZUd+YEWG>DT;)vv>>TCbu(cS*5LaoT=3Q>x?qQ_ zl~MAJvx>4Hyw$sci(=Jf_R3Wd1oOQ$?U{VN)H-+qX>D+4z)Y}T9`&2Q>8rMcu|f?q zgEqV%wK45W&ScjF8v{~qzPN6Axm2NnN$W0+vn5z~FyCnh+)GDL81jM~+-j8`+_#WS zQ`0fB@OHR%;$t=OCwqe+z!3US0QITrWj)AYbh)KCabAr>lrc(A3nH)vw$W;SO2Sr= zU6sb>nFN*Ac>?y#C3hv*y55ssAPW%rfP1h_{yi&f7!$Y zr_XPd|E0|f<?+rw#Ly=;p<%?#*D|53s$YP@)NXGgeZOL<%10~K*F^XFF-OFDyFg{^1tyO z$BqT^~d|ufMBG+WEaw_kkDn#wd&tp!5}WBmTjUTxlW3st@LErnix^ zv-;<(F}(wn3?1U!*4Ug*weCm2xb|R?uqgVHEReSnObA|r;(WRE&E8bX2B`7;gw%VD z3yEDU0)E*L^eQ_QEXZmxodV}!wa$KqeW$Skp@FST`Dj3*262VsUVYdKNO@taxb8_D zv{!ic$|*~_14AK_#%9!1N~&?PRIP;Ve{K->o|V(TL7fwFhU>GRogWiaEWh;L;2ofb zyWk=_{gj5S}O-E}5ge8C#1ZWW{&mvsv0p(2C(5?|W-ZHrN^ zny28~$1KI3wn>b7hFmua(ikwgi5899zEZtQ{^cTiOzfeHGJ$@t-XwGf1zcigc*0${ zoO$c@K`48)(-Z;jI8)_VaW(e@f=ufMD!)JsqAIPBa#gAkB=4bHP-!ISHo_e0%=WbK z1PrH3Eb)@5A8uvCE@JLoTQ1^UJM;C1Cg(4<<+Lskdkd%lfH~T1yhJ&@%Td?!7Zx8^ z%=z>6YA|EO^nofs$nAfq&MZgSGn6BdQAFYA?^Hw>Y3=?6163IC=bF_GmLwnYOVk=A z5j1iwL3tHpr}y}Yf@8IA(2DPa(y)&?e2?SIR_|$h&oj~@YDNWO&$toI12j8DBTv%mY!7@kuu9NURuWD2r(2pVS_->oLIMXCyc ze%ks-F?QT#p>p|W4;7_lFw*#p5pK!yP?(YPRk@VJU=Eg-xv@s2K*B*#sVOl~&`N#8 z#mb`hYMkF@-I?A>OtD)=M6gVe9?z{9tdO#~p~^%Qj*HjSHaAs%yHR%K9IW8>fpES2 zsfyaRn9w!OdvjGoECa_3PKK2EEbk9Y`uNc#gO{-rHEn=!MTw@r(hC}B@dOCOic zCy2KuIkV*ca%yrMW6AlFg=&76U3%*1LI~BXTV*g5f@f3uZ-M=tgj!_=#N6dG+=_vT zEet?OF`f=_1OU@QgV;WX$5_K|Z@y(-AgyAHw04{2?11^#XIILIZjp7iPqm(6F-IW6u%<;Pas#h9o>L^Q0XX|4Oq_>xlQJ_Pp^Q8luHh~i8nq(o4W zX&q0k`orz5& z7GoWNl4g*;Qoc0y`puE!orJC^G?m5ncTi%Y(A*MrU?V8S)A%9Y6go)a9{;a(51M}& zc1v$;$|l>zl2r*=JM;HcSp-N_?I{;sz>Y$^p!h++3Q!8R%-TF(KrS1YIQ_Pq>xTnX z*Y=hAc8V&F0+TZxv!*gd6Y~hc4VuvABmIFWfAa0xm+&+jNYYB6G5&ghs%zgXhS~&1+06Rs#yIfUr|Ogh!aW9I=xVKxSSc+@=Mbl))Mz z$W9dP>f@?^cA0qCbuQea3j1!mRDU$)jJ`iaf9W@|z>wPwc~jPl!IYanjRg&Rc?R40 zOfhOX{T%vOxn?UydpW`!_6XQm!OBg}$LU$ygg+GQ;P(eUC`Vw|m%WfJVgxuM7i&1# zEmdRJ3c5=64Fw@?*SB39tPlSL=DyZ^tUozQG%oP`b2I#$$BtTZ#$_Ja-3zRS9p2Fh zLmNb647Uv;niF{i$tgZHkpAwoS*3F*yXpe()$r>8%}r43iyuVvqhXC3Dd_PC(-@ok z>BbICI*I#|5=mY9Q_)g}WcjJtu@z)Yg=1zU@OMG52XQhufEgDE|25ccM`T>IIP%c| z7p`i|!scWrbQttulzrY2Dvc9G#JE-iG62=GE%pHwL5A2xM&BQ+H1tw6*=N)ZwDIaC zgD$`vmBC78L$HOTi{ZpqFv{dXJ)hz)sc@@x$xjzb=Sukc_hVz$$&IR>Ez}kfv87aC z@VPm=4yMI7CRL`e6egoz-;Ys-^@lnL2e3~(;XL0c0>GG_Lm!jBh{W}$FoCiD961E1 zBf!i({#oDMgxXTEx5IzF{G|BQUp9(~_S*vW-}p4kopoVt-~k&nDqBZ|S}1K={~B!a zDvg2VM5&zhA%kQ6nn!dWno>axsiOz3l^<9$lDC@co_!P8NFCxXhN{Si4sJEjow2?BeTd)2!2X(xx2|?}XTheJm^|TO$IwPSPjS z-m)pS7(1KFolLgbvxn%XNu-Gjz=G}wzoxr|XrSGPiEbmWLrg}A7*CB*@z%!iyK2b` z{3v7c)`m4F(E>87h+!Q9_xzlaq>2GtUQ2gYGX?dBICRdlz~$W_+!qjx?p-UVU>B#( z-O8zkG4#y)gE>Thj59!NA$HeSXWbaT?D)sf#n8pZidX`>pyz$mEyCm#H4k&)vDAu60Egh(fl-H;NSQb8O=i?QTFq*4q%Ke z15d@M`SgX%b{OMV%ISh2fj9d;%5>2UK_fBym=F-7KWm1T)4+5T)zFG@al(ma4AV}o z&Ss2t9K7>g8loLYMaB9Ybch%@0HfQX9>bkiHlQ><-I3d5L z5`<$P{EekkBz~0EY`6gl`t>R6% z-dF;IhRhU~g18Be|JyDPz=R9O6tqFHrYPI<6l-;Q`QV-|-Lg>W#Dlc`zp?W6920ok5dIrGVRk zu=qN4tnL`udiNqsOvxsJZIavvkdDvrvT?3`(5vuQU4M|l&fqMS_Vy|?U=8u+jYLCbx4*c=)ZJZnk#uXfUUyb&#_>WfA&{b|z+q~+@rN78 zc`Uylek9neiiam;^60Zyg-1XyfZka7j;Mj<0j@$`l=8`+F-CAB77Kk(=5>*c@%~qf z^r9JMc*SADQz$yvsx02De5tbctbDmUP=APMU(WpT9${$Yvv1B0emyasyBsMi942Eo z%42Euv7PgJ(zAJj=t9?FrjYon1nXn+0p`vtT2r__7rFYJ5N=%3klvYkidc^%pNlpN ztLT>7$@Km;eKGtDiT0cxSP>Ekt*Juh+_~#NMI_G(aby5SFMhxj%r=SNW^&N!o2RNh zEZtvve6^Oi9VQFE6nKd{&T{lPiYAZSG4zy$)LG73pVO0&yM*?XLc+v)vj%*CIxl2D#v{0QD0;M$0NGoTxtMO5 zmS!m*!{C9Ye0Lf~C-WND5mC`(jCN(~6L;PwM*S@pskxilj)H%?g9(M=MBr4H(!AaP zq%xe9>qd%gr-ORNdw2w-3;arys^3*9#9xPVQsC4Uv%eLUs#MWq-aE@HBmxa*{qe|6 zTXsWQJz7Pu$KG@pP{pQsDBs2S>~j9K<3|OrMsP=ZWd~v9^q=`M7#pW9=h&*bAXKz2 z-n4P5I13h$G9-YbU8#R1LwEnk-~6#AZVZ_Cql)g1X|I>BY*EOzA9&SlYwgyw&*nf1rvC7f{yQ0wlAG{A#EeqhF=#A2Mb>BFNP)>PGPE9fknep_mK({ z-7Qy{Ho?u|4{lIDDSvm5Cz*}2)jdU+ndomtzj*1=kY*u-m{n4=lIB+EEcFed0X#07 z_FWGB^_=lootirI0ZY#Q9+aWyC^spODbR5j0xh|LN_dx8YmvPOi|xmZotat0kl0Ua zD=;PHu75_i+SWfJj9jCDfGniilT1EVzTS#5HgasAtVJ_m58b2WPiwiFd|(-0wBB(z zBo*JS?1+YdzqOosgf7_VLo{u0*;e{AMrlDA%rVj-SIkGo0EYMSb;hzYZ@*=p-IILv zrmynmmP4M@U=d~b7)2tzsQU3?;S-q8jb|`R1hf9CYf>O%uIRt#Ux#$oq5ghaCWVwEnm4n3Dw0GM&w5i+ zp&`(j@OqL%m5RnDoH)$F>!!rk;pp7a0{9oN+WhcuaIf~e`if_gA=j%lgmAwMhvvkaXFp|~yGddPXA6*g)KC6{`bHGCBjzkcsKDV97Qr(z ztyw6t&l`|!E|vK1C{o^CNvNP5hgb*~?-f~6^L5_YD5I>#a`r0Nl%x9e zqs&$YCT6_#QY+_Ap}-Nq{0s7Aa{*e{CZ`W#Q;|Vsi6rl&J=852!SGnheY>*`MckVc zHG^2Xam|(T`#W>!z*WUvSCWpNG(D?0vs`ebq6-YsQSR_c>g3JbIkQVP3F9j+V6`^( z9vbA)yQd~)&^;^ik%tFX?8>K8ux2(~^0>P=f&d~l?R1o}64~1!H3dME`xJ zNPye(;m{0Gar;;TFYf?nl*=7f9)-?R&R)H~p|iT+>~6$`N+0UyQ`~YiH1HgAYnR+< z`$0?HZ6JE44}(nw#Kcq|BSxnw6S@NJ5LUgVv)}1_j?R+opFX;7ZEigZ1w7*C5N!Tf zkb5uGn!lF&$4dttiiE#} zD}5^`rwN4cmQ9w~a5>r)WpFI_{2oMD2V^9fqbSxO3$^uDG{C_>aELT`Z{E6%I*+*} zyij9Sk#^)QncJ||rl?%hBxWWPBR(gIzP3g$IpKe2UUpvh@3)H*9ug{JR;Z63u;IV3 zFx&)2^$IKLBaKAvvf-EmItIOlcmB=$;Ng_>y!=|#| zW#>$yK5caIf@&288B?ilfTsvx}e2LJx}dZ_>k<;t*)cZ0m>G zv2)`t)lAF@P3l7~MaH1AusL*u*Gu)-RE=qy?={>vu;>#M#cm$6m*mq7SpyEFS%p;z z>=~K}E=Svo{UO-#T5YZZ^8}SlE#tOo&~Bd7sAP7w0V|1aniS;C)!a4&qqswNaD#ln zlL%S=+OO49P5xkUt&6O4ER^j5%z;+l#v&ypoeGBD$gy4f3~+C!Ex&Uw(J+J0dm(je ze4(=2=^6bO()-{nBUyPD-Ai`7Kg)1ucIhsa!>+M%W%5p{?QK-dCf)jukOHRLIXD!O zUH#1Dro8qG>@U1ib;Gh&n&Pq1R;0s&B?5Ubwd<+{Fc9)BdA>K#=m2ZIUt7I{OP1^h11o|H%xSKP|JKJWuH`hTEV)YevR@EWzAb$_zy%+Mrp-G}; zsy5^7Yb-H(&t{n%Wp?4NC_pn47HI>5z0zjMBxZcS42OG;-@KU5?CF_0x4G#S88;># zYt`738-!hVyOKTUns`+BM82Zv+7E2|l6A5?k{8cJ4sdThJpc7eTlxFqf zfqQ4_OcS)+J$#~b$^L87*cL@iz&GRk!U0dx3h8lN=}-6~NOz1!_3T#}!w{5VED5k- zcz%UtOTUY-n}HC8p>nvoefq_eeN!%;7Yv*d^-fF1gh3sF?D(~aE8{#o##u7>hDpEi z=2N$*r>~wCdFAD2xKTR(5YMl8DXUFbFvUvthtfa9m@S^mSZO&4+kHX!-b0N!#D zKB`LkEIzyfQ96vL-(X_3Edu5>?UUxZ+ZY<+z@4KuA;k=0BQ2Yhz${X9KRG*oWHMkL?q@BnE<_%JTuJVxw$hmZ z)Ge5;kSMH)Cle|^$4+}%)74qgfpQ%Ce&FYj7X9GKNN&gV*e>f@RvuR|>oN!I|CRtR zR$V?|5O>$M02hEgRPOU6)?II5Tb{o;Rh``cgycEl92~{EM-VLCx5(L;05cuIv8Q0!l!(s z*?&1_Ja7|Q54lt9@66#v4tnBz^nfy&b(a3^JurOP)@-<*`#57Im#)NwTei~Z;_R3$If0jjxsmhX*kbMydj=Mtk6W8v|I+Em&*Q^Vws!nWO@U)~nrxupPGC~(LC z*vcBXP;cccqmHPhxwiRNTsiQ_6y_6MmUq@m6~5bNfIJcYG~mBG^ZUJF%nqQfCM()1 zGKYdP(F5ilc0w39@tAB93 zb1gQd<4gcrqSHDlf(d2F2D*GMnS{RIgA>u|?7=&^#D@{L;#c%LO8CPL3FdwUmGN^j zJ#i|JquJsf+glc}}+=ei!OoR3|q zg^K>i;|r14?4`daP|$ZON$uoeop+mrmJCeIL65tXZ=YT{!h3sD3%EkN(O$8PaS!R0 zK=h&m+wc7&Bum-b*1Z2fr)~rEh5zBJK~8*@dxsQwieA-w_8h^?ua|qOn4$N+IPzZ= z1}~KbgZ6;8^F&3D14Z>#+cNXiGP~5KcgNbGOT}vB7r{Bxq+WIeU$016TNy)q^kJL1 zv2AfLhjRp|h1mxArWKgiS=#UkzCksuTVXFdmP!QC5lrgE^|dV-v=v`F+CrgY*s#sB zkW~0pr^8RO4FQqNH6`jFoZ+Xt{adV|b~Ah*$xC6Yo&OAgj6WTi0kG+fjFR2iwazym zYKoi%BZ6l>6(IoDq2TV)_Z%n1yY7)j(q0wHeRnd_>#|KT4pTA(M@&C7*{0(6c^B)*=Lp!E;5yc_bnWwi9HOT2nN zLxG^M(%$Plk;C_4PSL>K#M?A1f-2R1d;Mr=bMPs2ZUbz+0Loul!F>z-QBov7dugx2 zwB1H6JL&d>L;bnAuvxQUi!hx`VLd&(wlT*6_mw-oJ*`aBnvDJqB4dJ-M4u2N3O<|+ zQRDR#10aH%mD@xo8EduN3pQUlwSSxqf>meQ4n3ND12&*yTN-dOtbCRGcF-u@Q-&CQSpuuuQdC;zgiip;FY+j>Q#$CxN>Gdz0%D+3I)NKo(t=clIf4UM z@m8{0RJ4(PEa{rOdW;2>x~2HcR><}}7zhCJcqa(0|zyU1SLoEu*v+6gI&;Vm;#nI>Kp z6-%|s#Ju?{JtH*z$_s6A^-Kw}Vuxnjoa=#9W$4S0_EUZ)lFmU8&`@w4sMk4oJ;ARA zzTE_HkCjOM_SrRqlk3dFbdwY!YjLs$-P<&AmPeXt6dWyONOMU2Aci4KMauy51C!s?`U zamEG4rBwgG7=+Qy2?7pPBM@0cuP4r-ze!dz0&!xqfvn|AICRi@x5658Y{990=Wcvc`|LL ztcbQtow0y;EbuhtM?i@%>Gpj~K}NGhkyfCyh&s9M{GzIo{wQH=tO}WgOOBpSx0By%|yMK$zLU725^AQb0->b zuBoeA7yG|&$*J*+nR7olysZ%-08s-pY(u8**cdYFbFcWd6Q%nK{N2RMZDqb@o;*^9 zRE#pMb{{*azzK3&ZCs*&bR?FO+5Es}*sxQDP-F99L!Wrf6eZFZ5YhTQYbFe%?%1$Z z7Zi31r+rVY6MxJWcTfJM_4c1RhSO}fl8n_uAxn5#VQYYKO;ETTo0RPlW0j#9ib_@U zW!B}UKHB_9KYP4i^LCwBXX%EzdwKw&YaF}WdgrWE%n?sY7IX^`0(qJ#x}!lu7)~W@ zEzc;Q`|bu9$Y~W=Kq`}x;e4aHEn2sA&OJ=h&ynh(YV_$KSFcb*Ms(M*~ z$^quMc0&a!Ag%IWM?fDOvDL#%{$kM2Z%c(W+}~P;eeK+Yp{?Gnt7QvZ%w7vUF}f73 zWaP-9YH1a%Kr^x+H0&V9ZfXj)Jd@a{&VXldlJB~?Up{1b(9zti*X-%K&{6uol>yfX zX+}gWyi1&z97p(T%PoWc_$?ChhfFWSPGbR_$z zK;T6YhU%d2`ePs7K{3U+2r=u)OhB~){~xNL$JOvrlDW75c|RB_E=dgoZ<=bojnqTP z)tN*@J+@Gw{i69c`k>tb2IL`yaVVJSR+HJK;31^gah_mg7J>q;7q2%d-x356<2N%A zPq?>w5$>|RJMPqpQXPRf<}dmqdMFd*$V~VjBa4CBuUfo4k+ul)={im4)6v!hitMqR zQXB_3lEmoqCm$4Dyl`WR@f1J#ouAdLiWvLV*c^XRYFfgSKBP}4PfWI0Knaq_jbp4e zR!jX_TvUiu^pccC9Jq*Es|q5I5ZqAwI*C0X18{($%gy3lLDwh29r$QpqsvjtL9v%4 zAxdi=QvFT;QyugN!L;0s9(AjIygl;gFOB!`qfzPsZSmmwTLq)Ep|&j=^$04n4!HOB zODvj27e?0+l-~UVr2haaV1a5^zwie|Iyd|}Cd5Hu;pUu>4c3kZ#B0o7E>Il|LM_*3 zjPT#*s_Fl0lgZxzt&!?q_c7xR%OzsU`)7?>lp6g(Wx!`Pc$q8fv=0ZbdjcM;+<9Bt zy&TEy!)DOx##Vg2=TRM( zTE??WoCaeCiv>{L6-#82jsX&pKG8*&wwvt*okg9CGX<+Q$tPq3bv2>@r5CiTvw+}B zoR?Uyz&Z&oPDRAI#K&e_4Qz>74mZ`iN<5iW$dN@gqbmU;lEd=j7FD;`n)`yguP$SV zt|xb^&YjRFd5`!iW#^5qJY_XS4hy$FJJVsQ(mw5;ZUgkb$2<_Jg3*UYmpCeib&EVJ zFSNKAw#`q^9!_woyvGF*Wps}?fdJ9_rjx`7a~RLrOwV4&Fu`zgun|wmV>$_x{N*_2 ze?~TAgq$w7On1fG^xVUz!Z%OT4N2o!7vgVao?dUae?#xOaT@ERXpcybS66wa#a34H zLknJ|tz$KD6=@ANzVN%#!BKDjwmxr zP!^S?{UgqYer6mWBX=Sm(ezmW%YUXKqmJ^ND4f8K@FO=7XU9ejr=ci(DuorQp)O0Zh^5Q62OMFKU% z%BF;_Wgo(DC~?+u4Pm*Jcxriv`1q9sY6XXcgq1{UMTf-1l_Y8Qvn@-?+^+IXFOT|JyW-XP!52xp8p$vhs-^sv{@tOIQEL=7|C;x6PE)V~|{CoFt5AgZ<4~VlwVwedx?LQ|GE@chA+>rc!R6NSLMI0#?|0!^WW9s>hTTvjZL^_d`o`odt4j7 zJ->sB>%@2EclY3W@qPLI1Go?P!Th0*xMBQA{-;sg82)qqmvP(#elq{-6mA+nlRrC$ zo5wHYFD~Jh@hkbO-*DgYKk|RlaCH1yK4TrXf#1ykwT0Wp@8tj9#r?tW>F8PTbH3+95t%zI&VYocV5ibY;_pKM#s${zk_~x2L!yd}Yn=pFx|i6$ zFe1rrp;7`IX4&=_p5}te+Zofh3+&wGjHS3|gaxT<5uC-+z#b?7VGvVzWrNHEo?vXU zl!x4%gCtIHVT7l#lxpsP-xUq0358yN;%@-|oB2LY-d_C!{+QI7tF8gH<^I6QT*hzC z?JWeEYV8IptE7tD5}RqI+}<6vK8>rD_dlajP4N6zU_)jJEU!KHwa?5Nkq=(=2$h!W z6u&~KahaB~;mZbSWvBwO>=wCFE#OeRe}xu#%i?<6+%#iOQ3@gf7y~QK4m5)XA+wno6vH7*AZttg6yL*6T|Xb;~m#@%d2>gW$?3 z>uvjcSv(wTpBwR`2&-puEK;(iY+ArT{iRK%R&eY~*C5PH@Lcvq;cHofEO0BY_scSo z?p3lqZZDOBHo4C}$Y@zwD?8rR!&blvG)nNp(`Fx0If7m1VG!ZX%++;-kqcL82h z=_7L$DwAWys5H>n{HjpcxxnKM)3GIB9T@H!q9UGyNqa|V>BwCqPlgwF0W|a-HLZdr zq(8YUuArB)z1Bol5GBq|cfPzUFL~HRG__g^CO?5f(HbZ3ia*Y2@QffNL+WFy#n&LMwu~>hxY@w`f3#$_=TjSF-RWl1{fbx-a27g3|n4^-OpA2^sp9jJhYVahN-|~-vx~6ggKDYGAyW$FyXMY zC4h(~Y3_4fkZbHxG)NOzV@r&v-WNrBN*-p2lJ*E&{DG75vSnbt-S zgjJNnyYt zDlSYp7^k>@=IX=Xe~^q;+0G3x(hiZUTM3-T-ksb_pHr5E7(-TJmlkcl^a8I$(VEZ3 z#>O(fxD+@I^8gT6&j=_58u6dRLLg=taOW~#{sL8}Qkw}ej#H9zi(d@Ew2iyV%ll5A zGg?EAx3bT=s|ujM@-|EF4YzV4D_BS_bO4PF-x_%N=D&v0C$Gx?Md)mmQx2y}RVe<> z-I}vHG(&9Ecz(54*O9x{J@Bi%M-P=RVwn<@Y04*hrwU*Z#ZCD_>5Nd<{II3j7&f5! zhcQA?zSm#OS>%Uhds=gV&1`%AF^ql0N?YkoHA{KDdg+Ec2|b7&ai^!h12n<1X5Qpt z0b$Gn=MV)&-LZc(7E|PQrNZhS@%K*Quh}gob2+%X_2fmak{V1@-0E5m{p~?;fRos$m3+=EZ*Bg$ktPUotVRTh5(rSS zOf3A9)ykQa)8H3Z0lhq-&%F;RCbh+F4Z8pN>sWU6SPNg3(v|%0pMv466kwKGTI9}j zE7ekWjHpkVLI;fX`dyeNoX8j^-Hef{WHX&hTIVimc$=6$uslOwW{YIP=282n9UbHJ ze?jNG(1z~;uN$3{q!Nv!uthB?Dc%OX00GrhwT93n^}5&3&16)uAi(@>ke-3@$G@Lko*UX>uQ=3T zKD{%RZ!pC=#>>fnlNU6{UeT)Qk-x{);EHvR=ab)IK8x|hezHXb$j9dAhV|j}wOvfRcOye%_HPUKX~VxCqzMWezBGi* z#)#?@LV3*JM(T&aQ<@_t%Z})8fb@D$P&Hp=oE{3{_x@Pd{oN|?{{gE9RQTUh?aCIG zWKLNK#lMGD20K=6+I1fa8ivHy5*^D(SS%Rv#06{L1zHN+BgMXN4Lb#MbvbOYAv(5E zAPC+#A=U@4fGr73|9=lIoP$z8=2){rU5COIY4>a3WD6MBg_$dER z4#nhQQ7?}T0Y>_6DF^AaE01yMVr*9IFKWUo6>Lpt8h|wDY_t1Cx2Mt}CRhq87JMw) zLM%t`*P2ML4QRAbESs+)rR0p{v-Y!^B3x{<?~dY0Ve;zBV+(Tw~k$GUuGi=CnF%e_^sA#T81Kxegzj! zRebOx%A(hH%~P zEOgKeBqgL%ga}^$TgQ;^DCU640m19O0xc+kxU_W;ewCa5uiL8^g+T*1Ai2&h;{g!j zBZXf=Q-TIb&|X;*ivoGt(0#Ij7i?@971c?C7MeX!TqI7OVHK^Mirpeu* z%s0+Q&3rVXkaR#mBiJ5pM~e=R;r>XrkkR_l*z^NV#KSBA7XQ$g7(6#6Vk(TEkQq86 zqx)c(4`V<}Y3osPSScy}P?_t`ov+T-O3Y#K?hK)Fm=*?s@R_&;{*w}yiNl258b6~{ z7nUno5J8NI$wgVDf{S$rUzk@oD>uLyG~hA7Fl-#_@(@lDfEg(px`BrIYJO`6pdORT zp#0N1x)C7MA(6zqq=07rG~fI%+)#Dm-YaE52gyVlUPKe$!$$t#2&AYm@!<7%E&%Pb zMZ3`}Q~yLpM@ULbOgg0^C;xm}SVLAqPEG7QK0kj5763rl z4H!o+umAuGe*q2;9V$8YIy*c))&D?2LPJDXSz2!zjYky$KwI%e_DE1mO)nP802U#_ zFwjq7Vq?Q(Wxqpdz+r7}(SLDrb98lf`geGFdH^EIz(5elK?*_7f*=8rtspGua&=<@ zY_W3y3lMKWQ?kG<5aMpC!Q2&B=%|AVwq<0P0|R>im@C){3;lME+VBS;_%A3K-; z8HfO19RaWa006yg1eFi%&I1FPz54)X1OqvHrg@rob!l^^ahh*!X=|o|*M|haSp+w9 zK?mbH16gT5raPJ~IcZU*MVdn?X(y~2HydFCrahWlS7}wIQ<_grX-lSQu#IaM1I;Th zG+om-Os1g`@--Bci8vqZ6gk}TC z0ALJ4D*#Z+!0ssPJ5j_I{1C%4y1T6qUzyK&<316lQ1_T9f00IO5{|*Nv1_%NF z6c`_mA+iEIhjIoMz=WhW1lSN0?4sHO{Q)5GdE5m!qI(}GBfq2(#E^ak`nY`j)%*L` z9rw1U3+^?$1?Cj_vfUqu7mX5+KNbLDCoca#YIXsV6*Lh4HxL>WIS?H<{}vo08y5jm zKF}@XzXEwo2M|F2e^LU}OUSnz1X8GP10{jZ?Pf^%2lm$iTM-5Jt{Mqc0Jq>4F8_2UR4hp<3^x0y zj_gt@5`V==F%J(E|2SG93pE)U80`%a8wCFf92y`A7;FLC_oVEs+dHl!FaLg6BmwMH zk{0eP6cR*?v{wuJWC?PYE z*oT2ug`>hBjrvMWs`WZ{=cv5y^E3 zLFg#}bNfL-f&I|{gW3Km=yDE@*o^@w{Fd{imX6x%wZY&7Y5*Sr*a7(K>Hz3w-M)Swm55*A-Efqt+AE{t4GiVv&lIDvS z%u>7SZo6B%=GM0DZfm>Nk`y8c_+u-9GQ?6dv)4F;gftT1%=dZj>oqV~k$xWUKfcys zW?rxRy7%03&pG$pbI(1mg^xX^%4WBzceD21UhlR(rS*)xwv90ZlmGqk3}deY4g!t> z-u#TQeV;Sd7+9ol2CO{GSn4^(tbmB~jP1O@*q)1weFhlx6=M$qDgf62moG8)>#rGG z=w$2=V3w{(KlNqC;sNUcZvfr~^!gKHQvj;~zXkji@D893-~o*IGh>qg6Y%^3z^J{9 z{Tp!l6~?{*H~|6s7<(4*#A}Sr`x|fqy!!_3{hhHP|6pu9UAzK8G9HY0ocD78x43H@C~5PTa113Z^k+R!~TQ*0OA1!fD%AG;NAm_B>=Vo zehWARhy%_u-eznvK9>QW#`jZz(eE%eAMhsN3qb#ajKu&R1grvV06Yt*1e^wZ4Y&#z zUJQBxRsc2twgY|-cnwen_!!Ux2zd|v20RP+6JXN&z!|UtunzDYpz07~Pn7}RlZ^GQ zX3PTk$0^1>1w8jD=uroJ08ap30!%o~*mS^Vz_);add9*5GXM+d6aCwO&u0Oj0!E!- zEDdl8^u7!*X^Zr;0cOBMfLOpAlNtNneT@AF@FCy=;I3(m%>e|NP!Hf$Gid)1V{1_U z2|yYkB!RISKqDafQN}I;0#-1#3$SD*co?8gWUL+VVG?8Gk{O!@xR8o51O%+X_-|#b zFL2gBjj;gy5^x%D1>gbn-iAH^_5db5!`NZKKeHM00>*D=EDX<@0kiS_o?n1wfY$;4 z0T^>pC%^`90{T1)zCqb!e5M0l1sn%_3Ahdzw3D$r0FwZ*fOUWzKpx;VKq=rnzzH~x z{(lHi?P6>bVD)as0tN5}pehgj78%iq&^1AoKVLx9`&Ft!D70TB9I#_j|B4{*=#7@Pef`u=;|12hB9{}FY*guVgB0TKZM zpbqd2;5y(=)Ia%U$h@XV|0dwyfOh}~0VRMkKqcTf;6p$S;1r-%16c$N(=v89AQ_Me zC;;pQ)B`R9bODUb1Uv$G67XxlzW|khFdg^-umg}9#Mmos*67z!8xxC0OlxC<}=FcB~XFaux$%m$bN4*_BUaexHCV}L}!YQP%6 z6M!^82EYz@3Xl!>1z;B-58wd20N4ZgJ>X@)D}dJknd_fQHKeaGq@=D%vTd{)Hm9a$ z$BeZFk2PCDSW-$#Mrvjz-M?$IH6v!Md2FIx0P z(aeJDFFloeBrvQXwsEn(Xd=HN;!2R$-l#8%IVAoBw{4O7JI!^WP8p zJ@FjBGPU!GU-IAMsD!@g9DYc*KUJ7}M5~u(KUD~<{>1O==zdX=-Xb(7=%r2k&Jlj+ zo2|J=LcDgjnBex>n?-Xo`ta;ico1qyFMYHXb%oG_UVE!(Zq-Y_=Fh&tpM6Vywna>6 z@!Fe2a}%CTre{;>*^wM|zpbYsN;lAQj)@_;1VFxoK=(nl&|p#cxhZPGxgbH!@3x zH9ncmN!pybU;~?*o|VSZ(l@2sGE-R={;?%Vt8w9p^sSlff%HwOEIv77BMLsUnVF3f z0Y*S1i?waS#n~I;ad|p3Ph;k(Y+hzEGf!dW`D$cgCxbcevN>!vyC3~X zVKZ42o6e@OscZ_nk4rE)>cg^;J3*!$3Le_O8~6BOcf7EVXHOp0W1IHN6+aY+5fa?Kd1WutuR_ z*)rY8Rf#K?FMsUOWgqcpssi5&QZd&dU&Ra+n`b5Bvz#!^AYt1*`DdoRej#apzFOui%9-Fj)? zQyg#OvG6=Cdb3-H#*2HaP^<8iQ0b^g!(yU)w>EsYPHtFe>uOp`m7hkl)Nw~0@1}K1 z(M$Tg#5PA=q&M$5rrK@xxh4)CTXqj3G3f;(oo}V zURnPQBmHM9To%7Lw?SW!*cetciN)tvgQg!nRj{Vx(dCd#t|$jGT>HklECJ<&%A#I) z?me%gUNpA|TE}V8>=twdYdnc7%jJ59;{GA4qF41onUL7zI1TN}m}qVk%ndth(7WBN zNDY+Td9m*Os+#k=&CNS&tXqpTxYn8%+bUmc`D4P;wu35lLu1}+&EC8>TfCFple`nX zkG#KoK*K_7|Gc_8KGR&yJAu#7HSOvXw#zH?9ByxIW1gecTYE)#r@S3B9<_gx zRjg$~B?RPC{Hn^L5d~&Zx(tnTo{Bd`Ked?wqE;|BQsE|{jq3da>L4LHMx<zKP%0c zq)A=(fP_vkH~Bv~h~Fh7fEJMG4TGopr>{W43_Y_8_~aB!~fTQFpCT&{ZUA zn)l&ZbIZQ6AZ0Ym0@<}XXPEa|o$J@dLB7sNYke4jz*!-vt_q6`XN#ve)K|nL&9Qfm zft~lav8w`;G?w4RaJ7lL;(=^DQCu(e>8#h+Q-$2W_7rVrPKT#B#MglIrOii`D4jj2 zSns=Gl8)KrmN5!)%S~O6nWVq-yGqMpXc=VIOTX!?&DS!~7z6!B-try~NX0w(yhrBg zAmZtWCzmab6AqI+-F0#2F>Akf16i#!R>LN1AnuPny0ZLTEpvUNSVs_g>HE#39k_j@ z70P50Fzr`~3)SL%!bieMX|GqXw?G*1b&pplpZ>iqAj;lig|W~)z1()TV2v9SJXa)y zM^Omse06gns^oPUON)#2`ySWrTdmVe4S_|$>|KTud+dddGsVBt>`T$%k`T5AcMI%= z7-XudoY#a7Nz19GqFJnXFjRV;ZcGzq1)9_FkT@bmC+hK_Ns712x=em95YLU?To?yc zhkJM1p^HK>`2`tEp}`(oS#B)VOXu5cP0$DO1(|+*skAHIM+`OO{6(($Yiu`)xkBVA~+G#HT3tr%d8W za|=z4B&eWDW}%qa;;0W7`v~R6nutEAQaC|+;t5+J7!`$;N=;HyrcZImm69UROAiA_ zh&?KWPT`fBq^X%yX_;JScvqz+X`qdZy022H_l=kmUjx(cGKh!$DwV#>;F$ifQmNEh zSRB?3)Bk436463xT4A7ag)48v_$8+mE+dmY&=MEFxN{^Pu+m6W38y+0u1VUIA+!tM z>ZR0-LL%a)upG!(5EGiw*?~mChcgQ4cau;qR11TPH7p{w*;peSy>_+QDa692Xcmsc z8W|6gt7<`?#8#mVgNi=(ld()_6$Xn5R8W)P_Af*n`puKdn2RXZg!)11Haz>ulgd~m zK#|}w$Oc>vJ;`wi^uq!sBYzHOuQZaURcY&L-HQ;*y zzT10|UH5KOv_ob0NY4qx)sLh42P=tg= zXfW!c&?w7oZTb~3lj7qq!vb;fbtovOffurfPYci{# z&tTNaHc}`?_8PeBD~oV`@JZEAcQU zOjS7VgxrL1l{D7jG~Oj@8bJT?r>VdN=S7dw$sm~uxt;eaon8;o zxQJV=Q=jn7UkVw)X|`T|V}okI1Z2l!_)St&8u4EOAMa|R5?mmUcMBiy;b}D9#!_Xt z>!r-^d9_%|tn1QM3s1;nOd8<7>oJ33mUEoJJST*$CPSI*YL20vX!4u&z;LP3o8B~J zCh6@>#i7t;exne8y8g7Okksl8mrc@ho8Dzqx-7DEY2Nv2=heRtICk}oRS?TnU30I6 zCSQ{@Zar6>YO+kB-`wRYTco>OZJUCAP~}(+iRL!wvAIE9lSgc#aTLv36)-1tQKps` zj#XM%%oU{26w6e#iH{#Guw4IEw6y_PzrBuZ2-4RIop3GPlgZIQ>#&BrZuqaq`=`{t9J zo+jEPa=Qd8j)KHyz2s`nugj!A6|tvDW1h%yw(my17^V&dJ_FGH(LbUZIpiDaf#Ifst2qdx_amRy*FT1uNPxK!xSkbTt<)D zpHL_bA@0!7QeI{clk^3@lZWLwuL~84376%HFty0lVEzAuPYc)%bupJo+ILe=KhyQJ z#<`7QI`?sBvV7-fnuq-R@VA*b{mu14!e_9PWkfGv7CeRV@L}8gi9(oeGy)o5%W7Ld zmHDFA>(}v^AkhL(rdchd5LkU&<{zt;P>mUpI8)JSL0o$j4!^3n2w54&`^U2+xN zL}yIW%=L0-OwxTy%W`KFS5cl8>J@Xkq9Z<+ z?-;$tV|nECiM&WGRY@FmsrpmB^giy(E9C#I^YM{3*W9RvXhAeY5{>hna$!EzT`n*% z%G0)WsFW-V(R{Xe3KQl|Mg=hW=1v19Xy*|H4=iBMa|?S0Pz1$ec&pS5ISQb*BQHA6 zj8O@5@5WD(1fgw=x_APlK;q?lE9E6#UMZk0j#LUr->jA862+-Pr9e>e#LgN{tfhX6 zyg=ebU^!=2^_QwTtLlLlVUSi9S+u0bNC3&+cxf$KM*ki4vS#>c3S_Po?GkJvpE`QBX zCVp+d$nW*6OiWT{s*jeK6)$1U)P;-2d2fdwH6E1jbf@JdA1zxtX*o*43ADTk&3HA% zrx~3o3Ki70T~+306?5)yFNi&FJR?-b=QhHJb~a3CTAW`k?Pw61B+C^(^PSyUx@~s$ zJb5_)A;r(=?3w)MMd$VQg2eL$=Cd?mODkQN!S&MJE?1?#s6uVQsDJ-BHb`s-oyXdX zyk1v{vD9^tz8s+$_M-DpyKJ4o_>zV^P8Rs$IAxw~>#k3H^XxeEMV@De%Y7k-wqg$X zY&|##q!X)rmW~>Z&5|6|p_lZLm7}xC@NKPogh%jde^_XMTunvd|9i=!6JM8 zxj`wVCi5}K(>+^ig*A?~33sI|JRz^i_*1?8o|66E_xAi0mf|Mq(?rF-4)U|eaQ*6P zc;~rE2CXOG!qv}L!%TA2!>UPWrjD)VN?JDQW*fa^ym6yjG2UpACH-x6AsOAd(ad_E zfE}vGzrk`Ug1#l-o6YTS%MΝk3TZSz?IOcFpyLOV2I7zf{kPOhK`6`(_?gO;?kUJK@k6iT*he$+9# zL5bQVWu4LVB77FuB5AJv8apLec(mj0+eu{Q=f*H=2(6yvyKVmSQhz1$xqtbFfJ!pgS^1JgMQO|l8yOrtOwca>4l!|?r^ zix$UK<`xyAXJAmDfJeX&E8guFzqbC7mvH??mN;7T{&hv+vc=d(Pz#5J#5Rr&In~8g z>hbO&XY|qpFDf3Co888&*yG%DolNdE9f^-($uzw-3T48oG)rH%`nVKw{1*G8)r47Z%$1!EL=GEk%g8C#wnvh zm@N%a3hUE=Tawk9v3|7;4O+KuPG!p$#v@Q5X6#0U381cpNt@>_jGtk^A46(J2GC%5 zAa&DbrCV7Uz%nT#9rYQE3k}KXX?9=)vLvA^O2|Vd-*)b55tZ0*-$$IA?=eF+GC=#Z zwT8^CAj>8UN7{PoLvk`=D_Cag+DvOw%-Ai#jN%t~m__7d{EAOqJA--?pK7((GS;Tf zFw92l8w_dbR>K;?eH2rMI4L6|X)C&skzu1QvP>JVdW~)4-3AcJkYw1Lp20^SY=IH= z4X85Y>yk1Jt5ZSibbD$>R>pdeDvbrlBQRu!UsY^Ov4p16B=3_xM%VTl-^2t-9CQaRhMkn7FHR--hEPW$}2~{B~ zM=ql9gQ63BD#B=3I2(g+PujRX1(e}JkC29OnFh`x1_WJz&dKYPdxo?m1Ye}3Z`qVd zU4twxll$K#jJP}>OidkL1oosZa9$V{@@Trvkd;b=Ux#5c%s^KStG8NHGl^>yMPNwA zNMJ}O5d9`kjG8oYQzv?x*KN&QpA0dkfia}nHmwFXrKTlATrkKa8nRMEFS=<(V93=} zdRivBfzi%HCwv{E!Xz3SlQ#RQpf$F%WJRMsX~SSZqE|zwZQi^Q2+$3-258#Qm+p*s zS9d8#7mQJpjGNd~sTt{tJY}RRHTEELo$|zF+WBPq26a#B5sFLEn=~L$CkvoPe#mP? z&B;-dCU0VEPzgAH_41#8RHW{+kL+fXPy$!_QqYM;)!v%ZJ zMnq?EJvGA+i~c}ykcV_9Gg5gdAuRUK+$KN zDq&O7M&Hnb%TvMSA(_cZY4?~o(tc6_Ldar8QF2`}Ox*R;LK}0F|3a8Nv61TKHD+L( zeo7-K!*2V*taw*9<+`3^gyuk5gYo|Gd8v!|KRS9h&^dk08cg(H5$if&w=sQfmq7Yd ziZ5W#|0Y>3vTDm>t2zbRzr>Hpb0=#<1+DfQ7Xzjzp8#ieO+IWaG|3}r=yu9Nu5#oN zO7e+1pI7lC1%2X*>~3y9*<_*iRVb^t?q&mYMCums5-8-G9A$Ni=t)I)M6%#TS!t;m zGa|Pj)@#$I6qr0PY_dqllfGI5$%3-p62fSfP%dWj*(HR9q^70BP;eyTqWL-i2CPY% zw^&)Js1I(|7O|KQMrDi_vDZ8oUkp1geO_9{*VXwoLV3Zi2fR}2$gqMv4|wDBMOW@5 zKf+rU#4Msn*AiXaW%i1D=6bPV%lBz|FQK(J_5kQ2)*Cu104I)Kx*E?{+@M9bTYQ8* zjhCO2y4|99i}!s`HFUeh*LQoBr&_w*qW$pad`~qCx=5@7d|Mmx>H-f-#zj8Qgcd6@ zoGJ*D@n0y{iSQnGZnm4*Lb@J4Fi+g$_7bhFfiipKX1AzpXZ1zPMq3bpvWTKkyNb(g zm7uMPSn{dtXn38)Bc#^tYfSngPNoPNYvo9wKJ7Ec19QcfTfBMY;+|IC8ulzgFsf}B zRX0WzXsN98!H`~a3q7#yBYc06;vi^eWLKQ`Exm7Rgq0eviF+&<2aH{29MM>qiXGtX z@UifX?i1jQYJNIsy$Lzj=I0>zsHcNSHH_&E(fU5=cSvf|gkL70QW0C1oIt=u&bkS$) z!XKj#NyXn5`1nvQv&K-F4=XIxf7ZV=Bz7!Qw)ECtVBkF?k1M3kZc1cXQhyW1Y`$sLsL*agJ!zM2Uuw51tB=E}|OYtyV z#V!_T)OqzDi|i6P(D$I%>qT|FN^N~|+V|V~ALuLpv<3+;H+qFV4PLKZ5)&J|cIZF2 zy!28%drON8j>Hzx-d6jiu~aooxY}>NnuoI~elC9x%i%?e#^8}|Zm%wPW@yH)?mR}3 zm8lQ=Xc$T|0JN#K+(n2tLe)&eJ*eL85$V=92B?BHqQ ze#HA1$$k-N^8@`v>Ja+tHM=V)Y}_5C&8WIj+KK9)KIDs^#}=k&4ipoDiYLz^jJ}QK z{Q)%-g2b7KmMo5*MFciu4=oUQRmaL&&@IdqJi>fz@qkvX;xNq$_4M-0{=&6<#Qm)Z z6_@CRvltcE1r6qv`tfC4p5UgU!sdo3tt~~I8!E2QI}qMDFBFXlEAbtpSg`^lA4p79 zJZ%=@Uu1mXbX}x&m|MezxuN000=+O5z004k1`dQ7;##(c*#c=}BunoXB`~KsbWx z1f8%*9e$*@@KLxpFBDhwN3t8kg@ABjq58;E4Qsv#=dZAk3eQEw#Q3m$CmVx3I(G)oeTSmR4x0J&%gO?^Gig zIrbXje;@9`x@!I`1&!GXe;$_aVq8TXC`KXq8t3gA86zM{GWF8Kg~Uo#2y`GVMYsaf z=N@)IuHb{@Yy^C@!V<92@Nf)6IK}~Y5jQUk!VrQ>AZW^Siyr1Ri37#C;3YRo(Cs6` z!ihxmF<pKZmq5sz3w_4d8r|d14RP?Wt)roG8hSn(S@9n zrQqxHa^Az{G(d4ji1xZptr%K&!*W$>_aiD(S+$tRILb&&O5#2^vthFg3pn zx{&OfR`lba{LUd2qY;6#oHMN)%l3>}33cInK|c(Qlb(E#a~s9Q(LPgg3jFDP4TW&8 z$D<}CB5vD3y;Ob`*JjET;yc88OpN@n5RUzDR*}Xkbzwr*8P5>cN$uZ*;$keKR9f^B z1Dhl2rO`LXe)j;;fU{^faU)qg{Xikj1eFxUstk)%@jxNYL&?wJs8P764M!-SpaT}* zBw$g@`ufWLgEj;xZjtsJI4ZI2&bkg|l|s9EbLPrgi-JM13k?>*xh0Mf?F9STxSY%a z0t4{O1Dsl%RP=knLLM>NDxj<5eD_e#-i3PU1&Ry|68OE}BT|gY484YHugc%!T5r^2 z6M@w~=g4~OHnLBIIxwGcBsyGt1R7zU9@GV4Nls^h~KCpJ2hB;R;)oTs$T7&dOd+)G__6s=wHK!1KgjR+ zR!vWiB@O@d9LH(gsF+GmZ40u9gK+EmI1BoPyDR4aMP8#g6%mQ6$SS~Ogs^Yy|C^zq zJmA$D7%u^!~giD6iDz!+{JQSRm6$*n6jG5gk259D~5j+~(X46eS z3u4(-4s#nAYJ6*y*$wmhJ{6u4S8+oj5f+#1Mv=ri#ljfYDAbH zDlCOjab8TkfFYhKoS+$cWVmA`P1z@uub3JH-~uZpD>Ta7Mv?H6b*cYQNuST-Ty`W| zk|+2_^x;Pq2BESl9Dx@5h})quE(_V0L=+GfhKjM5Xi7(971}gQuu`HD+H8lXH`(4- zs+~pC0^)z?`H2*<2Ch9hk2t4Olpsfn+#C;5>=V5-u+{mW>wKbl)#EJn$dy8__0nYx zCOn1IP0klQ;dygue%CnLnIo}Hj27&znr*-qq$LXkMjG~X+om5!*q6gIz0USM)@zW@ z7!7-716lCnk7PF})6o7gq+M$02&@p@#|)Qb32_C}a_Xf$K|feIl+$wL9I`Q3#sG0na6& z;#|FO3U#~wcLeJavVwKt`K#2f_m3pDc8=uyP@2HO?}P$b(FqNt#km!IyNt6q7%C}T zSOSw1HBJR*`joPwhpFGiCfe)8l1wjVL#L7bD?onDfz&_5nChhuX7gQT&_mRsO&qG4 ztH#VsOn?#i7w$qo@ql)Us+_A9qaCGS8T9cH^fC6qLTj8)khsx9MF#Rd*I361CozXa zCA8Q|qs+~=Vw{zQLNiHcOxyy6>5ENe9RE6!=vE}1SK)dgJSR1UC;?2agNi>)Fmq1jfdFKUF+m2@WA8`Hg0gJJxs zxvF>l_AMQCYdbnsF|77{_m0V&O15?|`X$He>MimSoxL@Pv_Bby$xY+@oMHO;9`TPW@P75`LiK~<}xVe%0ldz6zVb&$eBeD&9dX}sM?Jb=;MP#I=G#Gm< z$6<1SH1-AuDr&^q2HM4{7h+okyX>Q?q&jV-{%A-$XDK!Xw>bzuz4Rn+r;M`%&3>4Z zFc0Fy>nZf;WAwn$=F8SB)P&AqA$>Vq90;Gn4y-Tx z*dyL$O|$|$iGWD=jx<~v;iyCW_N$2B{>#W5^S6C+D*N!FS)ag}fMvY7$>^;;Z*(Ji z;-r7sBSbY?<8jOtjIrXgw>bBCydysT!OH3s)-1P2kzU%-Pzskxms-O4pP9ZfZRKOy z21O|DnTE(LDDGx)*NiKAY1!aH3evFMo}1muY=h_`6@h#?2K!Wj@1oD!c+MnG?r<|b zGg@{tMZ?X63AQI6E!2>Eejq8{T2|6LI{#=vN%R#*T~CixB(~y_94Nq}B{^f2mag>d z3dS=c&L%dq?He$2o15$@LY1*bvt3APO3*=W@VAdDd zDUx!~T=J)5xa#Bq>!DXAbH@4FFxLD`6Bt}-MF+IycK$iXuN^Q{PtOxR;4PpsskHgc zYt;`D!wDa9izqXico+wDn^F18(TH#Q?Yu(g((C@bM;y^pKb&36)>E;AhhP5@+u~Pr8g(03eu}$#cw4wIex6{kBCV-?H z{CIv&Pc^&#jnc3AmF}tV4P*5))Ghh_sGDTFzGTAsvz;{c{z5;t>voJNw3P&=H%r?t;3F~z^SvuNx1{Nu2L=EJVKjNtK32U;Y1fgJs+8dauS{L%SJf8o4b!B;aj-Oy*QP0rmJHi@Y@5#3m*$FILSl11e1(`DP}!wU_b0EQ9LOBn=_aSL+iQ)k zNNlG0%(`wr7A5pG$C`9t0lw}o^Jb|d-Mi1nAx{cYw&;t(#^IB;6tJ5x9~NF;nDQFu zLN8ThyT*4Z8bE=?59*8l##b}mN!`4w`l6i%*Vp^+aqT&9kLxAHex-ewQImX>RV!QNrK$vXzpDIFI*f_MAJ%{< zdK%RF1kO&O4Ni>;~5<7*(*CippN{49GN zu%abPC^?UaJ!5e&cQ4}4VSf}b+Ov~=b_1r!Hquz~M`8l2m4s$X(aJmY*c!ITGtfj# z$lQzf^?g(pKDDVuQ%37i4&Fb#6h9Fo8c1=>Rre|DKot1RcwT_-6B|(j6);J!@*2ET z^+nH)r!~xA`3^ar_Fm++`3M!{3jBjA9v!F0Azwm5x?)5dbfAu(SY9R9lBMRTXvXkj z+)G-;%V9l;x~Ja<34n{V98P9b$jknDv|N^-TJlgH_WY9uSduAPh_#dTk&Rr%FB=`v0r8D;88AMnfdwC6m^2wxOARCNd!#&!=% zXtV=XsIf!EKlz_{R1$j!N?(nnzWZ!ZSpSYX@09u=@02>M_a!P(%}Y2dlpG2Ce55Xt zmaIb6?z)`z=QEe&v_F?QE2sSznWmif9oFca_8jYsXV2KCmyEVFPPOxEX1_nD{STQBuq>KX%+I9!1-!`^P>Q&>y?aXdrVII zU#w9%?fcNv_c5*BbY1TTIKK>V_6~GT4J>&8mdnjPNDcp1Zus}q@b7G;C1qo8{&{DB z)_Jei`KY$!uP~=?rPch***WckOgho_WJx6~q?>)-`GLxLP30V=wu3Vq88hs_ybvV& zhv63L=&N?lP&-r9PKUbW&@GIceN9gLvl+?upl=QlVc3k(l9(U$3 z=K)r7Ck*?WrAn@u*v1)*0h(p>W)tw@^5A%q6s&WP}x~qOE zuUnv`@6b(fu)$zp_&cwJ_>>%2B4O1+9D^E?dL1EOBB&pu=1woOPTQs(La} zuxOtZ@2D$Ce6OccvUdrN&zb`Jd&rVu#Oe{%_iRsL<(&PVqqJ)cn)|&rOXJk5N*<0E zvk&5VEX)(%bJYE;+lhO&f~aYn_&456(?`+9&-My^H>1?eH6Oxz{(j_5_55hbgQ&PC ziYkWg@jxb11LFB}^mJBw{8m1_$0{oy+NDCyPGsbO(VRDuau&Ludy$n|r#NWC^fEWU zxkD5yc3K_|P_85NZKPv`ItQEVqp|Atncmn$!VVI$0MLr$%$-vJN9ZcqXc(KFT>DyKYI>O@(q@ zGq8`VL5@nfhuEp3PzvK2FVCa;;RLM4)!uGhZT9urrws6!lDgWqmPd7)aSDeH3?<<} zj@7U_X+sjS+9D}#M*14u;YE}YfqIgj9?e(CSY1^YS(cK2Bhyq^G)iT`FTHS_ukfD7 zE=MIT6YJm!MW3D?=hJy@a()w~KGHuCygr{delag8BDdOGvyfX&Rz*r_iYmT-hZ5Nr zXc3@6VzK*z#C=2cMUO4T+UYl=vAWXInL{OpEQpN|UvBn_jzA_NG~4mA+k0T<2ct%2 zBDlO2G3CxdENWLEv-*Bgta&Ss@WevAvkt%ZpXssvK{wO>tpC^ciTc+^kvs?=A-B%r zcbR%IR;SYFnVi}|F%`?6BAVk}E?SYPSapFh|o!tGG2cClgJXgfS zGyNZ+o6~0&%Ew!I7A`H1;kOhK?RW6Dk>N}@2M0tkQ^glEbv$9P*Yu+pAk@huHJZG3 z+J3^0sZj2lLIqxZdnY7VXDkElmG(4NK*gR7>cQ=1a8<6!_AD*l>Bl> zBU336sQd#b39eGISDb$ygRLx@$ocaK3c$6!W9Uruj%>`z+g|E&DE(ALcp`m_5CGZr zlc@1BzFeZ7K6 zh$7)=6jqJqekQKNlsgJ-CL)tpZ{8Q$5A3T_Hh*(Xzt%F_LvO7R-?`+$ZVJx1&p2!i zHe>VZy9jqg4H}yX$7WPf&T`UD?a`hNL=1+qFu!C_ml ziz@h;0 zS=QCc4!IS%4J6q0RAe{i#N1HhTYvGVgmyIez9lq0c;@C3S*x_h9O{OYk4QD6z| z%0xG&PqRdGyT3a$=rUeCHauE zV_0aZAYHj#wvK`v<{;8Td`d(ZS|InBBVty?b{@-~TWM}*Knr*WaC1axMesj*J`y$y z4tRJXw@u0QOy6$IZHz>o)Ms$8#eFTUMb+euZ3Pi&FMa!as1%oZ5N_85lk2&ETS%_w zvF!o5jZN?P+vVF4%MRP;}XE~Z91RMN_&uZj`lOjLZ(`d}oA|Xf` zl9fcSu+GFuCpxTvC{8lfb_a0XA2E`sOwU40ppB6;h57=vbR9C5!hG)}Zs%xVbiNxw z;fQ%!JQkgn**7{VGa`D0Z9E!sz3BXqWd&B6-61YVv^6s%I>Xivzk0iJvO@70j68|1 zMNT`5-eQX>NN7T>NDpH?7Kf&h|7gU!YR0|iDIQdYBQMN$KVgii28B@KX|#-1oknl8 z-DX6Ey`16REFHe+oWs4*Yi#s2)Y;z~z1r3vUxS=F7dfLv??EaXZ^KeG1RmGxv+xqYHZm+K}w}QWYW@U7URZgfy ze7{I9iNiS_ZLW{y7HL+VgBIm+$E?g*dT$fruUhstQ)DTWvK*F!gCH1fw=Zt_{^5M~ zvNs=@35D>Qt>2>9PQ4WI{ngj30Yi@Ep7!FPL*E-0j1`G=l!i=Ww1UJw2{ecAA8mWt z^@)rX*);#W&9}Zl=0T)YjfKvA2)k`$t|%f{|}RAQq+i=N=M0RnYJoS(&cI3 zL@aqhH{?3iOTsWdt06)%OHI4|sH-pf2=k1%Q;rmNX0amLyHFWqYISQBH6fKYZIMWh zX@#^+h#X;*OO#4}RxVS}esAe_JrEX5=$8;$>hg_ZSCjFx2MoQ8qoF&B>W+kHnOc3@+{JgnL zKJaQ-LMOs~|9Fl7`3H_hrzn@H?GVN>_ti?tGq$2m^nxGWW#+hf^VmH%{4*GQ7f{oW ze8n-=;FuVkyqDiK5)*^dtZ<#+a5P@>K|Q7B_{xZg;70-e7`;7&KQdQ-o`Fn6Euz{F z3iG#w@X|g45%tp2Q?MpWQ%Hvs&dD42L3(N%xfXb`M%v5zW{^*+5MK-yKge=~li-lr zVL~OzR5h7A-(ZJUN4EV|Xvx}Y7v8BbLa0`q7LKCi-*`zY?8D`_dz|jtF5sblbabgn z7}TXbP_gth_?jxdAe_8bH|nIZ%=NK6S8>nPszE-_6%x;!F?tKkEi~gT^1^n_Zc%3r z!;}3`|5V|qUs@Qw^u>rmm&C0Rif7ZrwxEn!D5rBgLY3=Xen$v!UFF;@)QN+E_diDn zwXXljlpx>Jmjiv22qa207lRVCp58Z1_CTRaA)F%A!LR%R0TJd)8b>cRLTjC_b|MFP zxNuhWA(yn&uBXXJ0+o)U6w)w5T2P9+zR++z8a^e|fns&W za@SFrVo*OO>7IcK#gOZpD8{4ND0g{*xsgaWf|IUMn|UXy8IEd(pf!9fLE9FJgX+qz zgWB#M?mCZ%U(m2>E)EjmAcQIwM=%kY7>x1(utE}WUKO)OFOXKp$>iFD9tZ(AP~<}V z4OvhKC)|#6GUtK+RUx~@<&bGi?`7CNz(-@u-6br?=;iY(-ZFr^y_01n)ehS0r3Xe7 z!X(SVSx~gLiBE}Zg{EqWt9mOEKazI@8|Ij{;CazY{U*!vH~LSi8Z`utD75yb)Kf54 z=q&;tPp(drV=c>!UfB0W2b?~LxTYf>&BA?M#Fww&iB~4O@~azpbiz`v)9Q!ozDfSL z{&9Gr?caD$K;5V&W2Nga^62o@%`f{aqeFTdkrxOd#n?3Q;kiu1^Q8wwG$ToDZuVtu z=2VQ)-58uZ32pR)&;6$1)^tq9LK7$(Ev^7%L(o?bOf?s{#51YTRn6n<6I!a~Va#w| zzXmYiX>Ga7q~>r~OS z6qlrzp4H>M5=v%mC0{VFIrS%M9?NU4`jMK$yVXoQR>W(%{U>U2QcZAeJw<^g46i!r zLQ|^0z0g)iXx4Hxd5|RRlsJ4A2vNWv>96becvxj_biG19UgJL+TyIhZVZ>HQV?fLT zhye!Eokd#X0`o~Qok{v@B!pb! ztO@ubhUvk;@U{OV3=8`G7>55GN*Ep$%=G30@*$WnaSSiu*iC`?D97;H1dgEw;d?jI z@Pi;=7?Rp0xIKvqcA6}arHHw?oNS@d#mTvEV7FjPrT%B6C8m>&iw~sq#A!Ggm|p|; zN5QWB?Kor)Mw3>2Rfss~;(}!PT1!68E7B}sl4gGemuB&MDe{d=bfcN{z+GPmFCwEz8-@Nqj~pHa{!zK*oYsI7xvxZ=4k7 zr&W6Dho8v*94f!<9JQN9#Ys_RpA5QXs`Q9)X$o;0?f31!i48X&Rn*9u{_vpxd#b!} z>jQKTQRUFU|68g&+c`QvGmhX~yrqM`>#HqTFHOb#3NF6Y`Qc|8De34$=cbwEnTRQ% z%U=iFGA>-vV>bDzvlhfIKVeum~rcN^gKU!RG{{L-A5mt`{=TT1Ym-_nA`~P}K z|0i?J^uAqO)6-b_O%pv8%gz4q#v#QDu@4O?Zgd|~DX$N=4F4w&X)_J!g&z*-#m*tc zy9xU_r(f$fr2kXFuk5o7@#B)~&5m#F*;%Ku;cx-Pyw<{dZ^O+}HzLz2cYrz|zAhQh4aN-?n+-ZIS4hG85f-D_KK*IA${D;@WQ)Ia5gtmahJ@ld?+AjO8 zuZ=2QGomhRbOmCQI)C#|Db%J+DyT~iw2={hZsG>wBsMdJY9 zg}gN&jiaXodN1g)!H&}rIvk)cmNZmfypB9?SZ(0NI}inP+aJ!Qwfq6zZvJW=xkfq( z{uYyc1IW&$)LE+~PdvT-(l*#}4%J0yZ(oAL26%~PAnKH;PAskHBr)og{_uHX(;xKG zgM$kl=lC)0KYVU(LPNe;*^i6n@wU3KUyqi+BFY8@T0)zD2HPgd_00)_CUO0}p*LD!(oQ zKgO2}bvxQwU;F2-y74EuOBSbp!_I1FMY?OxQF(Wz2h)-#7>v`WO}l4eWaPvNcinZDA@-343k*q_nd{dgM=SEdrleX^ zlPO_zEYe4(rls4~u2b@PVy7mJ^$uxAIE~|Q@r=;i@;*6VHiZi#E@{K_N1WGcK9jFF zs>LsHRnm&J)tBY}@Hz-Ef^DX#$J@tBBaTK@XY>X;6%Ba?iVtr!HsqIz_0?a>|JgL4 z6)HHZ73(#1;d{?(9izg-M8)}G7v*~tx<@MxN2INGuf7sga*LLt9 zW-~tjVrxS%%(uc6O+bENK!Es}Sn*1u))2lqPylA{&Imp9xGv(OiKSQH$TeL7C2lK< z+`jLMHedg(cHdQee5c)a4IkfYCw=pX*idxu_I>U6d0kuNC7IhW8oPO;3oV>KI|@wD zv}N!1#rp$QSO2xl8ijlLKh-Zpa$b~A_jeJaO?)V1+MB2p< zBaT0aJf+B9cJSV3u(M3xm+=~NOz%KSdt3heU+sah6=6kM+^|e4J=llZerWWv0}DPF zrKpDT6DQcU)7Q@Ao@Scz+-fGC9zpDII#2(Vc4Y0Bn%Wb1e<~M{p<*_|vpf_-3kN2g zGnX)d@RyyLq;kAHl`PdV}%hW_5|I^Nldut@Tc{i@q_1ZpW>?5De3r}uTy z3uBT0h^~_oOz4mLsUB>m^u=n}3q6c+O6*JLdiz5y@-v-@moQz4z%>DW)@|X1NTKLR zq_-s!hSitgHhPGDO-LbPN*N@IDKF&0t_EJ(W_?5ZM}|dov&~Ks2#_pV@ zjG;JmT9*|XQ7+a+9E+$yILirqp83^t$4@+x5aAXZHD?AK%jh=`MZe3^M%CG`v<`4D z++wIcU415^e8})=w0g8X*5pnj3FQV z2718t5hiv%C{XiN=8iz-`mZphR7vi0#W)>ZI=8NQJRr<3Ha@5at)%A$-~et+^|o1(X`4L?-fqEenEi|TpEY* z9VWi&~4e19)@knt)GWo$+{HVjpUkER>4wBO0 zq&LTQ(Y za0)iiZ9CeE^wuH9GiYt&Xn7bxA)B5A5ZyxL5-W6J$BbxB^arCLL74QXHm+H?IRSd{ zMmWu@9El!ZldgmDaS43b>*P2#aS98@c$lVFW(A1@Rn61OvL53)9>JzoHSUD^-I>Mj zh*>m({@3r6uXFac8I&K~VEWzkN_(h$0mIYiy5E_?{3@KtZ~a(Px5*FjrryL8Z^+2m zU%_>H<4)WilwS>;0Q{rSaAvSLH-yA2YhdDI%TLHp%l-Ml`HYvO=IayjNPEnmI_PEI z5l6MyY0^u-$Nq91%zB2$;*{5T^P5z?cf|j+!b#`btwJf@ge5kM-}_Hrmus)MPv;!& zTYE`UiM$kv_&l5YDU15lrj9CKm3TstrMv0OK&AO(R$%``IG0~5#CAB&h?H`xMoc(~ z;6c1Yc+#;cQG+vdFFaR0<=WKe0aNg@;%dBO56cFMSjK)z!bwE;(OZOtrtrK!-GMN= z-NVSlmR@b;vlP!Y^E%Hv^GY#J>SLoE&pL^UEMUv@|c0({)t2GhKNWe=1a9aJ9Z6%#x{ ztE(KfI!<3ZdkrS-SxkqO0SK84Xf1S|Bx9OX%Z>)M+Je|FWmkF;;+BO|xouusKOQ!Y z$XA~REI+7b;;xSCO3AT^G!{-$$$;E;ul3aQQ`-Y=L#Cgz4?;@JQ$n@}xd%dln>QG*8VkV@OhTuvO<-^6T>tCknUYqNQ*!SkaDpF+Z1bk6be4uV#vkOHsTaR!R4oQ$R+ zH5JJ4RSU%vu=C7zwXL7AtRgWeYN~CJa1t{xbjQv!&wPVeEkq!fxh{f34~EjxI4msK z^|>+%dL$5zgGM+9E!oBe_-Xb!y$)Gqujg2Yx{nxagZ3jEUy~6U0h!}RRo7G>N1~K9 zwAlp}>T#QKI-9?X+uW3MX}exJJ{opQle7j?mWTInxZB(|%en|bemLAB#x{iEHlqA4 zOXCm?g6wx5>gGLsbWU(7!dO)Wh6QVxi{5Q|;ttoB{+BU%)jHSE+m5S{)2St9KV}ZZ zdVX6-`bv#R^++`m4*nV0_Bv*b@kE>#k06G)66k))7t7c-Y(a9U%q67r6L8)J9tPC) z?suerb7qFv(vk6mGC9+#c;9zi_f_h8dnrvZk{Nc`o`3-pMsK`?P*X>fJQx7E6Nv3= zr?Gi4O7+W8`Slcf1h_Gr|H=OH8FZk>!JBKLtPrk?>oqZ_VoU+=_KgH%~vtx}AS zuT|$~7!-H`nw_ihrP-O{QFsNJuW4$;sgw?5Dbk~o3L*akybvCQ3uzH{)sIH1G(5_5 zAkfy|ffSfC^R&@fw!S&B98o6q@z7fnQlHF-PR`tmXw*_(r`G6o)!_MAt}19U@C4$ERV+NGkIGft?ZP;Z>kY0C zc}MGZnBECwYMYiG1tVkPRY(;g+C#-`Mae=lf@EZ1``*g?@KxeAaA?lBj$mD$*@HLX znWXE%(HR)EfhuFE+uWhHYOu|VFS8(xZ7k=1H~|$8?Pw8jlmv#k*WL!BTrWk3!m_|o z1Tv>h(znfW&9KBttTfIR%F*oO=h|jvl|UDGc0uyczW;-(2+WrLimPBYq?zzJaj3QD zdD${PjNm=rEmQ-a615GP2!hkh?;iJ+Jj(H+CV4hpbSnqU0=GmPsbM;`W0C?8!$%P& z$KY+m7p@V?g3xo7Q{%-GD!fDE4x&hoH7v9*QO4RIWGT*3IluB0jbg5gp|e~Pin|b7 zgo;ek*^w~ZysrN!qk%w7(ZHQvxVTv-AA`%EutB5D- zfCWd9al>HkKx?&G@`hm$BEQBM;EjBJJiLsU&>_^h4ksAh2_TU-k-RgCA&(q7Tzgea z(Fb#8l%uACTCJ=2z;O12{r4@=PWZkv{VC{h@mirZ5LsEyx+;<7l=z2~l1b`KW$WEK z4IMbai{3e*9$ijzB>%0K7OM(f7olK6f~(9PWQDbZr{NtECnJ%kjD%j=h1&g4kpA05 zywM#6ll1sC&@1wtQfkOBeQ@~RzQN%F^>HA4FnxoO z-`1JrRjTJ4+sZ|2v?EZ;hGJb^uzoor+7R_}Eu77Jg<<{n_f~>vCq68L>Gu{#EU~*y5a`Vv> zn95zgT+NVbsL0D6>@^(5*=gw?BsmK&ehhXEw%AX^go>bL~ zLA&uv@daRlaXN)xpI;eY#W5OkYHy8pdS%8~TVRywq&8zXzqUoLGv|H-AmHaM|3 z66;(6w7}$@(8eua%oWxLyVw;`(+$KdG_fF$@4fz`{>uiUcsOrr4AeaFYR8Kzq{;R4 z!=eNB01j6`x%$-7iOw?Psmzd*u6jS+$d9&Da$Y5myRm^%?t)SNzw*93JgOqy`}E!& zViPe0g&3k@6hRCsXiO(8hK?JHAVE=@!39MTH0e&%fhL(AG$$vCnVCBaGA_uBGV07I z;tY#INI@FJ0zwp$u!TiD%|VQUNk~HX_j~JfC&A_3`#tx2p8Lm_hoZad)TzDRdiNLW z3E;adW8b0#@(kHg;J`$PUBzf?>(@HspNrl=jHA1a7JK$L3191Y)2U{Or{av!j)~4rBI#X1jkZhP6+2sOsw&nhS<$06J}Eu>;wHq_m984N7KV)n#6 zvh^e#?cS&T!;@k!2|vSto)}spwFob&)KTz1#Tx_+s3Mv}+FOhDx1xP2zD5&x$>Vyi zd``)W6MF>jFTI?0+bF_J(ql&x*KKqx?E{Hx@>ld)@*P%UZgW&I&wlgf|ldGt!!sgf%nkvZ97WMpjTw-@bv~j@1br}3+auw>A zH`8uCwQT5Su#qmSrv{paZj(Fl74&VBd`9gFb;?DZv=)^UBxbtX}z#r zO(i*BUYB+Z2mz5I>BpxI34m_L$!hOIl)h8V5qvrwk0qMO`l2=86uQO$YR%*wha7?l z8BTS)D3=a8&+K*JVX8n;1x+`oA}aha{AeUJJ2Fj|213+`e-$415f3Z2DI=@l%P<~51UPGeA*O>J-(h(! z5xsC~7TtN>qRT{}KtP0kgaxU;Iv>S>La74;S5Zf8t#WM-zvVE57-4xIotb`gyf2~= z*63IO*k(ZVWA*|cp%Gj?z(=~|bI2wA(G`##bYP6y&I|hUvshVpP_#$g7=txxbEl-F zI#yiiAh0R8zC@Hx2EGX6JJ6032ov^q5y_$4tSkmtBYI=eDR^ziT*Ft3$jDZkvAetj zb#yd#GyU;P{nperXbXsC}JaYv29%`Gk-*z_mjRWF$%ygv`)a7Wqt*RwD$O_iRR05GAw+P8)=wMK z8!m&lbE5ZeFm?871GHqYw+_jWi^Y0S3uv+dvg(8??O%Gca;Jd)j^lI_lhGzzM7suh z?J(R-%ME!V*petxJq$p9(s!3_hI8MGH)G_uWfL$1t)T^QsETWY2o2ECZqgn8XnB!QehA!a?|7LwbiQ#Sw<0G& zNe#cmJVkqn1i5^!5plloYN)egacZVoYNvF8_virL0cp;+w&lkNnW@?ueQjj zeMBLR;MyNeS9=qIWX^_^+t6S!N}1L}W}jKHk+6*J7;Nl1!k6TlK#d71Eg3ZhmXX!K zbpb>p8N2g`kK6+fR|5hyDG*lZfMG?Gl}gDqC{E8H!Qxmgyfd^$+XHD6T|&Atz={J_ zbifhFK4cDqV-OW}KDeX84Sxh`F&&mu=qaw9$_5j(IWmz7NVh}SPeuk2qO)DD&4MS_ znhaLBaVW!Cs>nq^m7>)`;Df6qI>CL!hyzQo74N`&&lW%7jd@Yn(2x=IO%AxjM)GzX zHrP!5aL^LbSs=)WH^}B-ZX6sm>h0Qn<+jLm8<+3x6m-R9@UpBcT{dn;;yN0BT zQwOd&e5&<4g&8@KV~9Q^+QCm@CVmkBCV-gW&shcwA|lGy8YRjJu_LHUv-ct}aS%|k z%ODj`HW=1Q(v-E?Z{YAv!9`724fvo!hhQQ&btG#5r{t>M^?i9zK)V*IM(#izIBx&p ztm-MEvV&s0t+rGzq|agatnL}-+xjy=G6%q!4&0%pfb)BnRO}G#QcQo!wGPF3onyst zN6^}2l~OU@q}fhYI|c{`XBN{4y^SidnUm+j0&0t@5F=T!Z#a zNqD@?I{;gZRD$f-`?Y4H7A$X4E5U~uEH0?1@)#W-)LhEd_YRcSZJKG@FrI2xOFC!% zu=b*!+GpClDYxBYD6mkyJlc*$y)>eoMk7Nb+E;obfbLA6C1UGOS$oz_M|H~DF7Zh| zfejWV#bi;O7E5Gw#JpmJ!>OiA0xquX2R2BeV?`GthP2vOhV3hdBcsE<;;daxy3Vn( z-M;c#2S$O}r6$N5U!nqMOAtrFJNl%Siaa%GWc5^Kl6{JNW(p)2P_2Zx3BPe@&LDz` zQ(fI!1>CbHu-OP;Rd-}axbBEyGh_#Ao#VzAA0fWfHjs1Bqs49}k&gEkAV7W~K(Hc) z{qDMDKatvCP=n{51Z{^r$o5Z9MKna1ur?t=AaSlP*-tW{spXr+u!c)xL7~^;CMQQu zz}Cb^yYOofY9joo+fo`7Y)l^t_#jlFgs>-cSb`^#2T4W5b)|O{OlHZ_wy>j|D9S5+ zcO$Yhbme-{mA`8eQWPQ8O~GqMZD03O()Pgl*Gp(0Ql;9u(AyFU8@&A>1|q`oAM{hg zm-onFOOyoz&7CH}35FL7y0+t#Jix~j%~jqx@L4}{d)-%ckO#+!kGyyp9KJqi1&4=X zFUfs@=cOTnr@-GZoOI|(04)U<7*@%mS)0p&787;*=qSH^dn3({b~x08P(&R-`?h$T zX{3J9F7$qdvJ7MoE(eg3yCWnv5?|m?C8ek1YM_xk%+!c^nx9sjb)|QL-uQ&n)@s<1y1Y?lRE?vtY&I(4CiQetmLJHPA(A5Y>1iKxhdLm_k=XFe9PU!c&kgXIqizP?GvN0E_L! zO`nOwgvd=ec4)8mp!N-R3oZ;0GGaGP8V-f79`+-yIH3CF+kpQG_9)=~^9=%$V)=jv zk121U8zG1bAzPi@5WQu@gKD5fw9n13?A4!-5zpaBL~x7=W7r`acEr$QGim#T<=x_5 zm{Q&V<|U+!-Y4X3P#((ImOmR<)?qMUa^nK$tOcfZRw-k1(Ot@PyE4m~QCE1c3_ZyN z#fHp@@^t&qZIKD_q)GLFaDf1zY=$=gxi8QpCD$zHn1LmsV?HBfe;}AaFah>ctAy5y z9_~C)j>>6!BM1izrzk$FvcxPeGHa`2BO%UB9s0Uk zjAAp?wM?NRDRA1@YBqSkM}#6z7|4!%#!%mCGx!#m2FQt-v%u05RTUSl~7PmI5Igtv&dRt&&;(Knqjnaw5&wA+Ug+l`@NrMXzI+`1vQiqRe*64P((PG2AA^LrmuGXoL8xCZnNX z2(av-4RuE|8VaWIqH|@4#jWr^PZA8AAgj-NagAOwty}K43-g z>XG}v2aoQl9;LFN`;?$nwtqpplH}aBt%av>Eg+Uw7fypIltOXp0}&_@Y|^o}>NMb@ zX&J!+Q<@z7z#Itnt^Wq@B}|*71PTw;Rj(R?B@rl&Qw~KE>?9T8%l0qbzi9ObX5oRL z{ww97ezFVD+S7tVgHv%QwGZYLqDKL{_zuxZv8@q&t5a@IGZ70ipqVooymx}i0#BXP zvGn&Y?)@Iz7(u85&$emU79^EZCYVX+o@*8&y6I*R`|^GLd=J{9t`W5e=ZT-|IG{c?XXP`5{ZlYh^0*OtCpxJh zH-+k?tY%W{O9lzhgwu$blWQ?&wSDX1sKRI3C?&{e1ev;@j`99Q9B%Z`tgdec3dE`2 z5Nkgy+deQOZnu26{)9YAh>e4}^yAtQ=%$b$THZZ#$J!(Zs-Tv2qXWU{g*Zc45r~lF zgf^^r->M|7whCzfcj(LDY?!qJbhzYwVui(P77}Ug_=%U)=l6)aOLl1o1YR7puCYRJ z)qOe%sOCk5rrGML#Zy>_#!VeYcK^$}C=M@IFg74zMUu?=G<12z5aG%4nof;wL`` zwhIM64}+d3W)6wlQCwY7Com1Df|wu}dPtXjTQI~lc^1jOSHwBel$3aqeaAUuvOkD- zAf9(@L^SJS!AEXKNhK-XA@ncf8oXC*O~DJgJDSNxltE<0y;WcxQ9aIhhqf(d=Am<2 z?P6LLytD!>V5<_i0$OtvN?yKmAYSa2@C2 z&vm2&yAgtD0J|&$Vh__e*X<@6O5VG!p9Dx-S({#s3FyrSf}|Fx80vU=H=P*5)4<{p ztRCHqR}pF;=8_%`nk@2w1EBnDQJyB%Pv|j*A&&uzqLZ`+pfmvKg*+gd{~gF#T(j9u z$I65rAu?=r;1LOQ5+(_Flt7b{;v6gEQG}SrXrpr%?O5~}YveTomO&y$nA5Rc;&j`t zHjRxp;r0USoTdmw-gjawOgx7s1vJ=@-!GQ`?k+JIp?`#RkL6?PyXTE~9SD%yZjwTK zbP2Vt-(Ue{h#*0<;6PZS!hw+#rOxO}khhVeg7=}h+#*(0tmVdTHMi#OeT*>0`o@DL z<1X5@6cmQ$`h=2q9QgmPDnV*fu%({d^E-v47xaBB52TE^<&N!y=AIsNiR86da5y!3 z-0)4Sja2+b8bF^{LcR_6uoU?j^#4wQ&*B~dQQ99ngnX{;+u}J1m4{%t2-&H4;P3@V z5qQba=R@W2QmND4{}i;*-=JAd`{EpKROr)IT#bFzP7;Bk&|Jo4j)^Spn~Ke|jF>`E zK0xM9Vw$we`-Zx^wAE<$u)f}mHw!RGN3NCiF zXkGMMJQEBQbt$hxcWCEf-bpbAJMLMocSl44t%NeS2f>5~keMOkbi#-MYl>2L>!nDL zN|WY=i>_{QIYJqU%IH*HrMC`r(0ef2t!O+h2h||7K$IauPxKQ^thN>tKymJfOB;VR z?winG&XdHZM^1CgIRJ2h+mjU_!hdatwre8z$_bFN#TS28451BS?FS6dD*|3`J68qv zeSu>N^2VLJUo#G?-cbPElTqiY#P@rNjnJrg;Gr{)M3!Zn*TCLqa6WJ)+be&D_yT2t(`f%8Ro7w*>fwU>(f>4z1qas9ZOAC-CVf-+hYojx60!hN>R zAKOrBEpLq8ZgnRxipo9So8>dn9Q;0c74|c(BB84~jkrj@iDLKB*0c2B0V`g#t{e!) z6=W&9$d{LRDZ1fw{<7kH(YmqJT6g3E=KtHMOb8nYxV0;zU$hQ`sFm*Ax@M9{Lt6q8 zxr`k`hY7^wh3t1cT#2jM9bUN_|F^Gv(HfdhKt}Yi_t-F!HFY@D(;~5ART1jNNGb|fsGN#R%WrqNthr-6M8N9mW84M=a5_okKodX!^`A^kWGQy<_;*sIvG zS{q&1K*dQr{w2Lu^38h)ij3NR0C60Kz$syUS!PQ=jyt4x)rnx=Ik|G;h5d%qUAv zkEE5o6dQ^6TEQg{H{bSc0#4ER`vDW~#*RMNMq@=e?NsbAP06xIiyYnfFfBK!G-BQM z(Q?b@VjC`7EudPP0C&gw;G``KmMdW$5@9Nv@QQo}FLW1ICu|ezf>Np48v^IYdji>Bj)A*X>{3;R+B^aQq8zKtUQEFhN*OX0&^U2q_^T zl5iCW(d2i~sYCoS$bvH6VV{N2zCEOjN_Z&X66+yKVE+;nH;kyvjLP6i7IccJ451KE z85EQgw!))(j*%)`D=GtETOEZ&u+kun(^eth8fg&PID<(L%S4!8GEIV(GoX$slx!eN zxzD3R#W=1C(%OI$BS*j$?gnB16s!`NaQ6+>^$Pa^Ve@;gR%F6+HOw0;+|5+-%UayM zEqXi$7%frI_69QJfqY1IAHW@7iJQ(3=9vZY*@Nz8X!ZD8zy*PCY-Q3R%Jv^s+|3aC z3hnMa-XzeP`_eLfX5gL0Qs$(iK66Hm7d%l+1l_xRDshJgQNZYXSb)?^k+LM7>rdfx&Y;mx&>+*NDf%I$$k z3~(p9Rryx2?+96O^XYe`XSd?nL*F3c6ZBx{c_`XYCiYwdc3l&~PZNTx zF2P$kctNv)YDrVzf_g|8)xxw3^}{`ts1>CCaqJlyEC~b6#F+)cI3zMH1=o1P@`JZ5 z9}G!Z^v5;ygN|}Tadrb_O@ED2<779U0MZIh)*8uQfPo2vaN_k0 zs>XKfC(1>&ek=mL5jk*1Aj6A*cDiTAt!sx{F!&gzpT!7jZn9f|nzsun1j(v&8dMNn z>L4a|EJ=})M5XYSE-0Yxnb+x*Pqv~XrcjF{yr;{xRYVEvmqpwcdmr|`bpzlN9y z9iii4+)r!oAVWip>Wk*ka2V4-%^d>;r@LpG<3BKwRs-PmTBm`bh$PjKDNzZtTW}G1 z&i+6r-@DCwKc+=7L*4>REr$q6%nLBS<(q}nP{;ILATfoCZMGT!rH6Yu7kb~2QbLc$ zyvpuPqWORdZUiK#dU1UfA~|czw4qP}BLyR&n;W;SDqr33u!Sgf%E(sRg9Y0Jhg*ZT ziY{!Vi)gJyQm>ByPlmBn=!0+M>e!_S{FclZNRcQC)!M3KP>sBskP0U7v})>~(o39s zpAhHL`p2|4H{m@nT3Rhc5{`kSte1x9O7T7xLR3lm;J?vZ!PO;%mQEnR5Z90@Dm2(> z8YDBWgE(x$MHlFZKA^ux1+1;MvA)^Z;tAMZh3!4QD==}NrV12;n<=VtD)EATR_8zjqu>6D!O&CmZDL27~zW2wxD64 zlVFLW;TQp&t$whHfVhmd)AYu{M|*XXpo&TDBVqxO3EQgSvDR`(i|W>xIv==ggiGRQ z8c`2ssraH)?MvzlI!|h=>bYzNOuIx21*ne#jrwbcU*zBE@f|eJ>v`3rER*!7NRu-er>ril%5zVMZ=OMI`yLFl} z^^=Xj6S}BS@0ETVxx^?#Py}$7ad%-`_kRA;MsX%Uu|$^yJD^}A5%m|c51y!OEbfbd zINjSyohWLim5gmG*uUUS+Ns#O*b7*B5r`(b{OTb64FUxM;sHfnW%k;Fma$T(Zv^V2 z#*m#Y&2=>xO#ZW_ zuqW!MaPKVNlMZomd&J|^KCbk{S9o?N0q8)2+cFJMr-HaDWRR!c01Pc2cp@=@uqPoW zAVs4xEMqC$?lxfKVsw#@vBG5(SGz+9DW8qNJnp_ScRglkAZibo&0AetXLXgi_pD0r z4lZ*ymD)l%kyS?TQSp>O`$Vb(BkfK3WFs2V=5icC6y#jVr6DE5a^eJ~~7EBUuOf*VIChgTu2KMOwhk4pZVFR1pYcG5M?yGPX4{PVR1{Kq9f)(1SXAGdZ~YYzBN{IJ6(w39S30Mkp) zi&3`yaFkJ!17b4B8gZaW-KPtj8M(83b6V|$L8&Tnuwh`0{S=%435r}>Jmxy@1mr`Z&PD3HG*=nC6k&%V1>tT`BqdE^n%Je@{EEVm(eOX(xXdIGJv!mutZb zw`&_ojvlZX)3ywNKuVbrMx|+xxC(vnU-c6As}t7?jG3MXCqN^r*fqkpfYwy7=qZon zK-cJ{ie1-vr^Whr2l`i__b=|}`}g&_ANMa#+nCli%br#|(QFUpN3`pIy0>xAoAh*d z1!G;YjwX_5tCq4voI8lUVX>jCtD-`}dJV7&{dTHF>i|z+%ISKdV2JCUf@!WhefI+M zDIPQ0cik4SPK(Ff>>VGPE9qm_UMWf<_QMmtIZ%LybRHeUeb}zK5uPQ*HQ#p+-o4G{ zyS)2pvDU0j)*gi!M4{dFg7*QK)egkGba$49HWoepX02Fy^hv-ZXqcnUCw-?cC|feo zTx`(l3QxLbc>B91`F5ij`B3phi&10RD3{x}>4M^TVAfVf%a~KO*Xbz*Wg%_|HC(JM zg_&Y07>l^4pkD$z-yER&&{DYGd%5c>Uus(MJ!S*-713({)dSKVSgc)x< zeKn^QKWaX&eF1|8XIg8H+3fq2ve7M9y3d+cnlngSM|I5hz)prZ5pq-I;gQuDyS-n_ zXWYcj_;RfFZA{{B2Bk|kD#U)B5#M#VSrnpEFNHn@KvGwr7Ci5d5{ZB6J!aUf9fsPE z5JjfZ4OD-t=l3w~SO7G7&=idq61fNAuoe`Mldf>Kxl1SX$e@kI|kJ|7gc^5>+ zLzcEKhW511`WAo$`r%{#E$*QhZ;DMOzL@R-0r)ShQ}G-aVM}FA?`+t={2SbKoa3^- zGMiM|S9@J<>0Lnm>R+PXNwv0g?WJfJ1%A;AmXbUPH2tEna2F6*5*P8#z?lMaN-TKr z>|ahKO=;)>5wJ$68akP@X21Ve72p*#O1vXzR$H~BZJu^Kt!!$82*A@0Xi;m1UxugA zvUvUm6dtkt-qf3sywmYI6shuBYt5*Ed=)H-TYMYvU800QSPP{jr+W6^)DAUE4k`#+ z8@b(_4!0Cge$2((Ui2@$`i&@sAU&<_NHJhy!g*3@hVIX#E`bNW^|2Rm3R;CK4f(6s z_mO})XdUpzn=3|=;aga1PSlyQs(L?={q4 zWWs1RY!2PnHH}(s%{AM7R~9cBlnyyXu66Iz2oY3*F6XOTBX(0>7vyf(KcFa=m_lE4QZyy_3n4E}%HV*z73bnr*a!saWPG6) z&9p7at|)9TubUh|W;LyQqx@+!04N3i?4-Nl*)>=K^cxCW5CgSDAQ%u0Mfz$%Lf=C3 zI-`4WpaNqQkXK~O1Pxz66u^v23Bfv>rsP}-OeYB`5vdq5d}4LT|w3!+u*7aXsff=epnf zz3WjQ-B8i~-1Xne3?gEy3F=XbC)kqMK_T`ZqrkiX#+m;LkOo-SFeumqjbb)XMu- zrJ|ZL@CyKtNH~XQBde)3I&OOC6BuX4iQp%o`cA{aiT4?r2<0It3@y8z6t~ng`5_>Y zB&U^kD3hRjIe9g~r5cd@*Hc-l&g+7~26>%41ts4>zzp4|#TVegE#eErx!)l&!77qq zJ{Q4A{}g&EvNW>jw_3T@r|mGnP~b44MhldKc7@q@lOTv3P>K1^`ocbl^(8fQ@O*b! zAk%d{{f5L7@o&3J4hwyFzGyb~M_>^kr@1y~P%3^XInF5b6(VVM9?I)RnKZuZP*(C? zBZ9>s3JKz;!LS>;mHh+$Q+y*_Bz%C_|=4A%n;z!`fO=SS21ROJzA0kVQ0=bmqjdngzwbu(AX)3h=NaUMrM*f!OISP4nF#j@fX1@|1_-)Ka~X zezc-6bbKQWT`E7AMRB3uhC^2yLR$@?I}LygrqD~^BFE8gB!#$qxYNPM`!F>`z@UC& z55$W-02<;8iDW|NV9p{VS za^$@0egq1fhF*UX4(;zi)`*Df5nmeCz8_d7n3%EPv(QXN0n{vGS#B6wRG=^A^8nJz z&;g?qs_!cNtt;1C39Ql-y5W4NszaR37qAU_zYFqHh)8}N77RPE=R(h;f5K1+^FFLK zw3Ob!qM^YGNG=9f&NAYaY6=e3SE32?U%Vvl#+Xj$_8Dg7b^3dNRRZAgMo`F zwjR+pnBPzSPIFe~{z`y-=*opY?GmFa30cvDc1Jrp{y{i4^#mQdr=EZV;vb3{E?l7> z77NWHQ&)l$h?=1zc+owa^>^qiAePls_#-04ZpfVa00W|}et-8?S8wlA)w9)&-{UzB zH#Xm*$Iw^WV*R@iq$zH38=$ZqHp#_f(!Cl=MRF2C9i0LIU91lV3xAgOlccTxnI66S z9-TTu2T7Xt@`rGGjU7HLrynlj(BC_Okz3566P*MF98##QvwK}=VrgA)uxVisbJjs9 z1ol5r4BV*wfB`(+DNRqAI_1WQvZL;psj-CJTVKAtaAAo3a_MNaUHCYzNRR;4ITSrV@-v{&XP zD6`=!m`jWs7&o%dsu(vjZeiTYxQ%f;;||8-81KV)JmVKJ?qs|#;|Yvk%y>V>FJU~9 z@&1e_F+PCtOBuh6@qvsFVmz7g%Nb8$d@$pwj9>-Uts)~j4xt*G2?#5moQ$; zcnRabVm!e3uNhy;_=}9c#CR!#@(M3wTw%PN@e0N(8Go7aD#m}q_-`5i9pnGO`0pA2 z1LJ>W{7;N8WBe7ymoxrn#$RRpHO60O{0+ueF#aavD;fU_<9}uREymwwd==w=WBeV) z-(~zg#{bUvKN$ZfR7(_z}jBGJcHluD4+R(leg<&4GcS(Gf~ri7~?J)013Em1gC3e zL4k`XW@sT?^+lC-CbYs%`bIrc3ZeWc)X|{pr;LVwAlT9o+c|0>A^p>PKkhSA#;I*=kQ=R!9qN%~0;0Ru%z^d8mr^F+T=_73sR&BEOvEDQ27sI+78WQnUa2qJz zkVy~Z5AoV7(`52azTt0}aohlAxe4}~yO9%k2XQ37?O79DfCw9TB`KBcKS;%|N4o=I z8Nuw*)v-?xCk*WMw{-JaM3w@t2Qp8%)3a5$Pofy^cjDA7eyeIrq3{Ki1`o?nXNQm< zu0w(Ug4GL=KcQ0%>D9NHy?xxbnSGrRcb8lHR&E*QJ_~mb21&g=0#X5dM8rkJeCnF1 z^MS{9jje0018=xXy&^)0ZeB-p&7e)6BiLU9T@T?rm3tW9Cw6Xj9N`>Pm^u$0=5>l2(M@CfI>Qx*DM zcq(S~GW`(sEWRs!85kd5HWHC6vuDK+&o-{kS?L za{KLCi0}u*AfQ^;8Xg0>w9Y2MiloBdo@9d;a`$<(QmuSBYcNKNIQPx)=&36%WR?6X z-=6i#uZm39BaT4U9P-5S0$3y0!xKwXt6{}XL<9KDoF&1qvZSNvgpX9P)EDFQH3?cj zGZ&o*T6co$N%`{+RWWpCSoM_s#~MLrxWhMAuQA;^H{u&tGB>;=vb=FYc=`S#%kgaa z`-V2?N=d#ULc2p<-gtWXp>xX{tCu%6FF(|{{2&!Emd%a4<~6==LYY5d1n?dZRW<`8 z4@Zwh5?EmoUn1eM;ZkxO1(*X1U??NOrV#uo7j`T59)FYgO?nyV(8Sy^T}!S&D+(LI zGu;NULHf4x`ciiTd6eA^WgW;8Dm)!G?GY|y3A>i-p)^PSVo`B2Vt+zK3k{+mB#KYH zDb9>g2(HF5&~YN3)-*jIEc2i889VAtf~(y=V?S5&bf3#Hbw5&86hd#n_-%m>au^#~ z1p2lM&;x3Ix9CoKv>k91dswi%2*0l-j^zFoxK-eXx}GGhIGFnc!f%7M;K1Q?T$dG@ z&Etr9*R9+4?ushy0O=+RdxvRLF|xIVQGJ8Qs^Oq3dXG+}DTBw|TK7NTg!iB2=n6mt zq+H;gl4QqOQP`rm-%}>7Qu5w{?T_MlM4b5Tu|| z+hDPC1_$vQT3hfHFgmQ^%Wr_QL2NtXTA((t$}rM)0)M?~oQE0#uv-NHgh>V2bc!4B zQ)@2=z=zRGxDXOg1Ab?m&q?>)qRV|&kb=gg@NwycMbx(-jNr+S)MQ@_YagOjK&WBh ziMzwl{k&`^SVWgW?@Vxty1-V#{fQkW#G~qw*Q?;rL)XU_`p8hS!mlUV`4!QhI!;gm z)>Gi?MFg~8s90hOd=<_(433D|0994R^MVL5iAWfz*E3i4?8fEiRF}Rka1~Oi+f4y7 zGJ=Js(6xr4U^m`K4$V!W-@${}T!<^c+lKwWCz0ex?(_m;w=U4b>LjWR*hShC>6u*!YFwnJW8-(91*MvH+fOUntxPTQ~H8dL;V+ z1s;1%%#V^c7uRLWR!>WyMz}u6R;SuS&zUgN%Gb(Jd}z>sjU;;(D6f@5KAS3!(Z_zZ zKAv0UyxXYjpV4?Oj*jOpIqx3IOc2_Sov(_KkCpSLQ0BE%@~c<%k&l=2Zl+AQ0mdBF z=_T)z^YSS3QY!gLwB-GA-W`-_7bV};OHPvW9;Hm6Jx=v^(UQ-|d0xuw5+xVwCG+LH z=O`1)?NsMQOBRxPL{uMhdNgmeoc9oAk~{lsb&USDOU}!t%+KlVVbPKg%Xu>?Q`hnA z|0;1*J&I>RrDvw>`CRclO!NOaC}7I+$esgOQsf*6jEQ)k0N?3J@!%oZ)2w(#)01Xp z2DT&$XUp&%?{QHcGzXpp?@rE}FLqW&I0nVuI42UmK$ zvIkW>LvNbNcylkNKJ=a;^pOE9?Mlycia(!_cDx5RrQtB`sZT3Ch=Mgq_Sh-gu1vRP z<}L6I-s;CPY>`J*dhSsC_sgD3>FE|_x^b)jQhB;{>&8+k(^7yp=T~}ell`fR|5hrI zs^mQ>Pd9J%V-I{r8J{V6^I?Oh_~%x7rpW#T#Xpv^6AbXda0CX_Bpc4@%%*c@|W-hs&Pfv?0~s zFOSkDbY7p>>KU$|R}?uedyeaS_SxlhUiBkAf2-#>isZt5Hp(Q+o@Bku#9n2d-0Fb= zCM-4;&wN;8MvXAD)uEz{dp0^0E%9`ukZy7QbK9GUEUOrZ#1C@j@TO^DnDz;GLJ zsR8qGmR7&vU4SlA{0A7^C4$j21<^^~!4xOq((kXSs_Uq0pd|SAvBKHv zKk-`_2KcT<6WtyZdJ(DFszOTed11u3(8%OdaLKA(({>4LcSQ=?O^%UP@hi|I=o9pA z!D~?G!{@humRS^I8zbJ3(Jev42p^D;gOUL%G!z>^zo7i(%Rr(Kg+d(@a)RDutp?{k zk~AWa?K&a((skY2q0^^Ko0?)>GwO{v;JqW{l-o{>D7U&!thpK)&cW;dae`lA8$9#D z`)BRYU-XWcGBss7Y!{SMKuM+LwQgxi;{s`UV2e?_GomuZbz+2)bQ@lrHF)OzJ5bj7 z$xK_x`I$E5l-Ihvu~5=X_-}ce+Xz&)Z(xga)`-Yxyc8LI+ld{7C*{pZu>$4o6hl_> zq_#c(iYSw?U+u+T*@|~HTvZ2cC&eGP4jy!C;E-kAi;{LnYmLEcQD2v|?A971@_r?0 zH@DW|6!!@6Hf+BK~;3CLb5X_vRwnDH$jX_vItKy#_B2A;Vs>lE~BU6};s@$qX% z$CdA2KRUjAuhNxy$Y;;&K%AELg6qnYM#sx(x5iiQRgOkRpD?dHVOBOrQabhR_cEL^ zRicD~63h1=EtxCjM=&+E!GdzQA5-LPwC$m`XYlOg@V`P12@_32%wN%nk4ctq;*3ic6lGk{!fd(~%o~0WC z9qGQylst3UXT?Wu-5uH;s{b@_)Dq~h_)Hiun>GW>5vQDLtlp2c1H0q?*|U_klCL65 zO1=q?X*(PUj_nii_o-9s_9Gfi(`4=2K9R+J>W;z$slIM^E`GK?Z)>Y-YYH?*W~a&h zA8cb1{5Pe^6OFOXu#(R6G@3EJI-jhLlrHG|d?{938_@H>XWw|%s$PqAPz@4mJPv{; z?qCBo;k6#LhOL^p(Q37|x#J{**Vx$1^u~wn(JV@R;HYitU48a=&5dnZ+rjGn!)NNh zTD3M&&TC0K*k3cHHTDN}irbOmIC%S%oCCli8@PGP2{L^uY;K?1i0|DDAe2S)iQ>6o zK)Eh}IC&cZxooxNdjPM2!u^pB<>1xC>x}7l0&2Br-xDId4P^tHQ1&={zZz z<7`IVdj*cfUU=@2!iN_;J$%Fs(sfcQo#*a9yna1u8HUQzmvra;cfJg|V(e8zuJ)u|ckR&G z!|aZGXH1xS-viU{oIK^1i!YMKP0MlT-f`F6_uO`+Us^Qt{@e4$Pnzh;9`paO{r{wo z7yaC`8-DJ=|9!r}+4u76GH%OsWo3^UJ8t~#IWrz`Cu22o@0@(^)M*p$m~!{T3o14I z7k`qJ-o2o69)9G}$FP3$uz*i5SoqAd`2}8IVbOEXzaafMTwSVnVd|Xu^A|oc$NO07 z+i!ZJf8pP)-G9;i`^DcKKk=s*_>=f6{fR$%Zn$8U{`Y>&2WO@CAN}5md-4+` z`g`1@r(fdpd88sFA5sC*vq%e(o<@2KsRZdIBn2t<-Z*?8iSz){7^F<3>ya{$?nRn_ zbUV^eq-&4{BGJ2Zkg|{-M4Ev#9cdcUWTc5mcO%V1sz8dhMW4fvZa|uabPLjKq+Fys zkz}N$NCBkY@BB}`|2|sROZa>liRR^ZNU=Hi4Zii^$<*NpzRVlTj-wDclUG13a8 z50D1nSt8QKNKT|aNOmLxQW(!Wkxn7O9!NTl)P%GgsS4>`q{S%r1wOCD=Oaje!1tT* z`8GaVkd7e198FS?l9B&MB-Ab$C6g4}wzOZfuE8D|B1s<(#eSMNkoKNH4$;h(X44ld09|NfW5VCZ8t zTPzl%DdMyZvDyuhM6qjV-~4c1e>hvE*0oOLVL@VdNUDB^Joelpk3Hj^w{St~wdv{D zXi`FS)t2;IwqKGcy>d`b(K?GGOCsX$8+I9@X1C=`acnFn0^2N literal 34611 zcmW(+^;?sV+kMt!jL}`AyQRAZh%^F9jz*dVC{hwK1_*X5Vty0_C7sfxh?L@Bq@s=# z5eHJw`@P=#hx6_stNMBxIJ2%Z>#7#X>h z7DXb-?y9Gd(?qF+6rtB>-61aJ#9d-slB${r;+YA0MIFBzi2?MLiXvIzX<^*aM_1LA zGj6G6l<_28IFi^D6+ulP={V>lMMXL$h+BsfNl~?t5{(dW&+#bjyJs_~2;kVLjEVrz z+s{9L1?$zvA*|hp@Ph^!MmQ_BW@84I>a1k>M2|ICg3w*?#5G$?1D>K~U`Bp7Ql!j% zt9emD07QdXi`PB~|p4&-L<(a^$ds zv+M@$OO04K>b?H*r8}}xTj{gShjE@X)`p*WutK-Ov>~R|g+uq_%px}Egbk`7Ib&G{ zaQ)Mk9)dBMklIe%=C3O-ul(;q)#c4i7Ghl{X&Jd*qXx7jwp6*wF( zokazltQ&l5?mbP41#ExyMFQ5qq&bi@o|w=OQxhE(9~luCZtI!?B%jv-v_U`%&;&F9 zc@Pi=1Oa}4m#5Z?o6EQC=(Bklq$fM#`^y~6-s>=61yo;nO$2C!owZ`Z9ydtqz~-(M z_pddT@L9}(WKWBE%CTl#C+hWuJq8A%_fF@W>&Xg`)>S^)hk^h+kIO-36%<960Z?FJ zI|W|IB6IKNTLqy3OcE#n*5Y5|fi>F7dO!e5w(UW1VBqm%%zz<-)jp(RlGSosSHM+3 zd*pWpt6-bjM*H$Om#opLn6uI(LhJoK@?&I#6+rtV_h2aflwLcn4n;^rSGPGWW`ND; z2m;)S{nR*zfk^+zDesKOiJQ>J--^D!&}Z>ZS;A#iuoyLcn!y7ZJ$iDoSL{~?J%m#{ z30D>$%AoB;omycZwVa}Iwoldl0LezpI=GUMon)LYSmK%v;kG$g&CNd8=fD<2!AUGu z!9XNd8rQ;_)VO42{Nf2>X(u%%la?-zd%EhS`*ZM^AF0ikPY2m= zaf+GV?JKDl>2FPbnwv!R5(8g>x1~ryK3>VLxU!J?wXx(gKBXg6y|#=i*Y}P+47?Vh zDx|*Vk{00iLxsK8%xygR2J{jQ+N9;d^UFr>l!|lAtd^PKi6G7BV`Q$hFZbLO$6HTNK=m+~#cFwA+iL&d@ALZ@zgdl0Cu2bdjsq^iN)Ci~Hgu=a6qt&@zsU0Fx zE=K;ek&5z{Ju)*_MCd4Cg}Xf=u1?UhA70h#KD2d~h2H4qY4Cs{mcUrIc=z91h6H5F z;)~up<+droA&SoQAKE`^a1X_Fty=2Q^DjJIO_V!~Yv!oJG%=~exR_*6rtlpFk-v*xrNUY@Nlm$l zTi;qxR#(xs_^FL*bCI0tVYB?f&g6Sr%te+62w$GR$Uqw?`*&6Hgr&D&++*QWC5xL{ z&#+fU3|^Au6R5uu)@&N3`-O|1H||I;BqZZ2(!!Q@}$A}t5 zo*XKXfeQ?2Aq|M3xHD;h@_L1H@hw$sOv5CRvwIz(Cd=P0kO7* zcoUC9syak6e+#$H*eF{mZSfFnE%(VxTSQB2*7E9}qugfUz>6elXLMo9{Z8n2E0FF6 zr5n+7GTgIA$%WT1P>_=Pf8we`5hv_y~byZ&D$Xt5UE6bi?r=)!H-AM2h=T*Pqc$ms;=s6i(E$oGg(QD zdWg(e%hjWjGQJF`pt{qHCB(f@a7wrR@UpSfA*#8R`(9cdJ7X7n=obUVUf;EoC!XD` z#$|ixfs-#aMkL8qH1#V#JH9sMu;Ab}O(6KmHC1kp>?iiP*ULERpz}fKr?mHH zWT!tufUsSi60fDajh(Aq*3E{0MCOHdpmzDaqFi2XYRpNF+$ybFG`BR z>tSjgZd#$u5-~Ym1OqeG5-UWwA2|Oxt;JvQ$CV)%xtnm-jd6$nTS9eoA=VkVP){8}2-J^DKA-?=Bc zjgengsITYzP&hhqZsT>*^2W?k z>1bJ#6q~#FPpq2z>#nu=3Z40b<@3RarbL(Cj6owa&xahCXt1}?$LD|C=#0Em*S2=& zV?S!5Gfn$$aC!fR*{7*l z(~;=O5kJ}#crP~kGj+~=YVLB==_R+g<=AeWJ=h2Xapm2}t5{E_?t*KJb0c%fIqb)A zLfB<6mJ5Pwdv*?Yq1{qB(KR{yG{7i@-MZ})ThVTVFaXLN_P2P8%W)$${QUAo8!~`8i^l5j1V0&iDmzZ2vnYtL4hOZ>6%sVliAMAKwg)d`1 zw5;R4cHLSDjj8y64?L>#D&|8Hmr_johwd2ll4kfT`=B;b=_IjLUIdKpN^k!eedQep z)H*{ha1BO_U#hFamaJyG@gjRq5xi=cl$vNoIqB-?B0EG}k{y(Ohku6dAu;D7s`b`c zG;rXmrG8XKn#g?q)?- zJ%?sZocUYL6N&-5+VL%H9jX1On`}BBuBEJd244s=qbl$)^aMlSR-XY2VJtkbw{d*~ zS!a?V&Jj7yUp(P`G({oltSFK(cwJ8baxNlji%w;?7|C69(73l#>tu1rUtrwj0`a&_ z>pyIM_J)xpZUV7ji?{FW3i18TMn&EDtK={OM#cn}KW#7k?O=gEmfDr~V)1h%pw3cy zaX%6;RMix=eHD1;*%8akeY}@hVWiEV#ELyox;=6$I5O(U1l&^J39c`D*fA$;z#I{2 z1IVyvBPw#}{VyU;K8S#NgtBJIRZr@Z z#gHm|4(0XYyWM7Pvt?RqK>G4)ba(eE(Xyv)_tp*Eq#;+xtvpP&VvP6>bVD>;n&OmgkpocE7Fe_fXeYQpx3VqDGd1#B+ARP1t{sB(7dKqzUqL z&q-%CQ1QtVu8G}H8&b3BaJxO+CmndL~Sx{sSxBOzh~VXrEScdz{<-;|SDzJ&bae&zTj1$Ft> z+z#&RUUI%5Jrt_O5oeu{HEbFV5KgGvIkG*)b9rCXW^@nF@HHI+(`G3frXSNLi2S2_ znIYE4HJ%uXRX)`E3hKRUKbGbbBI6Rm^=cmeQz5}gfVp+-+3!Q+-J5QF?+_-t!RtSz zghU+LQROnSR$y@TCD~0gI2n?gR2rsrr7h?DF`Wy+#MBj9aND{iM6BOkue}|c006uR zQR_)D2OL4x#^@55YX=C6R2vrrIbLyxU$>|9OL<2g5cwwiLZ-*AG&yE z%D8!Y+JhRRXu1bp&n>?4LlU(zeTw3pC2d2(yTcjQ{EUpf+?CpYEY?9v`t8v%x78*;CsfCWyq>9Au1J^ z$=_I%J`DS!N{*i-y^)EG5_YN$!FOP|lgU4&Lb^fzZ@1Jm!&8?Rj(k6Jx;^svBZE@0 zVpg&@d=D!QkQHn&`=Bd0k#VK}?r?pm>TVi5Vx?n~k$(epApt6)9;uKX!USIK`kUI%5t+j?@ ze>HDKS!Bc-HR8?YFE@&v{QQ@M0nVd`e|FGDpJ|UJ>c79FfA)4tS?pPfs;j-`S80Ae zec?PtA0xz$7%fCap4X!!MHURay?JY&G~7#F-8fGieyeFB!BkDL`9VO{`=PzeQz?gU z3XDI|C@g77$ekDS4}M7LO^YhFS9ks%*!e*3qMqtuU&%8b@4Q?{(DNP%fCwM{et?V& z{#|{5yWWw3NH-w3MRcqKvcS<>kQlt$EA`A%$a z5Ii~#ebV8juh4Sk^}G;|;hTqo6HR=@f9|ryOs!9>F5H-9d-hK9^ zKp-Uny%X{MVH68P8ct$rS=HYcMn+ZmbsteW-?d4kR@7510l1d4i)-E~rq^;Bo*&c6 z!BSj=kEo-A+~bn?k3CE15E;UEUr{<;@Ro}!hC7ECeY#h^OgH7$ao-r%iU99$#aa<5 z6mV?PG93t29Imyxm^-9)POCOIJoic=bTm1wUBX6lS;?j8XcEUZ@+#H+BC%p=luH}i z5;+#puj%YLO8G>ID)>q_;wBkQJGmm|oFB(~@(|6tb?bdSYBaga%!2u}mlG35{7zK< z7+TABMn+#fhJ7YRlTpG3D-A+UKx<0Gr5Z3m1WLfl(4(}?@^y+tsj=mt94AeU&O{G7 z;OFwb=FU8pXh!X01u?F1ywmkoTwLpYMVp}ORb(501_195BKM^w-kU#|aEF|m&s(XT z13bO2d#vR@U0S&)>U538Ml5M91v}S+HiI#k1xjdr9RUUU(~34g3;nbek9u3)94Fds zHdtS0$GvM#ySxta<}88SEV2bFzg5L%Ese(Y+VExS6+s{o&Mr)9oFFU3Et>0Ce{7Q#+%37Vl@T&h0%)?ly3V#4ObJxf-QH(t>aoQ+_RFQ$`ENYxAf5^kUKf%ogDrNj74U> zV~pMLv+=!Tq)eh*;bw5*j8sp60{54~Sg*p!$aqp~c*7AfpCq}*z<6pxKPQ_#;#px| zw_T9#0ngIwtan&w3NGnNNZc+iyIq9&e0_)0N9I7L$xeU4c&P5y=^<|0Ygm+AU2Bmy zScj8)i2~Y%-&SAt1j{EPJfFt#OAh4}Lv8<)79Y8QKks`kWJf}9M6pg%w?%YL`o8ZQ z+L6*70z_bMx5f3=v*O$NCVaUm>+C^~3$TqIhM3~m*9MPDd6o@npK`e1kcWlz`hh3C ze4Vi2+<9Jx>|&CmCA~hcE4{E@QFU5Mj_J3Z*zZ&zdA1hOwY(`C8LYVA2`qU2X_s>G zJQ?Q-j0u0ecDO>WS0Yv7_SpvaCrgMEk3wg4EON;O$b+da*w1S0I1Cc*qnmz1Iq;$7 z>0^BSgTjgF1e#37F1i$X3hj{iV`pW7fsNmCai?G|>e!vW7YR1s@nb(UND`I;s(V(p zg;CP(eCGt}`Cd1Gz^prWJB2rwvs+6M;D3c1C!;UcWCfP4B+5{=hC*H=sY@#C_>Tw={ARNtSivNfv(V7zdJkm>gK`mQW~9x@fD-pqh&L0Jjv@Zf39hJI(32)%n8) z)9d-^!_9L^{+yoNUq&2rcv?Xp1vcF0>}+l1d9hIiIqQaI9J0x-oIir@+U3r(ZlZ59 zEY8}5O%b-0SCc!A+*sq!vZfu-p2}>V(P)yCf`LI$(=L(#ZL6qM^&kjK_r=j>Brh$0 z&4>BFo>c2}@G!WbIjKK;g%U}3NKy*Ijq|#!Ibsv0Xu;f)Jv~7@|B$l2j1ChU32^Wn zl+5vfmKUrl(+m?~N1B3+&m1q!{+WO6jPaKokxvw)kytuTbwF`taSE6gH<5(7Ax4^b zU2o#}6KgRy@RA9w>Pl^kUtAeBHt6dK5_9xVPXw}Rv=WQg_X)~$L?hHKzeY6qhH^L0 zByEW2%X|JrT(?U6eaR@=PPeskpJN~sKooSWc|P;+iKbtnmv^=(?~SYAW(krC-Nsn6 zX>NG;m!%C+o-_N#eGbT6Aa|zxG50^U&MsQ!@5P-10WgRV9gjFtF#cJZ+qG<~r#2_J zF+Phk49XhI9hA?OI3XYB&SD?KC>k0!1Erj}kB@cH`Xn8`xkk(eN*=kR?B;|t5~S7t zR?)=ztD0#<)O|o#5~uO`QM!4nNV``UgkME6C=_CPTEQU>dW#{c( zGoB&>0TWz^Ie7;l>@@4}_LbbRIgc-d#~i91;;Z}C1F+VmP}<2RzsOGPj!~U7@ynUc zBE2)HHUUXkbfqpOu}nesaNi2ekMmU59<+1$^_xTMJwy2MBD>F*a9o;OxTp$tHc0wR zkTFeI7qhGcHCC~9iEyEp2{}mXaY%A9+uc&i>GH@z`Qz|zRGMbIhg6d|7Kw|qVEl8g z$X@7bMny~Zlv_?a7)6z|I<{fO!=U@~t`!1@{({cOZ=5;$v+i0ax$%dwbM9B(PPMU2 zP;FM6lh+5#9psOP`0vs-M30OuZ;rj!8%uf(@=hTTyjVZ<@jGM2mekF8#9^H9ddhD7 z%{AC`uysZ{0~(m*fJ#E&&I9LD<+(flbhtX--u+*;)ajR8(xvuTTmp_Bqc_J^fl}t?Q3qsdbEy8w@8XCf};LS(3T8!Ji=PDg^-; zdMp8{-G92N%<;9;AK1X5v2|TcMa1TJLS9lFeevCp?KiIH5uKfihrv&Reygtx3PC+? zRd@o_m*iY{*2TP#0o3wo{C)<=3D)w1?z@Z3y*=LxpADYbHS|bftIr{Q`YQ9S3Eu?x zqcPvC?F|S>Y02bJUW*b}OTG8fm%t*)M}J>~uo>Bb?8pqK_Ce1nm$3>xmcHIvxZU^%_La(g}(L_5YdRBJ1u6=c2>dtxk zCvn+e-qx1NdD0uCJqJJHS3xocntZ&uN}h0`LC&TI6qj;Zkf=Fra3WT6KUK*y3$%T% zD;BS7$T^Lc7!=;0Q1QI7=4N{@!i!#P+*lc^BaABLej@e4Aq8KRCGt+Ja>XXmz=3ch zrHA@RmZtpAKETlY2_kQtwnJBeo%@!jgVE1CnEIQqHG=G@Rj8Y+meLJ31wQcj| zCm~IS5W{eKXrF2bn`leBE4GxC##XD2ziw}VFyvlWhLv@Od}29;`nTYI)^dM+_jNLS zAg4-Jxjb0#C365Huf3E)Z+TEt9)BW-iz|ao_OCU(IcOj5qV$=@p0hZL<9_JIKp*Kt zxGC{|q37ruTV?-frsEF}OjW!8a396{A0vem*sBlB{o4FHOxG!I!~A+}Ag3tyHr@mX zj%W|RFnSnA^S{4j^&SmqKCu?sUVN3mA1nLdV6mNka09`|>{)`Dtm99B>x<_PluH~0 zXPZ=affHi0YZf%>Hj>>5JubhQr+?o(bjOtSo-9|sePGI@{6oBOyJPtm24uxscBs|< zSqYPE;1X&wT)-M4?#?8On08XQ>*;)2`ahn97z#bFkLyVRoqOj)z`qIWCAwGt2FR|2 z{TXTMZbH%W3v=zQQWg*P&xqXZa}BkF6Rjsb?TT)X&S=c6`%OtUaCwWI{YF--`Tf>v zumsyHq>U<`8WiPQ=UjH!qcZp4s}p5E&RaBiO1VF@YcF*oI!)|tWjwWjEMJs@ ziM&9Uf}P!6E2dVnJF<|sHbBNvBJaJ2IR6t}Bc;06*5P0B?nna}mGm1k?&~R%Q|aaH zB>is>j}BNlc{@9Y_iS?Hh5lHNl2vHKQrlN_Hz{T9$CoxJj0YNL<$jM0Sd`?M?HqHg z|HnDA*k`wVep@*=3c1&|vcko5LaK64K;Dg*JAFHE&D&$G7!pZ1=7vd>A4>ex^KxRN z@zWZT%wcbtS9}8UHtp|2mSmT&)S7oaM|3B^1g$iOB{vf~hMU_>I=lpAJRnXsj5e~bKAa1-@Xo6H=_el* zIuQC0hLuC+7g6Q8C8*HZsdmTbEFKtH%Uv5r<@EaWQvcN!8PZ7{iG z*-&1pMoT-ePKFG_AFr@Bk_2jG?$~IyJ!rcxn@jl3;mz&kRX0ihtaSul)2kV)?$@e$ zM#OTsU1Pr6u-)KWi%1$vHBo^NroPC8uxz{&TXn!Q`~-3|MKNC0S1=$AM2Km z?eL)KUoV^dN)t37{rBM>G9Vjd`Bfn}{F3NRBQ%Hy?{B_9U$P^)Z zAxk030yc#1j}sm#;@o|nlQ9OgZZs%Zj2r&4e5sG?`QoK-lRF5+_2(*C{wi<3XrT2Q z&gA68Uu$Vzq((M426Dj!Di0W*z}BBW))vrR?J@UtbPgKdIaJTFEFIz`6LL_Lhs2B1 z=|L&!=haq0sZReEi~U+))U zBlbOMrZ)n}Si-keT#N7&9p2Zl=h&7A-}-IZ)s?R89T~6IY~TO;#!IdH4-plb#HVuN zRHy3|a&qVS4DbE+I+r&SA|`Kl&Iu|$t2&+>i#VC6hI>8o@?wCIcT7pJi|**zk)st> z{JEyGU^&wzo&X_x#|IRqA@vqZM}m3PYzitKH7fJvORqz0EMl`^dEHreErkLl9SiAT z(3{17g{(J=4gUo6+~f5%%d7=FXH%3*2?|p4>^I5yXeNVA_v1NEbc?{6`$!dkxHi~HV2$X#lOOjq*v9S>DV zy~NU|JE*Tstdx8nUb!3J^y%x^L6_cS-nVt|Gvc0mf7Wb%*?C=y7l-JlkLMZ*bKvS1 zvo>r{AqazNoc0rp`}vH0NFTo+C-<`6m&1Pjmy^2fB`%I@U6cDGRIH?*9c{t(uE)E&C53zH)xWh8=QOP}1PP;zAg+Vr|$43tY%GlJLal zebpsac=UWJHW->PZeBA|z^Sw{KzmPzd(h{7D@_I!_?ws1ieV}oIbD1rSKTGsSec;V z0yWE@sH@`T37@JK%5TU(uKJU#?HZ4%Z2$7xDVSu>4(=2%pVmowI2tL|#W7)u%6BuB znpC9egq?Ub14y-47Y~`Jv2a)6vrI#~24!DgEbz6)rv1AfeCxN+c8#c!=&WUhh+n%c{E)U-r2!HT_W)x!0Jd(;-qhm^*1k;-A(ipMZHHIEA5qBw~Ka!Qg^HkD=pL zC{1{hkJg~O{I|E-$GnQ-UVPwyMyJrQjts3|>(sj>NBHioG-Imk z!#hkx)mt{ZUWmm^Xw-w*A%yEaPMQVP63MwwHiu7FFTSmnbIogPar`z52Cfoal#9)qv6K2oO0@0ODOCueA8)^tj0E3;RTV$(Uu zWNks^`k++t%@!K!Kb$B6o}zkKX)#nTGffK zP`8OiC>l`{b>)8;?Hp}0-RbTYQouK7*Ons%hr+d#lJD#004U9D%S+CSAFV+lErWD*H6W=hq?MGXgptpWAamr3dq+E z{xQhYHVf0SbR=ba!L)-q2b(x9S~OkDR_(C67UH2KZ$IMngkc(J;aIM!$GR7g0u6?3 zc=B3loUu|)cT%6DyK8^0HB?$@$9KVOtazO`-qi#yuwhYAg~z7D(;InZznsuao5AD_ zC%e>(+7$Y{{aP0CMnCwz-}d%s8TAb&KuL$kG9|?MZ>?ll_S~EDcKx7?{C{xO**`c) ztLv5zJ^QsaF#az6%;76_vJ>djr>wN(baGG0_P4r5eGXK5NC?Xo#YFt8snF(12yl5P zApa>M16cs@a7z1+`u<()JqY_}x-XA#dVqfL%JIMJ35QB#ZPQZ-?1y<{%TDuOR?a8+qNGtX0|5ytE;Htw66@7&KmmQY&a# zo`|*--JoDc_1Z~dD#1-*LR2(DBN1U!t2(QtEqk{?iO})lAi-1+ZnbD`*{(Y)rIzg0 z3<079U+%7?W(MtCln}{fG4gyaGki{0TI3m^S7$6T|HF3s|F76WcsDgt$wd#UgfFJ2 zP4mW-heSNujxD&iEG~UC0sYXDed$@~QJ(UaJd5^+b_#AH%t!BJ^b7qAOZcyr_xZ38 zmUX1u=yKplsnvte^?{Ivwq7?0Is-11rT~|Y$*W)E^17OL*Wsz}JyhPxr9e>Li}8j5 zw?=~aeVt1A?ZCY38<$Ss;*YYebuMrRC-o3vjNGwBaTzb|R40B@l~lzA9rKD%e)0SA zUK;)Hq~Bo{e#u#Qn4iA^Dn&MFLxG_0N+j(uAY%IjF#%!oIr60JAm$#R7uFPnc0U68 zc-s0p14nFU-JP_9bnBkBNu3Rr=EG={;boT@=ly9Nw=B zqBs6Huyf1haU3=4ui)Bnj+dJAP^5 z3l+g9nqhg*rA6G@mKzuNlYN_O7Y?50U7tq>W)_`rkmQ3fUgu6$C+948G}mPWUoAV$ zV*6dBJPdiM+Q&=5Baf!6D?H)=L3Oz-gM|#8I&+bhm#r6}N^I&ziZ;p3K5TtTjhsR? zqx-2k)>b>0WR|tEOKT2JQv6HnbUJFg{h?{RpBfWA!xs+d%eMm2CXRb;e>G z7-5tu7GU5PeGrxA9F%t>LeHTs?4T!mEtg}dMX|ILEq#R*ARUxusep1l48O8f?2}up=Hpin(1TB7MYs)!GFMQGdBS0)vl@yH;%so&bIf$R}Ic4!66XKyyt_*+;Dk2u;nZN3+pv(b`YJgKQPVF%U{z~6;;V{;#u9AOWK6^oprr;Ge2-Nr{#@rr^urMq-3CB zrtN7AJo9)C!YtExxr-~Ah`V#je|fH6uf5-K4gcz@2G^~2%;7ow@jGk$CeGHXjbm#f z!3ERkq~f+jiy*RfiRXLt64x4kYIiXL3hGM#iZVZ+ zii@vJW!Ynq3iMiJG}p|(UOy@#v*|r|%JDTQ)#AG@dn~f$;0S;9hG?>FT?w>+>NpNc zJ+ofa11+f26g^Mw-9=?E9ff9~7NrH#qbZmT?4m%`g(LyYI(iWW($VhCi+cyTK{G8d zoDQ4p{e;EY-H+AZ7-GVNxn|p8&$MFK`wvPFEvf66eh~Qye0v~js;4f;-RIE!f%aus z@9uZR{MT&d*Q;6XJ0|PaHSdhLFJ{vOZeOSWkds)o;JLe72$t7;?c{ z+zpRlYNq5_i=E|9E|*Rkh(ZtwJr#)L2b)#rg{a30+cEB!O(9$SeoZ(%NvxF3YyNI) zHs8bGOCIi#S3r$_e;$$%;+yaCCqNN)J71)(ZqI)ZTZxn?c|WEk!{e^pZ_4fE&rxGb zw?w)^J@v(zOm|7rVNm3G#Cc?(Jl|l-1BuAT44zt9=;c&K#P`W^BO2u12BhJ->5TnJ ziHcnN6o|p9>vO(urPy1Yh7rDDfJWB(Z|NIa6fUyMny11i_ENS{#cSnt^c!q6I7k~2 z$gy{zY|}->D?9H7$k0WE{NP{s;e2SJ#}1a(G=>wpS|oFk06s7z3T1n^;_yp2-G|`ZziOP{Ej7UmQOX$kkDZ#z4gz z&Is>jVp@!jiecg{PWm(?M|;0fpPlx0bOc^%0U~BExtJK_PtFU8|4>h?Yl=2(=KYRBt>cI=2@;LC1(Oy13|NT(w;j0 zsU*{A6zB_?7JT}Ghi}ekrX!a&jK2CVQLfj*XC!oE70{|ec{s&M$Bng*EK8cpAkXbW zCTRU?_pcq7RlOuOCjFjG`AV+!f6Wt?FZ>vCB4GNRL`7M^*EZ}HQ*K!ALFd=vy>~7B z9)kG%49CZvz7cmbc7A(!c?!y=LrGGm!Th1du_sHb>hw=cH7&E9XN%2-V3!I86^8D0 zUF&0fUTzT=);3)Jqc<(^y=)C(ctNW9{qn2Q%$s8Za$G~mjjIenkMIi;dV%Coj6DDL z+;=)!oBNeXqU{W&VFKf#-jMJ5b7mCsG0y0yWe{9NshOaUos3D}7zdXZlN@ypa~j*E`#;$Cokq-yGwW*;>EM?i!q=N_Z&! zSlpV2;+vA0hw3Ci)tgKumkZ|XH~Sn!Chm!%A@0TNt=G8NItU1VN2wwAq2nk8<>ltf z9qNG^o+-<}*nuaOjUm4SPYzSt{?JCu)%nmaSGtq=a~YkjVf8Q2e~0yU>a6uY-rxP< ztJY~UQgyyD7f94gR1kCR5IixZC(6Ut90-3{k>hUEWXYISOX?V1<7 z{}Bl2r4Q8Bn6fWMy_3m>>_$1KV3Fp}-y>Ql7$%XW;M)`l3X27!`iwU;^QqsH_oOAb ztsljkpSk}Nd9?GGxUhI15GkT`#f4iAJ2C&h@f3K(hu!&JyknMmWK90PoJ6=rAmuGi z?st##AeFaA85i3i6lhc4R=X>o@bTHMW5rh;rEUh!`J>eH1fwBK`lS=84O;i4GZ;+T z=Sva~9v&@ga1$maLhp8R7yo3}Gy825|IWP{XkP$zw#Fc3&UxH4Jd4xI01R>`kZ3bl5D%J%skKRnp~EdjHO*@)$q>lSZK)|T&WU>{npEIK)y@lysT<*axc#^Vf2pJ(oViDs^q6#2n#Q(`b~doO2JT1j`6+!yShIuLWoi7S=u;Kg8XkL*-NORz2r&%l}O+2MI%a& zU~QIsW@~$-;%CEOwFMxpVcme%}*bZ35oaAdn!ZSW&w3|`7VR>koAJ-@YyJcWwVKXqXQ zq0~`@Z^J5~bUp(5`6taM8T-5ywFK43@pJ6xqzJNKw^#rna5nV_?`guPn@bxr{*#JN zvnc!(noqX_2>&ano2*9?TCkGuo})(*QtuU#`xVNnapD-{2Lr=SHQXqI=cBlI$ax-_ z(T}C#k52I@eBc@#+W4wOUI-JnZsT1v-9nGLeW*3zoF8Rle}srcjr2m%= zycDHKLhTzsPa4zN5)KTf>wBx@bW>X;BIoGY$RfSRXn{iI?$1hv_H4rTv*bU25Ir4f zc}ndLJaN3fO5vQ=um~a~d--N-N4t6fpp9?6->y;a5cD-Nk5>#--_6j=IF^A(b)eaK9h?7Y%$G|!|*5RT#3V|WGOOKHkom}S^n2a288r=O5TT|g!kZc?T+40_}^bEFklll zvQyO$M+9{$uJa{9I>$8lvdOqytB=C^I{0RQZ_;#Fjq5|kKsj=jPmkqVzkhg8u9T@U zj#XRdF!Oft2h{0hrrNODna|v-BFTqsbU7Vkb1>oK!*1wbFBBldS`L3<9)9-wD6Wd- z+K=gL4sY0HetfZ1=#(x_M6!D?3A@+KI_vGiDD^u~OCc*V3|4|qbr}2#6;8&}jh+G0 z;#v{7vZf=JK|&nU0kROznl75Z1WisqpoiAOQ~k7a=w$fv(5n!KSAHbNKsmzWz35X( zg+%WxlU1lkSx?CNUj8!lZM@=v)}TygU5L>l;{Y_vBN((p#n0BsWJo}%4|QGn{#W1$ zrczYdt4GmA7f8La&Z7tup#Hf2iN!s`lPt7mkr0PSb zQckAbOMZ@5wO9L!_c@st*{X?mNs5?N&@(kLIndJA)z#Nlp9S#lM~|?M;H5|j8cB{; z|9b#uI!6?*Ho7O*VEHDKDfaS$ZTviQz&H=)MiD>Q!T8LT-^H7|{cT;DCNra%KKqzW2fCm-67O`;Y}JZ7HB!hJNfc=PdvUoaR}> z<1ObwfxOdD6Jv_X@7&XHOUYY(!m1B`aLFIiP2M_dy z5dudxpHX)H$NaCK5eUnu`#knzS-)vUtMJC$Uvc0Rz2_bijvrM%{`B>=7E#T%|M__u zL;CH1{>$&On&8S3YsP0)x1mdMEO_)7deOYZEvGtq_~hM z&K?&b?cM(UmUQbIO zM@<)SP@f<&pTB@V7tqH0@@4%jGS!ODvh(yDJwU;Q-*pEHbm#2r3^>4nhlT`?vTb-P z#QG{&6M7agU{lau4+W&k>5qp3M{Jm}x#n zoH0P;z?sr$K86(RY8`hhO{0*{!tj`chQ|fAGY(^GHlyUvA@`FzHO6*-H(m#6|0R!V z5C`t04kn0`&t=k+c{I8VKGyO}0b|-|i}2YSR|jch-adUC@&wOm_EpkOt%OgO|a?JmxAe)U-4c!493(!lHPtad)__>c|Nqth5wE&Cn)3bZ9&Dca}-y|GXq*l46#Z^6qv4#Zg@!MXwvfN5ldu8WU=r2eV;(Jp2ej7rDuTnUOQ_YtN&?J0;O4d#ViA6o_e3c zx-zdyFV5e=5$xv;_Y00qKqpZnL5{p=Ve=B8#*%oC26l{lbIHx*CWyqBGViLBa3bcy1GCg0xupndExdTRhv>ixlb|v#ogPpgLOw z(&G#mnu#R9|GRoHYPf539b9cle25!ubsw$YcPx|lIj){BJmi*O5F)Ky@I;AXz)ydzjCguM|3-FZJlr#p-~j zg+qYKVAEj9aKJ~0&hwXu&x;&eukhUF!zBC1wgZ)LN$(m+WX?MkhW*+-F+DFrc}MF35i4B$|Y z{aZD8vEBxJqJkuAwu%gA)qhs?Mk)g`USC((y;ky$N`}5MN`LT{8M& zV>vyVyU3llDbU>Y*(?xqOt-V5sL{b{M)=d}YUiXGhLN$ZRFkdHTF?4An$n`$^K%B% zgkW8n&y_^E9kWsm^*2!3SD>ab0F@w>QGkQhf%s0htV?KJ)mqHv9d6Brw>G%ZG3w}` zhv?|}_&Et1_RfsMfl9Sx8g~I<;(OMm2QfiN#@ukCE__Te-0yj#+IA3D#t!=i4; z1kd{RtK{_xsP^8v4k)p$uM}WyZPga2u|)1xoz?4oRXqhB&Gwzn(c~FT^g{4=lX>(3 zFwW~gUwaA1bGzfs*xI=h5g$MUOKdcu1KtTgj z9?TG#BHvqe*r`e30mN|rm^XD;#fBEZjU@8n7oKs_mk*^fg(#dF$;PAq0Gd%04*u&F zoH@sPb2S}tb_m*gj;+DVWBju$VfoPYay!s%xy@r~XK#7{kyM)*#Anj8lZK#IDlPLbncVM0DjJ7>(pxQugHiY>OwD_nq%S7o#UE2#q< zM~4{8q$OUgIFwxNl zcOG$J@)}`5jcl!BhoA}M$w>yn>U_;2gYdEFSGK2At(&cNq<%qU**@ry%bdq+<}b1P zk*htHv*jiGsT2M!bsLaFix~gBmGej4{Js_51vP2?LD&PJ{AA(9mM@^$$NqtLjKp;f z(N4SG#58dpN9Y1R&GZ5S;Zr36lG9l8zY}aA|A9Cb;RSUB>Y6i*4crXECh=ne=b$W;w0huRItkV_l22CM&~O zkO^(Bn|VXLg@E5^@?AjT1jV1J!Td{mpUB)6?zrECyt2X{Uk+%^x*}_>$7fWYQ`tQ56CD#bWu*{e*jtS# zl>LN9?ES)pv_d>;TupvSnWk-l4!Bg1&R`CrLR zkJIbBxTYA(!q!99J6i&PIVTXtUcLeznDynM#$Yk;XOzoc>g{}4K?@VhxxpK$%&>ps zr|6i_5D>IH<|QK@BZ(xV^OQ$?^RJ8FKNPqLjV?bBE5350MDm*^{|e(dJARV*pOLp% z-_p^~Gh(j$mO?({1sC65_|GW91)vpgy<7Yn@I73C%&dS8XDA>N^v;cbf>rsnp&6~5 z-{UH%+(1|731hf6l+uIvpEi7Pw*|hNtS6>rs~4OY?cxWof~IIy)*2Vllg z(e)6`f%aGKnknDYEgK-h_CQZA8U2jT*%L7KDi2+Jm_f2qrR$H!rnT~PIRP8q{X|&n z|JSa<<-l%5Mo4`5y&-lSf%9#pz|zv@H%cz*XMcQp>vGqI$1-@h^fzqiQi?oqn^Cq= za;3R0#w6Epy(yOWntQxR<3ow*@*Ko+Xa0@bpEx%&++`n>i=N7m->IrJ8kIv%_Up3o-<97oyja!Y7y7~l8tkTccl_k>Pi|5lj^FP)4uB*iTlWliLH zssKS1xyl?3*mHygrV@e2d;Av439Jw#83hE=01uDGJ$O4HNs$fQxhXLDD>BW<%FA9) zvN>xdT-({g;e7uS<#LVt?S9*kmvdf8UB+TVhQDKw`^ zH=AqpKCh&%qQK>ke46Mc*u~vxX`{ z`({spBu@{(qMfref4p08PHt#LQg##CS)#WKe;OYFMcXZ3ut<;*RIMV<+a6stxaWaa zwhpF%<%+Da$Yni9JF#F6cnM94V_o+Rq_axUCrLh{UIJu@a|Y`~xPoq{6b~9Q!o@b1 z_$14m5mwQtSlO!gh?FpG1=5AcT7$wmDKjA0>XqrPtb$6zLS(gmuxUSn&Qc5dEjHC* zV1a2j?pb{a8e4aLv#NT`*NSQ(d*SirX}ijTS511|2uJ5iE?F;RWF^ z-#}p`f^${(np6zg;2u-wKHngy4nVuSC(di|EWQzQYB{%JG-IA1aKIX25^)kdA5ie( za>sQ?WM`m-4GtZ&h-*O~Klc*LOE4oqxDaW!M-tgfY97lj#?rh;~0KPz*V`Kz5)td*lcjP z{|nk9_4Wdr3)#%)y~HD7-b`>mi-+1Cl*4w%)yD_o+uW=`tlzMLs>`WoSASe9^pFY6Q)yqroH1YaM(A%LHObD)>^Oe+zZ zUJlO9Tbf)^gV4YNkdr>>*1RN~)oIHr+U;tv+$@X~;||k8-W5{F20Cl7D8i!F^J|O) zA?^oY(ZkSN6<3{DYmF>bZXM^)$@q;QGQaZbQnqjXJT8OpW>RH1!mEQH(+$JVaS*v0 z*`6M{iH)F=dS{f&LwJ$ft7^A*MSKwC2(e4BYYxF(J#w*H^}9BK5lFLvx>bVCA(Wu9 zGY^jV!I?~15fYW>%3av#S*|$KMZvuA7gKtV1$p_7ZcH%~iiTfr=T*q`Rg)F9pb zz)j8o`NwsqmrHP+@w~6(=3~UOln~SyEmlmt$9LzOpuwg2cvfs`z2=aNH@?nB56_YC zYUP$JnO+&ZzxQ)g>KMfFxLPM2|3=B!SJL!%@9=SFhURF{7OQ|L@!^Yvg~hdK60ek| zBrM+Pj3R5xM+K_d6tl0Pq|C1rQ9WGb8+9o=^k%`u?CeMZu7T^x(v?8mUU;PyK1{-1 zci7Y3w&!9>@J7S&o?8Bj|K-O2liZ-(%-}!UL4<=b6tgV#M;ss&tJK4QKF0Fu=8h%Z^ zrn^aKFNGqmoUeAnP)ne>c%Q*Q{=psYS1CwN zzI$KpHN1Fsg4E|%!N*$i;IYlUz1ev(cO)>1+7r+4RO&FF_nI?u`U~u9-gfT0lYm(I?1}+i%e%ozNI(8z zbThR`y zS!U(Jv%0{+STIWN??&I!)0mM>!>+`&Q_P(`-$Ig|ChqzkH$Au-X3!p?`B zWx(>e`&9S!ZH(t&MZ11G;5u(MuzcfHM(oOQrmZp^HQBW=cu@PbSKj z2qiJ9KEAK0cByBw?SfCV{@^uXEF^GY78eoox~!j=UW4?8FJ~lLaRJZZNIKqHc&W%P z#7~mgiy7;)tC$5qw#;`{S^##7%XVxcs}Td$Zj}W7M(&L^mL18tH{KxyX||sC(SI!Q z%2RM8HZ`UcEp;{o5cdU0hl&d+70vWRVkUT&-r>qmeNt~9=p>Rl1G84xCY_1UC^2Iu zuMKC@WF!G=r89%MpS+*L(v)=1X#J6pXdmLKS<=&XW{r8;6>YgaRlG@ZR)vBK@TD)UIPo}sRJ2HCMtT1DD`CBf}Hnk_qd`NKMItkS}&T!TvCa z6w*$f>zbamlcs6kLdlVVWqsoUsIXnw;3T&%m4O0Qedw^dVSW9)!j2(-g}2v-wNHvV z3W^>?klW-d7 zV7?3hY~ZkuM^oK&p0|D82Mt>ba{G2$s3>HgX8o9FNo+dI2rk(IlLk;FR9bw`{0Wna zF)Q&jLw*ib;#}2KUnm#6ZrIxX@EL2{-q79C9UCLlk07JSgO}q!A|iX{DF9h|vT7J_ z_Ny<8{LysJ;E$t@Qc^IH`l7ZSX^x1D4c!w%_*#yxZh!qTk4pkc%ex*Yr_7N_l`ou{ zgmI)9$ocjq*lcUlzr-NFKl=c=nTR3q$KNypz*wD|rW)M|t@joRU>;lR*=&hEoXA;F z3qQi_pcmr&qR(Szhf&ONqssUJ(>&e%`SOR@j8}8{qwRasK}+8l|LvJ1Ywd+do1Oxm zymR0?bO8=!G>Hanu+FK$qJNy55AWhqD!bYFcIPI-4SwvQJP}yKl1`Fd2k)SMLbwl^ zkg{QfmYxm(p;&sBf`K#$yH{&00&XKJ9>;(_j`820y9pDySaCNJ z`^wQm_m;1&n?~vk4DuQDd!y?mRrRW%)GY=W5IDTbcS9cpMtV7aZphu4ef78Sa|b9Y zbadJ08JGd=Xf$cdw6-BEE8HsWm*4vv_ic!G1V=VvKhGp@kBb}E8u-f;9f3(6g&mWoSO9@>)O)v2z$k{~uzeOL3;B}4nj!Ui-4+42 zM|#kB`O7am)-x9?0e(Dz3bJ+P^8A_;Nu?JXPKbXTfl8c~pTIkx%#(Lh6Z1~JHFMP# zVDVYH%MctorFN*4uzj8*ch=3x5r6QG`2v8=Zrm3Xhky-Vze2SD5HHK_Yr4g7X5jGg z?ZVp*SZ^O5nP)j3HHz{E`1MF(guvaCJ8Fxuybt3P$L3q0fin7nar0-RJ4ZE+Z`M+or ze2X)uSU((L-f(7Dmd_%0x&*}#P#K9@>;KO=pi2wjVLW-0NV_sd{9w?X{4a zV}7&JKk2;=xJkRA7f)iFLu-YixRyQW9--bYE}m( zYD9I6s7Y(2__r|q)8D17b#jnsmzb07TUL@JZ-^Uqw)f?7L( zKfe`q__>Ush|A`{jN1f?8SV!)@(p6Mv2y8@5m^9d=%1qRjG&LqbL_uew&?#BPh@r4 z1#4=BjBk;_MGB?vP45pHR+Pc-a!zK82-0zc6J8M~J$K$2B?!O}22D2O`LzQvJXxN% zavu>OcX3huFUoVh<%OFvyi!J|8o5!B;WXiAni<8)O4sZlkq}-vWW&^%OXm0CnxG=R z&hZj)@V5FBPCF2G0#(gc;&u~%EV}z=ZRH9Qpy@&+MYg?YFUSodoY_gGutut)@r z82Qj%)X47}C%;RFlix`ym3HTav^{kuSbn@SkYLek?l!SUL9t*Wa|vo}ics?zSpMgc zI{Ra##YOcZRr3Y#<9BV9lbR6CRqvljtR%$gLs_G2!oE<_M^?YAR(=O-8Tk|NEx$A> z@NKi&8JnB|oYjs7oLoQW?$?@Hc7Nd*<{=F76JI;#UQA@ol|OEuq8YA1LxT0Wml*#W z>;7=*i@v)`}AE?0}kO2nRI@xk?_nS(wVG0(8A6u!9QK z2hT{E5gJcv%^!o~hx)meW+HCvxp}l#gV)e!-YRE}_844v^Vr$`vNu6@<Sn<61nM)n3%KZHd^Rx-G~B9h@Jt2A3)86=F46on(d_Pzz6rac%A2! z3%N=3GoaBhe8>5}OKP=PNwh^4@QtgmN5QQy-k)G^-tc7d zc#^)=)w>q|1j~Xy;@^$%+LLA`-=Sa^JBoV+R)%!olB6x!JB({1JjMdZ6}i<8n?|E# zYpy|IyHz$U+pCB#Bwst#EAz2zGvncLO>59%sj?5;h z!;aXeYaetAREz&j=ttcxsB3z+DKHUk)Mj-Rxy1F&Le$MePGME$)8n;Gp-TWEGeqs* zkxwQ5MKw?Sg;S1(fy@r~H8-IN>c_rsUxvMTO7b6t`=Vi+5Q**!v%sIfKsat))kBP3 zabBmp|KsWa&am#NH$u5X*drW2r6Cy?GbvJ8c(vT_RC)GVNsRN>wSSWEU`S!cYp~Qt zRgZ{W=S+kvc}o`W5`AqI;KKa8&DDjI04oM0wZ8+x zl-GBs?W`|I$W`yBt;@Ywk^~J^%5tbfhzB1s$ZsVCP&UsvkrE)uukvMpPX?EV&KH+U z{fWVTttJu8qeQCqsvL#f0=n~c!EcIaR}<;}9zPVV|L3Q}>*@ux?}>aV6S>@u*ZlQk&4M(%67hJ0mm=Ju?#)hG zPQi#77V;H^QP9!w?B|F~!h#0;eqr6hVvzsf_*TC#nh23P6x$rw=_IH}DFXxp)AyR2 zMW0ZdEE>#jIZzbt1#6lbOYc<^O1^DAc63?r5dK2AKi%Y7 z${gmHAzeY^?#a`Zql6{X>-)`H_Sg-Y@Jbh`-*R5EBB6S|sN&%)bg#lBl^M+;|A1!C zwhlCmx{Q0)arloI>`x_RWz*WScbA4m0{&S!bvRD91BQD07w5ht@Od2UWS)5kSq1hJ zFGRl*-!Ze4AV0O0W#CR~ug`q}UN^*A75oxBi>j_Wz+_2B3>Nf!n^1%(k#iB_tcnGH z);ehqo53}$J*ZD2g$`Ve$t4-?Nf!A6i@C3aqHk9DPFmCal*Jdv06uNt(KkMRUai&u z?kJ<~AoSy(^8-Bh_BMOrr-s9?`v}W#&WtJF`0CKPUJc{f7Ag?YiMu?hT(Ey-0-*>L zK6&g^?$wmH1uYMDRRu4vUG?q(lkFA)`&s3){IQ{+$`k!{>;MaN!OP;nVkFPEg%DbR zl{4=^xUCGKz&$bfzZs96?QRYZqi{8Q)AA+kY3yrIz_pNh5k(jyTPEDv_;HeEJlv$S zKytMy=Bn)`PPG+iV}Tmz$4<_31V>sycckj``-L>dSZk-G-7M}v)45K-4el+QZJ10^ zE120@iNCzz0++4upLXOm!P`5axKP3Tf zO59C`eb4KC6rJ>@>$xrpF};otP8M<_D%M1im#Q9pNinv*B#OW(JcWMYSg%-Wkc52UI$DcoTCo26^ zbHOT|BKJ(ued6+t^rBJ%)VxRrFq99YI)X%>PIBSZ74mPosEyl4aOiz>(njURE6yYO z%WLSUH3)ij?#1u%zr6@Gqwna&CADvaUf^pqq`$qk!TZ!x;Y@UOufCjcP_RAxiF)l9 zbfYEItM=MN&eENU%uND$$-Fh+bpi7$a|Nh(|A!lD9%@9uEL@sWHXG+oP9^}%W?fgf zOqM%w+X#M37=3GLV?XPlvjJq_3{dT^Pq;Eec&CCZmEaioFv) zJik{SaQ$Y!H+lIF-gPBFQ)|MOBU`>p%NnCZ?N!8~^L+>GKsQMPsBo#;V+v>$(6o z_k@mWy21=XgJng=%c=p@aeEaK(~PvF*!wdPd@>s!x=OTRcwQ2ymLM|J zim=JO$f@k&`E^sLuL>%`FNMl>p^C1bY30em6ijk%$hYk7kDeum&2M;WS|#N$zs|k~ zE=M^jYq+;}tduaZ9N@-##xhfmb6%ULo&dggH!(rNrnaCxX!J{Bf09j9w)1~e1trqv z42r}JT%I2$GB>A1bg%h8?}BqOqf?!ut;nk{%>m^~g-R(Moh7eXfmp+`sLjG z!HJ>C;aM#R`pMjgDW)RIc^9nivtQnj)axwyD%V-;bs0nOgK}~@zG_ta9Znnv+TZ!g zm{{(JxNbbu0V)|1MU{rBVzM)gfhEiiGoR6Uo%%=AuEpj!Hzw; z@{Mxv8597JuhsA4kiq#P!phwVDPjV{yeWXI0zapyC0EU~|AH@No=2Anyqb@`ZIMyZMN|;>!t5Jdb4$KMd{4LkeO&Kqwc+jt zRX>U0e=DEslsR7BonXearUvPb5Gpr6tZK(V^8leH$ue>V;dbE*`*boqyXSQ7bM!d%b;SHDear!b}PjXIpEJ#dt!S`Z;myYlo}U>yi1Cui~-gl44daGc`0Bm^DT0VO09C16Ou(a!Khi* z`*of_MZv?ELEPKCP0urUb(R0z5V}da>cjg5;=TFYx1;G15f;LZ z4Refus*8Uvb3n_pUi_bV<;0VNh!Ds@k9kkfp+M$XB|lDv4x6bq0@-mSba3@6Qs9|q zJe|e#`tguaY-ND^&aga9&T1Zq;=Tt2|8JEkFoPE-PeiW>?AG%MS$zh38i8QUg+J_G z)kxE|f>s|gB$C@pN%I`0bI3i=e(1sWZXN@TPy`*Y*Jv9XgK^L~utU=VXvu#i;%nab zr)0Icn4KDsFUiRf_P!7JsV_ctJJB&^!@&=38vjby<1Zk{17NPnHtPC54ie3VgHOl?}x)9>_&T2U%p^Tdt0oLkZm5UG#O6qk{E z{PlZ(-THTbl&Hj)+@tVsyNXe^+rlXf5T4{aDJ$~*ppeuNo@Fl~%ZLJ{qBgUw%?gwp zsJrU8Q$hfd4V{F&M01nmIMCfLw2n0W@Xvki!e#rDQ{{831rYt@dpV@t^Nn6d@k}yHpQ<))IAs{2H=sBRIhVnH`ur;M)V_DxkyB6$+vd!=)z&Qs5L|%)?ya z#^d?+!&lO(7fhS&o>PF@DVjK`F>{5eCdE_Fjyv__oHj{*m#NO0|0UXJ`_19bA`cQ0 z2RR2hIys#aP=>m--(U-Qy?}|oJqN|ZoZIYvO8moH>>{V0-VP_ZMlOb6O-69*OQhcp z51xFp>Sp~?eBHfR5K`3FdBDjdEZyWy5uGA+mHs*;_GYF@p#gE}Uw|E~Xl%b&G`&kL zQ_|#bR;T9gpF%^6voR8xk-z=wKVAt&;`evyj6$-+Odt_LC)Aj^?bD-N-r+>*5SNiA_Wjv?9A9nl7i`UXUpjQ0-!6mY+8?ocFfWea8`d zrECp2l|C<=VTw7ctLq^aBLA+qJ~(O4XKz36Sgbfi2ZXr*pMafN zmaBHsaw#~vTOm+0%(36UH~HcAtm@_mM=3xq!COQ7)-65zj#e$4c@*IF+5k=xLJI(VM!FgXWI=HOF!f>cYNLw#P}royrU!Sa649RL6Lo zPP4wS>hvzX*FkA5Od z?5u_c3KYSATM+G!i4@H)P67i3EBP^0uN!TGK6)vf#yuAwLxo__(l3dSEN;_@@&gpA zBX~z7X@@LB{C15w1{El~#(FcM?(pGPmSs`-=OD;w;s}~5upZ{)=HF4N^{(=uh0|zq ztCY7KR~az%tYJDBVbRMe9q9w?&0RcD?TQ>pLikS=_YtSjQg))KSdb=9B%);(!vv$B z3ljLrj|pydY2s7?@YT5gX+clUYdvlc?S&&YyG2N!P5Z>0I$;=|&1KkB#|8{#|7K06 zNc?Qk>ZK#|bBS1UHv#Ph`$Y9sb6XrH3OSmpC(-gye!VUqo-fFyW(8;iuzZ=QT%JH@ z=C%=(DXdM8!x4MT@sR#AA@~&BU-NZ8+#lCzyyvxqlfV>a9j^s6YV#6#QOm7a%A%M& z`^sMR?H(+H-NAJIAaBgF%6C@iyy@_?>g>$vo5x*;mlSm*iHf&gmvY&BpV%qzf8Nl9 zzI*ZKlV}bTX*)s}KkLY%Xa}|4I|J<>lnoS=b!aRA6x0>R#7hWY+>Rme=e3s4#2^vz zA0)sV*#MogctnSN1wA4Do~inK z0%PFK-U|WZtqTS9RcX8(!n~JFTfLGj-0KUHe_H}HE!uC764E!t-SFHw=S3oTOV0jc z&oI_^`+lLAbKD#q9^@pRk(`jR+6(_uE7=UKgxH-lcIw*;0%cy$It0J(9Lb&t&6GVa zcro1*udR@VJs)NygJitPKX+Ad)N#?O^u37Pzj6oD4x~VH98)RqWDh9pgiB-!AbCKI zuP6_AjF|waH275qECdS{@)W}MaGvTn`2sWU z4!uyQ^H>%C)?3!K{EUCn>*&>Smm{XgL4fF674r-D2Rwkt3rVfVFSiGNnJ)8jv<7jp zInu9SOq%`@RKwON(yxXY>uL7(!}RPvUQjE}KOC>jdmz{c1l;^%g^$g)$vttqpa&fd ziu}2GT6CRM zZ^3!f^;bwCwd@}|qMUWB!NbD&>`Tn-);+F0|HgWDw$w{W6-LdKS7pF+${EZccBo3J z(vF`x;ufdWqP*vpU47k}Z;kr>T5df;q2KBQ%ZPB~sy674SZ;CpTzW)}#U1W{gCXlvEha0Zm?%+l_G;+^-_@*kJB=I$~&5Rk5z`1*tc1$RLaU{=h5 zx3q`bu{d|y=ob!nI=f3?q;SATr&OfWQ(EApZH^{m{oysoNPd`i&Uz#%x%GP%4}S~- zbQ7ievvEdnWltuE2D@{4hP*=OBm=fhYX?+slgVeuaRG4?@^0;`GPEH;*X%zE6|~;< zu>{%#0YUv?5%AjxE`MYM_iY|te^dnDZGHiN!3d$-XkmX$gvf1CF@Nz0iQAG={?ZXL zw`Jx0NU2GQct72!8TAD zX-#jj&6IbvmR9V0$_H9oJC;i6pmla(yD2@i-ac$UWq>wF!+xX;(S|=^M<}DTvCr6X z$^>n43QMO<(`II|bCh}7!XkEwvP@g~g8fSQM*IE)%b+l6t1RpqWu3P16T3c{Q`kc~-+OaaD-ElyhX0Mo5{5J$6xxO~fSigFq^_R{ALfHzXi% zW_ew+vi2X>I7+L2rQ*0F^^&!LZ1OFDUi40XwTwt@f2Yp_RSN0umQf>3v)o^bI4VI-@m$2F1Y&Cf-=`auHoz27u>ASjp|cx z?I)I2r6*-A&DH?poyT41I#gk}XP^TFkNIDdl*7pN>XUxIwn3w9+C+ve2jCc7P!^Jl zxELRu%sjLjZCV0?7vKyzPC++X_RR*ay`+3GFu0=A8{dJc90IrxI){^bP$Lon(i39{ zC%1|~KJFLZT1|K>(q@O?T_jS<>)=hr`(w?RHiSdeRV|@aj_V<*O#9PwdxeUnC6-w? z_;=}+gfz)0p-|0{0HJpAcGx8KY(z);|K+O8hIA4BPqkZBA z+apPah!o6|5TD7pAHyHhR`#lwuT@q=GQch^N+r-DSQX3#Z8}yV(81z9I2j={I6^lL zsQ#F1UGM)Sc7yOm^QV{UTcU9t)9QT*)!o-+vJaqbm)$qcK&W-h6Jn48A~wsyQjM)5yf+++*k@%uHD+k~WqXE)WX@D$QRgLF7JbJPn)O^fjV%aDfT^J1EFG%?3`0t(CdB2oz z{_;-7ji;pEs|uhTfMUP7s+-ZtqNM2p(sEo|v`&BFXG06Rd@~u#bP(3U?ibC0^v3K{ z)6IL)+)-44;ESt%lGRa{_W9BxRY`&6Pc;&C9Zq&rePV*eo;pb$RAldslYPd7dif*1 z6U;C1y7aS%`^Ybw>4K(#ZZ9x^4kOTlF^g6}Kf8D>umE^^pHW7rP`S0u`t0e>^|%yB zhKpl4Vdi4V5QuetHQZUZ;j%WeQuXTuh^^xx^xZWn=b6A-XF%X}V~@+ntlDaN9bN%M zOkNiXQ1z?Q&hor_YU>t=LT|?OztntOS#>|vYr!SBn!GPjsYT}Y=sMDyH8evfh)drN z=#=r%zkvf^|9l5}wjC``o}M6os#^dga|@F{&yqWI_1+KYX-4-S>9K~%&Jul*f>Y&a z;)Cct^w|$DJ_hm)3%IDijOMD=)U8bOA=nNQhi{c#e&bCqE}VHO$`6dbRqn8*uHiq5 zt~2CFqn=T|?BIWImHnLEAGat5;VL~TrbPBb>Oqc5L2A{OcwUKIg>xOml(5Jh({R+*~^nOFba}&y+ zs})`eG!UB`ZiXO7>rwx4m4|AsRp}1+{7!jM7BukA6Ocbp5xg5n<6Vo~LtR(N$bP$K zNnFoPm)=e-Y7Zm+V4EyLt5at?+V^UM1>GbIbA)ZtlcDE9qa&xooeRpYc~b&~JU^>*3cU%;Jde`g95?a|G@8?iwnIyI zebWKf`f8N->rPXDl-jV;GLOkRY|nnOKi-|qo=Ch1;?cMq5=ic`eCeqW-C7zFW7nDQ zU}~Wl`=Yd@7wzE}N=%2iUApJ(6+l)sx|&Ic>xcFPbMbO;%4V6VNsWrUtyY<9!Z&@M zu`(c1@yz9BUJfjldzan5IL`dVX6A^@T8F*}^vG;eG*<-ZZ@6iAnnv|Nm4uxH3^He+ z8l|!~l#n3ayZM_6De=Tk2*Z$^W+m{}8O%&Beq5lHqSgoO%JPdPKhAFg*vd|>9Hg1wq^n`pHDpKd7GMa|3$eZi+qRE=oe z8C{fy(r5J?ax)_}93lZwJwA!sR7n)&s&a3!>>Y>qv{w9&0h%nX0 z_@pkHRJZ{=VUJiOHD+c8TThZPX~bcsY~oEC;dXC#CaW@-FM-U)dQjb@Xo_(zVj=j@ zNQ3V(aB;_T5JNlXibbp}271HHeAZ*}GDzOM?n{DF5l*-LL{qwR8YgO@$XJD*HX~j$ zXiK6=G+oYg)?F46XDoLK{@UxL@F-#m{E?sbF}m>|WFmb!DcDVAmLFl2h2aN6WBBx& zd{k>c*{J^FoJRHZhn0uq@&X-Vx7Fax2A}X1=2^P>T5wdShA`bFQr|0*L4R{VPKzCr zJLQK~*G$JY*kFr)20kizEfMK<5&POm-udfSu{Uc*kL2G)pZ`jC1S!xYB~|_(*9Iv0 z6Cg5b&m06UY>fxFv-Bg<@0|B)1p)$-u|I92UDqUPENor?Bjn2l07MI7U3F|`@*@m# zrwjPn*41pHhA7Z%IY4|DX=C(RC2|X`BDP zuNSRZ1Plp+4Yf(9GVURgpv0*sA>KnUpQ{{W}fP-h{Fw|wygi2%wO z2LI@ybZ&@hp4&Tm$)UQuH@=RcgJQ99bU*-&svf#Wiw-}vcDDPF(fZNY1JOGmEDR8B z|C<4W5G8VAsL7Q9k`9Qr|C<1GzYw(@>lZ8{KmAsq+3U}*-M&h^R>U3*q3LoKfGmSQakF|3D)oC0-^fDD5d50F{{R3Jd@) zI7yQXkywyO1)U$5i~xv$FCEwc00019Yy`>=>&_jvy#fz=X9EK{d!~7scXerVrg55Y zZE0(!ft!bm17$beK?5-~S<^pFJ1sOh(@{-DLo_MVCs-OcH(>)!JzF$a(^XAVPc%)_ zOHFBwU~4Y}nkz49U8XmhUq@+4riq$`gUB;k13}o82QT-8j~5t|Km%-%YX}wtP#gr= z_5x#b&2*w1j13iu>Bex_2vnd@daLp8W~em6d~;oAQu4fH6R=i?^+=Xeiklt|0YxnHYFJv80`%a8wCFf92y`A7;FLC_oVEs+dHl$FaLg6 zBmwMHk{0eP6cR@1N(2Y+QNu$m|# z+cS}efeMA#qaR<5N=>Tu4Atu|UIKp=MmaQmGP_VH>jc;c1OOFX7@`7=dG_EG1O@I^Q4xJ+UvE!-~?&_9|70_`0MHb|8)cC z1^^Ms0RMFbiabUT|HEAdAC0wX{jFP(t&**mtpUT1!!f`C$0h+StVnbfKy~y-M<#$h mnsxamIaLF9^RNT!;(^Eh0OH{X1|Ph@+Uk~i006+++YK7g)ugWg diff --git a/fmemtest.map b/fmemtest.map index ebd08f6c..349e38fc 100755 --- a/fmemtest.map +++ b/fmemtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:33 +Created on: 15/10/03 12:14:30 Executable Image: fmemtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0e4a:0000 0000e4a0 +DGROUP 0eb8:0000 0000e700 @@ -32,24 +32,27 @@ wcpu_TEXT CODE AUTO 0000:52e0 00000058 16_ca_TEXT CODE AUTO 0000:5750 000003fd kitten_TEXT CODE AUTO 0000:5b50 0000080a 16_hc_TEXT CODE AUTO 0000:6360 0000158b -_TEXT CODE AUTO 0000:78f0 000069f2 -16_in13_DATA FAR_DATA AUTO 0e2f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0e49:0004 00000000 -_NULL BEGDATA DGROUP 0e4a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0e4c:0000 00000002 -CONST DATA DGROUP 0e4c:0002 00000076 -CONST2 DATA DGROUP 0e53:0008 00000102 -_DATA DATA DGROUP 0e64:0000 00000516 -XIB DATA DGROUP 0eb5:0006 00000000 -XI DATA DGROUP 0eb5:0006 0000002a -XIE DATA DGROUP 0eb8:0000 00000000 -YIB DATA DGROUP 0eb8:0000 00000000 -YI DATA DGROUP 0eb8:0000 00000018 -YIE DATA DGROUP 0eb9:0008 00000000 -STRINGS DATA DGROUP 0eb9:0008 00000000 -DATA DATA DGROUP 0eb9:0008 00000000 -_BSS BSS DGROUP 0eba:0000 000002d5 -STACK STACK DGROUP 0ee8:0000 0000dac0 +timer_TEXT CODE AUTO 0000:78f0 0000020f +_TEXT CODE AUTO 0000:7b00 00006ec9 +16_in13_DATA FAR_DATA AUTO 0e9d:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0eb7:0004 00000000 +_NULL BEGDATA DGROUP 0eb8:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0eba:0000 00000002 +CONST DATA DGROUP 0eba:0002 00000076 +CONST2 DATA DGROUP 0ec1:0008 00000102 +_DATA DATA DGROUP 0ed2:0000 0000056b +XIB DATA DGROUP 0f28:000c 00000000 +XI DATA DGROUP 0f28:000c 00000036 +XIE DATA DGROUP 0f2c:0002 00000000 +YIB DATA DGROUP 0f2c:0002 00000000 +YI DATA DGROUP 0f2c:0002 00000018 +YIE DATA DGROUP 0f2d:000a 00000000 +STRINGS DATA DGROUP 0f2d:000a 00000000 +DATA DATA DGROUP 0f2d:000a 00000000 +_emu_init_start EMU DGROUP 0f2d:000a 00000000 +_emu_init_end EMU DGROUP 0f2d:000a 00000000 +_BSS BSS DGROUP 0f2e:0000 000004da +STACK STACK DGROUP 0f7c:0000 0000dac0 +----------------+ @@ -88,7 +91,7 @@ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) 0000:1eaa* IN_KeyDown_ 0000:1ef2+ IN_ClearKey_ 0000:1f6a* IN_qb_ -0e4a:070e+ _inpu +0eb8:076e+ _inpu Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:29b4+ MML_CheckForEMS_ 0000:2a10+ MML_SetupEMS_ @@ -113,11 +116,11 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:485c+ MM_TotalFree_ 0000:48f2* MM_Report_ 0000:52aa* MM_BombOnError_ -0e4a:0870+ _beforesort -0e4a:0874+ _aftersort -0e4a:0878+ _XMSaddr +0eb8:08d0+ _beforesort +0eb8:08d4+ _aftersort +0eb8:08d8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:52e0* detectcpu_ +0000:52e0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) 0000:534e* wait_ 0000:539a* filesize_ @@ -133,9 +136,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a20* CAL_OptimizeNodes_ 0000:5ad0* CA_Startup_ 0000:5b20* CA_Shutdown_ -0e4a:0880* _finishcachebox -0e4a:0884* _drawcachebox -0e4a:0888* _updatecachebox +0eb8:08e0* _finishcachebox +0eb8:08e4* _drawcachebox +0eb8:08e8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b8c KITTENGETS 0000:5bec* kittenopen_ @@ -146,7 +149,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6144+ get_line_ 0000:61b6+ db_fetch_ 0000:624c+ db_insert_ -0e4a:0494+ __kitten_catalog +0eb8:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:650c+ LargestFreeBlock_ 0000:659a+ _coreleft_ @@ -162,370 +165,401 @@ Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:780c+ heapstat0_ 0000:786e+ HC_OpenDebug_ 0000:78be* HC_CloseDebug_ +Module: timer.o(/dos/z/16/src/lib/timer.c) +0000:78f0* start_timer_ +0000:79f6* elapsed_timer_ +0000:7a48* ticktock_ +0000:7ab4* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0000:78f5 __STK -0000:7915 __STKOVERFLOW_ +0000:7b05 __STK +0000:7b25 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0000:7932 _heapgrow_ -0000:7932 _fheapgrow_ -0000:7933 _nheapgrow_ +0000:7b42 _heapgrow_ +0000:7b42 _fheapgrow_ +0000:7b43 _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0000:79ab printf_ +0000:7bbb printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0000:79da _memavl_ +0000:7bea _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bmsize.c) -0000:7a1f _bmsize_ +0000:7c2f _bmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:7a56 _msize_ -0000:7a71 _fmsize_ +0000:7c66 _msize_ +0000:7c81 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmsize.c) -0000:7a82 _nmsize_ +0000:7c92 _nmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0e4a:0000* __nullarea -0e4a:04a6* __ovlflag -0e4a:04a7* __intno -0e4a:04a8* __ovlvec -0000:7a96 _cstart_ -0000:7b69* _Not_Enough_Memory_ -0000:7c9b __exit_ -0000:7cba __do_exit_with_msg__ -0000:7d17 __GETDS +0eb8:0000* __nullarea +0eb8:04b6* __ovlflag +0eb8:04b7* __intno +0eb8:04b8* __ovlvec +0000:7ca6 _cstart_ +0000:7d79* _Not_Enough_Memory_ +0000:7eab __exit_ +0000:7eca __do_exit_with_msg__ +0000:7f27 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0000:7d22 _big_code_ -0000:7d22* CodeModelMismatch +0000:7f32 _big_code_ +0000:7f32* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0e4a:0894 __argv -0e4a:0898 ___argv -0e4a:089c __argc -0e4a:089e ___argc +0eb8:08f4 __argv +0eb8:08f8 ___argv +0eb8:08fc __argc +0eb8:08fe ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0000:7d29 __PIA -0000:7d22* __PIS +0000:7f39 __PIA +0000:7f32* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0000:7d40+ int86x_ -0000:7ec3 int86_ +0000:7f50+ int86x_ +0000:80d3 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0000:7ef0 __I4M -0000:7ef0 __U4M +0000:8100 __I4M +0000:8100 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0000:7f08 _dos_getvect_ +0000:8118 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0000:7f13 _dos_setvect_ +0000:8123 _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:7f20 __I4D -0000:7f6f __U4D +0000:8130 __I4D +0000:817f __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0000:7ff3 _nmalloc_ -0e4a:04ac ___nheapbeg -0e4a:04ae ___MiniHeapRover -0e4a:04b0 ___LargestSizeB4MiniHeapRover +0000:8203 _nmalloc_ +0eb8:04bc ___nheapbeg +0eb8:04be ___MiniHeapRover +0eb8:04c0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0000:80cd _fmalloc_ -0000:80cd malloc_ -0e4a:04b2 ___fheap -0e4a:04b4 ___fheapRover -0e4a:04b6 ___LargestSizeB4Rover +0000:82dd _fmalloc_ +0000:82dd malloc_ +0eb8:04c2 ___fheap +0eb8:04c4 ___fheapRover +0eb8:04c6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0000:8242 _ffree_ -0000:8242 free_ +0000:8452 _ffree_ +0000:8452 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0000:82af _nfree_ -0e4a:08a0+ ___MiniHeapFreeRover +0000:84bf _nfree_ +0eb8:0900+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0000:83aa+ _null_exit_rtn_ -0000:83aa+ __null_int23_exit_ -0000:83ab exit_ -0000:83cc+ _exit_ -0e4a:04b8+ ___int23_exit -0e4a:04bc+ ___FPE_handler_exit +0000:85ba+ _null_exit_rtn_ +0000:85ba+ __null_int23_exit_ +0000:85bb exit_ +0000:85dc+ _exit_ +0eb8:04c8+ ___int23_exit +0eb8:04cc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0000:83e8 __PTC +0000:85f8 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:841b ultoa_ -0000:84d9* ltoa_ +0000:862b ultoa_ +0000:86e9* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0000:865f write_ +0000:886f write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0000:888b+ __open_flags_ -0000:8b4c+ _fsopen_ -0000:8bb3 fopen_ -0000:8cd6* freopen_ +0000:8a9b+ __open_flags_ +0000:8d5c+ _fsopen_ +0000:8dc3 fopen_ +0000:8ee6* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0000:8d60 fprintf_ +0000:8f70 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0000:8d8f __doclose_ -0000:8ed9 __shutdown_stream_ -0000:8ef3 fclose_ -0e4a:08a2+ ___RmTmpFileFn +0000:8f9f __doclose_ +0000:90e9 __shutdown_stream_ +0000:9103 fclose_ +0eb8:0902+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0000:8f5e+ __ibm_bios_get_ticks_ -0000:8fd5 clock_ +0000:916e+ __ibm_bios_get_ticks_ +0000:91e5 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0000:8ffc kbhit_ +0000:920c kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0000:9013 ftell_ +0000:9223 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0000:91f9 fseek_ +0000:9409 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0e4a:0098 __IsTable +0eb8:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0000:93f1 tolower_ +0000:9601 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0000:93ff unlink_ +0000:960f unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0000:962f open_ -0000:9666 sopen_ +0000:983f open_ +0000:9876 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0000:9693 close_ +0000:98a3 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0000:9698 filelength_ +0000:98a8 filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0000:96f6 sprintf_ +0000:9906 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0000:9725 getenv_ +0000:9935 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:9810 __PTS +0000:9a20 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0000:9841 read_ +0000:9a51 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0000:997a* __clib_strdup_ -0000:997a strdup_ +0000:9b8a* __clib_strdup_ +0000:9b8a strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0000:99e1 halloc_ -0000:9ac6 hfree_ +0000:9bf1 halloc_ +0000:9cd6 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0000:9acd _heapwalk_ -0000:9acd _fheapwalk_ +0000:9cdd _heapwalk_ +0000:9cdd _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0000:9ae2+ __NHeapWalk_ -0000:9bdb _nheapwalk_ +0000:9cf2+ __NHeapWalk_ +0000:9deb _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0000:9beb stackavail_ +0000:9dfb stackavail_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) +0eb8:04d8 __8087 +0eb8:04d9 __real87 +0eb8:04da __dos87emucall +0eb8:04dc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0000:9bf9* __exit_with_msg_ -0000:9bfe __fatal_runtime_error_ +0000:9e0a* __exit_with_msg_ +0000:9e0f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0e4a:04c8 __curbrk -0e4a:04d0 __STACKLOW -0e4a:04d2 __STACKTOP -0e4a:04d4 __cbyte -0e4a:04d6 __child -0e4a:04d8 __no87 -0e4a:04e5 ___FPE_handler -0e4a:04ca __psp -0e4a:04d9 __get_ovl_stack -0e4a:04dd __restore_ovl_stack -0e4a:04e1 __close_ovl_file -0e4a:04e9 __LpCmdLine -0e4a:04ed __LpPgmName -0e4a:04cc __osmajor -0e4a:04cd __osminor -0e4a:04ce __osmode -0e4a:04cf __HShift +0eb8:04de __curbrk +0eb8:04e6 __STACKLOW +0eb8:04e8 __STACKTOP +0eb8:04ea __cbyte +0eb8:04ec __child +0eb8:04ee __no87 +0eb8:04fb ___FPE_handler +0eb8:04e0 __psp +0eb8:04ef __get_ovl_stack +0eb8:04f3 __restore_ovl_stack +0eb8:04f7 __close_ovl_file +0eb8:04ff __LpCmdLine +0eb8:0503 __LpPgmName +0eb8:04e2 __osmajor +0eb8:04e3 __osminor +0eb8:04e4 __osmode +0eb8:04e5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:9ca1 __LastFree_ -0000:9d1b __ExpandDGROUP_ +0000:9eb3 __LastFree_ +0000:9f2d __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0e4a:04f2 ___iob -0e4a:08aa ___OpenStreams -0e4a:08ae ___ClosedStreams +0eb8:0508 ___iob +0eb8:090a ___ClosedStreams +0eb8:090e ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:9e46 __fprtf_ +0000:a058 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:9f99 __CMain +0000:a1ab __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:9fff __InitRtns -0000:9fff* __FInitRtns -0000:a05a __FiniRtns -0000:a05a* __FFiniRtns +0000:a211 __InitRtns +0000:a211* __FInitRtns +0000:a26c __FiniRtns +0000:a26c* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0e4a:065a ___uselfn +0eb8:0670 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:a0be __DOSseg__ +0000:a2d0 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0000:a0bf __Init_Argv_ -0000:a122+ _getargv_ -0000:a452 __Fini_Argv_ +0000:a2d1 __Init_Argv_ +0000:a334+ _getargv_ +0000:a664 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:a47c intr_ +0000:a68e intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:a490 segread_ +0000:a6a2 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:a4f6 __nmemneed_ +0000:a708 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0000:a500 __MemAllocator -0000:a5ca __MemFree +0000:a710 __MemAllocator +0000:a7da __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0000:a727 __GrowSeg_ +0000:a937 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0000:a89d __AllocSeg_ +0000:aaad __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0000:a98c __fmemneed_ +0000:ab9c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0e4a:0022 ___Alphabet +0eb8:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:a98f __lseek_ +0000:ab9f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:a9c9* __dosretax -0000:a9ce* __dosret0 -0000:a9d5 __set_errno_dos_ -0000:aa3d* __set_errno_dos_reterr_ +0000:abd9* __dosretax +0000:abde* __dosret0 +0000:abe5 __set_errno_dos_ +0000:ac4d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:aa48* __get_errno_ptr_ -0e4a:08b6 _errno +0000:ac58* __get_errno_ptr_ +0eb8:0916 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:aa4f __GetIOMode_ -0000:aa84 __SetIOMode_nogrow_ -0e4a:0670 ___NFiles -0e4a:0672 ___init_mode -0e4a:069a ___io_mode +0000:ac5f __GetIOMode_ +0000:ac94 __SetIOMode_nogrow_ +0eb8:0686 ___NFiles +0eb8:0688 ___init_mode +0eb8:06b0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:aab9* __set_commode_ -0e4a:069e __commode +0000:acc9* __set_commode_ +0eb8:06b4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0e4a:06a0 __fmode +0eb8:06b6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:aac5 __chktty_ +0000:acd5 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:ab24 __freefp_ -0000:abc5 __purgefp_ +0000:ad34 __freefp_ +0000:add5 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:ac06 __allocfp_ +0000:ae16 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:adb6 __close_ +0000:afc6 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:adec __flush_ +0000:affc __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0000:b035 fflush_ +0000:b245 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:b04a tell_ +0000:b25a tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:b05b lseek_ +0000:b26b lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:b08c __doserror_ -0000:b09a __doserror1_ +0000:b29c __doserror_ +0000:b2aa __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:b0a6 _dos_open_ +0000:b2b6 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:b0cc isatty_ +0000:b2dc isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:b0e8* __get_doserrno_ptr_ -0e4a:08b8 __doserrno +0000:b2f8* __get_doserrno_ptr_ +0eb8:0918 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0e4a:06a2 ___umaskval +0eb8:06b8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:b0ef _dos_creat_ -0000:b113* _dos_creatnew_ +0000:b2ff _dos_creat_ +0000:b323* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:b134+ __grow_iomode_ -0000:b21b+ __shrink_iomode_ -0000:b25c __SetIOMode_ +0000:b344+ __grow_iomode_ +0000:b42b+ __shrink_iomode_ +0000:b46c __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0000:b31c vsprintf_ +0000:b52c vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0e4a:08bc ___env_mask -0e4a:08c0 _environ -0e4a:08c4* __wenviron +0eb8:091c ___env_mask +0eb8:0920 _environ +0eb8:0924* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0000:b35e _mbterm_ +0000:b56e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0000:b3b1 _mbsnextc_ +0000:b5c1 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0000:b40c _mbctoupper_ +0000:b61c _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0000:b42f _mbsinc_ +0000:b63f _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:b481 __ChkTTYIOMode_ -0000:b4ef* __IOMode_ +0000:b691 __ChkTTYIOMode_ +0000:b6ff* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0000:b5c1 __HeapWalk_ +0000:b7d1 __HeapWalk_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) +0000:ba11* _fpreset_ +0000:ba3c __init_8087_ +0000:ba6f+ __default_sigfpe_handler_ +0000:bac4 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:b7e7 __EnterWVIDEO_ -0e4a:06a4+ ___WD_Present +0000:bb34 __EnterWVIDEO_ +0eb8:06d8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0e4a:06a6 __amblksiz +0eb8:06da __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:b80b* _heapenable_ -0e4a:06a8 ___heap_enabled +0000:bb58* _heapenable_ +0eb8:06dc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:b81c* sbrk_ -0000:b82c __brk_ +0000:bb69* sbrk_ +0000:bb79 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:b894 __InitFiles_ +0000:bbe1 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:bac8* fcloseall_ -0000:bacf __full_io_exit_ +0000:be15* fcloseall_ +0000:be1c __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:bad9 fputc_ +0000:be26 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:bcae __ioalloc_ +0000:bffb __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:be3c __prtf_ +0000:c189 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0e4a:08c8 ____Argv -0e4a:08cc ____Argc +0eb8:0928 ____Argv +0eb8:092c ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0e4a:06b6 __Start_XI -0e4a:06e0 __End_XI -0e4a:06e0 __Start_YI -0e4a:06f8 __End_YI +0eb8:070c __Start_XI +0eb8:0742 __End_XI +0eb8:0742 __Start_YI +0eb8:075a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0e4a:08ce ___historical_splitparms +0eb8:092e ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:cfac _DoINTR_ +0000:d2ec _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d325 __qwrite_ +0000:d665 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d385 fsync_ +0000:d6c5 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d38a+ __flushall_ -0000:d41e flushall_ +0000:d6ca+ __flushall_ +0000:d75e flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d424* _frealloc_ -0000:d424 realloc_ +0000:d764* _frealloc_ +0000:d764 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:d51e __setenvp_ -0000:d6aa __freeenvp_ +0000:d85e __setenvp_ +0000:d9ea __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0e4a:06aa ___IsDBCS +0eb8:06de ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:d708* _ismbblead_ -0e4a:08d4 ___MBCSIsTable +0000:da48* _ismbblead_ +0eb8:0934 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:d762 __mbinit_ -0e4a:06ac ___MBCodePage +0000:daa2 __mbinit_ +0eb8:06e0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:d83d _mbdtoupper_ +0000:db7d _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:d84b toupper_ +0000:db8b toupper_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) +0eb8:06e2 __8087cw +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) +0eb8:06e4 ___Save8087 +0eb8:06e8 ___Rest8087 +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) +0000:db9a __GrabFP87_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) +0000:dbcc* __init_8087_emu +0000:dbd2 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:d859 wctomb_ +0000:dc2f wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:d8a4+ utoa_ -0000:d945 itoa_ +0000:dc7a+ utoa_ +0000:dd1b itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:d98f strupr_ +0000:dd65 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0e4a:06ae ___EFG_printf -0e4a:06b2* ___EFG_scanf +0eb8:06ec ___EFG_printf +0eb8:06f0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:d9d7 ulltoa_ -0000:db14* lltoa_ +0000:ddad ulltoa_ +0000:deea* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:db85* _dos_close_ -0000:db93 _dos_commit_ +0000:df5b* _dos_close_ +0000:df69 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:dba2 __HeapManager_expand_ -0000:e0ab _nexpand_ +0000:df78 __HeapManager_expand_ +0000:e481 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e0fe _fexpand_ -0000:e0fe* _expand_ +0000:e4d4 _fexpand_ +0000:e4d4* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e133 clearenv_ +0000:e509 clearenv_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) +0000:e63e __Init_FPE_handler_ +0000:e677 __Fini_FPE_handler_ +0000:e6ae* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:e264 _bfree_ +0000:e940 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:e289 _bexpand_ +0000:e965 _bexpand_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +0eb8:070a ___FPE_int +--------------------+ @@ -540,6 +574,6 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001c940 (117056.) -Entry point address: 0000:7a96 +Memory size: 0001d280 (119424.) +Entry point address: 0000:7ca6 Link time: 00:00.00 diff --git a/fontgfx.exe b/fontgfx.exe index 34b738bcf5e7b87028a1357648477a02a5f03322..1fc8a0c2f2cdc90efb98a4dfaf593992f38c4684 100755 GIT binary patch literal 76836 zcmd3Pe_T{m`u~|>_zeS!V*Va2DI;A*O;cd}Jy;2jplD_o0{$ok8)gQrL|j($a$%x& zb3gsuTHCg5+tym!MQM>l!5>=*Y=v0rs@WZfh>!&YoB6(Bu3&bp+kI(ZWg}xnk29uY^1oT{GnN7T7ntyO#uoj9 zvBCQpivv~y1;DR?j{p}i>;Pjqz;56J;B&wYd=YFMPyw6=9xi9B90>S;vAcj@1Jyvs zLB_^bGBy#I2bh5Mz@LE%;9o!kp#G4t;lO=B18}K|u~&~Ub^-Vv7*Wkw9`Gy>Rm0e1 zU=i>jAOS(g7z_EFv6q2!z|}86%a?c#mUL0C|@ftCbi#f0?m6oQ$Od&jACPKqoNu8`J@K{#(X^TNpcz=d%hJvjBy_Z-GyM z@!P>KU@1@!IDjF)WK0C!1>V4OzJ>|yK}&;j_r&R7}le+FE|_qYDQ*jGU4AE5)l zNMJfp2E6hXV{`rtIRMguJfIAy0*1Z~c?LEDe*oSG4g;5fkiUSBz-(a0Um5!hxVjhZ z^&aF2SP#4eybhcO;y_E#-x%8od;<*I$Cv>~2SnhG_ZiCyj|kfZ{1a#b1`db_8vz)A zdw~q#cfbMQ2yhnY27(7hgpCEJ0P}%Wz^{O(fjz)Gzz4vGz$L&542Xyb8wWfE)Bue@ z2M{_aB5Wd%0Bi?71pWVuU?=bra1{6o za0A-m5n;CgbAeRA1_;2LKsn$9Vn%>Jz!u;Qpc?oF@E?hLfCj|2I@FM*vv5nu60p9#ATdl2f6?kpho-o0YQKk7zjiH!+=r1SRe}6qlQfbnt*G-WFPcFz(c@e zz+1op;Bz2M!`L`rHjoKy19k(Kd?62hj4cN4_J`dC90B+q2-^lM1d4&+AjV>V=YrAy z1M#7dOJFDPDzG02(K7ZhU;&;3UIz{Xr-4==FbuX4NCO@R{s_2$vEk^qfOKFpPz`(s z%o>2c4A>0p9|+k4CJutG0rw0>UkaQ8G9n?TfO-gHzW|=N1$KBCd?sKM@I3Gd5HuV- z0OEjmfmR@N1Z*_$8{loA9Ecssm>u{62pYv$5^yK@JrS4$Oa*2D24D_g1nvWpfMg&Q zSOKH~tARTi=mI7I3xN#aQJ@GY0p0{^fG>fsfy=-g6=QyWkO5#I5D5$eMge1iDBw|1J44xffs;R zfZqde0B-^B0Pg{R2Mz!gz#*U-I1YRa)B&FXjldb;Ja7rP3^W6+Ks(R{xBxYDzz+xl zw7@_h5*P-I0>%PSz@5NEU=lDDm;o4oIe-zk4@d%%fmC1xkOr&<)&P$H*?<|a0gnUu zz%PNFKoMXEo&|OTF95FqzX#p`-U8kM-UI#)8~`eSLqIif9QYVObN2ijXJz-!Nn}Ce za)a3XrNURHvM8pN{<)=ed2(uD(?G33GPji2F2C?FZ0HMvU@Xf(Lxa9@Piyd=w%{@y z+tVJrE2<^9;wa;H#I*L;T7zqyz5#4{gU!eBI$buEwFH~^?czH3)b?O~rKoMg6%`Xl zwFa9?jF(^dH~K-I!tK`{T*55C9jSA*X=Id7L=OK^dqCD>*A4d_bUqAl}b zCVgdb9p$Y*W^X`-Jp0o;%WQO)NH=lI3)etAC*wbAleWyCnY7ZREv1Er14`ChOIz_! z;t823Eh<$87d5gZtt+L_uPr!0D@~_#LAVg9uS^lXGnM^znFi+KZEn1yf2{I_ZCylgf<+mdBwDVx$Wve>+=4a{V=q-3zU>6>yFKFa3h<>}0L z4>L|<^K&zpaVj(3&5To+aWXSfa`q%kUcYvog_+hOi-*{QYz2FOEoaNvz1g`el`UmU zSPDyKi@F6~#A>siwurDNB~7E*s@%G6`Q5HU(Wf@Gxt-^WuSY zCK$VR8L#2H@L@2^X&#zm=gX z<~-=hm?2|>H287B*ok6=&)+PtMBlb8=A!&sh& zYI`FJhT81XMD6mI3l_S1ixV?c_(=xRzdO%THm%SmzUGx-F?J~Lw@?Fg8 z<5=ZZsusd|7ArqpWOVKFcg%GcFipSgqMwiaGG7tg-zO$wC2dN z$tUgV1Vj&IU1D`^K^y)=Vo@mj&aw58U=f(by(v?59LyyWn54#+CL^ zm7MP|+&^d`>$i*^I3%RC*iY3Mn=yJaHVek49d)SPE^>2r8QY7J+V`sJ&h0X`?x?eD zfi>C%DN5>;ueH6Dx~%JfO5N04^hT?@=$$tA{`h@lJLq+y>cYSk_z0+O)t?>6Iw@}gSUX}K;XlxSGS_FSka3sx-=??Tq_t`#RPQ;ax}K32rXcq zzD_H-UFEkhp@ro5~BF3R_>$b*#V7_qV|+P^#;%F-;fbfh&Sr)NAlb=iQmTZd>j%h+wMMsdia<7d9pG{8A|FFUhZNQjZ(L>Dn8WTW5AqZsbyyS{z-(K3xz=;TAbn|(OQstNr+XeV zK#%0+<|Rj>WUyH){i!E6Pszk%H1tcnl#i)d_}US&N~vZftc#tjM4Q5J+e|FEePS@OK}mE{=m zu#i3K5UteYR~E?jGo*OST53OCzD~VI6M{=Zq#y3SW-Ub{Q&v?xCsas!ka8-U#ma}k zq!;<$)`DJvJS#jTj?#pPT0Cfw)>>s-CO_wg=Wg9pnhaBid$X*tMb!BP=qq8tRycf3eM$fF8Sn^_Nuw`U$dgQpivyH zuLGT|AbJGyt+Q|~ZX*q+);-}#Nd~qHI!khjbbm9GOd8v$Ya~SlSInhiTARHwN(>XK z^mWl;$Wk~#b|T+W3PD9;B~ycBHG2$)oGBRst+dKqN@|ZxVN-af2I+n?WqL@?GpaXJ zgLH?5tGXvsY0UMMl3at*Kr@NHSEf?OW1P|-W-9#~T#D>N>50c=jc6k?tq4$+B9*tG z{W7vkms8i`XG%_4+|v>(bEze2gwJ{mu0h)Vn9wbJr$?DT97|j-Gyfzo0PUlg(1OZunn}-Z{oE0dC`Jy#Y0P{ znAUt9EnT1m0&S3<=lOvQqJ+R+JH*y&- zY|;qTC24Ju#kDCVY4E>p>qIxdqtY@UK0hKa1UcZlFTUF_5Gh&H$(6hc9$4);{V{!= zsrCX1*ZnT8M#^2@f@QpSeQkqcRf4#}78(LVn8n9&g3+J=)&y3rCf4uok-3Y6&gapI z){-xWr1W8L5U#woH&TpzFA_EXT~4{ikJ@xUv`Ql`QRCX33Gph*?kN^iiLCHkg1sTu z@b^gbyVB-vbWEV#M{4ONuUO4U@b_p#&`ImvT4_;EDLfP*wHX$Sswi|y|8bET$#lSt z;BF<_jXc^hIb58KZ3%*=(5*Hf=hdn43kV_e(PR6lJV%}zHG@+pTh|b@$cvAX9H+pL zeg+fd;|Nw2cB%0xu|k&*KAEb}rLl%6EYgBSUlh80twR+j(@*vXU(P03A}6z=(B+56 zzG6xOE%#oxW~1Ga2Or#NLy8-#{YR9Ck>{MjpY*6pwf{Zk;VP|^Na=|waxT^W3(5yl z>btK?eSdibQjfkqbz=DdO6{+t7BL{`s`fXP8%R#S+eldp(-g@&Ar~QBA&d3tMqVye z#K-ZyOW*F8=J9;_&;a(2KTQeFJ1)AEN(RVW_-)U$FEa{g=WZ1LJhvVcE>mg~N=;^vj%_Fpfi3fD1z+U#w+*Fa zRVF_p`T&eb}u{5j&-mA6(wEm!sSy(a2>4btuFx#?7sXA1k)UZt|e zv{$LEQ&A7f9HSvL8SL1+0B*@=ZlD$ujT#kfF`0`)YI)#Tqk+eKgbbSEnGW8-+m8lV z&i52+tpnBXuOlCV>~(-C8AVWAJ04YJjGPpxq392rMRvGJNC*%bkgHZYBB$w_0`8*$ zieSB0;TH{?c)R`fQBu;>_8vWLliRJGx7(IS6&Y`HpTF z8VC!%(37Z#vDGBtZnN}Dms5Og;A;aX4V^U9JaiZS^pE#r zd4WPz?S+Z%KaL#;V{k<6*z1Q*LiSef*-)kjkFv`=yT4YK?0nvD-d@2*> zO+f~5`R3gNN>I*vg$@j0&v6fX21o?Q7xPl77@8=6*^aqrKYg1@n0FU`k|qdUx2ek~ zLJK6GevFb{;^~zHn(`PWfuxel5s{oSR1yS~PwL6x>>8@4oFMHYsGK{i_JXRxqFV4g z9MbBtR|Ck7kphyx@$MRwjQZOfWy|o>9C&JtXp`U*2~{GdXi)WpIM~&Ju&W!_C>o}+ zh7{(vGOM;Q|01(u9+%IERQ)V_rRX)X)C<+<|0y?ObtR2L=Ee2QtWd;2pc z2B|(vmLSX{3aL$6sVYmMlstkbzW36oKgoCcDnOG*0pI|WO#;8R^KwZ64tmX@JbHsq z&+U1d>z|h`8?V&I+m`*Hc*&=S{u?nSsDGwM|BhwK($Dp;6~@G??7#4P{Tma5^i-yY zm*^EQX{EeO4=>Rv{NkDyHy)Dj^yTFx4=>w#czL%%6L@(8meD`cV;R5CP)b%?ch(qN zRm`!syCmtH{Qufl~2yepDzywprrU2mp_yL zyy*D8yCm&giSZ0|*wRBz^x#_QE~m3vTlSpFgjRpy;ljfj>jB5H?lQOAS)s3V9-uFW zsfWGjIM^+FXK=oxp%0S>zBpOwXS@2^6Hh-Io8jqa^>STkLR)c%WKYgih6w`c#43-c zqsGK$MS<#I^nmj40Md>cdqY%#p#Z~@DfSvnVb3tOTf^Y>EK~P-B0>Xsp=cQ+>QJ*9 z5&%yxsvwS8|5apc@10JEF(8{z7VgJw!poGh(fJ%WB>R zMgG64w7?t3*o04|B%YARWc;a6uczdB@45Xyg`v1X`aDhXuLHb1GFd1-#*kcj__ivK^mP-#K{?yAp=whdC&?2jn@J>A*GVF!;3rmc5veBhm~NVH)l#t)PYD`zI$2j%$o< zDnzoup+12b0WYd}wV(gm@)A$sd`{Ll8uR{fW$E(8SVvF`9|~z*oE(}|7gwprw+}t7 zl_t88@!-PzE@sharQP3?%Z&xb2QXxsUT;2YZJquxdGGQSWt2g>w+Q+27J_!jZVG%1 zFV7-xmB+K#xQZ$wYP`r*@}b_*-;)nW++}S0iQLBbB6Vt7E8z5y$>C?HF>F?|y+A{Q5^=y{2=dw=IGcpiHWP`SvPK)mu^X)p_`jy-H@4myG56?DJxr-m^g1~qG_Uj>X;yA&CbkP zvpyTNrCTiK^{cHY(6VJy7F(W}vN3C8{J0I)Aj&H-ebfBJlo>kw(Pf#B`RVkDx{RD`8z=%>(ovPnOmkLlt}d4^JNK4|Osu%?Va|=$n4ubG@IHI3 zE_VyqvJuUZy`Jijk%59(Zr0jdOM3jc&4FxndT!Pxi#dK=%oO}e$yz&uYLk*>FTYM9Mdsv^s^@~qcbH{7KIlXU62O*v-X`Vb4W zsHZ`dCSRAHt6QA~Ugy}d%z5VZU{y8?Oj-YU)(o$#*!t{T>zXy|GuDGwIF$g@igc-IfJ;$x7F)&((pe8<>Ua3Gw3fj~@rI z>y;EPacH=Ot5VikEt!Z@kcE*8;u>>S7L|ZYsN3)dV>VI~8*$ZSG5@fI5o6*d+7K1! zE3r0^K}an*pb#zUp&D{*$=VFz0H;x7-WHUz+!n(hoH8wT^0bX8bjsbalkeWhayFou zkky)Wu!U4dkq5;lcua&|mpBKFZ%f~>J`TGeDMZ^%hGOf^B*X zfi43rfhL_u{F^c+d#wn3IJtBR`Zi z;^vgt$x}A6H86IN{MG9>tha0dU($1lFJw42nRC{f(>Ln!*2C)OLcDb(-(z$XfWsAg z?uM*%Gq+PSbV;ZW3>PWAtlhZ~`OK_L;t#k*T|)-sDLZRJ?sYsu;bu#8ueb-X+)Y^- z>(_9zdBY~)x=cwUVVZb6(F`4|>qatUu=7;6yc`dSf#WhU^Rao{Cfyz5GR9?$*Tw5d zzk5u=#`F!IriYYgLCS-2Gt#ps7&y^hS^-ALYPB(aeKs0N{=sd@Pb+Qw4gL#aa>qud zm*f>K_R|(YX?E)mdc`~Y7}xcrBh&}V7L3=2_e;IZ|IyaFj?X!3)}W(@h*;Kvx(zvN zdlk}SQgYF^klK6SB+rFd6v*<}svd>*PVr;<+#}jBL94ymMThRmqrkbnoe!h1y`|Zx zcBb6z$c>WjQFY$0;zuUx#0}Y9+<&slO6|!|HgSF32H1$K&5$K<$kRE><}|m*cEqs2 zMS0m-<{2@Y5$m;aVQ!Jd<%->kAeSbVhN{V01w z@yU{k1#UZ*L=Y6_i=p3uqIs&qYIW4E`KrPiHAbJ8R`GFlah*_AvU7o3>Kq+evU`C$ zSzC7ScA7^>HX*zbNqUFq;!dMm+&#~Y1zWyOGbV+`-dF>mix_YCQvyulU>uRcN8I2= zpIf|zoXPT2QlDECZ&919Jk`|a79ZceuRPV(=N7Gpzvy|YRq&6&D8RF{A&)Nbu%ut) znVHaFM23jyNSXe0IZuT5v=`>LGiy-q!~5ooyW8EwYm1*O9)VrvQ(A?2&CKCMh`ss3BEs1aS*gJvNPHJuGX_O!YcJ0;%*b#0d4ox zV&btd4J*LgFvr3dx=%ndUK=e-#*&|tjI^$jolD%sbun(~+(^j9l3ux(k;LVq%ag6l zXR7J8Pjj@GfxrMl6674OV zJFVAqhcuR&#Bwj>&uwg>2Z%c@J=`(2U&ox(PR^T0d1=@2X0NZa4g8sKtIQwMjqt}L z^QUqI_+#qj&#=TF;}2=YpB8%LP%VqbFj)>yEu#9rr*1;$V&li zm&H^G^0I6Zb_wuREbyr3>g+}Gl*qpD18%n)*#%cx!wS0hS_ke6mw#FUgjbr~!tN%w z+a`%=O>P_PAEvytQX_j;gA4YwHqq8qe?ecV8X;U6kf7$_Y|1>B_YBLYNwRFfq$1L- z-R)mEGsL{JuZ&S-W!C*39#U-L=OCL(`)v~94N-w+EFHlRVZDVj7&Y6P1QRXKxwT;u z%{Co8o&F@!D6VfJyv6`xnA3e>!07J#Ce8b z2~#xsiKzkQQ)UrGzH)uQ&C~#KCZZ+F<7N?qjaWnT16^Mw%2u#lm?^k~1T67@SDoSr zpOxzARk;I&j$*|9tqc*DXoWLEYNzwO5BinH@rSrRVVa5(8=GP^)=Y6;h`3T~M|k7> z5ELdngzsp@BP#`tO3&YZAHD+N2b-x^DDr_T2Blt z1Xa|GuM$?O9bG(n!bIT{($xjBteJ$*lve%4Le9k_GsTBO#I4#LZXP#2#(uUa!SBri ztL9A~Yqb5WxGY3W&pXyrBr`r&|6B7c&WkHA>(n|lr8dP7s zruYFXXy}dhlH;WRbU&wpG_?)EToiKv_dqK>^CQ=%pzXJKT_=H{XA-cxiUh+TJ$Qfl z6eX-e&X3Q~&c9#qMI4;4R4pK6D&C&fT38c)4Z#t`jYeS`gg{7`gC(_ahRaz0l}p&} za{D}a`dy9CQhyGGK;9`w2y#*f;(zb!rMf0zmO{oHMLs{3@1k8re{jqnobz!E_mL?A zp`=sq-d9SZRD(bVPu)TPi}%@~SD1t3Vg!0M!V-wl$S5>J6xsoI5jQUkMiW9xplHN1 zJeP4F&q*94&VwwqBL&?)JR*u%L>~!ix0`=LSfEcM@MKLwh(b3ue?gGdH65THn>wJL`|@>$jhGhw1Bm!(dhspHVNlZEe03)ufd+b=%XLDY|^je0>0gUkDrDco5Ol_4>N; zXDb5P(9eFRbRQ#i6{%39~;dd9q10__ zmbu`zwM2=UD1`C}{-6Sq1S-l|xF_w~v>-rni?rszNlEHRv0 z&=3)Wl$Suc(@LG{ZV@?GN(zV-{4nw=07F8_6i}H3+2(g8z%>u&l&Y`@<+%a9otQ z6c%B=7O}5T`BO)z@9X(?UYLgt^PI_T!?z%9*-9P!{G_?1_ET`@j!bh~g4~t>ZP{aE zOrq_)R+=)m6mo=H_Ox>*aS%pLvu`5{KVq)^6mA@uMo&E%U=j!8)<;n$)C+fAb3h`` zQJjW|#8u=Kpff_)H`c%B!$Qf?m%RFTf_L^>Y5#m>ZR!a6snH0+CC6%&TBL3s22RWi zfkOwu%;^h5Sn*5(tSQV$vn* z(h*sOGK~_vl-SfR>xa`@tp8N9okiUO;(zCPsT7F@uI-pdlGCF~&?7}}jt40IiB=la z>G<3CyrcPp%Tei)GlgDjrOQ6(@Dx_JIG%My70sjm-N(_*>}g$MoM7wpc@oruwd8@o zNyD0M*Yx9v)UdmzH(0M?yaxS@_hDNfB@cf5;ru40dpexof^~TO5R8||EKWaU4MxaK ztnrc+;X?c=HSK@eSBHq+8ix^I1Td-pkXw*PY&1j3R~p8WQB7% zx1sbF(~&f|XJ?}Q#ndl`FHS`H!&4{RivB=_y`Ohl_ki4O&!#z9(X#C@d*DWJ`76talM!1X1# zuEF)_;!3owW=Fea41%U@o#K)Zah_KA40${Mbr|Ck@`C-NidU(f|2&-5+0&8rrs$n#^HpW=L)4&5Y^s{4M$b$_fEK92UDzic&`wd6^VDLTy%HjWIxa;Wb@!H9 zl0AyVogPXuh}XH!a=UO6eMoF-o3%35*lI1;mgT^p8Kfr-+yjN{i$!I4_J`Bj6;0<^ zIG>Fw$nv{J&Q4Qcf*<#h<%C`}`%nDO)y%)&nmK0mUo+!^QcL1b)@*F7vCVoUHnr7S zsV%F3(UsnemuF-8R%!^GKQ%Y?&fmW4Pu1FnN*x(df39!EWJx7oI}r7fV|BGAd5g|# z=1?(mQz$w&AIFyr-Op9Ts)?zWWD!RE!z|uEVN$1!3PZHQA9-GsZTsE*7nj)F#;EIjU;P(^~0GhV=YQ z#i!t26w#-ZcJOizagm_j4}B8)L3_h%Hq^+18d+DNIuG`C)$UQ7LLEByn?9IP$!~)i zq2zg5lpYXepC1%egOe4+X?$=6SJ@gOxcKmKrf6$|_`}u1gaT;T;Ag2y+VCF}@75Mek=VGy*)SckA1?XXDZ+djsOP|A6@I*GCr^zY8m< z4&zDlKE-4LhVjN0y}SOLz8%pMC%w}iCa%#Kk5jHtj1ix$&9TSj9%aD}xxOQ-&oE{g z9!qvZQ9A(vaY2Lyl zbg(=Ljjz_`Rn9}s2^FVryOAn6?sPlmsNfI7J=T_OzQa^8cZ^orJH3=Y?4}~g6{{>s z`=Y-REBtQtJOJ0Zzm(W6P+V(*TVGMgv(3942vmR16FrbEkTSWl^_`B|dr9DgkGV(mR4mCbHtMz_^H<^!-?V;ysbksq z-m*s$(O*6G_X=Bo$+B+uG$2WGKPjn0g@d?^HXO>H{0Nn3>lEtkD{0y$t);L^g;v3! zU`O4Pe%Aj?Z!mueP4VR3U)B}2J8mdhiQN38Km8CYG$0Pqg#O^3IN0hV@EqV+TSDv) zY4@8L;)&C`1Q&K2YC67~UX?cyERFS&`MLe&?0gTYZCn(Ot;Ox>H7jNlC%L($7Lp#M4`TpIk8!sqcZd2$S<|q1N6snV4T#K2sm^!5(Y1%BW z8ujL-&??{Hx@8Cr-FMqpdDw4E}) zYlj=oh2!du)7Gnw_pi#{2#uJZnBwt92AVL@t<2y5Wg2NVLhY~*pT<6x`0|X~%kI6S z__$U&WrSaK8NuT{6KLHGofi!&;ct>Ni5*=C^;|y<=_K0amydAzc0PS13EyGL+>Kp& z7;Iozf=O+b7SdXaF;|G*0hwLu znf~M%RDqa-JKAYdx!rAvJCfE){h4LmUJOd;Yk?(w-$H!db;zBkj%nX@E;;5R2I1}6 zvPFoq~c;Rs6eH@8y%E;QmS1Fpnfm`p@mTlpqnO7(GnO)VEEgkLrdhZ11 z?tK%SFDw2lt;39&?BT3h8H+5N$~|$uXmDhN-YqWEiU}d0T!TtS!#d@K_`u6afIl<{ zn_(+esqF)5iYsX|CI){P10v}uaO+b{b_!jX)Hq*XrElDRp~bCl6dJDgK8S{wCtXiN z{oR}fz5B=rA-}!HRBCWA#4MU#_OWO&1Py{uqOVic3`oVX06!q>b4;sUN7iAGRVk*n zbE0bWUE99~QC))9B#oD6?+>bI$Pz-6MCr4?8S`(4q9K$K3xbE+1R9@2~~fD3uCzlDSR{$E7e$`;-CCa3Njfr2C09;bN2Y6@c!)$?x~N5x~Faq z)s~e}3NugPIHH`9!1qV`$Iy^fsM*y}(EUvAl7jB1a%UBE|1#H5(7oLfSI}KxnenUB z*69`Bq7$$m`Wc%^>nk`_!LeKAFsU5})s7u%$Jfj@yP*5U+yw>Q&*jc2=>Co6o`UXQ zTc=jMI;!{tay;Fy753!#v<91{9Tzl?ziDhB?bY1*1>G;3r`eF#?~qp;Jg^(4Zn3Z9 z317z_d>xeu(RmZRtvEd9#_LkiaTBsq;l7C`s`$fAu(YDCK4fKW>KRNjIT`@>qdRH(7KC#i3vkf_xp} zIQPH`qsimor%mt^3O$=*=qWi?a_AkNSjm@OT0YJlW_lSPtRcvDu*wlyXu#*zvo9b-2?{ebUKFrgIFL_d`qJ7&$pAui>bSM;bh=Z+HotN zv4#~(L#gV+0rl{ErW>Z$=lNMLPXEw$PAerFXuVeQBvkUE$c$AyFY;71YCH@_ZaxRmJdRG5-Lb#{@vy2RK^u=cb+b%vJyuZID9sH&XObl<~8*Lfs>g>c)x> z;x&Id=7ws1v|=bS?vJC2qkG(s$=pEa`*ZwsRJ*)JKB423kq@m>*|AQO)(oe)Xf&N! z*#6Y>tkN>oP79`&x%(1h?i;Vj?wiqSKMv=~Msj*?Pg94{eyjz3uBTil3)0ZF3&f_n&n zX)B)uY(UF9 z49Jq|D4zk@drSJnP%7s&1M9dxIHOXoAy(=rltO>nZKN^Es}nIASG)UEwbfH=kFmjL zX4Y!!S{~JH#4a4#FqDoBITqdO^heV-WnuRdwot6W9iBvK5sJfj;#NLF#^|cHOqWXY z8}kjNWn)w({L%`?`3NrqD@oNfOl-hRC}?^*%474o`ye$&odjJ#Z!v@TB&dr z&Q_C6k&>FCioYMLME3ca1Xz$HtiIsjzG2$3J5w=sntCh7Z5rBhsKk&3uujzQ{g(gtu{Tiu ztp9EK#QpEbkUj{X;B1}6`En@ou*@geor|pv6bmb7s120f@G7+x+Zj zlf}^{+PV8z7iJD3_*oGnXL>(CH-l%E%G+D{DO?)m>|o;kc3w74I1|od0-~I$Qp%Y+ zg(%4VaS9OXWRNNiZW}E>VZ~Iaa@&H0T2O?k08qr|A8CQn6NR@5zR+DSjT$f0%Qb2?*QhHqWR05LqfrCmdo+sg{Eol%r#0%-XsSOB z+T;F41W!pCrH}8?DCqyV%!%VN*~)Rb6UXH;Y;?jdS8Sll+`@OWVrK{6bhzN8!yRoa7bC>q6^+;VNbEx6p7gfLZT* zccu9EOD?RYV4wSp4=sU4EMEOLx;?rMh0VmoW^9>h1zD$8Xte+v`04z#%eZF*A#=EO z^sGH<%OI&8Kd#RNaO{s2>zywd5y0v)>aS~a+`x}c)db*tslyEEYD{k_u# zKkD?4G#P@%8o}M$Znu2E4;CT=Q%$kI&y6m{fr~1Vz>=NF8S1dz$N5{bW*+h5R`9v$ zeKrtq4JhNMCyBWv=-M-u%5jqk45BoO?J`2JRT`^BiEp$!arY~%c!8xg0v9YDSBfCx2;!f%W z6KO4d+Xu)Lmw6CwZwFK8n*C%@p=-r9-@@i;&ZowV)UWB4KVnMSU z%@KqJe#B=rbH_>1AFOFK7in-HNHz{DiCbxziJeZgSpiX;aIt%hb@EM465}k`2HWs(p8efvyf?RPtjQ&_MipKdzBloKrpFPiX zAv0`wVb1&8%pgV50bs)Y5 zIQ-$hhxwAeC;hvFn%8|m3JXS_)7xklMZuKb@IpHX6S|X7q-BU`-gg7IN&Qc}cXQoUEE+Jgp zAL>kDxU?ems)w;+$)Y8yB&xSg%TaZ)fp+g=NEV^Ge0XxvK zgM5u{T~_)gxB%&99MzDu0dG6N-eTtcJqde;8Mek7)6KMTpZ3>NlKIKWi;|JeYV1qh zl*6~_(&-#>cAlH`i-sGS=^L?^6nmJJ6BXcsu%#*U=P`N1t8NMH2>1NsX9UPUur)eU zxlCmTF;2NBS2{dn3-UxQ_~u<^OrAfVO}Or#PUpFRoPOjhwy_4r$7ARHnsn<1)IJ`& zSuu5j&C%($4OCMqj*pCp30@rFkAZRWBlG0v8Q4VJBCh=)aW*c>sXYuL?q%m>Voa8; zuns$%Gals|>8Whb`#eaGzZvY&D#RB<#1F6n;UpyF40)EmYAy9-+p$pWhLDomaSY3k~8B(7kPxQ1ASktjRR@bYYN(6X?vb6RRAY zpz*YIgggUOs@8!s$fJZas*ky*P3wJ{+&ggT1*F0; z%&<6($Y?MHB=hHmkzSl?z(@@ifXB$9;6@buna}`^HR!9HM`ez|{1~JOgA|V8tZ(8N zsX3l9ww4&1iFKnm>zXyWcOaXQ$Yv-?!^aYoZK61+-qUrEn`?yg93p6NQ8|L zsw8Z|L}X$h()+>-NyUCu^culntv_dzb2n-r_+mqm6Y)3XK_Q%Q8}`Y(hnBt~Rk*vC`SKM!VVvSDu5ISg3Cr9Lix;g4eQ3=dS!#U`?+Iua)1t3-zAm>8 zAKg4XP-z{q+lahC2r1eIErjN|OwDt_1tuDCNNi#LWliohw9#E?oI8kY)Pwi^;Ug_M z=!}IHa5hd{3C_NQy1L-1IUyy#pIqv!<#G0@Z8h`JX4o%ayo{4>Q5ISHx)GK;;zXhCBO-pL`jFj3{ic3brMJ*O_o_2Kg{2M17naON#4W212f zPL`!=+&UREy4EpVDI&|>UtN5>JRpO|u_TYMp7yb|IX^24$Z$$p=~r63S3)^iTRAV7 z=bZHuIUk!uIoJG1&Yi=(b0!%p<2eogiJVG^MoeuzPJt#2uR7@^Q+j)NskMQ~tmkC% z8k@>iBh;+wswC75Uc&QQW zonEd>y$aMU_M)agN(%F<8S1}HwI4M{yeX(he5LU5&%_J9)swg+ZEt^bwb+~{rXJ#x z(3K^&y_o+V#-pdG917v=_u&dxPcb(((R`SSzCFW+hhV(KDLjvDHzmfSoWd6;ateJAzIQzjKMDYajb0S?rz%)! zGR0IP=H|d^6Sb~ZTKEn87A&bW{*1PS_J~>euQK|RH0%t-E6;3AB|GX(}H(JRK-1!wEr7J?cYX#B!sle=t#XUOK zA7xm@g0e!rpvv_ApH(#O`Df%!>)Fp~{G1+Yepcf_j0vxBlKimWcygqdRq3xDUMl}{ zq^#{}wHqeIK~ZIY9DLJU>6hZt43ail@7sF=3vM2+sBvog$ie^jTv>hd4K#tc^30(B zTdpkWX`P>GM@TN-(!t;L^=cUQ{R}E4DZbhM;b#h|_*V}(H!Lh;qNjo{TOw|n7H;VK zQE3vFpYSdo4E{0iV)|gr`ZkF0Y%<1SHs3Rc2rKgcl^apV-H4NDKJab!z+S%nT;trV z8}V-{LOMI(=C!9kMJ~+$?0PpsS}>rL+z8z0>qg)`lmp0(__ypvTqZZ-%n#j&#vV69 zvPP6T9_j4kM*Lf4Z0T_$@T1N7&i`*sim-YNIFE9E=Y)Il`~SL0|0PRJaCooO^w(Bi z-9&%M3iCg{zDW_KA4W}z8-1HpS{cSY!+*(5+Dc7&{)bI^v8PE5(rW`8(>wY!>AzH% zSN3>@_;Jbk&b1G%Nwzm^x7r@J$zy6d7a&^0cWs)4h6V8&mN!XxPsVSo6_;0w`F<2J z5r80ze$ER!obT7yh9D$C-fuJn`*$%i`H*HHF@X~iZPH3( zeP~U-=bDACY5QJ#F9X-Q)L!Y75hSg#h*ZMjRK7Z!mO(3uOGnD9vuPQgc!yk?!Ik43 z_^i(FFx4W*c>OTmA(rQd2II2>G%17mZOkkEdNl009PhvFBQU^jd z95@*YdpPlqH9l#60uu~$LJLmmzyT!uJc$|&<-?E2Xr~?80uJu+=PT-nBjfil|6k)D zM>AU!PYJF3@Fx_Yl}hBhG<44&r<{yKdD1xgG5H=ZwYN(nI-RF_x!|LscEH?)yfh%i z(o+Jx7xcv;_EXXR*g#({`A~jq{b=S5qYb=x2dZG~dhu*_+lwCN$~n?b@OPO!H-OW* zlsv!op_zDk`(?rq`&ncctr@-qn+>#51m!7Fo)}uuPGaOKz4&EX%Zu2tI;7NomT%L3 z@k?V13i9;IUQ#rlm(@%CR+NN>R~9Hx63YB5&K~$lvRQGYCh$b;;oK3?heye;TwdPM z5bL)gFfX9^WUQYi(4roFLc5|&{WxaUklXgLDKu-WTZ98)*KEki8>75hcT-@E-zL0g z9g``l6Z--_8WVHz1ZIUW|AMH!lGJc94JSNkBZbs!C8^iSUJp#h>}zo zCF^l|t$f<_M4d@K`#Bc}Yj4_OrdJy4?wC7Xm$HQpqPttHKH{v_uJ`HUvf$k6IJx^M!oHUMpU})$BmAzV@>GA6}90NFcM$6t#FGS7r3k z*jjTaSX}n~<1hvWY3P{ZO0lu_g8ZM=r`+X62AP3kqfbNBYik1SmC+6R?)zv=@mYJ# z!R@Rl(LL##YkPH9-YK!VX<{3P@EAaZVAugl{GJN5_{_fY`kj?;y|rjx%tvFQe!ng- zYFE_yK=G3}AM{e--#YYQOK|ijlPa&gRcOGer`B7_25sGA4J_8?1@75`k0%26Y{kd6 zz{%e%6`RT;w(i-EpM`;CZj!?T`t8Mbs*vithUnkNs6^iuqI()-v(b zC=!QLMcPLLN7rBQsXu{tigG;|Cgvk#$whIcnAF3B#U&V3{sJ(({|IjsB@ulrm_@RBmK`$V7X^bRX}iK;=$pzCB9QU@Y`$_EP!;aIzI z!#1Ivpl{ggIuNFU?{iGM1QRZT)>OO4DG<|7cv8-i4oT3$ zVp9wg*8g2S*Kyt;T}NrS{-56K2!y2T{NN|)SnqYrxzlw}jr8xz*RdQ*9S?S*#azVg z+f=-Jxkf3T_N2JRyCy75q8fNhbJ{>!XU0R9U@GuVRzw9Qr}7pEz>6LcG>Pepkh@9| zRMeS_2qTIfYr!sm7g8g!hW*;~Y;dJT-EsaId}ul@_#9o1KeZ=P_TBx_7_4O+dhkTD z&^XF|YTq~n-K{tgT(|j_;A2}76e$3=V_8RIM?+yFJE45y_8`w~X(TIEzMnwaFpu~ZrjY{YEH3yH208={Xz*CE8? z1U^sy`l;h5mZnCxi_Jc#BaWE|%tzAy=4oOZY~OZ9v?p%X)t;(79bGl__ZC+bs)Td>=mj zWZoDu+;+b;f%?<;b92-XjUVHygof?iEZp{`vtj&6u24AAAu=;?R!n>SIXe36q;Lo^ zTG6GdlN0FVvP4EBVILpoURp`u59lk!sdECc%rb%hELj*Xt{gP|gk=!_DGn*TtS&h3 z5?5(dCzVA$(V}sFLNDhe;uV#{LLYjhd*d&=^XB>bu-kC(;WfNB&OE2u=)$Z3ucBzY z7VBpWw9OQ!&f^7Q8E6*09o=#dN!jwdXjc7EdcgS!x@s;`D5S=C&5t?%Axt#}5~WVO z6N5hY7z3RZPJ^8D!XN>8PQPl#E3I(!8*O4RQZ@Y~tq z984)cxfA7LV)b!1idH#N+`>ucFDcZ4OY#VQ+@O!+FD(9&JFiozTMO!zi&vGj_^}dW z6V$c1j{AdI-Q-R|lgP6x!K5@aU~zXT!t+zx6&|$2`YjB!Jt|JUk9d%at8k-E!=>vK za0#umBpS906s6$M8nP&lPQZ@_?B#{&L7U*1IqaQB_%lwcLiE%%F(HT|hq@@+yfH^? zT~xt8P@n?mAQ(veS`fHrVW89QyxXypl-?kT6Tv513dWIdT4}>Ci1$8@6>hA74WKKx zLg$GLi%$gtosBM~j(oQFBA$Pui;NN-bO~#5$@yzuyF$YPbTIxxGFmRZHdr)j}*1D|KQG?3zLw1O-QaN&mUZ?V>R4NZK{D74w;viM)^h0?oxCS76)S||n5U)G4_#H8ijzRLieusRWi?>y$ z{NT##a_f3p(Xr^4CddLikW{j^AjXw`|SClL;aw*pT-HZ^vL+5g$6 zYNvKgdj^<_*ALg?t$7#-Pz*9wNK#KCUXR`jEVM)w{cbD*<93Z8r&;>CgZHug^q4pK zNix4L$KHD^-3q4=|1aOA5m(U&yYbqtG#8Fg+%;16xBuKh>*?3X99fTx#*f9+e{uc6 zi;NZO)i|(}LZ=a@h(q@BgMtvU)BfZT>eVoifpMd)$AjErs!Ql}Rv}mWsg5%pY1azR zV60f}iy+8^j#B4IkImfPq*j{{t);9pe-n-JKP&8VTL2p^p)fDT|=v_Ckr`qoPQGY zUCw%*5HG^!(F4DTCVEPVa?vr@%{c~MeTGBrYVD_C$g0TY8(Mb+XLi-Y@c8aHy-jT$ zpg(jZEg*K9b+B*}{=*>++i`m9H;9A7*yE7%A|&)c2n}{4KaO;MskDL?M*=6qB5Z|~ z?BWXi1bdTSa4fPn3oOIh59_Rh_u_oL7CkI7&VnCPTUUD=N1v>rS)ttav z=LFXxv{jAY$B}r?J-w}T{hiJW-j~sN)jQVE`-`6+1h+4KFM1A)NLx$EUa1j#9;3z) zg0JHIylb;=b48yM4UdKTo>-A4AeU}lgt2WOZd z(x;2YxnH$$+gI(6LzdA=;CRAo)<@ueMbbMgq105-bQc;xt^}g>Iyn!=yGv5ryc5L{ zH{$V9+z1q}!aJt@-5|GsIhMPfLmf|p*iKw6>6zU#1eV_#hPBPXx&5L+ktB_t5Ht!>@WvrKlZGsg5tuC>Ynb zI#%OLt0U8;$O_J~R)T7;=__&IDVY$OQNX-{3jshH1X2y4ma2@3H5h`e1MN7}a%Pbx zF3%cXkmOQ3au}}giHysLDD}fNm2+iWI{)@dr|h>;!v`|OT{)2#NK+)17)Kh5vseSj z5?RJMlQDd|jfXufaHhvaTW{gEDGvGh)EK+)YGZ%rbRM1wqe0e+T5|XmZp(NutpSIb z%!td#eGT!Yl{`<4-tDZz^Rt{auw;-4L=3A~R6&@^S>ETu?JnnA+#d3ZHf%S{3TA4n zh8~3=qvKUr6(rh1#C)x6WMLU$nrV0-iEzrNn=)$}04AS?3ab~pI zAeFwd-FQuH@xj6^zRZF)cCmtgVNX*EtfNW5<`4`k+_o+ZDR9<92s{gHE}+4HL7J@O zjBy01m5-y1PF?oM^Wauxj6)ard4V+N>i+Kfs|dB09JmT$!@&`rX&D}!$*=F{N#6_xGVK zBzSc@XVe1^QXk2G!$($?IxoV&9Ez+lx^T{`4KjTVZ8Zf)bIL?$rJcy#iv;QFw3 z(32sE&qKAPq&b%5sAcxBrUf&&wKhVtxf~A6vbEc$etIx#*KOO)9v5{}-i#SC6XMbNcKOd_VFqfJP01vHg!cB-vxTtHCYab^ZM+br|7n73ZEt9T(VtQf!whcU%SN5^olmP+CI>Iz!u;+7??0YAk56(HCt1 zxH+yP%@B=!Y%ws&f+p47q?Lo|GeY%r)?nu%+qZ@us5_X|=WsT{Ck$beD1x)#ApAad zl*iq}IY*G}Kobum|1pcfOfvF>v4!E_BuMPQ8cCIJW6wG)Va|n2dZD!)gSHv9*LO3s zT^Xv^rP**FI!E#P9av;c_)MnU@@ZI#pihJ=v}o*oS}XsS9$~a$&VfWxq8Hxd>c|~9 z;7KNdxGEJm$`w8Oa#0*{K)z-_vO+Yz#~+9f3hS2l&lftc(DqG$?85}o?jTw+N`66An&%fy<94nDHN=LRY;koG z`)yyia}t}@lN43%NXM_C#TxOGp=QKH!lk3 z@r%Fxfp9~YV0}3JigwCgFXosRg>w(*;6_}i^5uNMNq$xJ9v^SsWgTuUwxZQ}bnivn z+p^2b;}-Y@R--2sf@!CT#3Y|Y>VoOO@j{DGUxCwG*bH@8vYmt2$_r-;O8))WKy++& z{%NJKY*OX?vEXxgzygQ2y_-?KI4hiY^)6R*8p0o2$mT~Wv;1I>iXbD=B!TPcJ;{YnFf?3$`6~ zt@(roq*De4tu#t-Yo9DSNEK=#sx` zclir~J%X(fygzCTt+v~UGD!$*O&quSSYExJq$cm8*PQFrOX>?>v2CDHgi58)!x{x9 zq()QayL?!`f-M3|cuEN8qzKkd#1(cfXK(2|L^!8xgy##|pX1*EqMh8@VJ+OlcgxQq zsJ1f!TmS{Tvq$h30HEQBU`)#ySrA4SQdgN5vC%h=v7v49rAs)(?o^fOpu{yj<+Ra- zsGr|MtF>jrxIGXfU2~Wks2jJBzlg8kZ|nGTawN3|_DpL^*}#U3TIBaG@fRQg_SN{O zOy|~yORXxk;Lgo$&I5(PN&ha#1omLBQuP?u?BE!M(1?g zA)JsDB{#5g3E=Zg&Tu@8Wh5%&>4q2B{UDa}Nq|tJaipN6s=?(BFu=~9LI!4nUh9s< zvK(O0&}5|*v*m&lXoMlO^jaYeu2~Ue@y*P=iFqo@*8EBZ>x{_GYB*IKr6r|@rxGeoN>ReoeNDpY1F3&4USE!#EK`a+B3 zlz4zbzege-{egv3t_nWDVJB}GUZ@xYiyu6Cpd#f{<%Ym_FxW>iT&xe!jPo#IG-x@2 z_J?b)FGj_Q;XSS~AAs?4)we{QuMsm)Hsb#R2O@*}1xtEjF`^R=01^Ss>JgEpAq?N) zOU@C$(6ab4<>j2gP(=Jdc`3hmNvDB)yGAmpq9)2U^JTsAmKTNrXY}9eDojMHb6k}8l1xWz2?iMGEtg&vcvl1R;VqD@f z=Yjx)e;k;>*+km9dx)qOZWUeto?>+Wdo75Eh`lxkE1fus`E35t2+^0?Q6q51vxHHFQ>Jh(UiyLI?c5Vcro!1=;Bg4i2qiK^KeZy&Iv57Cs6DTIM-x# z@b0c;_xX$mHNXI303)3HH8aEnxo24WdsCP^*r=+fgY3rKkS5k$JK?(XIiuTMTXCxJ56URIaVT!bILGh7@RBP z(tHjTiws4I#{-SeX}@L<0`Ok32P20i$kl*Ahi?^vp5h>&sRdVT>%a_6JWVHao(b}h zxLk{}J-Dh&m?~sEg3dJ7e53 z;bdj>tpb80T8{KtPiy!Z+9vkJv)0799NN9m(SAc$QdidFd^u17wrD;GEA-&eQ$(+@ zeTt@#*a`Z#xu*bEt%fP8Yo0KR`14z!B3)}$14J75{{}WI{gO}_5S6-@BAckam6vAN8tWXe)$Bx) z(O5w9w$Xh{SsDrnxNv$$^+Zl)j{*1e-AQT@(CN>4ylR3B}n> zqo;7AaSkE&Dp69+#V2p7V(U970`4v`s9bZL6BziPuG+#o52HbP~r75|g-dX}U z^^)Ep2rR=J0XigvLBG=KNgZS2$tnXkw> zLxlx+%b@oA^-S_&IvS`Js_Iz@^OAZ%-4MhWq?PwK|Z8Z^lR_BKJAVEiS{m?2(;(BO(*rC zzbN084jJoa&Kxc0STbNEkWi9+#*f1jgmS5)QJ7Onn+MwZ zG0=jt4Gx0<>^j6II}ca@ziCQJkb<;mO?-YRq7nSkX4P$f{3f_sO%*Gybk2wVJI0R0QFS|E<6IU z2Otk+Ai_`#7IMjTv}eyXMC&(k*t8~FoP)Fngp4p*-vbod9KU*Hq3;`z#&sRDfToN- zB``F7#ViE>*1KXz8b7;^!Gd+g4r%I9hCFSRgnP)(5;9iIK@3XSke{;w5#zAG68Gns zDE`_JiofNpIIw0nKl?4MAA)~qced$|GR{($Uz3?{6uHwODg_~}-;tL05C=2Kb9i{G3L}Jj2%{d0LGRNWT=-PnktQ<4eV8`1)h`s^I9zb%g!2sDodXe=V9AxR>hKLu0 z_K4Yyg@PX;4K3u$qx#nO-7y*;hyhf?ccTt?*Yrde_7;)9IM#Ou`VqUocbp$<&%ip+ z?$ZcpU_;PI=b7vb;R;3+EHro_QW^r%oPZsGwI=l4#c}pso_FnfStyF2M4TE!MRfBle$PmG`_bJ8 zBX_r?1f-Fh8-4~~p;MNPAXl658%O>*WQmHB+uI5u4Jh&K2?-71 zs!omeSDiBK0eZJxF?~k%Dbf_|142R^e(V%dvO6b%fpvp{MFa=1rFSq<&dn@?+<7AE z!N4JDF-f5*YcawXYEb}jCQ_7-)wS%D>sEHa9>MDf+w1P?#q9{8r12i#9HDa(Dnn>N zt*}3{!`iBK9x~1%Oh6s7u+nN2j{tXe&CB1zhBbkk1`@FhoEQbm1Gmlobg|e$8;%h2 z3r3@WOfg{W2Yw!=wM_l)JINv1(?evmmvX)HHiRXhXa-r``6T1J-t`NQyA`(AK;k5j zrIT~!5r$Kloy|zR7NQ&rJ|+7*_bDir zUM=MRhna2;k%9u00C>FPh0bNj3zE3M>YFN9=tQtTICsD`>^w|30g4z{kq-i&9)~Q+ zjQ_@P5@5Lou>$rhB0sQZEh#YU_6^ZuQ0N-a=MEEml#{+Xq3+LMLzq2m{lzNl@5~jU$rq}zvPde`3kLaX+(V~)FZzRqPdwTFOVzxX57!WHZCv)$hh4AxmLd6K zC}u1I-T58C*Twf%lPbRs3lvo2R3VTcL}2t?rvf6rRu+v-vwYZm5WDMu6?i@jh{v=D zn+e(Ru~T8SdrRhVT!=Qp!1lCu$Qbxp zJ^U=cM}fY$jUQn+$G4SRhyi*?OHt?kNRTS6|%?{`t z4_nYvP=($aBm5qcab=Ph1d||o6NuLZhM0mpASFp+)~<0Pq&x*_NXNP6BW7HPGg$|4 zBpb139fTxz0^Up5Pvr7h>o?RSmAmG8u-l1Z1Epzn`^!UBP2G+g0}Q;WJS_s@eP@NJ zY2Y4~9i=Fyu=q(j7Z8VF(l+T3Olr$~Q}Mx8YXl6Z#s_Nw|J4S~1xG4(3eStP6)rh` zWkX_d1s4!jn8+5_&sQ?~BR#(+1|<+O=m~xwOnZ~|Wh{Zjk>ei&nERxwTF(sXIy+wq`f(R<7995Bi9YM%k zW?`L)Uu#l63XFvGDplxfB^$*a7*iX4YdBA9NQcSb{2mzr9eyki{+!`(hsEGpWgN;6 zNnQ+v8>=b=E%A#@2tWp7K57EJh9=Q?6_~UCprA;+yTjJ z%$Z@yX<=YFkYnB9Pfm-VuMzw{)(dS&FlOV25WMQ4pm~-{UK6tBO$-x1U&AZHk|@4r z8k)tChK%$uVj-rdi!Vv4$%L|^dt6ObV^U34x`6E^ShhmX;ajTGGPFSCCf@B*SRGXP z&A3hz$m%mrXn(%MAH}DA-_KtZ(gu6PXfQ~*h|{+Ns{OyQcZa|}1*4vg6rw%Y|AYlF zO>07Y!pm4dpp1 zZ2IXAW$wL5_Wsu#f9d$zk3KZ9h(CwF5gs1Qr{Lsvv;lzv1AcoD?L&2hY>l8|+mRg{ z%i3FvWaC|NMp6)Z6jM@-^DanCq46eTb?v=R`@V;;f%YyhBFV>LS&+y~m~A4HxHJ=! zpDi#!aL?aAm_Me@ilW@dxI59r#bK4qqD3$(0@7Y#M1D2eqOOtT7n+QN8n2;&<~)Cn z@FfIVEW(xVJ%6I=d)FTzR1u7p;S>$#Mqd>-rGbp@M-bAC%rpXWd>LR?Hs~+{L%EE* zxM=G9r&+xY~+mP}!3bmDHw&I^K z`i3<0oa~s?-&~2)Hp);mngiu;oQ6@>9@Gd>tVWoL0ohG4>>SL-xp*J( z>ip5|gE?SYZ&^Yy0MJ*b13M~hUT)b(>yT)6SQ;?3jTSX?IBH--9Z-oDgA?>1+BCBA z1XMS%N*D$|6-3=dvgw0KA8ZTwq5A!H5CAx4Q_HMd_Vt>0!Ho(L2*ET1NT8{Y(_~sp ztXuY?2&r_@#+#rYnAHsC$QgnTkli@$|F)dEBv{56rwxF}tqY260uh7>?QD%b&Yr{0 z5%%S{KR9rIE`rPf7`}h_wG1JfD}rz%O%M{&cNsZfwPru2Ss#W%0)eD_G~pmvbk%(# zWrYoKMf3Y8FwnLhSe>$2WplYJXoTJnT*p_Z&kO94iZU9g4N2Gt)@KAeG8X=`jOkN= zn(ZyUcQ@lMwhiP+fqP79N#ierUA>m$kgTaN;Rqu5xZ!Mvg$(c$4KT^^Lgj#P>_~JB zN&Uhy#@pZ=frR2JtPqNEdTg(w83u{v`7A}Y;6Q*i5Jk`n!`dE|BPz5e}%PJqC-ILmCclZOM zR2ZUkgB;j3_Q}kLxmuk-n9> zFJUwR zp>$I(EuOev*#gR1`Bs1Pa_Zyo9!7nD4crg3NUI#-=ACggCB<=`)F&c2=aqRw6FT^# zae2S_);ijd(1aBa!v`Wwu&$I&I#oe0GdAbcQ+#GsQ%unAHsUe!r!8TlzvpNf3P=!8BXN`7%n zA^renW0*9B2G_U2q`VOcYFyrL10!+Xz{EPV`}Y@XxQ)g?802a|BKKi&A@v32l$OAX zPoEOXtqo^n2W>2x6Y>fGaF5ZP@E3xsB9qlhM%B|?&^P)af5%Ke+(R_hZoI;u!wbRU zu>UA#5S(j>Ro-G`r2w)LJM$)AIWMT}AG@KI_LZDAI+#I8q5-t2 zD8!KElL$19PGg0^Q3$BP=o?`mSNS9QP~msGyO8FF&g52dA+BK&2nX(FH<1GadeH*g z3GyqxuuCfmXJf=SD6loKlBai3Bm$P?2!6kg7U){Z6Bc4G-gW{9u@`5*8`92D!G5CL z)&Om!yA7fWB#aQ|)YENuqhM=*ofUJCs&-nz5FU$5c9DKBn@gV4=rSdhI3dIaAn0(6 z^x~i`M}ZL6BMj#)V*`80vo4x*rF-GCmepKtJ7VSH@^KU%72HRFqrA56I1fx=7HKZD z3n2RjNaoP~hsFI&75k3OO9dn|M&C(NlsA$+>Ur99U+>N8i4$)1obem8dXlqxvIg;; zNZU;A7tTL}*MFN#Wr`JuQLEuqsvWMN|39a7M-&a+rK3_iJ3nUk={NMH&;Zjn*wiql z&G#H^Rp}ahlYwf96Ofl-w4Yp9aYEb3K5#MB3Vyr^Yla3( zb_gaCrGu&)te~siz@+-Fw z;=ILpwZPrzt=Tmz^4z<8CGr_}jUJQ|o)u!UaPX0>+^KTCzc1xi7uXsxzSGA8`=&w@ z2D3gpuVY{o$N zQ=J&cueFK z1dC!uqQQfk@k@+?1$!Wn!w&?OV5+qM&vJrT=zIXbi8|Kov^Ve6SISgm1ja#wZA%vP z81--26VQdWE*Es-eijg#VnO?rzeDRxG_eyQI#|q%=g$`^CjxXB;ZU;rSfR-(H}?)K#KDqP&h>PkRG5tG_-+TSwK%e!A~L)gwlM3tes6xU z14J_+A(&VSuw8+M9ax6U)H*0B0bl{^;~k)^d#DihNWgSU{v+6VPDoT}?p+9_8f1>{hZ*BGTz1^UKMsX4;T}#^60fBLNi(>YLc^{r$ZT z!nHSOgR;d3m~J&WE#;xpINu-@)=440FtUXK!fJ~HTLS(vj3ZkN;Q;rd5?2~-rDqGw}_~B@P{mc$@1Y)$kz4+H$^jSDiq0SZF^iMW_ zyI&5cWeZ$oyMh)jl)*H6nw%t()kjtUR)$@XP>2aFf_Ru^6nc6G_0#BU<1|Ubq@5(Z z(wK`VdDbq9>Mz1dhs25i0K*?2e#T}REMridf~~T-4DuzCr$Cg68WxhI1sx);!_SW_ zvtl(uszMs&XFr2Rxksn6d4L<1s9QzShLN@E9i`7S za1ySunzsI{FmoSMN+~cF*JQp#mKKF_@wKuLRJJR$jfcjIOc6f z_Tis8U`E>^n52&V()mze zJCt9Y08@o7)e)uq3wC1#jzd;J1l9p<^8u0qlG2d-y?hgh$pIi~NvMRa1ib?pA(L@r zF2d&PmXY&60VMPA+uqF-;LoaXhQB}0b{M#O7;5*JOr6Gjtt8<-WF?+R5J2J8#smF|2v2rKq5 zg`eRUnqjdt!>t7{VeAvZxjsODl#V*iIO2 z^+sER(bj0RH5qL%P3#zFJKABZ4s#|HxYy@bdE6&B_jGS9z?OF{0S-{Z+Qghvx?p+1 z$A#*g6IirW%9q)0U#ts(LymIpy*pz$256L4=NwgVBqVlWcjbKz7Irpvos%=!7Q|3FX zS#1qJU7N?<#JMp)FrWNkYfVan$9?AV4xdq)2{6DgFI{4z?7V!G`qG8k4Z2I9YH|td zfux7On7=2kZ1(V2nIz&73~`pvhDL@j%bt3pb2je53L_z7WlGYTCw4>tjn5A2YzCNN z8HkV??YWe%BfqZ9_C1~Sr#=J?LB2@sp5_Dtj5Huy4^wuLjfTe(61R6K6kNhWKPrub z2A6q%z1YW;MBe-%lQYos^4ZWyXQ#xwR?(a?iw(L*+~}{hQrRgtI_C%acPILnsr4`7 zr~5bkNBS3`?27AL92S>-uPMxzp(+!9ytfe`92~)}V65M7BR~>w*p@d0`wk`*@XtKC zt&j>aUv*$GQe4Ot+kmMMxFO|<%+V?LWX?~y%XJ^9#_XxLyKdYIQEc|qTb(m}D>!Y; z%EmQTl5;%aS_XGFSbm^mIL5ma8`7(dOj+r=2k%a{xRB;gf10f{D07wNaC*!NOL^Y; zAcANN16+cgrJ+qjk7u$TQ=b@4f!mDQZG(0NtL99Jcp;p?;S z4GmF5<+c=?Yj?lmcwkbt=w(c?%A53*lB$v5h8oURUP4fUTnH*bS-^G)Q9WjX8G{AP zMCY|Bqg=6Z+4qn&PsTn=UC|!hJC+u49(T zqeZl0RgCDg_Dy+`p^Ny3?VNn*q9NrMyfg5nKWm< zzZU{u!CJ`~&+1VcI~`qk>aFX9O2N?uY*A)H6sA3Y$Nok;%3Clw!SZ`sYld?!!0Ry5 zvv-u4PyRk zg(Q0&HZ>bKF&YN{K&IW~tU>fd(I-yi8R}qWS`?jXJoO5T(~c3uJJZ-ml3c6HObJSv z-W)~=Bxg#*+n3&FZS)yFxR3X}01FYFge+l-Qm>p)?gR3g5QkcT94vt|dAIg9Ya_u$ z(NMLmqMTN4>EBiY+WI|8vyNdfzPoy;$LQ~`-`Ajs5E*`x@8%w4OQFyTrZCs_+3SWU zK<|+jHa;zCd|LGQw87)khKx_Mj!(N9*$9n>wCJGEco;Af=qhOy83i^|d%mfa@t}KQL}s$F;yHz!_H) zfptDkm~}NM9VlsM4Z$@SS2V7uxV%}zFnVL())Aw&+CtG8wdI- z28H>P9*c=N6yVrJ$GhT$7yQ0Cs&a=ijnzdKM99%jI=(cRbD$|I1msB8nsF+9i*|M} z76=buM49p=`nOW`MGy(coNIrq3FW3A*1kcn9H8ZoZH+}Wd0=LMkVx5wXNkqs8f`Z% zbs0i2M6l##U{+fxWb!iv2;pHEAZ?gQhG23W|1gM1();oi!W`K3ZuzUmuOMqJm8I&O zDRAbbsL?G@Kn(|FXlrF(KnJ(6FHjG+BeT#}(un>_#YkWC{Zf5NUHh_`xf6&a7NS+2t<*10gaRN%fl1z~&4SS@y%7!m7*1YsBCq1`|p z8noq;UFa4z4@EL)lZ!8cp#V11Xo4_qO%!%Q80WiP^_@5P&KOcMVM%tFOL+!M>MW6r zn3zxs9x)h_>xekdTBMYYrh6pZFq^Pd!%Q5Wpi^{WUjX69924N>Sx)O$ufgPW!61{j z>_B12`a~dStYPdkc|RO<2~--BEEoKRoq}LU+1)bBVH zr4z@+z>K^-1KEgaX!5t6Y{XH%NEPjAM}bnlCWA;lGBw6H@@9pCEh13To@3i;F$@X) z!a6c0BSTd)49G#)X)Von-Nd%p1Pyq?!x3_>*2rL*QG_*k%MaTuH#pe{-^+gA7=y3W z;JeEJ%wY8W76R`GT8(62mx17U__Gax1(5J>Fk1ry*ct#2(ZV_B3-?6v`!-A`5u(iB zqi-47FsbshecUCx*9th@ePh%ssh)U08-7R+!o=ez$BLs$1+@(sP1@}#pP z<#F_F0CF>;*h*FKdiFAU-FlLq&J`gA)N1UPu9yRX-+SwN~7Eit$hrAr6qP%50WfKywC|O9EJ!a z*;5mo3QDQ7qJ7;L83MXO8w@7?Jgp~A+3^!SQn%2qBXW?uT{r&?Nh`3z$Ilv!gV^`? zi=fCuO};Z12@8aCzRrumc_F~kykKL~#H7mKP`nf@xD1dOI8g_K0(kl&w_xPF^qWFo4?^M?5T7$S`or5i+PoG9HWO5;Uof+$TC zrFc=gNt6;qDN&Se7NuK6=~hv?O_XjIrAeZ6hbSe9(qvIe7NrzXnj%W6qBK>Mris#Y zQJNu2Gev2ZD9sinn<%A;(w(AomnhvWO1}`LdqnA8QJN!4b44j#l7T?Q=;@sQCcZVPm9tjQCclZ&xq2qqLd*@nWE$rC6_2=iP9QT`jsd>CrZzY(yv8n ztth=9N^VhFCra6(lp{(nijqf^ej`dRiPCye`mHGCiZJGt@B;5GAiD{Z5n$ zMd|mV^s*?uB1(S{r9X<&tD^KLQF=|3Hi*(jQQ9O*|0PPVi_#mS^rk4iB}$t`>1|Qk zB1-Rw(z~McXHoi#C~Xy`zlzd(qVzXWdS8_OE=vCpr4K}Dn<#xKN*{^R$D;I!D19nQ zpNY~xMX5-Xwu{mZQQ9d={}QFoMQN8P?G~kCQQ9L)C88vX(q2(26{Rv!Di@`FqO@O> z4v12PC><0fNt6zW(ifssDN0qMR4qz}Md^qreJM&uMd_F*)reB9DAkG5aZx%UN?(am zy(pa&r3O(tB}$E=bXt^}MCof$IwMNoh>|QyK2d5GB}J54MCn^mY89okqSPiz--%MY zD4i3f^P=>xPD0^c+bNO(=G zh~%v+A{Vi+1l~F$JSL*#5NHv>!|IWVldY@5Gp6u|VGS$}I!sA{jXSw$hE}zN577{< zB4c1J#Ys1aERzEnQV%Z%0vgQ9L42_@=;g7jITxs=%g;D$iP1K6aqlgWm z*@8U-$A+g6y)_Imy>r}MwkuqZ1F0~vVHZ?Ix-cm!u;jML6Z!!4XI6g`S6F0IMmY*;_CA(9SBW!-X5D`gSkUlWYgQl^- zYh_;It+yP(E7qe{2I}8}%~Xk@C#ezGW5D{+*NIz(Ff4g5#q$Ac&;_S3bcP(vP&^N| z0$zwL;eG>8E+#UNZ+e~a((TMC?l?{}2ztoYxb!+WP;7>1@zjbLrI zZkDb19gFE1v55I#)sYX@wzt_ThoY#)x~0ylkd@7U1@Fn$EzMSJC_OMq-kaZAvrii! z_^%;5I^Q%7>wh7R*>dPua>`q*-a8n=dQfC*h)&l#r}$Op%qf>tmsu`XecQPyJuu&t|E2CgT!tJ@{*$_+hbwB} z;9<%-TyA~>w%+AtvUx&OXDm-MrX7OCk+&2hYS*KbizPGU7BE<@5TP>$)=1s>N}<@7 z$d9YulO9Nb0-9B-i9hPCrw9Pic?%6N(dtNOl1HmEXDU8A&XLlGYArH62I^(Ig@cnw zfxF9UK>`!oCA3m3e7!gZBlXr{c!|Z#RH$M~&WjmgQ#QW1#yIghu42kE3WN4MBoz}8 z22Hnz*nB^Hz2}8b;owA@(=E2R$apJN{z!}MQf{6XF1D1L?`NhF*f)vwg(7-0zBv8( z=~~eJHvi4VpBV30p}MB$tnjZ>H`T84Z#sTz6P|6_X6S^O73Uhw3`68iwXK`#FKntU z-c;MLslIm8S5zbKa0{r9YR#HUjCu zlN@$T@E?V|CKfNxC^psA;a7UF@D0n{KL7n8j9y$NiOw{ z?gB^mE!8Z5J5js*Vc6SIw02ZH0=cwd-Gv5G5IFLtjZF5%41S9-4@^1?8PibhK1A3* z7P0-p22utFBeowA>{`ZyUf+sx7CDzhIQu-^6s}!e3x2Z)=mV&8k`^~ZsX&T-H>kv<%jED(xG{a<|C^U}eS$b!@g+4e|@QzBHl*lKm z)lHUr2uw2CpH!Y@^xa?>2T|e8lzPPIdj+vRS3uPOxiq{d9YaV5WJ@Tp4KcV^!2b7D zU0y-{$Z%&KLdP&(K&EEiK~A^W*V|vE{-S^zZs_|wUl{nQInbfIp48miy!BMKkctRV zi2wa6tA7@6w~?vgXtF-VKa#>5m%h?JID$wv{^CJSEI=G*zA#=KGZqBlW(KhvMVP4On!%W0mkmE_AE0 z{8Vl1pZ}4K=MLUJnYwz&PiiIa=k0d7c{P<>pqG4rx8F%O!&u3wTFE)QeL3AUQOWUo$!B=GlWz8~l2>UZ zGkE*2=q9Ec@sIS9S!8sjnSILN{z2>e?Y#YAx=D#=5FO+XY?LXyJ(X@Ap|?f77VpMu z-3}8Ln3L_RTrs6?Ov7IOHm~DO!TkX5xSF2sMfj*v_tpFYbLp;JE;%$4Z?5z@CiCuC z!F>mnh!yNl@e53)ZmfZWbmO34UkQIa!M(!kNax+rf_oa>jy52++O53f2Ej3ddV7O9 zH>wmXEZLGd&|q6N&f@z7USqyTR9_3nmyExPw?Ecj#UM=3A|$h zEl7FdD}DCs6Qzy`+I~fmCf?CRMPUE;3hh^{W^Cz|rH&>PNkgIwlo`Q0MrdWeez~{I zQ>6|#W5Rn=aIA!9rtXlLD(_)s_(^bTb3?6y_c?e13y!By*uiP_yxyk_M3pEr01j%{ zR#awyR^~aq3=Ld>yW;?ZCGj|4Q?Oz!EUL*(1IM6p7XF9r%g=i1{+bu2NIi2X|_>qlDA@@%v;X3oG@&zM}Hy=g2M*@7< zfX!$U%nW+B_f^;l;cwa>c~PhCrm{D9bc-=OEC@2ZV#yg5rcX6sc2NG)Lhv)h&QQmg zjGvvly1gnwe&Q4FNzH{CAVIUm9k(ET{=AXqPi}kb4~X#{&yQT+5}$9*ZTVyjZbZfG z=x&j&v&1ZV=z+x*+KbNk^m!u}cv?b)7Lc#prZOA1u67l-$+I_vy%X;pncEUCSl8pl z#W9N>s6g4M&zmB1E;U68El%^M+AK~n;-5{Owh)lLgFJhq7RRf%<0bX>^(_@dQ`r}e zG=n_8$c8M~xz6g(RACO*YgqO-mh3NTMpeO5NpMGON38o2gyGu0o>T7ZC^0xg_bTRTd^oMH0QhV8%Ml(8Kp(YXB*r(D}nV!}5Mr(D@l0;{9W66DYb-7cVC z+w(ZssLv>B9h!f9N9#5DM}?l`dRJI-H}b)CW!{)?ZM}w%YrDpKRA^LhUm3n-Ww@|M z9hsxWll&cmEqYNxK#5Jq8*^5087e?yiD7KU0{~If;xD%6a5)#dYtddVr>xGo*j4K^ zM~-$;{1h}jtHTpw)i;a4(sB9 zC5wg5oNv^1IcNP-J5PGbr$wsn$SS$&II`Z=%~igOR9}d!YJ@w|;i}3s{Oown(plA6 z=c!ef#PL@>)G2Z%7eX=K8xrV@m~-(Gjb>_}&X152xqf|L$pwllLwg^%!gjeM$ zvVjw8o51`;d{skDIL%*~b0ZZ~t+_C=(-y%QoFTOhqSpBMY9&-S>}j;jyF0SlX{zm1 zI=?DDK4Fpet3&Bz<(#2$UtOgb<7%%0D~r>SGA=nIb1nekkU!k+R|qp5(EM+A;d@Y8 zgtEvJmA%3bEY|}R$L}K~2T!r@fxL#XkXss-Lm&)iRKTPZSgTF>o`~jsD7$7i$~GZO zi$U4J7Im5V50N1iEVHoo57@c%q9L@0|H@N>V}vjY%O#7S^9za+uE^Wfp-R!nZ2Hw= zeto;jztIK($$4f$ya8mf7pD!Jto|?%oGohjAfL8BMEf{gh{&fCX;Z#NVZoWi5=e@oY-u)YDRIAc zqs$To(+co^T3fxh!!(=ip_i2o+i68>V|(lT&m{wO zUU%Jps8oNv?4dCUH!okTb@}pF#w;J38T;t!m8&xVx(M#kCw|F(AZ71zgu)O0%ycfx zaNna}RZio|Y^OM@w|0JhRi3H9(U5CvK=!(g|8&E_Ag z<{>WJ9bBM3wtt4{%~E3OD7>6&YsJf`Vt$$JtX8R(cUoWhqqXwv#6gG<>`eI1?AUAc z)*#1x)R1stq!kH+cU>J`bS3w0@FTyh7MaXvi#WsCZKrpX7-2nN#7k$lU9sbcv31+J z9bXy~J6iEwn9&HEud^Qi5Ene122mOQ5F!{A_YkcxjfKqcl$oY**%_?`D>~RI0nli> z(yaB3_Tlwpr5?Dik8vIlL^R*dkjdsD;V@m;Y{kFrAhSRl+B%BbK>Ktj6!V?1bI7kO z$ZCO%v<9B((+q)u@Rbymj3z_Rj2#yQA1c4v4ra0pHr$E(H(vV!33QS8i}b}Xc!5c9 zm7HH!CF|ij+q4p7Y)@?e`MAz8O!x{R0PF(wr{vV4#kPaXIm#I-AvdL5zQwQwA_qBJ z3u^XK?_ouQNIz|YX`0|}K%h|Se=90a+{wMtn;z8maf4LcdYZnJsF+{-b^1 zLx^_cgsJFv)mhf*sU=w(4$F0zt!PiF`+!^L3Z!ZS!Z(zV)43h*^6i0o>3Nm>p|J{l9Fo5w#l&Gb zm+ZU~iU+_%x+8A|eH*x=&dBd+xv>e{;00LZVF91z_nqB#Wh)kRZcQs5)59?5g>AR& zC^n)QI5hTc2A)1+j2s?SHDEbkmUTT!A3Kel+=@w}2(v)c5?Ehs`~+L%Ev69$hli7_ ziw-RoK`*dY!}R**x7|I%>YqVb7v#W&WuQ@SAv)e1m!Auysi08 zGAUvTs0rAUjho}~Z`0<4a3PBp{N|g(J!cSaLe87fm*}zz z8wyr@%+*{Br?P^gm%55R7GxFBMdeYmh=GEk_?^av$#P{xUhUe968-%at z-lMx+?5=TFD{^zdkfvG(9b|7BTqg9Hi|b3>h?Cd=?^ND#hCf6*fZ5Elp)#McM#%AK zqVwyt;oI6_@$jJu9p&2&H++bk^a zs$<8oKpMY_P24ge9_yobY3Cpq< z#sII7)kH9EB^Uz>H!>L41N;oe4FMQO{S=HF2*&kn(Zj>|On(?7U~-3QYxUUb0pr#H zj2i+lZUT&{2>fUX;Qs-}KmnJ{@DE`eIPmQYnTPfl$S;DuE7-%Za@8zzaBXOw!t_9$ z1n>v=IzRC^P|tj_M~)zxVu@q%y?R{N^rFDINHd8ve9;>E>fq;`?{hpd zG#pc=CGQ#U4as}Vwv4>+iPu#&43WXfvS!mcJ&_^e$Oyp8HR z9-q-uW^C*f*2}7~OIY8c8rxK$Dc31_r?O%6pj`Y_!M*ssU8?Kj0FXgo6#M3?8fMao zo(B?(r%f?&YbojpO@qFp{@-l>aJK*TzcSnJ&p{ATOPZ(5;4^T6sc-(l)F1iLssD4o zsh{zq_>zQX2<_Hyi!nL~QG17C(bDldfR10b&&bjg1W}OCtYay^s+x_q)~aShC7mXA z+NJM7Qkuy3bC z==$MLzniqf|5hCPCpO=Xf9p5jfy18;+Rc--!@n_a#tEQz+%#rIv7?{%uzuEqyZ;R5 z{UKvf7-#7`i_J?fng)iyGppx5=(_T3Jv*jx9W^swlV1S}>_bQWc=^O? zyn(_i0)_ug?K_H9)&Po63KZ9N{Eyd-niH#8SHc3tdl-i|SSMXH0g2$o5lQOKTzeO^ zq{~Tfne}*L2P|&PpiIvNW}A_gc|(idA9y2tJkI6XJ0K_tV!J$hNAA$Wp_`_ zGL~7U+H(AyF=GeHlR!n5EqV6y>Kr6Y>QerTRUIWC0YOUo;l=QHBHPA;`sf24)I>Ne zfX?|H<;H~K*2In-`-y@E!5#3O0l5@B9H5c!u;p5)W#rxplFtOCA{|JGFwPk_uFJvM z4RMby7al;mZcH)bg`$c!8PYdScpibH_L?Ay+PL^PDBP!j-pklN6yN~LgAPt+PP?}j z{2BR&gT8u?L;V+`WVZ@!9B;`dm*Jg*K&Q2WuXrpUwSxXThBDVeOn(icrME^E-NOai z4lD9&ZPUx$(2h((&5UiqF{KaL2CGowCy_QV+I1t*_+&z`@w+!DbO+wiJaE`7QHDDp zgl?2{9%UsrzWX~4D??)m5T`*U3W{$T%uCPgi{3+++x#s0xSmCyUl6h+ZMZ3uHd5SuC;Qz55b zLVp(pVw4Bxv~S5`m6aUQuOw|!O`1aV$4K(9>CZLMq)o!AB1XCfxREkzfbc`c1>2b@ zd0>>+ag_YbjlQ=-JZ>`)b~~ZdMkzeN8#z<64WPllL98(uT7Qtyg1xQ}VMETrW(3n^ z#C@Cgj$oORZOE*EQyvHfM0_ppJO@KEqwnu9#qEHI6m|w4(Wvb(8%Rb|5$A2|*gZRBtkX$_8?GycfP-$aOx9~pU|OT8l}rUawGAf67s z@!uHv9l@9SjywS1%SR61u~4*R^u@5L&srTAM6%7C@kp|*HFGhBNQO66Mej#bICUUA z{vre1UN`KO-q`5h&Y8EV=)~^#qhXxZCu`V_eS}1P zcV7aTTut7fw#LqLxY_EP1S|YzbQ3}leMxJ51*SHX%mW*c9#xbW+_4eC?oDLf%gWHZ zXCat8mTYUwT>5Wd(*8eyNgF2MrAxtfclIC%BJIfQv|FC3#!M@ycOcS1fJZMhUWSQ1 z;5R|<;Lm{HQmXoY0E!!Wq9AAtAT@YbHF8<-6alh`2v84janUdXSyi(6Bk4dHixk5i z1m_fXpq*pYtfMSQkD67JUu7+@10TT!r*U3^ozN25I?gL_Gy*?H1KXsd>O`2}%r+#u z>(`781dYRrM9?@|k>`Sy!(PE){3_&T?$)6R-p7L0fcZv1m(rMqUMV>mO#=BdlU~yqj@bQsx5H7^ygZm=ie74f80suAZqT6D#|mYgXd(F z0vOuFYDgW8T<1AZ4cIJ)IXoP!KSVV1;6vI;mx9a0998r*l?+ycw{xa~a5DNX!Nd%% z5~Ys82$%95OS=TK;4Y9QQ#p9{d;#O(cG!g4&Lj6OlKvTq3e`@KWCZQOo7yXoVB?_b zMn)zwut4CBz@b7vwAiO4mR)?gff6!Ksbq$nH`<=15}VF5u{h}fS3(?NgFg$PK|P^Dn` zUI;NilARhBtITNYC>=Qj zJ_~s^b8bM4jil54agEj+vQ5?G;{AFfSilRSE6~M~HD*Fn;{Mn+Fn8$D*}gOTlReQQ zkQyBwrDoQXKsN%?^nhl9dVyfs^Q%q?ZgbVCM98?hU)rB_3*Q!M;-*YBWQ2OkO^I;+ z4TB~j0ixE9bo7b8kZz!~I$cm^A)=+6oYkEn1(qqKH{l~6z<*0dY9pRt3cx?7e@u9Y~yIhD^K-6fKT|g5|GeO!s=qGk@2IKnriwuiGG?(XT zx`@!GZO+>v!=PXa*iGm@^1CE7Rkfzma8w)e6-8Wv8E-XQEz^?Sa>j?4Bm#)Ky17$ExPyx}NjBhMof>}_q%R2}$Oy#V{FHafvE1uV#!ON)L_0uc%nPXWTo(f0oELP-4>vsV=38#P?eeT-q+y6IR9QaeuZu+SQ|NZ-hs6p4>m^3*#WlHMQ zY13!SoVD;l+X&1?+Ff(+n>T;v8PvOJQwR+9UHXsAd{KcOlOAcQKu{8u}sdk za`iGYznS>V>L*t@V>4aPJhM8($&7UHWcg#uIWE{QBYlFuf?pyA^#9xu{OI=|V4pjG z(4T1qS+RQ~ zVq;N~y@&eful7fK8mR5EVp_{oSSxmK;BWuh`V|Wlp}+l$Q9Z#%gF~TWKRpJmX}tqK zQjY4RzOlc79}ygV`}v>A@v#%)J>#Oxx&$_ZC{sy<3s#}5^1TpkVe-y!n7QBndb%77zmp6dy3Uq`w z33lOh{Q}orxX4a?Hm;etrsJB53udcaGOqh@EyVQ@uE2X&;JX3WI9!8pMdG@Iy2J7J zI$T%bx)Rp~-0Q^EjEmk)#5EAtcwA#~jlnevR}8LeaSg|ngljRbKwI?pBHmYV!S#x> z;JOLdP+ZsGdJxwFT=Q}Dedqt=`(t`t3-NaeE`rOWxB@U(hVTC;_5W|bdjzm7!?h8Y z9alQ8XL04=`UY1Mu2Z<`aUI81gR2VHAzT%>{)G#61l%XM-oy1vTuX8N8rQvm&Aa#u z5e|0?zOTSvBmSyMK5Uv(nkK-9u6Boi6IV@B5q4hd24r^o-ghDr9ZQgq? zo%0F$V~(Ep{rnGqzhxe1Ne!PK-F(ISgHI0OuKLDm9(sCE)POK>Qx^I=2!H#wqkVt) zHylUTkA9F<>_2@l=sutRzvw{-pwa&mf_wcxMnJ3oCnN~H{`dc{{}pa9M4C;Zp`js= zy;(+^!wl*Ww%%yPT@KgFp*1+tb_MQXLITumtVYc~_Nzx9d&c?X>Q%8fBqWSOk!XF^ z)(tK?h24Q`$7$`->&#ZysqAwWf7gNEF~nBF4BB7_{R{rR9fHAvp#J1|~it=A$SmJ?R#MFbEM4 z)Qu95XCU?c|E~Xeajx^`ygujp-1qI|w+e9qAOIAQ76L(6zW)vXUlRZ8NZ>1`<+XD= zt&+Yi^`s%Ico2aAN+%Fm=+e%EKM(dbvf}s%DZehgsKMj$NNJQ%8xCN8$nqNYa`lzL z!C)A%=QTex{pB9SNg-{-ywI7T)}YPzp|HcUE8Gs=fkpH4}mA3a-Kfg)*-8LExe& zBZ$*fB{sSYBG7>01P#V+yDwDZNvv~~;uMd8`dUq93_SA+F9euoNU6C_mym)#)=K)( zK&13)4pMLxlVgV7K9-Ce;5kY3Up+*UrnAgohcmP**GNsNS}>r&)$xUg|CII+@uzE$ z{FC^_TP2aH6Yy%RR(eyt}ifr)-5CAeyctl51_5f>2avU}x0#oPC zv+f#Ylh6^AK#52OK#wGHSOj1bktpq&OquowQz3JbQclDYRufZY4iXaM6R9aplBqyC z;7JZ8beu{&lLFYs3JdtDe(_Ms@Ja$m+B$5QcDokfOpRX?u!{9q7BYlJ9BVX)PMPs0 z>A!^P4IkB2)!+c<7=L1-qM25K0O$|+0Ve@pAkPPEOdxxI_DO08Wy%6>L>l1Y-4E~| zoB$kw<291!rvN+i&z|=ho-(5W%YpWAz#K3Zngu?`$2CONL`KAhhxvzEHpB&0U6RSv z0Mr3B08|BJ0YQKtnCAs}JgeL=nVw$WK2pejSJ#M=lOT4#H3V2uS?q2lI~K>PQ z=3TD5PAYsMZ z*!-BJ3twP$sHQRz?`fRUZu~3JRR)bCeD%>qlOcs7CY-+zm3oeegHXIm8v8|B(hT$q z;R>;$X{PkuYiC)nVoXzLJ5y%|ep2)|nqL!&YOdn6j)3BD?lf@jNZcw31dJ`;_LU_3P(J@0N~>p5)}g zO_Q^!y~s)JSRTsLnXCjik!Rq8pAy5U6ps=&#|QX3^=spa7d(nbsoLXDu6;^5>94JH zRiK@JCfV2fry^^unag16HRvWButi^mmrf${IHPfzhw*Yfl|Euq(l3(t4t%r@y6Hjd zZSn)8wVHb>;;y8%mAtW;lEO?T^d+b0z?oAE8JlawYzi$?&XnB57}z@RMDcGm-L~3o zU4TGWJ|sQd>@a-31?qA@T~G(~YAfUiSDYw(MH8}Lw_$&ZhEbU_us!H7b?G3msFVYa zGc`(g5ShQ}jVmC1p?wW(kFPvavLfBE{%9tyx_Sn`2c*qo~Wv*`y zwQn=UASOv)w1;kW@Fy)$+GhUH|4sx>Qhu&Uls;m9!3|UJ|E%3GMkNc2*g}x-1pFR6 z1;K!m6W7$@V|I6a{-Ic+c#iLGsiK&KcWRia_3do7LpIO0;#lDviYaQE3B@#eu!Q5X z!Ir*(Mor{#arJNy@%2<`HwY6NAwFb~klToISno9Ch*EMT){FVZ*QeL34$4zf#B%ZL z@wC|DY_4?=OH?9plZAV~j)nV?AJ4ed}7IeTdR z{q`?vP5N2e(+eoG8|Yl3V>6pC42zmc33mT;#0c2C8jiuPiE`Qdm9 zdR;uxH`-E#EWj*=U5!MoYetC7@R%Yuz7CV70i6+#y zbl--WbugTu47~&T2l@!BN+X!Ib%T2hTe03___wjqm@rRSzujioL;Y6k%^ALCFI=$J zjP(2=+JC22QY;b$BIx#){6^8zaGVnpE4Gdes}D@&ps)`aRxt;SNUr*b$5BS zQdkUbqkZ(JqMk0x(4OC2thrt4^L3s`0>60&L47(N%}s%h{JK&4&*$VzKlS<9dr3bD z8eme{rJuX3A4!%i(!0`r8owU_S*j8=&FYsKP-Mg0*37#*oY5pSU@|ZE>y?ILD z{56*sqC5%JJ#@s!F<~axs;aYi%~<~D?LVd2|Jn9CzJ zeE-0Enjj1hR)S47YS8XK7NVh7i&joj#B6B7age2CA@dvD)gklCVYiNzO6FRxZ z!0mpCL^2lX3BPvJl^Bq-N77Rx7zR)CPi_gb6b=T3$rGy3D>m1DY!{ze@c7-;-~4Gy zNPo%WBT_H(NR8K-<4R4srWtGesoa(nF2od#E6s4nsT!9(+Ax>;`jzYGLWY#%VL2&x zrwi^7$Syx+kHnVOT9qu^r4W2NoE zjv6yztdaG->#}JD)uUR|y4v8&B=h)t@)Ig_uWKK=z9(j~<`1QAzbyzL6?w5^$xfh(so=F8K*;S|0k=)|tj2P(w5175r=L>o=ZAWu< zq1~vUnw&$}3JQQUz^m@aBA>^8C7eZ2MYVXMkuEbOaWd2Gl1Q5V51@-!jc=2PkJH+Q ze-#p`uFL30NicaH8P<6i+H1rM)f~%D6k?gim6f&H`}|QKlIL+`@*m|X|Kr5uUEYor z;ZDgEJm<S6;S%wO8LDpVILH8qC2=FV&kIqz`g zkWVeAhR#B*d|-%F$LdpMFz=T_Lh9ccr+oC)`@7fv^C_C^Q2q0if9TKnzrkrX!4>J# zrC8N<;V3yHDC*_&**#7VgsKH6QNQXB>;~*g_~Hs7oi^xFhUO_3I7I7QeK!<&On-ov zp&H#ctTV?#oYFeEzWkC{Gec~6z=*V-rJ$iNvij8Gz?cv{#{Evc@2KQgTelj|*rk4* z@S2os(3cUW-$$X7s17-r&|IKNvLMFj{>T3=Kia}H4RYpUVhFlPdUos0lk4I^*FnL^ z__@v2gyqd|OT}X)O%g1wr9U9ecgDH3XKlVrv+{8HQXrx!-l0G3oxYLlLk>(h&|TpD z^FK}uX7YHs}0xuA=GOl2Z&A)vLJ=8)YJ{4gGi(de^jdBi{$4FuC+%ej$`z-C{h)AuJS>6}-Q zZ}|u~$s3VZ-wv+RzE?`8M3#}?LT9|JBX7OM&~kTQTl1nvYwEb8Uq@n`3XpC6B&C`aYF7lG48AF%#|j$LWCdlo@P2#5 z5W7DoT+w!4SpDs=spy%Ie%&Ku-S6>IY5uw=W4Tnbtt#43;$UPc5sXr_*(^M~+Z z;!M!u1M3GCuXi956o|cc=5q60^gu7;i28%7SY`00HXr0-YIqle_MD_|b*0ef-foDU zNsJHQv%t&Ue%XJ|apz=g#*5=$A{M*Qiq<`tAP-i*c=&(u^8BEQlj*6>;5?f`6YG=3 zJui6JVGe-zjC@a+4DdwFqQ=s{?^Re9FFXCf4Kj8k>>V(+0!ZwHk1n4MJD~v|GA;9$ zxuol!sX7dq5Dl{cwpf2cb2Ec_Lahf|LU}epFeE*#GStG6CpA=tF8K5(c!LrDwp()_ z2XiV5MgHu0`*N!?Nj~(FBU}_n-X=?}k{w#|-$rvAlX~$UM_@7n*?6exL}q&yq~kS#3yyY{%)8B*P{=alEg5P! z%7yHnHj<_?c*g5|pb_+j1gSi+8>DtHWHDNotw%OVqP;EBxaZ)U)oP1sNJf_Mmux9d zsU&N=F}S@pbOX6Vie2IUU=SF3X9 zBd|I6Y}mOqp;*{_Rj@)?S6{sA3(~~X&}mJo6;kjCMPUmPuE?rs2+nh&r}aMZrKO z_g@GmsUMDR!tI~5J2UGqZ~w%ZCwSQ6M)Ug-Yar(ZCakdRDof;rBxlOtOHgf8{Q73~ zz>7~Wqkd8LRzXSJUQVN_C6BrJvntUw<>&ka^cW_A+2f-Hm$BDl^4abvb z52%}l?~^ABc=sLB)6D&zJ^2_~eoGzHW(=K#3`PZ}1qLd<%7yCW4zaQ z%gI{w$O2E`ug)X^Uz<*e(lqH^FrfJAxh*3&1t|*E3Tf;J$~bmgmnj!shu zdC*w9lN(YC0OoNK2~i*=nwS_#OiAQWlfxn~U@|d0B83t&oj`^q?j;bDBchO;h%~Xi zi0~AmhI)<&nG+Y67^c!BPNXy@%E!!zM4q@Jc0vLr?#%Eh5O8t|wxPx+HQ8{Jji!m#@o}8+1VxJ3bdn-5 zQOw56X~$JVTVKXsiJC-)R=3_ z+u-mH-W?mC{~XYYCnn{5PHjzoK>i}^LL+KBl{dbn{VE?1B)?Lh z(@jl~@ZW$kf&QC`VtP^2xHAG(@it8fF;;6~VbP6%Y}B+MnVFG>0Yb^2xvQs>xwKl3 z;yk8BjNVP7D0!~B(@_y0tWwX%5)<+g#X2IHG64Fz`kVNWGw=G5ZPf3LLR3Gk$Ywh= zV&oC&RbrAj`c?if?oq->_a@qVaBV&`BDN|KNlq3g$Eb8902q&$v$4s?Aq_xREq7!i zcNjBIHTJ&&KlhQ8nj_a@O+2JUKUp%Eq+p?2AZ=^Bge#%L!-6q zsyw1s5>uV5{64)yJH*yz86MGaJ>yp-Yd-!E@rW);hM{H~O zpRW-$G&EZ&X>qI0$-wrIx~Fy0<2>H@{M0I#(hEv#eadT-@Ca4AATkkqf$JOPmthbC z^a!Kgf{C1NT|6=OqxM|5(4*qw{zCmo+iwx%UwqSP;{ICk>3EW0jVl8)?|a&+V#-iO z_XStKWa<6b+^U>Wt%iXC{by-&MiVg+;ZBT|lP1%#NB0bjbq(UtKlHBYCB|_-{CQk+ zGFi5mNALoFt?ayZ71?V4LdRBIOnAYTZ9GD;T2xk`#{W4kDy%kI+`o-H6Cc5!HWr`4 z!1*32P7qqLi=eEhyHF|JybRb{{K@|uDpVi=;oj$moxm+d+z;2TOb90rYu=7JNTKx8j5ja& zjwGr%i|H#R5q|R4puC}O_|w#1$NBW0RH+sv#AT(4`1m|LO-+s{D;!h#A;j+1j?l&) z7ZfMDymWI|X157(Ma?<>xvNPL)}hbKu7 zsn^Yih2FXr=kQGY+%1%<=vvtB&3<8cWDN8SubO9`QTg0WAD8;uxWIV4C-PUubZTPH zz3&+L@c2t<@@@V~@?q@|WuhsuayFc=ks3$X9r)oCfo)0|Nl>pdtG5@#MaX#9YpbL? z_KcBf^_CN+0HMKN!4Y*f%q{sDkyjPX(Wc4^YN^Ns9E(XHos6sP^8Ju=jZkrp(01fV zlzL`CZMa_Vd<5bQ8QHdFopxL@?oB8Ogsa6uFA zCwCsrRx7;K=#@a>gp1O0udvBWI9bdxcldb4;8C>_SE}O22;cAawfar^M`w~8!)5Xx z*SdZ-me6wabUCgz!DTcW2wh%{kM|ZTfBqqQP0Kze@?=)mGkW%%e0N{~WaN};4HxNF zJ=T_$y49H=t>;qQVcyz_ZWcFvwLGHX6v;gJ)cTt-ido@>17Y2i5e1joSkXA9BF@#I?3lO-=f15brPh z#e?k)3a%XrBPH$j;Nwy^KBT}W#B399kqsngx*y7In}8gE!pq zvUa3}N-7dj?)c`e4n>-sm9y-ZZ?aH_dmtm91TrdYz)mJU><8}!H~##y0ykfvc8Sb? zK5VCv)ozKE@$>jH^vLvdlvZbrnnKf> z2}{O_>jn}IDYsHuVG4DuxOsGmQ#f4494=mLgvn~XZ4}l|I`)6(OsY|4ODOQ0u!NFq zqpO>O8#a6}cBx&g>4c0&zRc=l;B;IZr+~Hi!==o4Cs)|z9Q?d?y^ZQ(Ag!n;esHI7 z;tn?E)9y14N_sjDs+{QEB||j#hFTmap4} zVa+>ZU?!VP5%+QDA!lV-NsE;F18Q}yi?!s3b=O5k>3aBPvCO3fibLiF$#3ggQj+Tq z*mHamlHNPT@&1MO;^%4+%Uk-=>T=Gm7I;^ePKl$g*RW-dI5WW;$CYKcqr}8S3zmkQ z%9gwzJ(%6mG|8eMoen#DjDB%zeby54kW0n1D)^g5o$Mk=2!4qtWzwZYaK%WmMx4#h zZ@Y_3?su`zk5UDdH1p2c41xcg_GZCA13?MEjnlUy2-7Zfvg4~KOtpf*Z)dJfD$icl zUM-c6_auIqg1+K?zNWZwMT%BY7&L>VE%CFOE=~b6b#OxIgP_`V+V1#*rW!t$dBn1MoZv4z}E@arD40u0Cd806M0?bDeq2f&{+0XwgP-ftE6J73g~YuoLkC2A?k! zXSC$Z-6;9;U#1Whi4#dJ!s{H_V}Au^kjF^ET~3rH9{1b5c>h{#BvHwGPla!my?mT$ zk!x{NUo43c`$REpyw1OHJ(HxsK*U3xp5_Sq-%{Z8;^-f64R5A-@x6+E_b0{a8NKET z1GW_tz&t{0E!NeiPn7Y1u~yoxuuoOQm(S+Q_4FDT8F0aSsY{zZ!WS|P25gX7K*%?_ z#~1#tEBDY}r?YntZLWbN(byaX^5;(|>EmJBeQ}wA>apkRIUvdalqsDpvO_*@%Hn5j zHs^zk)O@dmg6ub>plmE0#XF?t@=T&BoLdzC(4+x(c{8IY0HrJ5=Ya}6uAd*)?%2UC z5~5$=SjNxi43OpmkRTl0u71i|&Bz53g0u$e&fv{V8OVtpK+z-yX4+I`xV zE9Xyej@_OKeRtS9_ghhq)~{CfxrOwp4#GqK>@oFr|76jZpU>whd77zs-x~bA3r|9- zJ}^BXm5|N5=)(RLG?F;|I;FU~YvfWY6k~HJDbROXYs) z+7Y#{>+k5Ji%EPTgw_)WhR>6DkBGUjs3Z}Wt`=uw;ZkOO;0?kku}m$h7L1%On^|J`iu_n;|h44 zclX#6`}_AlRq9$rHYokz7P+V&Rc@4HuC-8B80ogKV{K=vB{`M2&x3b#Tho^GdfMdy)EMsmJMWa!SWs#7-E6 zjF3jI|B~vuUF#q}_rj(p^0_b}NTf-?0*VY4y4rqDpkE=0`-0nh&|r){Iz{Pf{45M@ zvg$QCai^8n7I9-nfG#|l)3-N?(fLl-s-1Ivo`A{5)=~qAdcVwS8YHdX8xTQC0~Xrm z*L+$=?DD7#iML)f#ZK(fxdz?G(QyZsM|kGB8!WZniD+f$okLHG2{PX)xLz||vv_;Y z?KHzcsJt(DPH?_B>`70lHBmtJe9sWyihAtFC)QE7`cRKTrRm{+b$yNf5S7`9bVe$- z^$7lYQ-%lvc05|?tBLcjhMM8Gcp5}4Z#UIddB^zF@~t2}2u+U>tfoW@Vp)om-z`{5 zCfU`;M&ArEsnS~yQAjHZ^8aWToa%%BrO)+U^t;;BuuGNncZJfx^q0d}xb6EThVTQu z(wf*zOHB-m;v=NTKVNL+U{m*rr8wu6jenTxggy~7fRJzHVPyW)G271g$sl5e{i~hn zKgW8pCs$HPtiT7xP2N6ThAZpTHg6G&4-mSQy*s)K(n+)_M$~`92^n&_ zsay;~o=(HhpbL6e>nCE8z$g6@L7@77>-k0e|8YsLaQ_i&>eWZl*K;0cC77`f4+uh@ zB93z@mc{1Au2!y7cfP3xee?b*E`)Kv_qE$bE~6&M%&@4Ld+|uuKdsI@aBWo9^A=MV zb9;BaiY@WEq-XNj^@p>*Rk0n+4!=LV-TBmnd-?7hto{W$5)|rP5j9+&-Q9tV zn!~($cyJF1DLy^k#q=8m@ciuNSCX*uUdHq{=XLS?)P>ScoyrBTqlAy{`SxmC!LkBj zf5tW_iu9489j&x2YUek2-xpD-@_2t{mh--j=q zU%pm{+WwsRQEyW0X7|L$Yq5W`jJo_s@vipwHmdk=3C;vu)_&IvAer%(ccRJr=i6>b zEokODVz3pL(|orxsp}q}i3`*&yMspY)IsyZhGtCZAH6-C89@6(n1~E6hsG@{Bt}Eb zwshJCOpC!Ne$Ig~8mHK!fAw!jek>Sj+U3Cq)f5p1wWD&ok6ErAyyr(5jS9sGG*N@! z+xTDm7i^OH#U?%g7YH?Op(il&XP$pO&vm7=(-!;c9^AsfDBz|F6~~)(xMfyT`mf|+ zsWg1cg_oW#Wst#k1KD}&U)r86QBvPKe6@D>TfzvGiNDE)bOiIzR9k?obrxg`u)^KRBGDQ;OeF$*{VIgdRdVREoyZH z?8Y}CcJ<-si8V&K+|$pm>bML=YI-|WbcQQz)j$*X$0DThT_k#gQE}|I@r52{zR_>v z)4sxYPv_mArivqW)CGSF$kI$pg>(O@5Ws2)ZY&rpe3gq#c9PXG$^MWV9)o4&b4H$< zr;P*cQ{A#A%X+^}PwJrhV%>D^W<>%qi>^ncewTK>(G}Xb5XZ@kJ<;-&O$+z4t-;V? z<(W)ZVEfNN{5CM!>#{L7mIOW8ZTHLF^n*61os@~9l5br}4WK32DLFQQ5dH*o zz+|4H&Xtm&ucvcX+L3Zvdb&o+#1VguV*03n75aM#P2r&Xi{*3f35D9hFcW@-d_mPK zp)z0pi9n?^Mj0l;B#Z~m4HQfLX)?N# z7J+t&b4coZ9*L7Y)9#cDNvA0(0JlrzvcyKYg$hbIT)IlxM%MTGei-WeQn*VNrtvL; zj28I7seML#CVYFtuqQQN!+pqD>9lqEhQUj9dm5J-vHPi&4(;M8BGH;~BxH13>0;-% z7c8QTon0|>w(_D`c65-bl68IS%Lreb`@N`Z4q9X6(LqI#PD38B^!`L_HSmNIt7es) zqfIFuEePD}HZObRuYk7PksLRdiq3#!e?RYPiuK3Y_T_=!0@#1^ri0iGe=*vMu{uH} z(tNJ;oG-RKm3q#TMSFb3JYcvnGfc6$(V_1RmtC~YDW4^niLH#q)903*uMNH3x&v;= z2gI~;=`AU;=s)T*SQ0sMX1DMg4FlN6Y7YmWN>}8*2_GpJs1&xWmH9asbP6GJ#R<0} z4*BLNls9y40!uJc<(eJbEDqX2Nq*y~s2(CpEP6f{cj#AfPX|#NO~6f_4;)H#tt&R< z+aq%QiQm}5ZZH*uYHJC^8}AK24hZOhCOwg@nC)h zQo|qnsrgLlyE5(UKv<%Xm-O<%uUhrxiuyWOrImURuXa@d4sB^O6%mxC)E|J*bB2C zzmNB5?@eVdtb+(|XU2KCjGPiJ`^_F*^>fi#6M`H*6}PQT3yB~hU3{s=L#(r78iX^z z>&>YE`o5@oSI9K?TE|{d_q{?NPv6b{b)}+fa_7n^i^-&IP4v@V?j)1lJI+t8pRSrK zbu0m%yvmb%C0wN|cTD~{Z=l1txY=f$rTX#9z_ggFj09ZkM458m#S+dR!vou_x|SMmdRD=TMH|ID(Z)J$oW<|-HCg2LE*jjZyHqsurHPe`d51tIYR0y z{Tf1|??nEErPRDs9VwmEa`oi|yZw0{C9?~I^9MjnszOoyaE}#rDVfi?Csm4Lv|JB_ z^~G>ZmZU7_p_Xha_87eKQlac-s9!#A&{7;Rpm90M;?_1o`C z6}Jw%O##O^KMF$Exa;$#(?JdkN%cIcO}XVG0jegKG67p03Gio zo6wp}k@qQ$#_(!3y990AVjI5z1#w8W0kU00HsqN1-?VFnH`&+g(%UZ`NkfYu{IQzQ zjFa&8RQYbIW^qcR6`am*bikmVZm*EbrEFW3lKe8>s81sIEGL>? zS&r%C5VUP&n%7z83U&pd^!~nOztg$dNh!*_1@S@m{4NRfVN?w<3aHz+4}9f89@okl zB(QG!8cId?yUt+Q;y@cO4oKpNJVCw^gl_ys0H|GPWf5shnWDOi!4UsvB7(ME#{m1+ zs&7@xZ}h3OHi?X2z7JB-kT8UI-JwYtq>FbPYaC-@2#%*Bsf&hPw8lAMD^I-{R=yIJ z@5Y9UJm098>60l#brUrus`fNrQa2RF+H^f_m8ZeHy_%sI4UEwOpWzZQ{;Y39adM)EQ04 zbJHNqe?qX3a~ZEoJCOk{Ie*~@@jv)gNW1u*uNkf8upz%x%r%wX^ONYgv+^%$HO|6T z2HV9yJ*CJCz0H8GVE-m(YCzO|Z-^e@^D9nELIQVi#e;9W?y&n0pZ!H0$Pyp_c%T$` z!B1oD=FuXhU`;KMQ_z0{D45n4WT`LNXWTtU$eTt2}82Q+WnPZqOnSGb;mY}5XDo7 zYLU^kN^y8X<5pHqT6wZ@3+A2NY^=OY%x-z&jxvEuzJG?a@hOp_-fwX(ZXGF5^5guF zg=?+XgzJ|)6L<54pk4CfE3q+FN9rrbH6zk)*kl?wKc_vUCQX|L4=zNp^mYhz9sb1|)5$kyILn+3cO^{Kl zpT)=uzFB&Q10K|yzmt(pfz$`=AUzJ)f`D;;qc{aGg#iiA0#i z5t8)zRcRL<`h^ej{*7)hC{B?^{b9lz-;Fli+@*4BcUq^wIe|I?b2RjW_-KTX(riVx z^hg6AY3{{gqai<3nvF9J(Mpua-+MO+0r=zgn5WZ)cjd(pjakg>A0Es*9$^+OXilw3 z`X}yCAHk_=>`=mJIs7@J9LhFMp5b{_8dUeFekYd{xyq3AszpEKu%d!%z4Tj*Jxm@# zFmeV$k3xo|hVuOMlt|YHj6D#h33VxvP(whgc;^9oL><}jsb61=0bh|wmLt%jve!m2 zr0me_r@Zc{BIJr!JSanYy~2c>i-0+r+Ye;?zh`H}T|HN6TVdI4&ua{7#~_#&#$lrO zrQBL9B^Hj|w!93vWNewit1eUK_F_m<4yy<&li8FpKAr%|hilA2fdKiGYZ^e9(+>G@ zoUlds8PAM&SfH>!Bw!Zpe8R&OwDhzGPFOnIozn>D?tdz=Ul1s1p`;P=X=nj9E#9d9}!S>o8%yUJZWmfE|Ei2KcZbQp&y{fEB7TZgbeQeu38_;MkMzLGsWrvo_! zO2|y32oOMVKWEx(QI>jmye@#z`17#J$pUH1HtMnrA^kS}PnDzEIu>WHIuU9-q3UOrXJh;s);2bq|h=5?H%z$Kzb+_edO45W8!@H_h$iAd#t)!h*+;H#AXP;ut{;u9mA9S*5FxXgA z54-YHjFWvo6W{9P=U&oSsv(p&nD15u!RD>KBb4MHSo{J?-xZJl`q1L0E$W(XW(lgxi3dXn(c`a32$Q-4GD-W;kjAS`#s&y8^n_ev@hlDNZdoFX)7r2zRnxU zu<@ttwEz{PO^DFakEi+epM%H!q0%FVTGQwB;f6bz+Bs`lcLNm9+Zn8GCv0Uy3j7?4 zPUj?yWxoF9DTKY9D=HqZw`zF~3t#oiL>R3aEcamA$@sIGKFjsmIi0q0t;AQVA&Qk) zh|*m4KhbNp$B5?lCdSwN19Q=|gu?g(lK_f&ku_Qs^LWkfbRmL(274qT+KjanL`#~y zB!`)#<&FfZ_L!3633N$lKA0t5oD!&Sa+r`pFd?U?&XB3fv(d{p*zW=FxjaRXgIz8) zp`>6xnH~al7wBKKt$t()&u1twTF=)z@6N67*Tm~SG4U>e6Boq_Hg=ak%3od76^09x_G#fDoYX2EL=q>j)4d|e z5WQVg+mvK07Ak?@{nBeruzGlP*~R&qqUvAp&qE4AbSP)?F4)K-z_euY`XKINToKuP z6ZPW3@iH6KJIkLU#+NFEdV*bbL?ab>U@gqxC z|KBo}!n*7R_brfaz-OC@v)TE`5RNLpi}p=p2)TOm^9H~PM7PI;J#)IgaD27U3Y!Ez z_>?EG>*7dMudX{4Ub@DJMu#S?K*`B)^?xJ$p^-uoW2UXOT&B(uwc-H0QH+GlQfT%{ zaS%GRb$54h|3Qkj2Bzi{pFHfe>(_NAOSIvF6T&}{1A`UVtrv(J&?iD^}f4 z!8#k8Pv!8dbDSf{$!Z=6 zwGrywrl^Ga#B@MQ*V!m#WdC2{3-K_0z+vF*4F9tiN3Hd9y3(@fBTF(@{S5lkJVx6$ zR{_5&)Ezrx^$RvFb{3G#+Zw1;Vo%sN~?q+?{cug8Jmcz zqN5D1wq-hNmKXKG9hTc7SO1~MW5D3ka!aV_1HJy`KQpp!KklwQyxb)5X89HG<@E7f zK8zvq&n6QZ=Mo`C^4A#4#qtKv{osv2f95(CZ<$?d`gqdK!Rz0gpkLo0@5^IeDw@v2 z<&BHvAN`r3PT32tlm=~@uhS(xvyNs3J`pXuY&1np`&=cUo%?#AXL(Q{;>n^UpM-Yj zG3}gpeLhTt(VaCZyEXVsiV{guPtj9e!A1AHQ8xZGp z;Ttm;O$2a^S6eTi%P$wDkh)yah48mca9#c_>5p4Buu$1J7OcQZAC5U(9C#}}2LG|GZ6Q7LBKSs5S3AnWT# zX(JD`k95pfYL`#v4Mx>a`UNEZ$Xp5xj$YRpP2iL?cK=I@oz-rNUP7L>(f!^Tt=HM+ z%gfZ(*Qr__3R%;vAqBjSKyFs*y{}E@H^e_TW2HaWxY`{0LEbo7b zYx(YIWmL1yfNl6IA<7t(r$v<8tkz*rY|>B%8f zFMCH8(?9$nc;#u%3VnD{#B-mNfPVXw7PVTUf4-mpd0u0#OyGH;=7vzeN!+K|u;MnW zJu&JujOIc6+)Q|I4@m65)7J&j7)XeBa5_^%D-VHTwwwR^I0@1O12mVMWh?`7ic z-%C7?)Bj+~c%p)9P`@Xc#+;ykx+?bIp;t+PlVD0b^lmp-;V)MG#GqyTe{-*fI~S`p zx5ptR_HJBs;xwn93Fu}*T3h}O_GwNS(BEe}$gcdWcBdq$mN=MQS0C9eg?P|BLaR*x zMasIatxS}5np91;A4V!^MPu4}LxK1gA{C*7!MCTv@&^^P_T{MIEg@n#5u_Ni3}K3Q zzlg8XGq?za6`4>@IlfKzmQQS86~;$@?P87PiYRl>Ir1~uM~g>Gq@RkiO{Nc9eAyit zh~=9pCdbm(mhW*dK1^R(er~ZK$bMF|=C$HQ0yk)bt)cvH2Jh_QxfRiTs1@sMAMB8`L4H-Ka!weDwO zRg(j0F|KNm?>~LDDnl4b_1!!4l)Vb!@wXUPtxjxEN^7VqN8RlEiPgm>|M4l&NNd~? z4l_4Q+46CNs9@aY|0KWsXf>!?_^wO94~FLXb!K4bZT_t`khWC%#p;LZSUR&fOvLc# z@+N9kMVs;om;LfKk6Wlc4DyhC;@OQLy(sNZ;lUZ(H3DT(PaHPvtcc!g#jeGpGeyYl zHkh11S$2^}R9V=QLUug$8h^-no9*_pup|G*{;n-Ti$L!NyuG2ebKj zX`XfPgu-vyV+GZHp|~25KbTCb6@or`8z$qyo287`orvLOcxID$y*n)#f!Jh645VLK zG3Sz>x5gyPL#}N2j}QrBH^#T1Gm@rFs3jGB|2XjE0}rE&1kL|!&tV-4TcLQ1*yfQQ zmlQB~NQ)nTEnWK7GNs6h5c~4rDPxC(Y5aI>U5#{&TW*N_d<>}0eUlhIND0Q1MJ@W@ z>ZR@BEXJy;-;1jDgyY6W-(L}3Jb@#>9W&fq{Qi8gSQJ&xGtV~M9>X-gJ;vc13*`RE zHMS3SQJrZIX>zpAvos-LXL0D`%m!Ebg#E5597xr{x+q?u?zb<6GBS{aPugf`p3PLR ze64jGX-Du*A_|e#^*r0=aVPB0zxdqHwsx&-GSBku_S$k48Gwt}UQ1DxyJqt;jA$)# z2=3A#smiHe5rV0nM_T4)0D)P#V;CZ9jF$8EsMzD19B?E$|OhmP3JDc;FZenRRJRZ)4B*{K)*6a(!w7o z28FiJ!^XWS5=*MRQuI(M-|dMagA7cnJ7#JG^-Y2Tm7Zn#HE*WQG9f8heX<@jd7=yP zT5n|B(*F{!*~iWOFayX_F!^b)lL8s8N57Mq(^?$9N*=s;jb7pnkIsPxjN*mFBlZ)y z_nS*sG48GUYsKD&ZXc(VqZ8#>AsWd7!vsEJNz6U&>5F)ceU^x7*@b%*wk|5-ygp=* z5|$WGwAQrlQ&olHKzC|_x@Sy$QN)o8I~qjSd!*$Q6=D0NGyf*zyAO#<*2+YWGqTJy zHR7s6LYN$=ZFuaMx{j9Cs*Z*Va6okSI&p&7D3PMto8ZB}8XjR^7?%HL$~lpHhLA|T zXU#RPjhNX2a9E`miLfqai7=Sc!;`hJu0YR|GVfL>cHv-PUwKcLs{1U=3i@IgZYF zClsnqHkIm3)aM3NC{@Ht8LIqn(vZ0h2vt0U&St1?Tc^cEv zZ29NDO6T6c|GLy`>7^^&a~Dc4RPb=l{9po0_AUKaNIRNTWSY+NWrOFS z#|)^7S?7A;rkZu{Aj`cHrtZH!i8;REP9gHg5RBvDm|c?uDk{5?dU(wik>zOq?0*LC zEZer$XC%^R)O}oO^4r zKf*BsfX%L!4vS_8E3Ux1AdD^HUqWK2UcO70aN zw%Bn;!tS2^sXe4*vyM;$K|r^bC!|=e;Vg;?CtAzZJ{7)!yuTEFSpglhBASkQjD2>? zO#)mOCU6q??65djfD7e#4{S>{N@Prj@Le6T+^G%vnNvRf)Y}f1{zk2g@zh@u4>^09 zII)j_HP`Zjt_7nkr%HNg!;0|V!^=yGNV$3Wp_M`O&`|PvGFGQ9RA#bkFjjf9QtHV6 zQFImzO)y*(UT1 z7$71gwa@QY+wlw^7V-2$VMvNevK9i; zFk!JP$6JFO;chV7b(VHA_EAk&pYc3W{h`wJ`?ljPVDk)UXX)k&46>uv-s`yx8Ja1m z(NfytY^rEaTz95Ti5GVXo1xBlvHMZO34*A;i&>UXZZue%dkSi?ojL{Rhoi)w@fk40 zkoj#Wv!T;m>4qK0LY4i`*^+UlZTeF!5%gYqyYlw%&Yzp0lE3TpA>}^x@((e>PU;C0 z@#t>lj)7Wiftc)YQ$KwA_TKdm{ci65J@hs3^geH247a(`+pT!W)wo}~yxXS_6YxWm zL(?rMgg$J=tT%zV&_j2aQLlN2Vt@T+&BMd(>E5B;hsTHgeEa*&1D=l+#tcK<7i897 zj8WQ0P~XWfG4{3aR!wOgG?-p3!t&9WvG2c;YV zdF{A)8-m96NXkOaa?RjrLIKmiA2_Ir;N(7C4)}Wr2D7TbcQrKtps$(koK74xpWWFp69TmD<53)L`PqX!` zGwV!`wzn0lqJBQ?E}C_*q6varb|UDV>tq?z8<*>Av>HN}L^mH}(#%`j8I5<4D&CrYOp>&E{ z`lvcLJ)E!EsbYd7;A`fk*>8L7Jzm}#Iww?(gLNB!y1(GuTmYPgn}acB*<-Jz$30{N zw`m=93_$#}05`+(ck@ zcc5e~1+!)}_8fD#n#%iBaYg12C$bC9{S!(YN3(tmG){+A~azRVu^wLM8~!;Xyp0KeB62Kddx=TgT3`n%&4kbcKh zYQM2H+xG1OF?TC|rFYgWOngjIT4@D1K9E|>XK3h#r+5H;SD{kenhIt3W4DdpeQ zD{2C`h1r(TQYYCD#8R4qGDY1Bz8++7n(-W4&JeSYD*rO+U3CE9eKh?c_mk>?^r;WR z-fizQK-mTSCzOuNKl1u6B9WBaRfz%#U#Nl5W1BvBrt7?C~3qlGUyH9{<$66807TQ*jM!HV7!*(Kw$?mBb~SB#S4eL@jz48=w6LZX5MhY!&#wr972mC9xx0JrW;Y)l z`b23ADrsjD-uWopvp<#`zX6Ijixl&Kk*7C>q=aC;w7czR{ffmJU#TAG9E(T$SBlD756!>)3IyAvD~@9n$Bv-I4%J zo4yK)WNNnGD@N#B98K|mW-PbYayQ_TRzY7p;xD(ezKJC?;!<;_c5#t7)~s<_2gZ?b z@9(F3RzZ{#+=0x8Xf zX@Fv9nhOAAV}gjc^3npmGaK62T{&K$Tg;zOF6BEH>k7TGMEBbigtGQGzx}43s!odn zelP%@GyJ|8yx*M(mvx29c<<^S3{pZzui(u3#z&tr0@d1SpE~sxq;gCZ*^@Gx;OhpQ z?S^Rd4DS`mB(jZF=uW7bQk#FZKBEV%rvg1M%<(`i_{s!F#3Zni*2`b z8@v~LGoh}HI&&-&9x0Lm|5U=>T|Cgg!plBWu2*{~1MoIrlPJ9#80L)do#nrXpnT|= ztRrP%^o3uhX6*;|OD({{+z#iq?e)n%>=v0D%66C2H&Q@#Qg{S9lTKwq4B}BcRGS~1 z&t{?k+zJ6wMdPZ*O)y>{YsJSPkb|7UlB*Y86#k=9Dcpa;3ON6ftikjHY#ER#htEa6mx}s+^N?(r36;aT14LdCVqP2|9#o&ulF;YSa$BzF! znbW(-v|=kkkg1$cY{xL5GXViYt;ayEl9cR?06@Lt0mn2vQ%^$xym-igGpz;tdL7+6 zb^r=RmXwkagyZG&Wa@xLN2|-2n4@Vy4b1#R>>ki)+5oK-(VyDshc0J(5|tJ#pM2j0 z6uj2i;@=2(lX)W?D<*-7GiLwp5e%~P&fb`fBBqzE}|Qx-;Vfuv&kIH z)+_}|Lc^s_?&8qcL?JSdHm->}FY*1TK@zp|Ee+k;#86|cSV^2rloxDJnR>DPud zesX5+t}wWcq`d?aQwHzhY+cm_;M{K{uxT!SJ+wK4JE~c2S^M{MtZzfwi|4S6=ST8mh+A4ZPLCg)YJ5w#zj8d6?*zIK?=_JI=q_Oz|$JB(X*<$8WG7U?zAWn`bc}yOKu_S=yqq# zXLeB%EyAEd4h$qSmybkL+gu|L884scZzv>@My1t^{D`--y0|KitaRXmX`4n?I%1}* z)L09nj4UpZea~ym1NQhz2|aKwn=qP1+42RUzn>O_D7 zW;i=02lvJuv1HhLL4Z&4JXQ|M`r?9?2SF()IprgmA5H`pfMZddd4HtT74y&{y}bK@ zhbM&Zb3VjQ$-4uC2tASvYWzZ2_nxl&F(fwo}hEyXS`MJDj`RwH#YXhp{xLEb_-J9Ewmvi}e ziYyddA#^uyhh^(vY0Y*qG#_wq`p7`!GoCr+A)g$`*x<&7h@5OPo#3Ubec;!ynF7I< zuT6Gb%`DaSLzbP;IN6Jsc(3UDM`*lF%aC7?-TY!Ichz8v>3Bvl*^o;39DU)9St$X< zW(JnNv(h!ii?Q>yZbMWMEKkMB7yM}+;rvJ`_R1+~*~ADf{|6dY50gHC0IB_X8B$?d zCAHKVyG6C)q}eQPIBRf%nM$5OzmKz~7q5OrwpSRMWRlgYXUTl0&bX_LrG{!r5FDmj-g{o2R-BY1$@mB;dV*h5nEK*D+cdrb=Yc}D`E-EYxIQ-VB z_KjuyoCZpbBgnt?4;kF8SaA=A@B6I?jRfpXDfW)jktF-0$OHyzKcYR9i}fJLVa=RT zb~uU=0Wx1q+`ViJNQ}Db1-J@^;%zs?Yj1V(smDu7JZzt;i|p*br-Kj|81ffE+m~(g zH&;A0G{LqSC_UF$6<1~C_QOf~-cu1o$T33F~3l zcLo{=^=M)%#sZR#Q5LuzVv6e_HFFQ4U1Hkjjutsw7LkwUzy_fWR254?i>V4~ zXIL`ad)hHJYKE%ojM0;@j%butF6(_qc>@UM4p83Cg#Mj}MZ8yR#^1*}pn! zjMfE1j-fi#kQ%%e$)J16EdDcssVj|)2O_w9u*N}~aI z@4iK#+zRy-+&-#P-O}C_f*gAhHc;c*{6o)1qh@hhEBe}f*iaBh)X5~_9LfL2k3Zqz z++A$f0PQt`0Knf|F2Z0p`i<_+!M{QmrcUum3?aC*+fAa;QTxj@QDxZm1wpU|_oNk7vU7Vv>jj4Xae=)?< zfkVQalJ6H=|pBHi{I zT|#Z3Xa;v1@Z2hgsmoY2Gm^csY(s#@BZWV!4%r@$v^7)UlB)IbdJD{vIaY?BS{0bMb?6|X>M~!yG`04${?ZDtK;pXmk`p4 zs^~d_!RK#qW8*<=jR?F^02@CQi&C-3@8=ExrXCOG{1_2u0rOmY#de^6y+b~+`BuS( zBjm#L(u+9x=o+j16OU3G0$a0NoFpF&Zkk?Ym@d81F}B+(|9jJBLMIjxI}NK|?noPf znfSEsnCAv(t`#6+c;qc+k|U9*ixrDOUs&y7LB4XkmfsiM@=C9dtTG8~`>7i1J8yM^ zuIX!t>(+6wTx;?{GG6?XoQ`wmO0H9nBEKyd_V6Qkv(k|tzNcxVYa7*9@mZ; z+XN39;P7gOzGtCeXNBpP5PPw0sOni52eMeGD%&LkO++56`FmuuUZ_~nDdGHM-JQcr zO-F6PEpWTdF`r&gOY1%LAJ0Or`yL!-vaNu6Ccz*rImqmraGU@mYf3zD#c*p5XFYLH)V7CDU4hBOV?_~6=^;14rX8j`TWA7vQ~&MU z3kkX*t03^QZsAxIggSeiL{$ zs%eTVhdTegGvMj6^_)^Awd6-_p%yd%&xyvjaCoQvF&}*;O6- z)VdUYHr*O$$`zPsv$Y_KH{;hy>7h+H<>*JvvIJ>grcVh^P=siwT?$V9l#L0XqU)oX zfb=8HW#-V#r|Y{Hj=5pei5QZE11?niUy#0=@b1k z-xa*6yw?+U&VB-qFNtWpG?9WyXPNw3VSP8krqbxt8LP{eF3#iD+$WpOtMvZOzi~BqU38g_hZYE`s^fAe0AhApkLU_KdFMDVuMhWj;yy7$ zCA8^So|5Rzo!e-7Y3+uH!J5Fy*%K4WsXE`+X}*Ko&dGh?&rL10S{C3D1pcO)6^3m zK73vo8)??ft;5%lz~L5iPdJ#UD6rcUVejAbw%fQcN`Q{L#2aaX__GPs)6mi>e#1?Z zj5dCE>ndvqLXSE5lEe5n$ji#DblMAtjVP86;Y$DL1zx))O1FbMjXx4sykv&FUI- z@9)DV0?_fCJ>}DIhKLi@dcssbMYK$CZk>~Q?vM3>jRQXY3vQ^bXt382i2`#J?V+`H zKS<^RpROLfsgiau_%kl}&$eeV&SNSiV0(nE%Iy~$8pkIm9kKse%lc}Im1{WQf)I@l zMnKl4qFyWP(Sc~T2QbINag?v^emJ8ayP@A)b;Z5;La{r(Yi|06l%!6;19dDRyUFE# z;OaX#;JTlA9Kpp2ta^%yqht0`aD~@!J`4?%?>3_q@1x!0J*Tv*?}AwkAvE8Efk&@3 zpBHBfNK8L=!Es+&QgD>wURUQBjDy-q%}L6!CDx^IAt9b!;`6IxEukoO69Gj#%IHn5 z-$%ycsMHrbcbZ#Zto4%u=`q_D2Zc%RS-+Bx-iwe0vWwPo{MiTwmE8>iu}XTbtTJ-g zju{fzTiY&9M2U=#j6?sijG>m4H@>0$j1-xec%PI;@sqxqvCwUgkLS3c48aKBwXrjb zu*siint}lx9CH78i16A?;2hz-u9?qCB^T_c#bd#kuwB%ZD2~E(9JnO_P+$QGP0b4o zclJ`2@ZLrX|Vn^0r0m5x|?gJR1U4sEH+_gd^arfM`_eTd|3_*N*A9n_^T`CHUb`k7 z|5@^%lj4^ScRdGEVQjKk-bKv|WPJj0O}4|X>-r>i(Ry&RzD7RiBh zB`I^x3n5k9H<%=(WsE=WZQw9NsepY*9ngR>s`!u1uE=Vx`?65N2J z(UjeK;rrz)&*zz(C#juoSrsDC8jShrJG%h8uG@-$Fq9sN(rU}L>+V4q_=M~bxFWT# zLkdgfg~RjC8sf~%2bwJ|U%53!mY8eb%M?|vO0+nj?ebr*Vtm;jmX&1iuYe6+SEBm_O>BU4<*OA(HI zxKD%rBAmd=36-zKsOHQFjci3=wJY0m`T3(;!_iPi{#oYHs>8rgb-F)9R` zPF$D3gs3{oelGddsrLtuPOrI^Z!Dp=9{Y5a#Y{EL5XEUL z_n8CAxXOd0835jBvu?TK)yJ#{rCZOF`EEP5ISrKz1}8g;oRffFL6JkCA^hr7dc85z z{xYKTjuqlJR#X4N0zlYbJi z2|@RZRj002|KR%QWgHP|)&!zJZsZmWlsqsl`c!-H`31%0U55EqjRzmfrxdjuXZG>U z&&WTNg2PX4$U}M77~4%PG9L89%d^fV5eIt>vYv3Yxz8#e>=JA%zF-EZVgt+~SGOTh z^~EhZ-;3*bD9<8rWLr0p5(yUYZr2a%knyyc1)ZnW`hwaJR(?U>YrU<(RDSd_%>rNLXFg#iIg zA*H~v>Hzld47hDf$BGSwW$N#9j12y&e++hJ=Ia-Q6(*{?zI{KnorltjRguadDBJ_w z^JSF>>+{XCy0^@oke;|SA7M9;*z@-#Okwt!qBcG&xT3zZ=_-KooHb6{4deve+R!#% z0if9dACYz$rw8I@R%rFf0P5C;ID7+ydT(LV-SYcVt6XS{oI5u*Rqb+5HAL(R*T}Db z=}g5I0Jb3i%E5^H!e&o0n`fk%J7?KxpW|1J&~%ea;%mGy*pNN)lkUSqGXU#`_^oGs ziC!IW?>oMXxO?3^=FoMxZf(D2Sq}UbAM(bJXM${_%qqc;l@HCuq5Zn|Yh`~{AoIFzLvvf7O!HqBsj2w=FtOD2f6X`e9BOxF|M z6h7YVxwiYO*ZLXTVEN#gv-51JPDstDvM z1cuW>>~NqVKQO>%ECs~j4rVc8GU14Pf%~WWGG0lnhBMJz+VCb##eszshB9HjM7vq5THO?$q;m*Exf;v zpRVmqZiR|{o)WMGc{kyYHNhz#IqtUq?0@>((YYlEmWfw$Go=S~X{k7kHms5_D1HVG z#r8e2+A~g11B9(GwPbbd;an2T`y`pz2n-m68}tc&2*oBo@SP|;>jY47 z2r>TnfWx@{O&00`%?EU5DxG4U-=&zMQY(tx77LHqk?a!J06klCs{9}g^=5xs$<3Lz zjKIhv`+yBVD6ci3E2&9~ka32he8<`x)N*R(I+cyL@@%$9^?d7eAqm8}AB%iO!>2|$jcx?nu+d$kqdAv9E z4%DQw^gaawN?XoGP3-4vS7soSpB*y^-7pZ!=utu0kJB}IE5v@3JKtUeEwX91pQ|`SwNtdC&8h|9PRz?2y5lBSlF*4Ysq1Xa7ad7 z7(T)!`9LR5vlG{8ZID!wrjsueR7aWYMd%}{4){VS=3laPn%&1l7i7L~3OYJpm+mj< zPB34$3xkN3&l%Tu=N5fty04J<2MX_N8)I4rcs=Uhv2C^eO4rdN#&)j-*9lQ4&H;ZJ zSGuCoG!H;#qd6Zwm18uV*0Vf_qJC?iZ8kr1b7oTobCw(*r4t^K!#o^`aJ`kxrZ#!H873M_-exAdw_ef`Z81$mzLA7{E7v7%c!Kj8CKW!l1Cse9#tl}xBZxFol=fg)hI><#L zjqPz?TB5H4H{y0C%}U?Iw@L0f&?OW96NL~3pRsZN+EYm?^`0WVun&r$>#m5xQitRG zU1$HH7)TK+-(W%Aa`RNhkQbJ`Zb*CqcWl?MPS2%)qRmm8bbQCaN)rZP=O5UU*?F2n zL}C_*d&OIkV5}^$KPsJDxBUJ^OHqSTTZ7Z!UqpNq^VuOp!$HzqUgvL2P!@Mv#6LyS zI%9&)2bK=NmVgh?)B!539bCLT1LPW5XTbmF#(jw8P|AO5{CC@v1yXjMjiqRX37`a> za@esu^I61nD>*;Z3FYbz1MsSICzI8Zmg>P!5vVaE?}bRfis3-xWcwo?m2F#|l_ ziMtKaf=^#t8P*uwwRkQ4z?-9sHFYl!R_^EmZ#v-FZJSIf<$M$0wf=#;a)S1Uo6qzs zK?-qDWMu7P&=+z%6^DVUz#9s6*u^o|alDq-&P%HlHb?BXUl=x%YO9y2_( zNqZW%+K2m~J?g^lz-}`FdUNH}PSzH_t@tDmrcU^+!IsPm>(-f<{`&7%BocgO+UG3< zNbLoVhgS-3>l=yCpPAy9$u{crnGE1fZ52Y<@5mqw;AI%}`c;mi_R}|NWgxQjG{h*Y zc#gx%iX3~oLfDCLT~bB3TQ;(#^f@0uVv$8fVICY zd!sa4efV6e%y-<=t;)Q|+l=9qIpataYr3edIs=57l{;$nTIb7riSf=sqBH0Gm0mCI zE4SsEib`aH1nD~Or<}Jty*3Q{fl)V%v{9+gT%AI=LHBlKa${k%3!X9!w>|g(e{J=Tcv5+vRvRPZNmyx>j|FKzXDAYbEgaS4YD#^J3sjxd)FHX#8H*FSgHI3NhB`UhOWExc*le{v?&ykyzeT8+JB@k6YFEO&Z;^46A@ zyS)!4)arq|b>H#5NsjZhoU&7-%cfiD5#e$hZkG=ELo#Ml&F?l7^>)cJNOE3#f3kY3 z>uwlX6xnpv3{tPJMS+^;Zw@zrZs&Hm>vED>~?IZ-M0ph zB*JTss|x8gDcc5)HUbK}gA&Sr^I?`5AWhs$4q-Rb#{fB{s?kf^4C$Wg3EtaoYrZBE zScwaq)h&EwD{nmVXZ|*yRV8xhyNC=)RH)-5ikl`WalT|GnV3D1P&V6F2p%-v}gd z0sk#hV9<3)0i;@gg?W+9*J^2mp=?fNS%S``B^AB55Cg88L&He;U>PMh;dZ&g>lSJ6 zeBF3FdcGYuSb>hxAa-`?U)p5YR*Ab*BQ;c@sV~f#?Kr@KdAM7f&6qpvAx_i-@m~0` z%W8^HzUW2%4QRc;MTFUrXW^CbPO>MWHSF^IyWADnsZ2g|w6fks@lP~1QHVa3Qu~#{ zHQxpAaHn0+r~sWT5T`M&M}zO})@`w7s%(Zb&Y>FrHAfgzub%+&teGUwUvnMY*|4|( zwoG}KD-u9?Hi=R1x*PI98E|vmdf8;~Q+kKqTTaQc>Qo5Uf{`K}DBP;~4&>W5Ze>%? z{j*8bIHULY`cTs&`nJHBf;jV_UE)G@6CrrLoe)^ec-o{KJd{?y8Im)pT8;Q8k}t$J zUE{q@YBDAsDxhyTTInL`?BJ#dv|tOlw9XU0+D!->xud!ul7OZfuN7XD@BRw9)63lKpcK%6hl! zm!C>XwaIP#^U-Db_1qHkX}+pgf7~QbB`9?jA2I$wwAQ+L7y^2`yj#xhLD$Z6bHaZO zvi?x@TjxMpA#KcsQ;oUkJ1S09eT}d?rmniJ@@sG%sP5Q-%qgHGU61uvdSUWyu0rcr z5T;x+T~XZiFqvHr>1sfd!O4jn?(8=@iFg?QAfLYp559!vR9y@Q{{>ZzZZjy#MF&}$ zeX?J71K&1bQlA4;*weA6UAU{RLDd!D6ii^+n)}ARs>sXwvhq%X-4lD{k{{;Y;&N|0 z6D$JWa(+nYC^HseH~3xNzbu!iscrYY0eGR)M|?Ey@cRK-B|YMVf@`Y<*aHpMnrX%0 ztp$f!-(%qqt~J0tWET~j!w@!u7&~*0?z}#um%K|Iqt-bI)E<6dV}ZRl%!>b z^?e8Mhf-YfKL$tqOaCedu@~2xj-uBAuA8qYrGIILqZtxPAp$KC`yBv4ve446`rBWj zdE5_`3ZV)(atedz2>(Xa`Q(W`Pt_@LQC$O^;7caCqMqUPNr5I3Ni!2S1*XAo)C1D} zg~E-q-LbdDE=aKiyCp6(p|1;hpS^7a-Wv=Git3&<{i13*63F~Eu-jk-$f$m^duxoT z!oCp|&tOWjInE}ctHG}A0zarC%fJr#%Gsf>y~$}Ep>|WU-yH*^5(1h}ppN6x%zUMH z1qu{y*7|26;}wn49qw%_N*faX0p-i~7ftoOdXkL|tg(aCDVM-~!U4dV$6RW|f7z?Q&# zpBrV9qTSUcn;@QxH0&m z;~*EBkNjsnjzNPUUN&}~zL3#r!LW*4W!xkYEXgwrFF<0~1vWtSvuhQ0M5{@7-ZvEj z=`vXD@;%`094@Uhlz<0iF>V@i#KHiW*iQJ5njc0o1IWtaq`8{Yal2kS#2)dw~wKrpda3w z`{@imgv>QV`<0#kwGTSb8Su2l9B4sh>Gij^AEQQ!s@Jy*%fBUQWM4@yu=9t^0InFr zX4ADhGR1V$wLDIY?z%4~-mGCeqLzAK)@;@n>J=uQlJViW*6x+gCOp7AKJR8 z|L$A_MuW=#VNOKbAl3rj5EDdLkv+9tjlvLA zD@#v@EkztZam~GTi6>{O$QWVF~Q#Z$Hjd#r+^&7v%h!R(<&W){a)- z)1r4{+`93|@13C0t^KUF7J#`3o)P*Egu&<{b_={X8@5JFtbty96woCV zp*AOSuw^&!u+&dkJ{Wj8IukM!pJ4-1C^_rkAdvB<{J&MYc%5nqknK$KMX;##O;M@v zRFFUj9P?t<^2l4RhUNq{sGtJ_Om)X!%WEb`DBKyexUg@aMmaAb!)Nu4>duyp5DkCs zb=~yDsbQpB1$**!?sFexGfPiy2f=B)Nj(igxV`kPK^fb&Csz4Yi^$S7T~DfHnuQBB z{o;Hr19jF5GCnu;x7r#|PcsN%$I2Mk#kv?+w^E$0&T3PXfF2KY5GTmRCY9lUy#v<6 zcpPtoTS(bcXbUiGAn#iL=xB&VvBVW|gK&x+L;qx6zF41FHdS(q<-j__({&VwFt|h} zg0%UC7i#j17NkX(u|?HAFV?e&g{A!XS(i}C+BN0bWC!3^)=*r-RSz=1fj#Bz;8`U9 zka0vG%Pnf(z=HC+#Y+MTVHF2RcS7p#Jr$b(C!ijMrd6m?Gf-xaFV6wRo|}32f&@lY z$xJZ==}>POJNHM=x}47a*WXg5#F|YIoG|^4m`+0@S0NDQ8OY(JtL+Vpdr6%s8qXp~EOiW+JS&%cj{~e3?IV>~iKoed~IIWVN0@7ATu{-wCYWChXJmR)5QU1oqiV z>F@mE(iA~j$3ekCYPW;>ODeC(z*pdO8%w3v85Y-}C?Tr%x9Y0u+bcrz71JUWSN@=? z2OLwL$;r?f(~GX?{4(Qf%k3;Jd?x+Wp7uE{iYk+~J(xrc5GggkKH=2%3U4mu`s9%V ztyh9nC{EGhO2(}-=OM^H)w*E^hj2AA4x+IqXr%6X3nd(Q<8)^#kLyP!tVK3UBn zk$})yO}$!={N&MSUgYg+$sO=!A)S}Hm5siZ`A`{{HDW06D*AmvqoZ1nC2J{rrwZch zF%=0vN*zR+Pq{->VeB`R5-A0I=9DW%`De8w-Hc{5*+S!T#vr-SNdBag^w+|gidyP% zRTDXWacl0od!|esVTcC5YAmGcx@8=@GWU~TGfTGHpHMDoMG(+;I<#xSdnwtYXiE%V zS+c^y`^t+@WTcV@`>xWtyKQl5WXnE7JxWv|K|H)WQs8?;))cl(lb@Xu+T3@M z7VrQeXm0B(T0HUsE;lMpr@BiA_@x_L9++G`x%_1x_^H}&8+#~4mq;!C&Sc#2wCLGq zeNoa%sQm zutty0?@Q(KZN(vQUBNvClRbQ9f~Juv90OhmwVg&BnD0E#-H2SXC~T&gFq#{oO}Y46 zjlYP-dJ@phh9f!!Xd~dBLaRqG$@<83m{{9dG>m1wqM<815wtuXW^g7}Wz@it=gEUUvDM{P{|_fonnLWZp( z&s~c%DQ6EHN>1^9YLG#TO081m*bENOAKP%O+ZcrJ{_Odu;TF?_$n8PlAnp~Gx^Lx! zHlLUe$hu+tszoLssH*t=BN6ENqzEdX60o{)jq`Fe27y<-FB0=0#k6sf zAyDswam(qY^%vFn3$WeFGT&!<#3{GflMS3gA4{zqt~97#6TwoxtYsn|@~?Lx+J4#RE)XF%X)WC6chkndl0?(X% zeW>g@<~5}3NB8@yD1uQ&sv7ph`%46*CWh-lx2>$;{Ez-0rXe=8{V#oR#oQ(;NKZB5#Tn_Z;k!`#6 z;i5kXR_K0zv15Qr4*QEL*z+;?oWhu=?YS`gF!VR?UmMqrS#cmxC>q<)35pz1jT_C|G~8sT7o}Kf^D;d9sOM zdPpfL&Gq{P%J*dCT^*t(3NJcRP-h`(L!7x?zYnJvt2;M-W0e5MIRkx*i5sp58iS z3hb8)%Eud=%XdP<YlSm0OI)Cu)eei7-SU;ln` zdXK`R7DWuE$Z_uT^Z)^Owg{BSWRo=Oyv#1Xa9G3?%>eqW@c|X&KXMRvYtz7zoD=O< zb~mjtJ$KJC|I$&Qet=e%z>|e*NAJ9G*6YlRNB82Dc@T4Hnm^S}fM10FIAQ#;Qk#3w zmV-Oz9QPsXYNn{8>qEY*RQHZOzaJXxtki~ywo3q>$0v=SZ4?_m!k3v_O+5i;2F6jrteXJe35LOrxM~WyT}mK=?08YTY-Fnf9}ph zQZIPkTAtxOi6kw51#P8iPA4Xk%C83v@mKvjFK3bnr*9*GHqIre6%8`$b0D@qY@aH^ zJ)kkVyBQqKs%pHcrvB}7FJ%)$K0jnT!mN!>s5`G5HA}Orc(H%899k0b1MUmuKrQTC z+rM|u_}YNahRA(}<0v9m@cmNEzJ(Ky8t^D$-%Z@v@z}gm|Ik{TxUY)N6Dw`%*Z6)(DHZ7knGU2*AM@@i=%YNUP64Dy zR3a(osi+dwNa}eSn#5zI<9R2vh}tBbJY7BFNs@k^fg#a|bSjTvOf(^x=9!rjEl8Gm zR@Outl5L*dX`(&JAsCk))`+=nKRcQfwZXLX0ED=OtVuULsx2OH3kOAzjU*CKFRgsd?AZ zi0P#3c^NcfCW)Sxl}*ec-N?(mNxVh6op9jVv;yTn$DYBLHd)oxkcP2?d0wLCGL^-^9~M) zMFg84Et1^i>)TO!bmKYik8I4h1Vr$OFK?C!|j4<2*THiVZu= zMP@q|xJFo49{vNGcL@W7Td{=FbLGE-CSUB_I#;0nfIm)9q_y!X4@jd|6bdlD&RZCE zF5Vl=Vy-bS%m=ihR%Cz$kF!D<(XwUrq4Y#JzesU1k4OCp$~l24>N8tM``JNGQAs2N z76$t{`u&;9WWhaS+Lh`9Gq1=Bp3J!BldUWj@i}9$m)uYf4R_3$WER2VKDa-P1*c5} z=9{u=){w@hd!7URy%s?n3KdTm0@1n8j2b#q|0RW3?;hx(7d{*%p;#{lG;L^LeedI} z)`u>>Hc|BA$8KnoY}wF8$y;u)`5B!GoZWAly&R5Lpy<-9Py)_*GC<|$T|z;LO04c0 zA0DW}ehQI)I~6jMb`eccz~#e>YE|3i0wNp?FLXZbG|qHSd&o^`S>^?0!W*b7Cl35( z%0|}#T16Js5K0(AEW+X@(x!x(vZ<=i!?VsXW%He^05nuOUbhN|CtN-t3@rd7!0=^; zBpbpud4nOhEa%R1uFe%Fd@7wX#Uc2FEH>6@m<&{hcxxukVqb|@Y+>k0u3{jCLhuv9 z=khy2e-*!(EhZnswa4K?HLvRwvF#54uyrfI6#I$t&w~Y)ekYdlbi==b_Esm0(0WWN zfCsi;2o2h}Tgu;>U#;2Z|HSCV235Rs8Z&?``k7xX{%o0f`$(}ip$eI6l^!WP#qqEf zTi0CJ-N=Ps6%h5}|KoNkbfH*7%llYyBsXi2$vYsI;ja7K5ojHIN-li*umEl}B_t4_RFwRfpl z8wEE?z)y&FbecN&SWO!qK}@?058tz4j2_!hZLBT4P2*<<;(%+MzEP4K;%%2d6W-BhW*>rl*QEjXQjUE77#>YynE}q zhT9y@Helf3in;L?ha}meh3~!+2=hx7H&{a3Y{TS~7=+=&;*^-ns*(WCi-CZ|iT_JHvqME-~{Fy3JF12?XFU=TdOw zw8>tIo21=8iVD~0&B`7z%$%r1AejKZY$xQO8PM@zx08Pj-1xoUw({HmSoIXma`}ee zLymC?bZzB3uS;bsX0|?C&c#-<^IfkkhxoAbbKUUEiKBFPY|vMbH8-_Kv)YAusW){G zdH6Mv$A0JTf8=5vh;$4qv%h3MV?JRS_>87ciuZ^QV2vosL4lrASA|sq4TKKjArRvX zxG~jXS1X@2Q(TjanEm2R=80o7sExT^Ro*ujosYG`Hg~%%Dgi!vud+-#5ZSw#=x@h; z=P?m{>ovVE>z+{7REAqVBCEQQkCWCK|+=B+S z@JZ1pztBHdMF8Sa{PdA&dYk6)cYViI!+Q^pvp&&9%6dY0#*6s=*U^`UL;bz)zh3j2 zl`%7zv5jTyV>k9CVQg(=C$dXoEN{!GY=ejyd|HV%q>@rpmZ-N<%~kF!bWH z$Wpi8wPhif4~NOWY=;@jd97+7fzsyB%$wfZujJ|zaE-&b4PKg@SEtu-S*}BE`LLZ= z{h<5sn5&)jX5RL~qvTRy@RoxI20(n{NxyD^BO(`0E5hq(I?Ow?0oa-I@zG_jHI48Z z2YD;$*ApU<>o{GvDo<~jN}*P(GFacj4#QhVvf+@1T+c#1Ow?AQ1edk=DJo|v4Ylv> z$)cjIobTJ_pCyd%riHYt)CG!p%A#hCJ)-?1U_XB-TsF=7n6z{%sImU| zo`LAAM_Ar@I7ipvBvf$xpFkqYZbJV6kqc%-w4sxqMP*XiTEE0`W4=9wTAl- z4rf(dIu+E}lx0A(ZH`Ap3?cN7RjjD%K5` zh$Zg9l+Kw+u9jEL`zo+SKV!@?xHr%(b6=90B|qaNQ$?iNCSx&yR69jUhsW-n`yweK zK#B;jO>DQnpIhGXNRZsW6&!urJtaeB*LxK|EzQQQMvGpU{?6|fnT)@*?oy&uMJ^24 z)3fZ_`YWf4lc?Z~I5RhO9GWYhy2jSk_|gY<`)D&gI=7{%|>A~|%E4`mJNP*K{lEAS0Qk>hH? z0J7kfa;+jl1lHbQ9dje#gG!V(BM?vyKY|17g^L4{84)YWSOZ(~dM7GeCyz+~k&kJw zO5MQ3ecX}AwCi9@zRuB~v(hL7dm)eNj2V6>n1ri+ z^&ij`=vA$Ms`$awTo?7*I%@!2c&^zyN?`gY6ACW|mxGApWXZ@}&DrT4o9PI!c#5c5 zM)V*tR{{^^*K>*CPn0N5VFJLx;!BRU6(_CbC%gdZz^ED`|4OQgt(2~r;N2RiOqw@k zTEA*3?@h9Sd6>vMt#NQC#ka5BAKn-qTZQB&y0yP>@#kp-56ofJP@s@-mi{Yn;aqj1 z%`?nN!Gc4Vsm0#=2#=2oZyroB_a%8R%VR6&5+u$oH7T}atu^CILlmcw)&Q+Hp`_su zLCT?l&dTx>+w)DF5=IgkIg#gWQ3|J}!8P!_M8C=XKr3DHFZ3cy*TYM#`v z^bOYl`;VE>JP-yngq5Nqg#{c8@mJ;R8-xcKF=UHs#3_NrVQ~zii?VLmSY$MoRaty5 z1BU>ExEW{{8KE=Z>F%<+a9^^uCQ#m+BGglLd*QC^l^cT#cSIzob!s|O9GpVm^BO~e zSmiH3N#n;V)vy^hyvXfv%dhE{Puk_T)0F%#zEiw{tx$=Jou)S6C0T5(pBE!vPy@`( zfV_h&tk6ehO~F${^8wy23%bX|t{ZUQdcbEN`oCitWNYirj8#KS;|M%WXgt?x7IGh0U>+7zEB z%;}`hkcmH5bGC`e5|~eT(fetf`^Ne z;WAuz*pZQnBxjw9I|$5VB@$7x(gDM(aQQ9EIlJrhMObSj6Sv60iWW<;vz3RKHejDz zK?SLxIm$0bK}}6;w}burbKanVLP7j`xkzd;h3FBR@292)4OI;LbH*Wim!FBjSvQ4|Q5|qP zv+B-v+)Vq`nY`9~uN8-mJ**dA_3~|YeNUg*E^^ydf$)7ij&<|c@DGVbB#?e(z5b!P zr*eE>c!OrtB{kuTj~#igSI(~b#CP&%9_5ubtlxaX?O3@vFCkQS^W%2V4SlKeLEaTU z+F?8NK2Y*aZL1l!;?xiFx-=0MqQ81MnPQiW#$_(@3f6Dp*CJ|o8lAtvF1rhdy)8#I z6p&NK4Nxs4@e!2rvEN^oPmH&plE-2v2H6pqj+XP|knx!PK1A{A_#Y0*tV5gJA3Gw> zvmDAFd%}|!$fA?})En|zJD>=-p#{Dt z{a4t|^CdoPtREuhAlf%1BfqB}R(7cAT5olG%C;XS&O{{wMC z8es2c$X)NiiW5zjksY|Gd8(cG5u;_v;rUle@GomKoalJS@rD;Y-g|NB2-u{hzXvv3 zSyU!#dVZ!-J_RvbN%23(R9LRuP{QPVgQDQT^yy!bQGCEqMckd|i-N@e=F@m|cAiapVe!0xJr;>+e!ycdb=U6IiN)6AmxO-R zu8`J^A(HN_pcv*``j#bzq)R4fLrRWo*>!vOhkNJpWb3|NMMwmZ=ZQ}B!!x*!-=rMW zN;A8=0e(AwjrVT4!HOWO!}ec#=kQ>4RCx694RA9RdOO>opI=sMtm=9ovx<~T^55;4 z$JaxMi@sO$T#mTpXx}$~Ri`=%K2h~4ygSExT$ox{DceLgom3+|bzpk2yy zNzb}_1AXC;(l`e*g`J0wk`lxDk%H}qO|bPEk*PK~PE~-}%SbPBxL#8_ceF>al?&Af zzv%RT*&Ef8GymmV7~neeee!HrKk~rLss4F8zB+Q(j8k}%!H6SF^f;ZQDpCd~Mg zedoEb*=che`ulX@r&Z7WS>MoM0h5DSz|ds9&8N3vJlW~fvxZ7b91JdAEG$pR1}U@G zEv@qn>A-|$;VFWb=5W7Xkdz?LG$Wj}RPYDiOdIiDXL;ORuix;14?Pm{3Qvz$V+~Xl z-MUA3KIBl}w;B={S{slsyg(AES?F2&3cvKs_s0t1c7tD3zRV#1VUV8)wC<`aRydBD zKFA&muB6^s>Z~Y-`CCEKftuOF$Zp45tj?B2FGROGVqw~8oTPc1UGspWe|o?)cX%N( zy>O>fu)f}g1@!TaKks~F!%G|CKu6*Dj?b~oOj$)z20VWt+&5u}<5lZFl6N=jLd-0b z-vkAnJG5S%GY2)lfM%N4WVwO~XdSVr*;viImHb6uI5e$-Z_P&u?mt`FE?y_)Khie5 z;LkgFN^oozDIvCGV|BhJ?b4u^^pTJyfHnKH;>tZ2TipnhljJfu7nyFiac;isJuLi| z8Xc~OA%*3CMknp7bdi~-S&L`9#)_V3<7heZ=uH9RqLyOvbcb;syyz&(`Lp+)g8Fs- zdl3s+{ByM0h5}s7ONw(*9JeiV0V!*}cP4lDap9e+1Wxd@A6Z;+7^R}{hiCV0^{7no zCo9z^5uYll2e#BBdnz@rY|ZRnn1nuA5+P;*Zi6s0V!M#H94Y=I^fmO~x5%T1i#S6E z*X4OC zUud}E2l}U=0PlgwTpNO^=F2UIkP0QGfzu3&R?Q0~LAefDjC;EaB;u@>!N`!!G9|(xJj9q(0<} z>MgYaz+iYgtG;SpQ)4mYiNB}abVb2*^t$Wwa6+er0^8 zl(RU6R5IEr>f|38dPT1pB`3bHH!OCvr;GmWTlM-_Z7mm_M_~%bNl`>%-*)?UvSO1f0D=$GxcnKKYt7D z2G4%`@-Fs{>Z2R(QgyC(s%Z_Eg&1l&eb<-?XmR-?mZmd_!5DF9MFm>+w5&)`Z`+#d z4O}_9s3?zndD!;3M}iA6L|4TXyZp$D|}p;>-RPYlAa$#P%*lHrep<|oR}9EvMAV(qN?2; zu1*nQ1L$;G!l42OJip-7My__`#>KYSgK3|#>jVYpUVgfgmcxGlL~tkDp+iov8ri24 zCsJtT*DGU4cFjhc!CH^&%!A7}2_4c?F26k8o&4(i+6aTL4v#I??29p8YZ8lW1dmSQ^Jq48qH%}|Zz5`^>h=mi8$jH9~YRyP&3=r%XYXx(!G;4z9R4Q=FO(?h+ zOoH>1ilutGJhR}ZOv%3i9OPHoC1Ei2P-Xz_E!;`Y62>t%prz@ebS*(gJvymgZ&}gr zDb_ZOTrj;{utE2mUUrNF;&83*UP#{*=fbl& zHCsPShOif%t~#P9A@Tua*AUrd+FR(7VU)hQ!OtXgj*$5zv<|spQX4TX*j4C%M>a0~ zo%7qKPxTP8@8%RBkR%kl*yRMgGTNu%F}OFJBb}>sbX=W>UDJj(+}B>PBPkmy3zx>I z@#r`*zgNBjE&;K}f8}HUmEX~z=_oR?$;R~;`3JW?f6Z>0ApHor;5EjlEF&h9&i+tL z(k@UYhJ{1It-TG%0{b)0V^X;yFu9Q7jxU_LEqFF<3(23;nfS%wJnUiFBlmlZ73o9POy%{tqzZ+M2KQsR$t2X={M|-DkJ0Hr*!~;^c?j}m&G@=1sb3>MKd=;o2U8dh^)VL%2T)eLBQl{VOSXot)={cE_e27@W8KO`tjJ z+{*b`%oVKFM6h>8m+*Fz5(`gQS<6ar_7J3IoOu)rfup5PwPAy zOBR&;o{JG+jV0pdk8#y_Hl+3|%;*M)lMtmEJ%XJ@q_sz2y z1CHL&tIy#srAl`t?M}m%SQie*E{k{OW!vLUOMKnDfER6bO8Bqf)xsdB5`F3kFQEdd z?$}X}YH$mG-jUz>v;~&8>hj;6W7@#qM1FA>OKg?HiE!m zI>OyE=WTNBpS_cW>#+ctCNMBGGBzH}JwOwn0Kq`)?p7TKE#3kBOrQ(ElNEPl%v4kZ)ikL~ z8bMl_0yiHAch5s!dshy+rlv33df2x=-Lr510gEA}jCO&aubnr2)5Uq$ZtF}K;tXIS zA|<-0x0AK6gE+k0Urloh%UxFOBQ~~lJNspyLyofDMGun>y0u?&*yFn2IYf^ER5BFx zRfe`gLc_w37_}cW>4=Gy9R4SvH!-QbJLUM!EClX7=&p3j7Owa`yWD{CNjo7qtSYZz zDG_j#^n@Pv=y=Y@QaUH$sbuL3Hut_>LPC7tl8;b57I;?W%D0Cd@Sy71ZicocWdZGbnSt`frV9a-Q@}wBU5bCPZoQB}&V;VIaYh{6B1m^k zTgce{NlaZVtWjMk%QV2^D#GHGl|`_LQ}9{cR0PD(V+h3rNAPrXbgz$DSXA14p`_7M zgDk87hK>=1sYfXx@w9bvQz7hjP>{B|c9-upYDRFMDn%m|qTbWpMSnx$2Qz~oJPy%v z4nF*C0u=;w(X`tNmNe4z^xHBnWMmvI%}7L}%8a0(CcTiLZ$@}>DLLpUBh64aT{9y~ zuO2WFIF72bb&xTFj5V1c0*bbnk&z)o78;izISYjZC0`p^EXr9neFTHb_wPOCbjzXK z&i0t~Evs@%w_`p{{xH)3(RUE&tbsQY{_xj70E1FYf=KVg1OAAwB|-CVOj~J?$T6*3 z>gB4+#}sbKm6Oe*1ERrnX!C=$01D6%MkPUd?5HpRN7h)MY1bw z2L%O3+dPC=EAPQq|CSqhzjJl|C(G#jyIJQipNuBnjX4j$HhS^ysdLL?quO`T&cUh1 z&L4tqq5@VR!yU5BI~3%c(vbu;yvuj?Uo<}2O?IvWklwU^;SV5@Vm0M#W#1>%>C4ow z*S_iNzoDsEGw?uW1yTgH8zZnK%!}R=`%EO?{4S6{N`A%TuxOy!3Wa)!SGoG&Kz0Pw z4@6>ZZX?c!=m8I5;@4mRtY0)00w84#4!jvq3gnE`c;iE`}~5MFj5R~tvYV;p5^^GExin1feN_jc}_x`*e2#6 zVgmash|<(34f)0uJRyL~h8SVfn0Zng2An=c#|pqlL|wv4!?q=;iAKw2ekRUWL$U%1 zTVZc44Ku@(q)IMv6A}bANlCiR4#^rpfqT$(# zU0LUv>J=o*_c?#9{oSf|nGzTWBRQIH8>Jbzwnd2&?;iCQX267k;|^#Zc@sdtj+6kD zgB5}h03uxMAG5F79c^+uRxl_ntz@|N*+?b@625~Jf=n>{8TbyV4B;ac2g`Q>3G>XPE|h1$+(cod)jj)Ch7 z^Z)+_3Tf&oeOO@c6zyfBZ~} znR%Xms;jH3s;jH3`-xv&&vr4rI-h;t+w1+TPgy--Nz-xe~E;9DjCB~X1#_n-4 z_DjI)fYFy3TMjU}7;6Ij^$KId8X1cU>eHw24~%^QxC&6c#Mm9UHWCm682Crf_$S6D zyv*1y05bv00X2Z)*BD#-I%DerzXCiBr~!NlnED1|7Qj=04*{P7&H~t<8H)gz0BZoR z|CKQ}V94JXyZ0^d0U!Y02OI%(0A4{m@A^AqZvc9~&Dd;!1+WY7H^Ab5F!m524=`{a zWAT8DcNm-gPtXV00r(T(pMWcXk^6xI@EgE;fG+^8fKmTqY(Cojdwh<==VHJae4p_i za0C7f(EXdSd4SA==oi3W0UrXY02cufC5+7i!~vEAo&Y=ts04fkVDF>d06QS`1I7je zrUK>wJ_5Y-A!Ex9VI@*e@BI3`hf90<;5$LC$^wm>8jr z7X4{t>;V&FyYFKxiOK^kfP)V(_Uk0j4`^7$*#A7p*uU0*cWW8bu4C*Gz`KCIS%5d0 zvClU!CIOyFW9%)!zX0yXz#q_4`Z#0Rjo=rc84&UvbOjLpE5_~vJPLRQ@ChJb2Xq4v z`vhYXb0CL+*8zdlE0L%m=0=5921ULY10*(MK0t0lx?Q8}J< z;Cm=z!vS{#wg6m!@Lr7B!q88E5jw~vU?U(G@I0UaFt-n5D*!gYlYrL%C4d@$6EHFy z`T$rBcnWY9pzh1qoq&0ORe(1D)c}1z=s#c;;Q9WH9R}zIq8|Wv41!Do4geMmX6$jm z1wfxWpwB~~S3@DY!x%FIwgdhFxC{swj&=c_2Gjtq0D?y_W(5=gUH}Xm$yhqzeZXbF zB0KY#0)BsKa>H%i~7XX(4mjR7{W`G;e4)6ffkh4HQC_o432N(nx3K$6(1Bd|J z1(*z&0)QE2>r~)_3NiqA7w{h7AfOa*7*GK?0r&(^12_e!2b=|509*oG1~dYi0d7D$ zzynaLK@%Vppab*+3<3-Vj0B7UL;&srOa@E=%mB;=7y%I$0Cxc<1Ev6G z0A>S>fcXFu;66YCAQ6xRSPifMHUKsP9s#5SG68nL9>!UsF_e{ zIPR#&uicLe<&MJ<-kIL%NxI@YnAxP0#t??kJdbwA0bOwdGZ)n8Bpt_EXmaD3hacA! z&*ztol6yNUD>Sv~q{PRI@=fj-m9_8PQ8AkAUQri?^6IZAd;h$a>57AyStp&?UR11M zC|_x63TLhXy5ewLHcIaj4nrBP>KN#L-f&zeeX_kL)n9H0o%LdnU}_fX49C#~ophYa z$QK*M(iX4yUZdBsr`dbg#r!>OUeVFyZT(8q6d`Jb08`w(Hn+i@m(#@TGfJ2$fBxC1 zi@WQrDaChzgyKkMuI>OOcY>0@-Dhm)b;YZR6-H?g(H3oQ$~;lHv3t`2Ab5{NasOw!oUXg)K?Lw?zKi zylG)NTa*qVV9U29r=+okXX>55)<`xuuU>h?TrT`3pD3)N`ii`6fU5?AMnCTv7n#mSrr7+VBW}41S z)0k;0Gf{E2gC%a>^stqgHvz>uwuY@{53*HkCA&90izTrK*b26sC9-AA%$BkQ7SEQj z``LZ$UbdLUF%w(F7P19wKAVSjq_R0In$2SOu$gQIo6e@OscZ@}uz6doEQhS1J~53z>3E*?x~f~kG4sT1FYLt(j% zdyh5do7!W}Tg@?F*&Zym1VOe-LRBO=|IFr6Q5mFS#n9HemlK1;k>;S?Wj5{ZGuB>t z#Ey=C2+HLD+7^(lX*GVrZn6?1*)L(G8KC_R`hnA%XS z@cCbIt&!t)MqM-<7b>bRRac9qHsKmd%*-Z%G?WQJ9F3vu)whD3k9mt%ar(4`M(Uqk zR1$#ZxE+TL$K^Ys9eUeg=X4v6N91eKn!Q1&yrj1ZSiiCh6^?pTELz-qwGn%RH`nCRJ0I~8W0(1S)ka(dQrqA1EuB%{0<)tI^mC-ina5%t&pzUh z)F^%Ed&2s++;m{P!z)|M;jj{eZAGh=FUxDt6Cmt`{*!kcZs53XK z0~oGdv93x&IiaGs7oL0H3)5n15wwoeqRA};6>juc)|Jb6hvNQ+R>cbIgu{ZR$#J^U z)Cf<@)F_x5cGsYFdl~G&UXwdN!M#sabAGR>d3TLx-nm9uH{$Z^Gi*Ky2^HWUB1KZt!>PAw0Ud4 z6W(j^3Z;$S^_D+~rUuc{Bm{wiqn1FTSGHFtAa-R=yBEgFwY!tI7UUZxZPyq$`9KS|cxuG^SMh9hToQqVvDi9j2%?f~dHZ@Y=CZV15 z<64%Y2gJ(u$e@yNC`+Tz)M{x2rvGFqm|7?7J&z&A)EK*yWow)gE8gWjigpC?k&mM? zN`F>LH}QIxsc7Vrt1(Jq_dO7Sfu+g+$*ugZ;kal*c@WVLL^Kf*O*(0rOd)Rhh-d;4 z_D4AdS|KPeCzx4d(MHkI?5K+zxi45uXc?w^H@MnaeMUGYoDHe7j@*6R7LeBwY}b?q zGteytwKfVtM=e_Ui^8DhSMjW=<<)nCmEJrY$Xe^1gS@SEuKy_s_BBTOFq89)I2x24 z3Urm295`D%C1JiIM(Ksju0F8y_BM7SfIjfM=&p7#sAK?}NF3Kmo4WA&TB@-7e>}xI znbYAZ3H4PVMf=Kv+4kfTo$rQG8m-h!Hxy2HJ!X_N{xuIm&0w=my7Eh)XgWaBoU09F|qU_$QSZuNJ2Zp9&|Xm%W0$g}jcF(sN#+eAabaK(xKZ+9aBq zXO-K|7H)LIE8vEN=qL^a&eyjU0VT&}C@bmH=T&pitBFB6sUfg9gdM<)NV?lzfJuR zl4M}pp|d2XDA$@nGHGg|p^+3-Cw-GqBwAV=^$}tpq1;dt*#{_vDzX#IRs=ysVFjsC zI*>sUPC6?iB^OvHy_!)(Y7eBi#gQ7N-((Qd!!pi@Zlp%(O)FP*A5tkTqstn}NJ*|i zX?zBWz8_L4TBh{JNTnoL%0WFS?ZYW0I$@?20V-Fd@(%Q0N_x>Ma_|GqiOZLD^~Aw+ z>WNC>RF}auN=MU$4&ka!`XIfCnD{w72XYp~q-HdB0CDiu^dkD*M3VqvaEXRRCNvvr zgk!DOs+~dte2Qk_1iX=nV7aOm{IRqN?dVjradSGi7)foyU@?gbYLeXkg-AjdrTh4U zVoksgR=4BXN&H##MG_1NE`x2LWw1<3pdT$5R(!OyiI&D6(9#ZCAkaqX%dIjk?L-R| z^rM9ek=`BH%4s25!P&u5#P`q(ICk+T)U11y58BFQJg-43R1{iT1{G8@Z-!_W*pi_ae<{<4Rr*53KsU;kcp3T>Ui(*Zm%@M#^2@gT;JweR+#w zRYJMK<{4K7F{{Q|#ZaEC39MXYbYNge)>5JEc?_b}9GzBOrTvOHFc0ztY#$m zuUg2TG18xL&E75P{(WY(T;$&)x6SR3=b><1) zoXWse@G`qZH@=GMb7p(dGB|azbqzz0yznT=@p2f_Q!qgqXD?M=yBePo%kyaP$yAtS z+QJkTS-_$%@;m{yA&Qe3DEot7JxYB~PG)JIClHT)!;}I#?!6v*6#Whyn9|naMnQc= z(D0HzgM(hJUu z9;K1NG8fKt-K%hVJ;dW;?zPT*#5aB^Y=orQdinJY&_D>tk4N`o{{INcUlQ-{YM}yB zAoq6*?{C{9)Zd0OrMv5;tm_;trZTI#ZB=}g+{a`A{=FF^C`LKQ8H{tnphR+%$*<-V z>WC-LZU%)bmDcp8E;CBKA1MigE%WPz0N`rfTtsH|2bYb~xy=U{l|CF*wle>Gwe#BF z`X9gc=6a~*`tGsUOoOjcny{IhPBnR^uy5{il`YC$uC~oUI|w;uL+CQtv4z3hlILya zmc^u1fpRhzht=}Lu~G|<`6wAQ#WQ_yGnX5hV7cB^thF9g|LbA$A;?|_n-ft5_4OpV ztl>C0DNsW(2sVrCaDxyREYtz3P73ki^DhDS(FjGb-K+46rcJ!x&OA&?n&j@%(-yhk z+`QjDcvz7f+w?fn)6>Ko3-?Pf<0!N=>m*lmL3Ke*p{YsgbO9RG?@R(_0shA!*1a+N*x;s z@6De6&qmG%dRpV$!7!Zrq_af6a}xy6e_jtEFmnEz>V>2);3vz3Uce}L3jN`uHf>`O zTsP{0HNKkFwt!00MX%Sd?m51?Z^+9b8@qUUK}aGmDyWMV-k2^`!(2S=$J}W0@$!O? zy2`XJZrnm+jMDGYZO-%~kf$QeG*J?z;a5gdOumH0~4 zP@n*2JL;n2%s7>>@NWF1-V@r#sY@n93nX4XO(`$&@=5`1Nt9ARS|ryaqBtQ`3Ivx- z>B2D94};|*s9Z3&`fF94RkiqeIHVQDbG2m0NCC;;_-_hoM*AK0vSs*r4pgOxb_qU_ zP%a`wgQmyDz^?X#U417-kqVV9EH9^-*>rh17n#jBhc3SvNS?YxfjQ@m}lY)Gdl1K2X@BK9D$_Czsp4!~tQvf)? zWRt+JqZ_DR*_d?4Q2ud?Pe0Z5G}k{rTeg+oxk>d>oLtW>8WXgCgHQi@D>ZZdYlboL ztNUv5t?;rc*~d$aikEa!NwU)GWEp?a=@(IYS-#V=F_(P2Z0X|Vc3v;%Z?>h=D=drj$1Gcozg%KPv1DC5pS8P+8(d)SnVO7F*(0RP0*z0wb z8p>P;>B|usVJ|vA?2x@PIA79`hsXn8mZ*%g?LF;@Z=BuvkZ+u|J;b?40d2({^7(oQ z5J*+)eV&dQfz8rf)rT#*l6@M|j!H*eM6NLx(~@b9N`$ayo7^_?dRD5tJrSXfyioKE zt*rEV2)ztXFCsUF**?P3QFk~U#(-=>@jex|316)zd2qeUJxWX~jM9Sj6kw5~{@kII zK3K2l%adhRc;lFx@K8#8l{_coPxbbDN{)L!b>pWn6*o$sTNM8~*v})w_50T&I?r7) zSUvd`u1;SMH_1^CuO_LP8n%HOY1yTlZ}5`y#+`1(d80{|qyiOiy7OX~b-I9+w1=)> zIu%LZlJL#u_V?vU62>^K|KcJs#A$1FedW?|kMFgk_9oP5b@brN>lThXUmJB1!7i1f zzC!CiZzYUSG1e%hSco_oK`{`ZLBK&P3^ZR0;e-@PwpKsxU>OpzIEymR zXnGzo3oMZ|SAUC@5==a3rLDJxy!^aaW(}oz^wtJys435*&iqs-?eh3FnfLl2>WO$C zg@OJ}G)jlo`6xtp`0;y;7e-@m$Oa$IR@ zQ8CWmDntbQsN&6j{#)ydyoBpnS>tHV`{%VqtCnFMK`k5-EbW{e3aX3i)f3%A&gi7c zULYQrm(z}cUnf2HJ-OT)J1q}l$~3Ds^PH`D)+gk>%U6_H2I<~X;N?98?U3CR_!xek zMaf#9XYtNjYKW-yBUiygqhpW{4@lf=YWW##Tf4BOtR*=ILd-+TXqeW5t>}&Wc`f;- zmf2dX|8%pbfXRFz`hT9;0t<5gtg0#&^*eqQ(QtTbzuKE;-qnU-$d zvK>z;Rk8T^^$TrVw=GPzCePL{$gpimO`l-ZXKYJL*T=^%d?4OD*)U^tD6^%frfuAu z4%(8f*38WtY^acu1K6tg*t6H3EqZ_kGN{^%gTUBNMz&-=xpl4z_GX zccgEoHl(B=gcyzab60&akItW@l~&tI}D>^39K@&GtjZHm7IVHg4RUvKhS6gWVaK+x6R$H>G9i zH>WF2V=FSO$y*-CN=uddVo`j&-ah`Z$>Sf>C)<-ZZ%N*;C5`vc@`p3B<2j1idihho zfj2HAGZlhEGQyieo!-rpPzc+t3mWk$%eIZ04xT_nsB2@#uL@-wY+2j&lP5zkt!Tp* z$ZaO0mP~^5ZljJu21;snawZsMC85qrwx>Z}(vtO?v-IHV7G|Y(LcDnUW5+}6x+R56 z96D~v`sEMXtf|OTkcE*8;>OIhG^zoY(6(V~qP9{On{d@^MZ(JudpMM#V3%k^RG_cK z+7O0p8EXb8M34HYh8$bd9)oaz(`Yg83&Je-#jrKgW=2n)xfPX8n;t!N`c{^)1>FQx z8AQW_>?>A8sLk#qq*r#!6O)2AmR`64~z zv8`E(F0PW>->r;jaU6u28oU(ZNloCgFgo|$<7t^0iauqgDHv~{b6xtxWZwC7`UmEor(t!;CUpqR$r6~6AIlnX zb6WJ&X*t})4bvyWyoJD*g!?7(hV^e1GR(#qNP#@1r)|ml0gq65rZuu#+(TK`wzQPZ z8@buMWfSlNq@JT`ut{?73!<5MQ;WA&up zT_#~`@)lp$L(0=2<)K+A$?21foM=C-03&3z+M2vMy<3M#x_(}1V{h?aD3b>^GQAvQ zCi>~;EP~SQwjYg(cl9u?n@LA#43sSxZx0`rx|#ozz4rq?XKdVvfgU1aeHhej$=KAb zkUo==g|UU0;D3`m7umFBv-MpH?O)=j^tns4VS+aJ^@|?ElTU%Ox(6RN9+u>RbTm6P zo|_!Ghmw7&&c{{!NJX2tA-kLVPc~VpeF$X}*VAo)jYxY8vIGwK21nVPW_8()C>F9b zI~{qAQI8?lYwOljxIA!dvdPAiz1j%Pg0V)1B#jcv#VkI$gtE}I^wii`$R=```8)s) ztWjFDOqr>u4?d(VX0acS&YUP>t$887=yzSnW!9m^LG+`4XqmOoej~nxRPJfmB$zIXrpuT}?4SGbrqGMF z_4`fybESaP!9V)i8EZ^lX|s23X76bH%;_WI zuQQnCb!c-m6tZ}!!MlF}eVg`fyl~HAuhcebP~mfny@|Tw zKi)}kgnP_+M}kqLdx|dZF?q%37J9K@%hzer%ry7L8US6yd?SboAc%vdPqPv!xZL9w z@1b8PPf0y)QNG1M-%|}eZt?lu^`&xMEj@10dic4eN?pxDP!whXzNHO$c7cZ_!%|;l z0@nc=OA}=h}E}2v3Eg zSKHC6ZuBb1Qd#35kX};@J+R|be1D$uAZTS|Pono7oo{J`l^I&a=gjB_^j%c~aZ8wq z72utSvG9fNDoDmIy(}3kZYCL)_QE|YyahE;Ug`V@$i<3oxzHzYxoG#H?QZ+=c)9Iw zaq{HEF+YY&(-Y*LqC{PuDyC#&jo{Sg&6%5}aoQMCeMy#^h&yIV)FtjT|BySRvD78j zdm(>bQxiQv+-d6Kj>-K4<|MUo-uxr}CwQ|jz|{i&^d2Yk$9yaNG0XfZ8xH=MyZOW7 ze~Le(6@OjglS8#E8bf6{9Kk7~#lT{=;NxG!?K-a6x)+e`PpRM2iaQUY-Sa_+?D?HcW_1Y!T(%`kj{vqV0 zlj_+!T3m2gT10z$?bn7f)o|fj-#9f-XH()_{t=cTOB5~UnQm@xP~M!d%soA2j4~_J z?)UMKauYuX*;Lz^`;l*m1~g*n2!;sjEu6t<*`fO(Y;w%41wl0XEbx@)RDe!7z|+Lz zh|k|I#}#1B_xBa4L1?enRVTlL4Y7>WR)~aWfXY~_W3y}A> zHcVWh6V9SnTo*JLSL!Do=K6#%6%{r$L~CuS;=(X-t+a#LdsM*&y89qFr$fSGs9ZoNmG&rVl;+%5G8avkyGAY~<}~W03HKE_5OIT@iVy=L zkW?oG2}{)xM|umNMu>~Ta7A|{r!hhZh!En{N9IISF2ZIe{Kaq&hOXqTK-(k4B|2|D1^y-1ru~b?7U?)At_&07bV99A z3Eq5-9J_P6;?D6jre0j!W7rU`igCf>%1~qu>7o8zF-Iw?60Qta-MVpNZu0b!+DCz<1CfV#u+C{EdT zmB`|cM~sGg13*MHlPp8D)KXGC9l0DfU=r&(zXtVrIE6`=b++j$^iS%5^SD5!v`nsH4k&RTiNoF`<}?)?hC-_&#A#@~W+vIfaMl-0lgHyx{0Zt%w=lEd zFl-_DH*LTb!0&vhV$>rDmUE$%bJ?CSDXA`eE$GLoankWcTt_G`j@FqZI~(xHd=T5MLqIVPK>b7&u*aE-KO!3N8%DL54FVbyCN5a9m74mI`)Q zG4v_2UK)L4Z2ThPG8fSv>PEU~SX4wKK?P;8D%~QDSXAV-H_Fd=?X)Q=0;zmL5U7A8 zfr=6q?koEiEeKHFBCR=aQW83xb)CvAg;wikts%HhPL9`>+<)+wjbKD zDWK}_3uHTX2l*#L9Ryi8kyit0u9YC(C%Jf^gr3s~Mhc680`+M)-H;e@Fbo12290wr zRJeO6g8nZcqrlf7lpzTI?*hsql~wytgfKV)QgtLILVN%gVUZ5GuTc5ZM``Tq`gTEB zhynAw*=xtQP+f7%X!!Zl78E&7!<{=i(`ya&T7z}P%f^^R`vtUkK@sE#w;Y!9W^n*! zO{2MmS1)j!#*L#h>8TyTW^o{H{e6NN?LvDl&IgGcqc{^8iR;NLz+i;5Z>)bWfQ6E? zFM0Fv1Rv~m()aU~wW*^Rr$!+OmmI70YLSL{7&tLI3=SOxGp9`q&@56Tc{HKj7BuTD zm}OTvOzjY;iEYs)H{9##Dm*2w=Z=B}9-EAT576-30+IhXzsPYI%sUhz2El?-B|==7 zuo6zidC_tKT|7sqq7iyjgkv2I*;UF{N_7M+@KUnFqD}3T2`^b!`gfHy9qoV^9?6m9 z0saA9#F6-5AgjdIWwDRA6DH%bkaI~y0U}k`y5b|_75Q9<-&$bNNpDm307`i8>*gE08;#TBaRz#oij*AOb#sZ=;}AKZyj9eh*w1Fd(4s*Zp4dn-N$%T` zImlLz5Z48x#i2UsrTdDIQb3#EC}k0yf$J-9eGjfj7L=iHwY%Nc(MX!Mw}~sl#DzNH z6mYvfI)Zr#dBH&u1?$zW4~|&cx_UA$j0SMXJCQ(MbW#IZaqdND&5`ZoV3?!`VFg@H zV4Mlb^ciKv4pX~JjI`E^DVa{pflVX-SAhPS0;zq-G1W=qfem&G{1COM6Pv0QsxdN? z5TFNwa2NK8C$v*m<0ym3pp6fpjj!BWWKHxb5_fv2$N=8v8tVk%B*u{Fq!wFQ zw5i!vqAOksgJzUA7`X=u*B6V**#33I;#M@Bqi{VFk((CSNzP6~NL(QIk>!GZE&D3= zW-Ytlc%zn0!rdFS?AYQWYy8i)Y)rJN#db70so7ShD}D(^S8~M4(U_i%8Vu)8%}u@Q zckcvIvv#6UM~By*@7XX}Qpwj2LA&H!U7cCpqVw|MU~y1cFa|e`^Gk-|=Q@(v#H35I z2qXU?mL#7xh_z5<7`V#Ff}0w7JPGrt7G_F{u zYwQgUAZo>QH-dgkw=?L?up~5NGnq68L~g_Up*ser;5)>1vabDoz2Y(d<;0m|5#JB(C91k>g8Az-!DV#IkC|1(ANEp}o0r>_G^l5bFw22?nR zD`~@_?8y&TiS{<3*0GktHkPKmaus?7lY-qfI|6NA&8o}%5}M+}ek0VSNfU3W*w%5k zQjDJ=Va9lHkZkBtcn)x^tznLJx&vm0d}2$x;K6P~ZR^!p<=Ko0baIIrl|#rxQ;))VThhjxl9@;!RqtyeTc z?o%`f@rfHT3e8C@s753$sz#|uIxyF-MK^lZCpoC)M#V_iFzM>nHH2J*6R1?tuEP0p zebA|&Ek>h5+I%v!5GTyQp3u>D%AEGyUN{%d>o{5Dy7OPxWp9LL%)grE^G5oa5$IOp z_gANpW+T-O`|xS*-QXhQW)bmGC#o<-(3Gq?E>he-*2&9x@) zUxKfD4|}uKQSQCx6QeF-67JR&S47~GmK2Z|xfmW^I9z#+Gu}&xY^{8iq5&Lu{a#)1 zgM2o#XKIh=s;+pt-u3OiNv`MiPjdZ1@n2~jX7p4aXVuDFvpo_-X$9TFy)6d43=pyc#jSja@<{}Qj3Ej=2CcBW7S~_8VaApP@}5s zn}lNleni&i2&?^otRo<+OiXffqACsTyS@cc?SfyB#?P}40#!6+38UZf=Dv*l zbNC;HjMnVrnBAjOWFKif#Un9*)k;FMxw!Wj9hQd8d<1GYU_ji>WZgLq&dt>@*N61y?l}T z<|9?mE65M(2$`V6CSM{#vQr(jp^ooZ{1DjsMt2m|FXNr%s~sfvpP&<}%|!lZyaaLK zq3>x{{_34{*(kjqC38>um|xDPHRr!XAr?ge)gYt`W4Q+T!N znw#74>nvk#$1ZD3Zbzzlb`JBpWRy#jbJ9n#{-!l8W z+>RHr7Uy<6n>9PPu7MrD=Uu&KJ)Y?JXpRyL^ zcKji8rX9Hc2wa~{xDD!-1vq~d;Cwy6`Dp;*dL=W)9-G_onl(DN<5jeD>+Q7kK!Ee> z0B7$&=gh#;wlTL(1XcVyx#Hhb#s6z7E6s#se9J5j&^mvibv~#qT?yy&R-d9;3$o_t zb_g=-MB6V*?eLIp^?B#VDrc+8Ia+OpWH>Ts+d+8|ShiMw8#uz%&e>{bs@myLmuA3~ zyw%F>8*@9J%uKPThzT5=om;hOGx$eGWa`&nt-ZSc1AwcF_a($C@L z-fF{3&)r5BmF~U`mX_AvhOCz++=hIX&bpn#akoQr@$K+ge;XZN`ta>^O0U~#;FF_o zLs&20c^ie*w^R82vA2QwkK=Bm@YPYbAA_EK!?u*_Z%1LT-A;G^>vlx+ceg`x zzuVA3*_))(O_ttCc_>(fAYVr~!9B3bJIQO}r%mvq34NPl=&54vVGX&{mn-?=i>t= zruddb%!hUc0S#iT%osaQDcI4xA($VI`Vy(!UAS7>?vMy2HF5(1w! zh4%M#Qm-kPJp%oW{VB|xbKZB9bBT>vbi02Uiu)KfM`M(m`3Z|+N z?KIp<)yGiBO$He-xcr=zi*XR%V^ypas**-2LVOQOwwBde~pNj?}kNj#8B+*yL6+z;YT5>7ytZ|A6vba$u9}7r(P3`%nHY$lz(ke_>A;IM+ zO-BJBx`w%GlABsG7rQF!(DRSZ0ZMA4dtcviOwyKrd3P%<{;SoIr{A5GqthTTutLa`Bd zcoC&XV4kF3jpZ|B%&w}7*-DCUR2qwlN2|>Er4vr@8QwQoAFQBhVjUu(ps9AO&*rtu z=bQN1pGc0+7mfcbFDc?|wSajzTTM1aN@>a}{(g*-*%xRQU_lbF`htV|hU$t#S7Poo zd@N>mIF`06hb)+l6klq_xw3&wL~6F16lN*KxXy*q+Ihh zp5cj!xRQq!?6a0s{}VT?|HU!4Q2*Tj*ZPV3-;XAJ5I)7(I?E0)^)k#(rEFTS#I!Tk z>$QbZrH{2!p3g>&eP+-@YY z-KDng;&tPMGvOQp5IB(+NBygp69xaJb;Tc3fK(@=G#?bu@)K4}g>tVwRHz0;2nB#5 z9!1Ff62B2E#BWX~jwC~vNgSb*f_-F4*NsKpWFiZR);Yd0`jOeyN0e87TJMYDXw8Xo zVkY)2ivh7rm9>!$fPgZR*2j*foQew2h6OR)%p6OHU+!vTDkTGze_$uUH9G7S`=3W+ zDT@Yj{ydTbaP7LD_C)W>!Kl3B58VN!pF@NvD)mADbk|R#Cd%}3jT+50$`&na)aWja z`YqNc6^+6`Ym|2Be_o?bkD~VDpgrzytmGw0qy8D&rBTrT@u`!?r?R!L9Mp<&dK6ClMX#cdahCEL z^Ps{ccOtI88H;nL^NxhkIn?a|2*dS-$n}=<6NCmVaR*Z-9QDG#NAWllw_(a1g*Q`h zl2>ou7FrJsS1F6XdB!KT%y!>9YsL32d9a#-eeSalSwl=%y!vmXJF*6q%|T!@x;SbL zS*NA6vWpG;bbi`p+%tiY`P@4CvO0Cez1L9u>g-5dhW&6|)D@3fV-}yg=)u)vBXLRG zbIF54qf`j+I_h72QtLWYd?&|#6>AYB+Y&I-sPhJ2vXt{dzZ@x#Doxx@yYqvdEJM!t z#cnBiCt5iBBl3|YZEq%b38RTlS~0Q6x(#cr*n`g#HYJE+VW|XSHpCVIFSdysavWai zTb^C-eea^j3%(#ciy*D;;Pf?#g$~IxNOfo#y22>+i$TD)a1SB)md>~W|7y`5P;Tg^ zOU!XQOj5T;Q&3Nf-~0r}5NZ=0MdsX$+;XbJSEH^t5Zy1=@>X5xO++UURRm4aNwfU$*orB!1PLe>H-=A>L}Rh@7R2hsS00e^I(AvLwdCu zT-lIY+U6N+z4Xp(_<8TOdEiH#`H3z=(iol0{>B9B`}|-bGB6dC`+ItL5e|z`kpvd* z0cNPfE{*GVWX*iy$F1Pt**!K8a1AKtrzrLs&ZC4l%4$tehMA@c(s#pT?%(y4TsNy^g~b7jv0BLSvw7R zjZru!^$Bcjakr+osG7V9Z4ho+OW*lEkm52=!tEX#@;vi);L!QiI|K3>XS&MsJjwL) zar(K^wZGeBYq`ZR<5YE>6b+i?Y>rSY@FPE~kvmR`{$Nd`F<*-VLDF$pNz7X79PD(W z%?ilkBv);30Jr^l!-&iDEYt+nSSS5*nCySKJ~WiUeeYs!S8t$q;)hXMPreq9#iVD2 z$0TP(#;mnXL`AOWou9DmzzUN))a8h=W`)LN+WO*GZ&z-17(PRAPNHk6)6QZZv&9xB zH32J*hcO&aMAgW?9C|>_c=SBg17vhyy=@**jG+dFP@;AyC)R23#@KBJAnfIg@MZ_$ zOOSJfH)f-azJ@vbd1E%%`r&J^GYHNd7y)$7P7jWEJD?$ZiApi$K>}9C_q-yUlY|xX zMt%kn+0sXcVw6DhoX0$zX_xl~z?tplhCG&24E$e1WXaK*v5z4E$D>!8+VFmxnw*G( zNToE zJ%rQI?)prwkw)bOs8Q~BjLKZ3Up|lgtCp89P-ZENvYeKKjUec4w=Zw``5`pUiT36r zb6^nOu=QP<&}Ek*uU~t^8ZhK|-f1s3I)wjl!g$m|TWQEOMlCqlCyB;z?Ks;@uK#rV zG=IOtH^0EigE&?-0XFwOthSN6qKM=gd7#4hFVjL8foZ@P*(}pig;6rjf+S+f3%=p3 zQ=RnH9eh+nhGe#yR{Mb~9BqVqM$#!~3Oln|F|A!ZpqIJTqgG(TQQEXbB3-zrh?WU) zMi|^CS^n?fT)`!ziw8lSDGirar2gb%tT^ZbIaPud7m#<)&rcL)oDY8WLa-CN1v!;I zI^;>g();z3umc@C$T#XAPD|bf7a%zkM>V8v!P^e7x0v~VPr#mGhOP0Y z(Bb>X&j^rzU~6=$a+&H5Wt?&!RysUmJ8+^EeDf|dB`#XTCjH=_UhlgAOh54z+gL+l zW3lsoW3p`vS|5wutO%W8b9AzO3$>K0<1-^-f*%L?V_=;8$U^yf1~w75h-*J8oQ{if zX&-}#d+8aen3JU|tiulHlt=kSda4^|E%3t{Nsq4#^l26Hiy`6%S*~yr@;G6HP(eCX zO)k$Btk4FLZ~rlTt=eiA-l;HBs8*d8j-llD!>Obd{^37x_XORwUBE+qY3ovxFtFQt z0I~Eb#tcGyK{(l3H~OUEui z6Rv3ox}PQ|30xYEQaFYg7N;JF22w&Ye_j~j$EiBx=Yvx`M-~;=qvBIS9XM8JD0dx` zIff|}?CSu9V>s)ZI7VuYr%cU-rbc4jNY1)OZPuMYGXiLapf-H0K;34_gX%tA2iS5) zxXvTv7d)(7h>b+p2%$>A7EEL&hM;@^ypSa9SH-B&3#<*|Y;rw^76<{@P~<}X8hKDi zC)|mBGSlEeT@!LzTn?GX^j?OY{e3*f*j>tU4PHLJ;w=M4>D6hnk?H{Nb<&F?i{O&w zVlOCa+scQ;O+r((#7(^w2R~AD1PkUE9}#)M{z2qEQ2Ye#CsPd!t49`Dd(+WVa8~Fo z0(VZYPM32n4;#Gj?+p$FeUNcYTRfVD>D|niuiy#yG*>}&BhOA)>2+HDXlKbul;qC`sJc`Tm|x1G`0TU@7#r4*Nh zHxudbUJ2!7ZRNaRjydgTFmLCWD}Ms><2^8wj1_ZC!+r)+>@p+45vveP`2R4)6p_$F2N59C z9mmn0FEo`Azf-2jZK+j(8iOA-H=-mjr-F{0+^IT%mLuO3)FZ!A_~a(}g85yA3oZL@ z6syJNG%@KgPp>R1wC_Xwdl=82qIxKW^M((Xp6#mU)&k8(s0y6+J(M!fAB?gfM3&*$&hYz(T@f)k;8_&u&q_!bN*84=$5vpH>jVvcc`xj^^y0p8u zvAsq(Ax^`LkhC#&xHHCcKuU4u&|lZ@@vzF&=z4{Iyup7oxZWlNgGj8FV{Zh6MJM$Q zMK|a9b@Ttb&>5wtbUzk4Sl?kJbSDK<4YyA?>jF8z5W2HC*0|7g5<-X5ild<98fQ(w zk15Ou0fkBbM-+b3`==;WaSD$KCVF!L#Sl!FIE5Fm?WWLlj8l03FF1u7r0@NZhaU%n z!h?Pk-bhuj(qxV*L(a|bQ_R%6I%&xj_$^pcslSP~T44|iZn_f2Ko&`nk8FOJ1>y%r=cKjU5eG5Dvvi;{te`qqi?Y`z(fXudCo2rKgcD>tHsyAdbRec)Sp zziz(WtaEPHjrfeJkdph|zV_Tmk@Ip+{m_k&PV_AzHv%_$x)DZcUte+~K9k*u%j8C! z{jnQS-{nR~t^JFfkF@o0BR*4^n!4Nw{Ah8#{r|TvMOr;3oX0r7uZH{a`~SL2Ka!=U zFuYr8Zq!$P!^Dk><>h?x!!E@Pu@7}AZuIO@>1-eF8Ga;pX)|@{g&%k6#jY+jN@M#u zXSMd|(vMV#EBib{{J7+LyYprHE^1&Gx0U7Kd1ZZTddosFz){sva7 zz4Szim=j1D6TwKbxWRd0M{)vt+7P59$oq}fXemxuaEKz12;f5(f7RD-?`UcGE!NSQHziOIO@#*@fQHXHsvik$693efC$`A&=E(|5xE2#ZIrNF~8%C^Sxl?-E8G$ zHoD?prkJsctf4jeu4^mkT8p~JwP#Xs?Y#drWd=!WEF#sgEQznq8dGUS@$(V#>Z~!< zm+$ajM9!4eSsvB-8o?_$$Lq)W4$&MRI*dmL4)Bt+Iy+GBzd9?QohPsIgvb>5WmQEE zp=D?aQX|C8k9ROi88Rk1q=8=R&>}Q<9oZo*S1Y;v2%yoXX#{$jU;dMp&OZT?Hpj?U zw2+NSI8^|9II%mG2hFPxV4xFPa7qUbAmQgp^x}B<5gBgUp)KIx9(qv`Eth?#QtGG- zilNBXIg zu>qXUrQnPNPMpgEoW}<{&H-JdcGwDRHqc4W5>AP5VroS@iGfpk;Y&-?3)nLJcWTcq~LRYoc zMF*bJW(OCXj1GKFYgLb`(ycDO@HirCgl+6{iW=*e;y~DqTQago`lpiP1NoQPnCFZn9zxcHfDpKkRt04|HmW) zD1*wngY?!n5rxg^bp4g#ZLmw}Wbc*9)|8B``USsO5E*Hhp-;4>Pg=1Vr|!z9WKY(c zcj*%zSh7T) zoRzhC6V6-3*{-Q+)-=3GJe4KjDCo5G4BMuMm9sgq>XOcShjtK?50%Uh%Pa4b`*l-< zF!Gc(qG04nt>z2)ilbWm8dvMIVr}(h`9Hkc;WH#k%n@~XlUP~gvFPf|-e6|&vpZnK zj8fmx1!ZD=_1E%$Hcg4g3k08N#d=L$#LFkOjWv* zw*~F`#_P$e2*{0LZ`s=M*LLtNlL?=%+1k<3SA`kZv;~3x)rwz;M_)Os)ki!OD1fp9 z^TR$g2St85rR>_9dB$_##2v+N<-B@MTcG<&`|8*D_(uEcxA<^sr(StLY$*O)&Z{o` zyrM1klK#YECv)xSA~Ron=qxnCY92lij&}j7uKi<`H5!MPJ_bgiNpIkDhV$GL-l z-chW=xu#;>sMTU~=UYhj=ny}NJaI419K}gw2miJMtHktu6~~xsd_&8uz0H66vv&XZ zql5mU#SQaR9M(^D4iVhb)1Aq*HIg;i{00eqDj~JkyKJv_jRlW&~;Fa@@wSlSg55T z5qspKF5>n$6(9IIsl+T_iB3LfV#!prgya3umVUGXj)yLB`>`7NN{LCl2ZHemN~Cil zu=3WpBBVRDB_j8U^4glPcgus)men}FWBLB+ACJar%HcIti9-EI$Lam!k@mN`s#ncp zcl0{GJx;Nq;A%8$t#7T%t7lcpCvFe$-IhkMBISD(%JyN(58orbj@yRbZymHCx;{HZ zJSHBFtZBXWbn7*-UaXEhUKM>Jb11fg)@6r9mWy?f$0KWyWKxCCGrxW6MAZXHk#4b3 zbEg0C%)X0I^uO8K=sNp%ZT;QxkLjyVSD%S2A9CWqnN1q}4x`r~Ys&jqXI*ujqffC; zJRw$0t+A}Dg2h+X^FPrBgtKu?IB4#0E!G{aI&Oiiy^2}*tdrTJX;5(lp41x7+|Lb5 zT_k=?EEno_b+B;zm#(^rC%J0iP>DgGXy-<`YtPf+YbS-n@RG+BsZLI!v(w@k&BZku z*FIXy;13we#2IhVi87P;&%!0);@SbTs;mR}PjPVGWp(ZakGNi|I;ku(idL=bQ+hop z5wEBgmKw3ZaBu8oZ}!3f4I77HsS|Im%bZ_f@*pn3hg{Lr86CJ!Yo8;|c$-&dEj&H@cRR7s_&GmyFdEzH=bB}!d*D+hhPx=-sWbs1&MOF{+U zoOM03FP7a76Sj|NEJQ$b3NH|?+o#Qz-%Lu>?-B6Z)#MsTC7&9LdJ)=v+>5GJ&gEX= zr0dsR1MzTv;GxF(cNTleo86|gtqE<*!pl;c0$HJ{0cu%LgCQC#$8F@$@feQ~BLN%dWg&m7Q;Z9x+@*Fxn?3rdy`38PI;BeZ25;imyV_UZ)4Ck4 z>CQc*+(zl%3E&egM&r;q#8U4h-fNtzy;z0oOIOBv;In}YtELpG)+UeAMjmgzi05Nl z$@tJwo3IL(T)*Y5%QL=!Y@)!wI%b%@~%YCASo?nZFPrXw=}xj;4)D{^5Ku2Z26 zf`UWGq*vYCE($Y`S~|fmUJ2)UB|IKqm9B%!6O(wi*U7nY;*8)B^oMa)MRu?_K-E0! zaQ14h0Z3rAs&OaG@6KF)N6e-k^uKHi_hC*=*%`q0_cxM#aSWZ!cbDP>;ab5YpUd@<@S8+ ze8Njw^KI4gMEMPGbqBr7JF-}d)hnHJ5&2Jbm?|(lmZ-cMoZlq$-jVNXg_F)nZ9*B| zt|c~$*Zp1B%eCLRPv;&Auf3$Hz*!d-e4fqwoJD_bQ%9Gtw^S)c;%?f9P+|Iv725wJ zoGYjm5;`4cL^{T+Moc=1ghafTcCMDKQ$+qB#~?Lt?{IS4rN6fDv6P=*^A~=W%_}9?{g1_C;WWlS`7X`*@`pQ2 zoqH`F9OAfl1U-uJzlE02JINf`PKc&Y#H5e7{@_*03iWCnnM%pq$dtq}e>tI{NE&kQ z7)+xVrcy9&wE1~}7p}6<<|+qP$LZFyt(MNbv+%(y0+5Co(o*C)>9d);8q{ht^2L;u z?!S#g{iooV+xqfUbY#=|EMWOTH52!Aey^0=H4gQiq>=%79bW6HS*Lae+J?+JXCH`T zHctsT9-LwQP8J12Y*Tij$!dG#hbZ+Dj$1=T z0*=x^E<4*@_5|&eFqBQq@eHZ3oy_CRas5@y@wjSvLA;8a=Pz6tOY{^G<)U+emvaof zI)!8PsvT!w$jZri8&Y!=r-s$S@C58Wvr}#BYdCz=5*$6#Hc&VTV}Dr7cAt6T3Zk=P zVY^)yA=d}PXu3UUWQglar5AKKlsFOn6%8xd&K3Aq>@W0+Ws&_Q*E-aFL~k3o4|DM* z11vI5qaR&eQ+)!5uWY0xKA2FC+k_q8{Qcghrrb+Av8p`|-c^&dk!Di7g(KXi_PN%j zNF2n588M+@5N;!L@Uk=k874SU&qK|e#CxZT>mK^5GH_&wmbvJCsT0P!zV^S2!K>D} zk=~$OIRNfm>^_VfIFs;d5!ov>vfrcBIK=QzIKi)T?l@26dGQGHk}E*&=X?T=rN|Z> zIF+@6YqhA~@zRIkEYu7kWFHW{zXuC<2hF!%zHOhhQdbvH`e|lAplGI1!JVda5iU+W1g7C9;lpC1N5rjwfC$b|uF|+V0@CDF*m7m8N#Q zTsg=!izkS}Xpps{p8WDVZp(N&uMx+o%#KOPdKnq6WgMs0;C0pD`MIu2STe{2a+Xyr zBDasqRnp_a1dr=YZV!1w>vkF62xMxTmL7#5W8hU-6)M`p#2lS$WMLU$nrRx~d(-dI z??~Dpp}7+}LxS>69=u%-*>52+ndr3vDnpsu)Ty>=uyl+sbD@pxEcYYqs#*@~Xcn+N z1=9zwy&Y2rofH!W&jQ;U$mvJ^FFzxe&lgCoG|m<}_t_`UpEoLV9J;_yETpLKp&wmE zvbXd%T!paV=n5rsmDlzxFMF7GBV^Ba3uy5EbE+FU5sW~b-#wm_x{lLDRq_*h(X3nu z3!J-lGQ+eJ2xs{sFOafU#v=z6U${j$9E_H$oEk5lP~p8CV~8WU)?y<D!w_XCLkt#FAV;ke9vo%c4TrY_tJQAKAC69l`WAhFxBT_-@G=PR2z9PQNd|Wk zOp({p9e6@c^XJ@p9i1^KgbSmbi51*tUC%p)izocQE5tkDde@Pv;KRk%B5NQ{dO7Q= zKnRKChl~=UB~%vSAq{O}!OP=0qaIvCV#mUroc| zpfV9S{}R~!NRUbzNH%(sV3Zze#W}wA&H{_abpSX-El!Mdm~gOP5L!j?SUPTU(4dfr zm+uIP5U7m<5DU5^1ZUJyra3(e9Sl;Z|(mUqcaC6cFVC_W@m>D$VaOC_^ z&o`-!=+b7rPjR6)2nH&hF8Sd+N7AU(E*S|JFt}RX#Z0$`R zC8%(m@s11)p+F0dbXH*~cPZyT+p#VI6M5N#^@~H;k1f4Ly3@?_exDgG)L93^w*mJqT#TZ;PIVMiiql4Ek)M8vflXIK6D^y2l%i(Ydzy&XNnD1pRahzeasGv653XHMVa45?|npl=&cCeFa!36$ZI4KEWc1w5N;_jsF<79irx`~6+_%z)KC@AG_~_w#xFc=>oc zGuM5c55Mbo{uNvG93gPD}-z3&u5F-uayZ^Tl1^xutu%=BOX4v{~q4 zfp6ezdanA5D$TehUg7ML%J(!GJsHj z_>RU3p0Mtn`ZlAIgi&v_WbTDyvUR9y(Ha_12s2=MZh+pBt4?G03lN9=?|%nhp}4v4 zFm82q4zU9FFU1BS6iAUi;1 zoH5!lq1j0g!UckjUxik>|94fiMC4BjM-=OayDREDV1>ZL5yQHz&1wM$`+}7Xx}?XbIFJJhM_qbJUkb z2?7RG5zQg(tx~;Is81zVX#!JtOiz{1DfuyCkD&aK%W1cbBFrQ`d^B<0hQ@NoK;oLb zjb2OMBhLq)Js^&OjuC3R{65hrAR#rHqxJ$z7dUwgws5vl@{kX04?G)t9uTEMG=xpk zUWM%q+MgU)2Be(~>!GjQC3mV%!>zX`9aI3h+sluU&tZZF!iO?#XGEg7yo$Oi?3hjd zX@U%GRWDq?C3bUA8xKrehrxlQD%3A;rrmmS*^td(BlX`+4YUl|CZESw(6=q}S+y6n z2J%dAN?Rs|j9S$9F39J=>Gd}Svd2q{qqAp8OH5IzQho9tE1M7rY*5OpF`r6OnB>R3 z37#(w1~^nOIEO)T5XM-c+P#RKkJzSCY znFenxdIcb`_h7i#A7o{R1*1W$rv}i9ZSkiBabr~O(}@qv@dH8soZxgFnE}>X@oU8767)Hus+l=-%Bnfs7m-qmwXOqnRHSwK*B*X zQf=pd4J(_K6zWlguWSj~@hQoJZ7YV_2y6oHMI@e0%BR&)kJYJZVZ*VJIStoLUuNt z5QTy9cWY7=EZ9}Jom2}=bZ~Enz0jD^wxZk z3a7{~MgK!94y-Gf>{lMUdWUs9$0LvL0- zFQ9+#!*mmqAt>BVI|q28VGQcX^SguClE_j$3_ySKnf{yMF!++q7&)T1$72TC{0rcu z71st48la(Fq>sG$5Z&WtW^hj&ORd_v$fh!5r4XSBvet+L(?J(Cx`qQFz%!LqeV)OgQ1uJXT+``t`UD-65M2Uwn%p3vugdQE34~M`lOS zLvkGe2To`?&@l9%{QzM%0=Y#~2t);G-M0rYuX+vHLGLsrm#Fz`Avj%}sHtI`@~(RN z`DtdLs9UNfD`rD$X2+s(?c`dMr0vDTSs?=<-bN?rUk7>2$3ET!`*papN2~{@9^e8r z1)xk605HUMdf(fk3?7S4MFEejASwWy`s5EV73TsF4?wJ-Y^iJ+1`V27@LD3cR1@#^P0&1BLgnA|@;Wb0TJ^ zd4ErQk9bFQ`*nQJO2|_$Y6rfeghmwMb~+DqrJe`#X_Y(EkCj~YRa&~3WpGJB|8~C( zA(1)ilcF8`6sE?#aX_$X1wTej6-Z-ugf5nOfeYNO48J| zBVWg4PX%D6TMT?JA=ys9f+$Hr8ULndedqRx-Q7yn$epMI(<@z4)tyD%yHAXlG;1Jj z48ZSk_c%A`?*PVZ5Vh-v8Nzd{*N+4c1mZ7<6!i}xXN?1-QE?nX29-sn9~<6V%I=MT zcsfQuc2t#s+(aEXf^k4zwm9J%0K0Jp%8KA)R7h(f1u(>7Bz!?hPPZxck+v1r+3GBC zQk9BTop>+Y*ZvD<1+EsR6T3oY#A&e5?RPgz4)#ky=R`7*JBT+TjIV6~OHs&z9FAZL zWeLfZ<;}_J=!2U^S~qlAcAYU%ljKd*gJ zckL6cp5z;DGZaQqy*$)TlzLfEJB3Du1hwzS@a4=&ADAso;e}@ehIv{09Af7V$Og z>cfympOb5Rn~hOMso_Xkpy7yNGq})kapP|+IYJzcZ6FMAgV7xc;eh9Q(&)lf3fos) zkYfZ2I#R^m`H{d2t`dZMB#tsk-D1uYa-QUGQF)gK`?@E?-kC*y8XHgI0y83lt*vI8yZEGOFJ3h%9m zI}Giw9u&%?Cc%#v^1);jGirMyZ%JmkEXIhB{8-Yy+q#z^0vJfp zV~YdE;6QvyfeBzZ>5#Ys!2v%JHnXBxtJ8)S6Ljm{P>Vj`bCoUHA%6=3<#Ymp*y6T3 zNRZzlv{DKEnFTJk(}wHq)*uNW#20w`Nbc^qnvH${X%O=?-%*l%g=d1^{g9Nh>4+yq zDef#w0;z@j4NDyowW=nR6xei++D`8gv+I8@5E0nQq))kbkIc%UR9o5XC>eW?_ci}q zgsqCgip8KiFVOsYqM-yLm z77i2Q3gOtHy~T&L)7UMzihvS}-84S~)6vbyGU62|mTkZ!1fvZ%%C+db&Xxd1HMYEo z?wUGWH90Dzu{~Z?fI=>55G1pFTx#>1vl%K!ufUSZBo_bsS_-kAprY>w-) zmlnz;*C0E+gO&#eMuRd#YP3dZWDudIgUJ;;Ea-sEm$TCx09QSv4s>^Yg$sUw0NwHO zBzg+ctg^`j&C4u2g`|fm{1!~TmY4y65ZUmcUX#g+HV$FHH!JcG$ZXLkLMZ|_a%h5k zi4psj0A0Ho^F2rWL==R@0Yg(}op*{4r=6k<1PoSFaiA_LsEY}Z5NnXlb$KyxjF@W- z=HcZ2?Ev|IgQPO^Y#1@aqAd^2U}kIK8=4<7<|GJwhec|^{n`qSi$tOVa%_mJ6@k_SnM5iQBH&2ZoXRRn4Ry#^oD)EBzPnR~q1CWLtn$Wd7{slXBop||cpa7t{} zB1>U(R$g?GNk)uXcOWY-hQ7wg+e9z)Awd)`C(wKy1bgX8DQl5&(Hj^he!hYt${f4g zG!D%YGjBpHL~yRoc8Jz$GMft1fWZ%GYB-wNR5Vq=AycfYz@_ou8>G!>1qr|=dNol8 zRX!5Ww=`u33-;?D-{3>@>)*G_=asxZJ{Awfp{4M1glN^;`=V?Dq-z-U1cYGg0u&%v zfMr@_^bZI|2*HG~6wjBl!-8Pj8GFHF7sI0y6joTPbDb1)(32qQagrfJw{9&u2}A+0 zzq$Y)P5xwqug>TTLP24ZzU!+UIKz(2xIPga|S$AsPADfje|ow&cFYuYHq z%Vz}%ypN9YzQr7F|IjQAQ1~E&waizc_5-qYwHa~76x@gJf{ zi!i>3;fv1Y#naC|^df=&y9~|=taW(<;-#qLK{+oGJHEV8Ny*rbu~y(Jc6aLY`N&uL zK0srnyLYh848;}-svNu3vh{&+YG5FV)b%-1E~|K-Z*vIrbJzkj%*c?i70?F0;*krP?NU$OPcz8rK>tew(wj-yKm|zpSogeM>4BDEE0=oO0 zDMsY6t7pEc0$Ymeu_xHHZ6S5z(8i0_Z%Gtdffle;30wiuJqiUf?>P|49p>_bxP~^| zOKwpG7vkowP_OYf73XOa^TlG8j9TrAvb_swgIt{X`FL+5WOz%S=UDbq^+z? zuf_!Q{MhgJeYR7fL?^GyvCZPNHq)W!(a5D1pO+6DVg)5-{t1w3vx~Y%3Fxg&5Lk zV`V+w{w-a3*uR84W7ZMSx5Fg)8+GZot~(J0E(C%a(SieE3CRdDD5{>(8!vAo z#|j^JL1#v+s#wd75f!?_k$sG?%KFC3QNJR2D>%UVTpw5RkHP-o%PPUvq2N%o0($D( zNCoi;UQ##>#I3mHpmXoW#X>QnNEVC*hZClU45!56-4Fms;TpN{w}EHzd>u8_Qg5e zsL<8DVhHwC2T2=-Kr0%TIVQ3sE)|<+86nfa0!fGBTOw?6)9oGN>e4t^KcC=a;XH@d=Pq;%vR({1)jMe``TAZa@3t||Knjsnq!L3P zEa-Mv#0bMQM3U+hlEgvO&m?_X^<_|R5%sr-)tKymqq1H57|?i>GKFOW2-TLyIfIb0cn+9Rt) zge%()P1*$Ttm7fUi!Iqv0)Y_Gar+I>SpubJJqIpXeW7hC(#D^=Q!@^$t}jHZqs~={ z@3#|Yt66cwqi76?L(4X=flX6N0dOVjt6iT+((Jp)y5)A#zLs)<7Hw~WRrFW9peYEwWy77P&MV6IUfJtgszrkGxFJ4qAwBdB#wPG)_Y<$Yn zaO5Jv!n>$U2r>w`btt2YEW;qir8~FbFiE?ii2-Tfky@c21@^+ltbM#(iL2QaSos$I z?^s!6@y{n9BYN0#Y#7O%4LH=(gW;j6Z+)ff$)o6sE5NXP)AE#sDoF1}n#HxOgE5RR zv#uZxT;}3?))^$jwsFw3*I#Aj6j=(v9|A9DA{bLRuLks}WXCv~1RP|LHRulw34lE^ zwQBELffEo&?_pP&v0YmU%v$?CRPyK8$KI_1`v5VxU1)N^eP2?=OhY9U91_5}AqILF z8PipeHcC?d#=_6?b+an;ZcQ(_RzMe$_kfS~{8s&DY6X`_T+Cue!a|#ri6xJN=CaxW zvfCngE-mYq-X`yHl>Ii_mS#vlhQkCI57}N|%WCa(VFMK>&HHQgTFIC2K`2RT`vAmo z7eE5Y`+ayMp231|ByPSZsRf`l7=IsN z!V&D~t}QfHxDTCX1fA}6dV=js4vpn8eoF34$bWFqzMTmAd+xx2(jlG=<6Zg8stn_u7Ed+(7r}e zbR|3#aEWyjC3ZDW^ir?PjLP8o791u~8A3OpGAKXCZ-oc?Tq9MsR#XPS_DKj5!2*P| zS=)r{EW-c{iBTAM*hT`SL8r09pg|3&WAY~%$X4*{&`>ds`Wjjrkd)-OxWd&$wpIVE zsS=5BPY$*C3fF!l0@~|2;b|KNo)xacl(V7+cW*0vje|7`gD46z-$W)t;H$~5{kY>R zfj|_4of?IB@^!Am&=@Li1s4Rqyp_oZDY^Kl;yMhGvd|oF^dy4T-0R5l!gd#~8nY%J z^_nwlJm8XJA_y<)Rf#*i4jGKz`vf?hD+YGAZ%Mr5or>VnO3{fr*Vl=Xqa3&F9;KMj zQG|VW_#pM0rP~(G0COEvGMPXNR1t3q;@pXKsRz@?ikHD?q6Gqx*W=N zzT*K?QCC(`S5chYj)sk;ln-E;}DwM*(t1}y@7KZ|t*=Yf??ZM^m z!YWurtswP}Vb9QDNziB}UOf=TwBYn)T;om44_v=I1sulEkE`eh9p$EyoNeII8R|=N zc0kcEdilO@mp6}SwD@uW!^AZjO#IRu&bl30d-o{zWqqCHYs<{_b(TFAkjIuL%LDk{ zg6~>$_;mYDCd)PDyVk`?mDMO(QGB$rY2$mAvv1405Nfg`EzW5xGMcRtjE8n@2uj_* zFO)Y{xQ;?NdbBEhZtC@GN%Yw>NOakIspwCgMKgbew!g=)1>_o=rX2@7Q=dqyYya2-oLVHMQ zr|!@!GxKlBoiGLa27Ww*_DmQjSeq1uHba=*!cAfqZ0axrBkll#7Z*q3NS*Wx(K@$o zA}B-RyhJe!I8_&fM`XaltGc$8=u?xwRnn=2&uWPP)e!`{TC<%zn=+_7fm(}qB=i*$xa-8M%Zy{$mqFfED*WTAiS*j81zO)!=+#zJHnQTkNB`8*7t!b+defB9VO7YH25b+;XOC*)>AyL5~} zSXG$;g=4S@7Yhx{NGq}^M?yc zgOGN!RMIW%?QBYc@m`MyUXbJlr_+TED9rFqNOe)a6Vo1QPQ4U4Ttbx}2S>qC#%SKC!HyxpCn@-f-TnP~ z937gX@a7Tv{(IMp*+uLGFm-WdU|V1G{-5hB8KCg7gR=9U20_Z@L-Z~vnr-GOnWMijZ1H>p6=o#@|uqVNu zC*7ekEaOtJQkt-F?P|t4TH!Kct#k@$@smMVyxmde+Km~48=6?3*}T=cb#_;ot8rDl zC#B5QvefF&4X!eJj*7Pg+P5TCHaV0zK=4e&g4`9zFn8q}!ig8rRqfnR?Z z@qlG>lE!ihLs4A&O|F9`*I|?EsL6HA1g91GZ9`mp+FXs%p7e_1-<3D`iuX&!<13pn zZDp6!#9`JjaDYucDq+Uj;N<Ot)Urp;OCor>nhc~gDGho#~Xf;rSht)+<8TwS7y!`k6sQ9M>k+@8=dvX?ig zuL9{lDivRMQME_46VB#|MpqXYBJ%n2&5qg$15;IE9LLbUchpUQ;6kn~89UT70clWK zNH3W0%v|*F`WV2&3DNB*FwGg;%V0NqW+}M^FK?-J{e&I2=^s@%sibgx#&;s!uv@ML z3p!fcND2);tI@HgALKyF%m6BNK+q{vyq~*B@8a2iQ19q{-2@15OPnLT3usLRXPnXm z&v2&5RpK1#nI7)n&FEjD-oKcC+rN}w>tBqv(a}CT+EFse9PKX%YQulMw=qzKbVs@Z zKRTZYoSNvI*0KbgJLn&@0ETY(j4}aqQ7z0Px^f1ad|S$lO+B2oPhd*?!@9cmKj81BOk&4nl~$}FecNdzG50*@dGHOg4<#c&BU6dU!%-YIO9&@Vp2E8?f7Yq%TXwSp)a4GnC zxTm1#1Jm7HVAxO>8Sc5<`5SMlqvSTTf%=M|H{uq0dJSdO4$zBe?O(9Wjis+(EPZZil>x=|r*J0J88#m%A+d59n+1d_UZwZtjieHn>}zcp;u4nhA)NPt*4XHw?5 zB^T#@TjV~3-1{=m74L+*fgPb!X9VWC9=L5WxM+{{ui8@7(X9zQS^f%=+O+4}o`piR z&T$rigm?rCKc({+W(lz=#E#OP8UX*r`zfD-{*+rQYkDTDnV;fzK@>4ZS)9x!FOAdQ zkXw6Z$Wi-=dMDRf)3x7+x+w6AHgIy}$snZ{kAnvQ-;$W1XC}@RkW=D(Q|z1%FC)dW zA`oGpV$HUG0(b@09?uAx)i&*DySoE#D_h#Zd3SdJTGX0{qx@^1=`^I6*gx+MliyY zCq|O#U07>Q*J?w}VUz%J<_x>KZ?)b=kKwCztE(z8Ed)CWSVCjtptfJT9l+~q2WkOu zu!ipB*FvR58|hwhUZ%q~?OW~Ii`z=Ks|zW&=okj$zom|5d3)2P%uubBlOMx$obG-9H79y zLIgdX=mV1}+!;tTNLR`CT=)H4WRu!;nSPX#g3SNx9! zpARnnqgJl$TulS_oMJz*^0seyxH`wgI!|dNuv#a6ZYJO~OvGaV<0hVnU1LbT)`9rXWb z@ETO2KU<0*}}1Qs)nYP4Cx8+3|p>~lc; zgbqK9GwNvnhH5Z-ywF~ht?Q8)-UJwcGxjjfnYz70?`N0~^~eVV-$0;=y;5tvVM7$) z$@C9|)f0-tf5J;NqLRe}rV_;^rkQM$#E5BV>i6wp#CCtLAPVSLfmXMufKWY5FLkLb zHwt7CO(mT|bw7}kS`E7V8qfmpEz zKtr6AJkyoi?DDo{<8kvNg7a;mBSQy9o$|h*jd72ozMk9p7bok=_c2DAMgo*etytlB z$$!TM0j?%p@b^3Ke8kh{dpGN{Dr){OyTs^9{TB3~!`6YCR|mpVPtYMU^#mN$5A_-@UZJ07^Uo&h zRe}=;uAw7%`W{~RH{6-62zfOPE`~_M9^?5UPTzocMz(s6IybI+s~dm9dmL_TzQqrs zue8Pbb|IEi%(Lx)!qG4@E*YEd(U2>c8}IKtF96Ub`e3l|KMI6XTmS1lI3#4=!T=hv$KjN16S{&l41|N&fcpk#(VorFFsV(!!{VdXr87He3Nv z4BV)FfB`&yKEm&UP9Csxoq?%7gjRJB(9La}zKW8<)EBfA5tz5@^>ujg#ec$)|VG+7cGFL(H* z0A>tu8F_G{e+-p%jhsV=w@;3GWsmmK{!M#^NPGTKG!)b{4>kD*2WKQtn>sS6)Hi%< zYA$78>?z+~xmmqhnw|_F!&}{!zr2vw2b{nu#PE|B#mGxyU&xDoA@p#5BVZ0CHmolEfcwfd78SlsVWsLV{d;sGE8Bb#Ta>kPx zPhmWj@j;AV!T4_&PhtvaKAQ3C86U%VCgV3Sp2fJ6@odI(7$3{{IL5~_ek0>IF`mo#1jb#A=P`aW^jz zR~Rp6yn^vc#$RB(it#@%{zt}NWc*Kz|C#ZZ7=M}ZR~TQ$_^XUBXZ$aWzsC6MjK9J7 zn~bku{4K^;GX7V_-)8(B#@}Up72|(n{5{6sXZ!=kKV;Z7;j^| zo$(IF&oSP~_<6>=7{9=Hfbk&XR~DLHJI7wbYe)E7*x@J4Zsf!rmRZ8Yx3a|qn;*Ms zgqRwPrI1h1ti&dBLAJabn(QoYHw6SWZII#xuA@6mkB3MR(ZZU^L$QGgZ|GzMFt8d} zK_8J1Fho}=-HA(Fc=0A zOcI7{YW(|<$X*2DZhDjMD^Lkz0ZkwSKsQO-7WEGiZ%8`V0fGv6B89A^Xq5r&!yx<& zkee;I>V{<2u3q*{m9`(Kis+n}2Ef&M!3fuo6lFpRbx*)3=~4JVh=w2G(&CP|IPXJL zkfazLP`xLYlyqT=VbYqUEI~+)jybJa)qLLt36z~iT1Bq&6hGGT9yuW1o*}taP z)upT8XMn`<`psUi^&)Q&7v8CYo7!He_u*B-pTiLp6w#SS_J*`4q9nLQm^I7V=X%ee z^bdCuD77vYenLeF8CqF^*edPS>2eLUINz?qjAJL5r9-{3#fTH!i@Y{32n-t)ri zTZ)83KL;*v*qA``2EKwp9YYWVJscvon$oLpFnfBrZZLb#2VGq*?FYGanCnOQcQHun zO+hdcAZ0*sRm`W31I`DP+cmD?a04VqUFx79k-_<$p*8b>o~b+pdE3gtHuOzS9BQkq z2HVXc+s8mZKKTY47LU{`bPMg%uT{aXffvkBp=+S)D49`x+)V-_aoSeyw5;4^5lW(zVb)zt>>)z- zZ_W+`JvU`v2zo7QUC_Ty%I<>FwfcE*J+P@#NqsrEzDrtrSb}l5=y087CoDATETm2d zPqNsa7A!mD9Ld*K!&A`x6v?5`crExnUZc{U0!6=0d?okKa}YD5@{kV<=`hftn&dr| z2f^3$#Fx!906}a^Kc=PyZ@NkI6C#5^CRFQM!-GI5*I6Z)c2yL2Bw7*vz;ywwR4f0Q zoq~~8wqSS})w&n-?9%57qO)IpZjovDAgMlkF1cQM28`3;aJ>{#HCnL|zTZDLXG^eu zFYVlY!mH~=p42@=)LA|^?>FwmU_-b9Bdgb##>@+P z$Cu6vED0`eUJzKm@5pkzTmG@39U6?1H%;hVsmq&BEkAf}d2{vh=EKVmHZMOwS&U`# zg0FjwADfWp6$}CXLP9E_0Oeuj;6!lBgWd$_bGiac$yFSH4tzjBMG&^%U|&12U9o2l zy-GV;&jZc2umiTiJtobGYOS zU8K`!%HU(W*7XtGZvVX;T>*@M5FDZv68zdLidq%d2g>ACO8z^r$Wz?!DWotsLfNIT zYxj-LN+^gyyn-5<*6Uux1E1X2y^Loxj3kjoX;!}SkAU5cr?0YG*?jFja^Rg%&_V$f zu5HwIDh*2AwcD=^QsQ={LnJ~yABGZVjT;So;-OKE(JON9a>7zEoHyILIM*7 z;+Bv&1Ntwo=!|;OS_M%w0fCIc#A=5f-C3N()3mlk7Io1Yu6PAE6q%?HQxmm;la7&g z5d7;=ast!ObrBN68l^KYnMV-P+Rq4$l=PRitDWheX`0n0H0p;5osRt3+kx_ynXFMxs{ zem&g52Zev$4vT1r0HOc-vY-+bn4u0g!s428f?uG)=ZQ!OI}9xa|J(QdV!G;{saRt2 zeHX|)1h%Z%Kvbvu84(T_rOk;e%wr@4N-+6f zglEEekeNcj2AgSj0tvHS=@qU7L-9QLKYU5_4Ryi`0h|~l&;^bHh-@pn$#|g}$Bl5#5}%@*vf%^nYIx_26*gRccteqL(OS7rc}gH{r&FHZWO%dmLHC&lII?b?3^(Cy|Oc>Cc+A7XJ~GFGw!u+5?*` zlK+Q*zaJPI_bTpba&a0JPJ@{@JZ?Wzv=w%)=mGUeE(WZ*L?54$KM%KZ>sEKGuSS@Q z=cv=7{ZE-N(#qG%kbOv<0ozq}FHl}z3MG+Ld8|J6hChq(jFIzipsw$r@qF=TeLNH8 z{M#roUT8kQtLGdi=TD`?!IbmGP|h3W{Oc$Y?!_@j&+0kvkn{5?@iNN!NGRu>a{kSf z7%g&6*KjcW?d^iFMqHdqJgpmhApoao~`*+3y=0^gIma_oL#)J+k|-;vP+J4l6UUC6PHtMobE~lhUBR^eEVT za{hd=vob3Wl7j%HxCju_A&=Tx+~Io`yCW;h{Y+6xrQ0LBQNQoXP>G@mT$B-otZuyZXNp>gcdCvW@JI|wA-7vm}wYK7(4@>Nj0d|i1jmRTk z4hL7rF`q~cgno>)CkMJNvqT!DFWtihVGPj0H1 zw;04BnPuSu)lS2n`^K(|czJtEjs&1p2KbyPpPxp4YWL9f*q_*UG2|KYXxnCk#~yM} z0k|r+YM?5>cfRHe(#t$vvfZF<)SY8dKof5~&}?;ikTgX5Wi*nTEHW}vA2$ojH7IUt ze!%-Pc+pJ}=}x)2QE{^EGx>vnp|DZ~p-H5w0;dgT*s0+T%28+i#j^lirnoXNwoL;i z<*?b++`m+L#tGV%ybdaMpzP|MA6GSWHZ;*AgookGIqKbipw%Je!Yk24#GlSZwD9$R zpo=1a*f|=Rdkg!;F|TT0>DQS9?WTn(xY!kF5@Zm1m-s4lit)MZ6`6(TrLm%fjBW{1 zN%)q9G6or+AHgakQq~_PfNC} z8TDqTg%27bC%<%JM7iaa6Kk$Sf<0w@jK&99Q)b?YyHYcWh-};=`@;ypd)R>}Vi36{;rKba9+YkBb#`Ovo_i9iZ_8~@B z%M|U0UcqO3H5`Tc;qHcAdHC7(w6(pVy~WoYoa2!D-rde5_`Gq*lZ@fcu+sAvXf$Jc zbUsPVkS^-`d?}n=>(~9l8@=(EMIDTFPz@4mJ_fQW=0FoQ;jtXBoPt$Iv!%__?uwBN z9%J)irZ+yUQHxS``;J|ZZ=nIO}b!rp%IdVG)YnUEJzZA#_^0Oh&>;^b`v3CtV+Z3d#3cfl`-#x)ZOHrk4aj>8F(nMzda#bm|{3v87%k1i!a-xhH)*g!nO^g~? zIURqJisSL#0$I9Qi}>;izR8DobAyN&T;U88~R%Z_=)GJBAJ( zGG|z{?e>`yrrmMZj9aEm{f}pROXH{Ky7F$GcsJ--Mef4-HZQzy1^cI`OwT8vYgpDW5DE&_Hck{3O=^0)Te@lPmkKP+Dnx+4}AM-&p7Xw##91s2V zGwIJTd=9~L6`m{c{07e;JSliC$1@O52A=EjWZ?;y`vLVF!DB<(X?$vU4&d?QF(BcQ&4ITlBdP>4)(2#`o{= z8Hdkye4fLTiSMKET#Kh?ng7Z638A{K$LCl)G%q*d3D3cJeE*-+|G!;!4d(3~JkQ`6 zjVB$??Re(msl&4c&t^Ou@!$fHa5YG)@qC2meLR1`^9r6n;VHv&E1q$9?#445bMrht z8}VFALHgS7*bZ?|1r1! ymQuR@fd8Y?HqiIA;{WOU>HD;U_}@I>6#h4S@>fbZ`vv|_P}bo8ltTw3>Hh#1XykVQ literal 35490 zcmW(+bySm&_kK54z!;q)hIDs#jS?8$-IBsKP^2Ve3=j)D5c8ubC_SZ15h+1nBA}=n zB_Ix@-rx89?jQHud+wjlInO!wInUz~a38<}5C95T3xJ?G_y30fFY*6%PT(=BRoJdw zwUn|W`Lr>wY!D6yDyHH05fz<>e;yvF=Oyy-r2o43vKE8EAf%8&Z@B^5?>z4zZ-SpR z7aJS9ochhP$JqfCRgg)8VuuDQXtP|T%oOp8Zux!C0MzrbjuvUcYw>|r z90f-(`k7WfSM{>-IsT)JHSZJ}OUExWQOKIgN&cB3q`xaox7I67ku-%6L0(l&Q??9F z&E_TlvF|K(@Nv+qwHB0ml62c!n-(@;USj~i!2{4&1cZ2WY+~=vX>|%>+JNTkQ#VxJ zw|6&Y3}-wh&r*@=tS!nkuC@EMOzUd_aGlz|4N{xdL9n8D3shbzr5EpVRe&4d&LZ1} zJQajd;COpS5{px!0L<9{$oez@vQ}#S_3mNOG%>P8nSQoK1(q?k9~~@0L9mMGqy@g7 z0FEYJ1bEYgypaaJFOS&^4G9RQy9{Ava)wvQ{t)=vuS`4ewJ>k_}gjm~TpvZ0?3j_K+Q_=g;fNiwY8A2M-Gez4bJasMo zcmfYSB||!SGbJg7MAHQ_fVY5Gc*H!zh+d3Es1UQlYM}QyT3pggz z!+m@MQl}!0tpV;hI^gC5G`n;F&a;4%#9TIDUu)r&aQ|6g96+-ghyqv^fC-S?m{gk> zn-CKn5H%GUv1XMRl6+AEFnFm3bgKfV!DE0Rzz^{D0Nm!*?(E;ayl2lyA_#=IwfO~( zgSN14V0GksTX=mAey;?7@SBs;h0fbtFBx3#NZd1BAQFyD7fJptM+g%+8pnBvQGX3d z&~N}5c&kMqw_>__3qkFc+?d?xB*8jnh@1uH$2qRhIY7RaI$T@mjdjYZ-=ZHlb^sweXTH*L&JWNWMPV!k+ud~<{NqCwIRPVTMkVVvuMqp1-|)+q!ssQ) zGc+0(#Jg#X7~Lo`pGgg2mB1S1Mek%%_J&T+Flx5Qijc%B94MsOdC*kf6tgCbn{Y7V%h9|qDw(mo-V`y z83LFZ+$vCK5Ka3}9Ju8H2BubN`xi_DGRhAT(0(nrBdO?QZM^&{j(MWYkn9$_m>zy? z?wPx0>o5NoJ{=KWaQXc~)6*HfUTle4FV7LzpXDXHcZz_w)x^ni$aJ_`zo)zNKBhcm zeFA@W$FpoqD?TZ6V;7S36NH{e2mMi2#7(KLf#369lk z95u=G_-2Sng}qF(DoWApri3(Z$f^Bauf4B~CE(Xri^k{5OLA$@SFF-QS5_Tle4&Yb zODKQRau~vnwR3&F%TM3FWxcoA27#_Njy!5>EqyWHr^C_#kF-JWwN` z*#49b{YK8Q?L)yK(sFQV1q*DRP_Nicf&Zp7{apnq?KQ0Sq}!*;SEU*^9?$3Zg&-(M zzn3T9e<61=$%>I{qeTbB?Q?6tPu^-rr#jMoDV6wF7JZWUAVN_0A{n;0EUG=U-2G;a zC&H=Nv_K2-20WsPi1;;eh<^oXVvu#y&sy*JI}-U7YRWPw|AHeW($;T?8$bTM%Eg_A zdeVH|1X+|OGHnMr(Sd=b=fm&ZK(SQg=l6WSKRAix0iE_#kTjm-s&nicJ1_Db2m4N% z?ttg3}VlFdfG(Q5F? zLZb~)zQ-F)8`V}WAH@E*HIWnYwP6L(o~MJ%z6+h-n*XUHNkD;A*KI7u12qOR$LN$n z%2#$oCMa3-js!2*XQ9Y!?W(QKO?f-tzaY&&pm4bLtr`=~+?qIJtKsJE`#aNXOd$}q zv2vM)x}@{6JGC;*HG|`aYYnV4mAT#Y96c67O?`K)p8WgOy5$77f9mf>OoJG$j!S9n zr0+#v>tvhD^rIFev#BDC<6S|Wib@Y5iyThF1Zwc6MvD~2V>u)={-lJR(h+jom@7<) zWFwxsRZ(>$s$8*j;YerVE()7c;Ads^6zA4etr%`sSK9hkV}c>4o~FxoZJpz5RY$8q z+{)Vcf!($ggP9y6aRJ5I+U*D(GN*zrP^unfgfcqLs1E%`-e!?FhN^nHjs7*!O?ZgK z8@E_SJ*2NbJ*=y$4x#5-+BiI~_Is3R1v^>&PxFab;DJvVf&jq~TG_$8kUYvIk zj7ul1GFV;?vuaB7xk+B#v7YkLUd^I6(xz#APsP12yu%EcafExxfaIh~FZenG|Flx* z7MK|GWttcH&a$lMiik*Jhi78k6=IPp!b9=ABW2{j#DPJ|6j$RL$9>A?ad1>?$M&-z z`;&dD&(?{T0#_R^iLPT-&D`(+4pVbO4u~W1o?f) z?x^0!Pl2!fA2#{$2!Dntve z@$Z8E$<%G3q-D=1h=g2O?|@TR6jOA}a`?Ywpskpk@CGvHhSBbg8f^a9LPExC?|O~z zdy>vac>FF;7q3F_g$E}b#5nBgI4gFO`iaSJhkzd%D=t4e222o-Q0d!ll-=u}g2zmT zlu0Cxd2tT@&*Z z*R*;@XXgG#UD`u7>FWJsnw#fpYBY74w7^%31t;X}>Xa$Zu6Et|_9<62^(gDsIjuCj zmJho5{8+#D30F1AYI{^p0SHZd|3FIU;|c`WhvcR(GeGhT^JHO`2s-fsHU7+iCzr!i z(AjxuPDsIC3l!;GTQGOTh6Iwik6;aVaL0y^zNGNLNuqdeE(H7B(pbDnyF@ZVfBFZ2 z)5S!-txt%?1=+BTCr^vu>ks>p;xukIqpJ}{mFjdM!+_#>Ax3_Dr8LpuN)u~rMQ(~9fo6UKkh7;4h@8?N(hYYCeas%?$QtZ9Iy};1F%8Q8nZTH4 zzv9l}zO6EsjyJbuJbAx^WSKR$%S$#YYp<;Y=<3sP|y`{J|{l*bnfcYgSb&F7VnklMGASYLg${_gd^zNHHtDu15wzxy-s zUudRnXjK-yfJRWzRpR=Fv=|C zeY5G5eMZ-GPRGBPF1Il0(-fpVisXpWFoXhD%@ad04{HB9KGxj6)y%4>je+PO_3XJ@ zX1K8->|lP3&qC)`QrK3?a@lx!pg7|%=O2))I_DbPvz}4O=sxk9>>-fw@sG=W+SmN+JNqqh>8hwZMn4BQ*9jDfwROf>i#2LKKV*nc%;SSt9Ix0p z{qjjl^qpuNK|q>Mcn!Y*t@^qIgZk!&P7i%farsn__%`utV%~ly|7T|d2v@|t4&D76 zT}d;ycN>o8xwT@Zj?G|~@i&9=XQ2C_%-%XgjQ)H|aWoAhRBM0Xb+pShihnIR%RSD= z8&ebFS@Rt~8*E$t>C3#)Sj_C059JGZLr$=&h3xvpuuARB^0ai>|&kazS{ru&+!Y!q5F7PSz`JR+!Z*Lk8Du3GfRJ>Cve1O&kf?1GCjc#Y#CHAPB z-_kv^R(B#l$l|z6<|03+RBo((yKUYaS=s#oPV+t&`9Yt3H9PVH8n2vH3VU5>5|qv= zn{DTl1`{JQj4WgmVIb6@8^Z1)cSF>t9^LRphuy^n@wFd+xt2_VN3u&J_anls^Wy`p zp^vG&6JM!f;|s#hZ#{&Ceh!(T-`4eu$}+#w;^MboyD z=eNuVS$Y_6WBDcrGEIaypmV(&=V0Fk>}2U`Kf(=e{g2--Lvm^1R5GKrRiERs^!?yH z`+QU1M||DY;kQx3|Ih{fIa^pd2EL>yYa`O*6mo|V8m{v9n6afD|0+}( z;qK*@l)3r_$sqg|`Sfyd{hpj=UY71F}`R|3P& zTL;&q)apf9=DD@rOEUSTg!(B!Z|KCxUI z4O;`JUpB@5a^07t20s2lFEF#>K;nNVtuof|1xEvUBLVl0Ud2na2yIoHi6e@Z>H{^q zDpDX%1Fbm`c?*G+*D@5ZITl^oxVx1QC|jF`-(7gRa$Q{Y<96H_K4eJbwQNbw^vBvn)yjhM(duD5A zIx|HqIx77YPJLR$B0MoMB{D%7n}s8$$R)*Nr=!yxZQ|C#lcUUA0nI60qI|2+TbZQr z)N;r45gyG|TNf+t_Vj2X{bZzbAW!ltG4a%i4#34Fbl;YglxmC44mZZxbR;E4B`Xk< z%u|~baAKgXw+lgCOTS23v6++_9g~oA^QIzkCoMjLlnT(q0YA{iGbuXBOfGSr#Nq6c zRA^Vr>zEGf4oF%l1~hNtQp2X!GtwS5h6~TR;j~<8FMMbvU-&!`{vN0=iF?LIo;jIp z+f7Oq58Q;(fc_gPVtTQ3u2TZlNw&?&@z(2+k#SAHu~@obI4viW9f$~@Lf6pKI5pdJ zxjgA2#=~?Zv525UkBuI&&d5%{B^RZLb;Qu50n|0M_eo)=hWin3N#B};NCBELE%vIo z7+I+`Tq+jzy7(7*jAyia3*|Goz8DdmP@RGZPs4`CD|aLV?4I$b6VjX@jX+l&I;II7 zNt;df5KXT2Nav89x@y`eS}+SVc->SJ^{(rSx<)1BH3gGrX4Rqd1?2Gf#QyLI&BE#& z&$yHfmp<#~lgQcpzAts`QFQ%C(tDWUwljYmx;qYSqEMfbx`*aBJZ3U$pX6u9!T{zft?TF|~O1lPsEnL_*}9?}Qa zdy?{RmYobt9tBOW8}ci=b5R-iSf}<@>G_;X;Q+Wu7Qb;LDCjVZ%8ktIPp=slSX3N zv3_ojE`Gmbsakc_)?Ei3rxW9&Y_^;1;0j}+rN?{%UlhkqN5)~*-mZryMe{Q=lhUaO zzl&uCLS*}B;)az?HTct>tj#kam3Pibd;8Ba#Y>W)myI*+tT~V5?jwN zjvA;w7Q-s0qJE;LkUshD$djaWC%zj`M^&0j6Z1?(RD2(`kkX!TR9zs%Qr%a&Ut(;H|3%Ql;w^&8N9$IE z4cAg@YGV@uyE78S5;beW->~MTxmy95X`8spDHngWuvXWR^p*$Xb0^b>M=0l_q|j=2 z>LW!X8m=Z@c;0%Z9;s5bZnRh34@-@Smp;We=;e9g#SM6t+bzq{;G_kw*kAP3jFkK4 z>~c}^7wMUDfr@mwNQe>>XNH@f;&Ud2C+?w%KceXz>7!Z6YUT|Bd4l87K3Fa5tOq@B z!^yG@5@z`Tr-O%c82PljaT>0hx2}Li;uG{#h6eG+7bg2BBzD0|MzpT7p9y~pwT`u( z&W$;FrskMt&}|{F*o&1@9#ZM?rz0MBimw2(3K_tI(9tS$zRD4}-1(-w2%f7vZP1i7 zn@$H+2|2Q(cQS(&r9)=FJRZI*X2<>+9W|jk7_UkYBe{NxuKRW&Tt8VqZf?Q(o%9o~ zaKdvFL(M8Lx67(_HJ^iRIaiVzl6(R#w2s_c?{at)zqhf3~aQWZzQl5ylAal_C91r8yxf{&cci9Ju zw?VmUK7t)60Py%A^gu%Fy~)EFSIGIr{MEVzfZ%b%ZN1>x^6F(_`|ETDd|7Qd*r5Tm z9FFB!B84{8%i*TNJD4b-U?0|%!$y8}XLUx$Mid$NlzGSnlsx*M$knj^wy`--fBhNcb57Y{ z`xS*+pQEzT@^%NXqMFYZD**Rx&gCt&g%tEdlhAf8hyf^9cGu5P3*WMF%34o(vGO5f zS4yb7ssrhPX}PNipSM?ZE$Bt19+SO)ms*Sm52#hPAPs}~Vd(8DU2Gum^yNz=?kR0# z-^8_2%xIY%RXr`Gr_T`M7a;1v&#i`w;iTr7E)aRaZn0z$y}=O|X-XDSv$IxJzIol0 zA??C>9Y(0j*rqAcggQ*FcxvK_-7J%C7Viv)X;y<>taZS-gnlAIGD*5k$!~)ItAa>R1v_68QSq@@x0iP z+!CnOe-fhkmv9%o&WG%Y@sG*YV>Mfa7bG5dz2P}twuguC9qhL{-+o?lr@)XWFLi?< zcl;9DRv%47c4C^VcE7;FGW{@~RET#wCvj(kpd9vOOJWPpb8(aC*p(}hvZ2W>u_0S@ zhFu)vvpbM<*BOOnt6PMxY)if3l1(E3X@paq;tvRKHk|U}1vjrdIY>u}8H#;8$JKYi zb6M@N^Ss7}X&w=A^Qb3}_zN926{hNm&)}CQ2AYldpXD(Ue366)DUxxhGQ6Cb2|SQXKF$VQ$3zs&3PoYIX0dy1owFD`kwftR{7y1?bT!|Ee%X=R$g(grL^ zrUMRyJHOC`smls$9zI9iAJVmsAJ%!hTa@0tX zOx^ZT!Ghv!K~STf^bJ1BPT9O-5Sr?R>7jhRlDhJZEyH(KsoTM=_)?atcHmXgS`^h* zZZ-(RJ?ChLrYBN@IScy2`-1+}AiQ>IhL$p5yh9d}>PClF6v{VJ^qSa?2hACrElbEL zxN{vf|2bEPgdj|dWMFD!HJD%OfVn8c#6TC}W;<@xTNt~hIu2Z%-0g5>HRi5{1I^OX z=0>a-74fu5L3qlhWPSrLPp876E+-16gl`_o_i|B2YPsH%c`?0H3J;pFl+a!a`GJRa z(=7n}__`Rux4(}XPbCCA_;sM*kC_)ql<76lG3LyG^)3f(2^*X>7+~2V@_?^U!zUX5 zlzJ$fSQ_o~SGX6%8S`6oPDUxBh2r{IV7B^digMt(qr%e3JNqQjwUP7nq0 zIlR@yjBDob?sUZEX-1zg^i#7K*ePt!^9HNfIxIrFbRI8j#qRUkz;d_x6y7}(@oJIc zU1iIuZHD#O3f$*8dmt=cHk&A#tBIa{ZT-+AU}`}K3ce0M%s&Fz&d`;2gYza9%s=B< z)z);lYw6AvFu!Qk-m+N4y6f(1b_w)nfo>fwHmhJh>}$3QA|%rvc9pqK0~DV+*0U}y z(2nyrtDn-3=X`lH$4de)5y$CM%vN+RO@iGZl_J=~A@>|=aQmuVg(Fo!*OsKk8j+S< z>=lor@@r_$)q9aRbUX+!;I{+O04`ttdO3~Kw!-s1$15E<>;9zH z*v9TtuJ5J#+$`&tTZ^y$S?8_Mug5 zxJ4?(N4RQ?d>AiEstYs~N`6gS%hp7vf3bRXEd@EYS0Z z!EezOYdgk<(G>x?|8A%L$kt~h6*xn#0$TJ)zWw5VC$UrO+#eXlz(nx|2VbP5nN4M~ zni*C4p7SPq{fq94-Ev?8|B1wPomo`r&&$vOF9*Y-bjKnZ4d7AXeh%>QV|lq<_y01d z4-OnBjdiR)G`hb>Yn~@Pv-W$d*!kT$M*6$G%>vcBM7T2~cHPb~jL-o!yp~M7}YZVA1S3g;%-**?M8raoe z2+b5UEc1IhiyY9!5vbYk&e z5jdM-T#ad}>t3s>(bontq`qixj-a$_^505Qh#q8Gj~ZI9I32>UiooAnmP%vf$0dy3 z=rYx_-sqIyC=Y4+WFNZji}{tv`Az$q-|W!cYAN~NieT2OAr2IE`4(0EVM0YhK%|_Q(ZwykP)7C&x|AP1iQh%K1sf71j>b^t%51HV*eE zr{fvw59ua8zFjP%4bod5v}G)0_<@B7EDW;HYT^!Qw>CV-+T)?(*_5}9^^Wx@_e5tL;ydrrY5UE|?Fr|=p6B*EB0UG%>4`f2$ z?isx&c1Two8BLS&{&~HeziY+@WG8rb(UtzJBBVIk-JSK8($VmMuLz-iqizx>mB(6p z_Gd_!o>RkWd@ATw(H{Z|{oUv(W&c|wRlNF#tGO48q+}L&<9|Wi4uL&BaT7{~R7jwToRh5QY|0Kz8Ys@bFyM%IL6*^;u?`r1QuJ&Qh+2_?ydMM!* zJV^n6?whDi+h3|~ANvm(Q@6GrjM{X5z^JLPNhRuz7E?dhx(d74dg+qqRLVMSw?DIV z?vkwQ8b#`YY@44<1%|JDFtUl4kt_b*UFNa2@)lFL`|iU1waiXT_AmUptKH-sS-XWu zGgnUG){C{K1bbH{>$);~5qt8%PRKJ;AbJJK27g(D0yXP;S4WKI&+S3l1YP*NqdI!;~OB>2|O4>wA`O`bk&s5-151TZkpqhN_tXL7rPC4vJO8MengJlh>1!fg^qm;l>2WD zl>EhH;=u2=D((@U?1rZjzGR=hyisAtp?V)SYJdrPq+CGa4LdS3SE=~V{>Z)pHnGk7 zl_EKBz;p+hZ;C!^JYObeq&t53-ygQjUQ~&A-Q)ugTDDL%=& z_k=nghCYzW!|!i$=k;?di)Ty<9Y5>SuiBuj(ynU7X*L?BlG?kVC;FY*S`UM6HVZLp znvSNUApSDXre4=`8i~~Qb}DNRRoSV6MjlT@@DqCo)F!pc@VCj?9$K;SZx$k$nm7+V}EefcPa%ImzD@o$qyoHqR!qaubfXeqoZMAj$A?Hn|IjGy<^m zXP|ip=(TrS=o{~Ze(oNLFEbkvVa?-nk)&{!%NewwR0SnDIwkT~wsxA9))NmG==mBVVFh zkxh4EH?1t~O|&hzzAFUYme#r2xifa&F?E#kQ3lkP?D;S7$yQM99R*Gmx=-XL)Aukx z>pXANfcW+3#8>j%c8b!4BGvqa8eF3Bi%OBNf=h$aiktS( zOY^*S7I7+r5=!K@S7m1DPm_I`jbe*ZU&Q;WBD-SWd&|0}9+qMaFT~%l`CJhXQj)vU8DB-! zURGdGe$?kM#0zB1Z(=qZ2RNRnJ{o)`RaN*tYV?A@ZDFfA>7RokC*abTUAR`Ukgv`{ zMeoi`bMTm}aLx~Im4$30CBCwhRgU20mOWEt9s1R1dI+(}6x`w-VG-l%2pnNwABQ&_ z|IJa-4Q7B)O;*W*hvpKju8^hvvtND*8RRinFNx<`#u~&J|yd&{|}A z>ye#PQtR!&Yiz&-P4sBZ z=6|2v0O1X;{@K`qt#VC!r2N#GymG;Oma?4GmZeo!h)x`4S)C)wwIdTU!0W>bt3rSF z@Of2*?X@Ys+^AM^`Rc@8e(SHxNnN{Dmmka^cQk;qXJ~s?Pc z=UPe|;MROqiA%Fn!f`9iJkh{4v#)7n;Uuj`7j>0>tyYNkk`t?!9^52KLVA2HZdLSb%?W9+76<4s=6$O~}GnMW#6JManl8kRCOJ&@-_6(a%y9nS~3 z;l1U$ZS#(V>-hdtJz8a2DLYxFiT^$YE}Y%oTPh9tyl{B)N{wQckQ({9x)6G}tQ0bp zeoci@F(X1yWrZsK`5Y^q%?9HSg5s;|k&!S@vf7b!!U=yte7so42T-4W0>u^wqy4^i zO!|StX=dM1wUow=8qhU;kXtgHw|^nM*$Z|q{i@~J^eXfGE3~Ju=Op98H;;|lN5o;Z zK~Nn+o_$0Q^Qa2KBtV%RGti>!UjW(aE&gV@!yDL@bmKRdiH04QNc!!0>r!Py?v-@* z&hs#+>KgMqeEl_yd}Hlix*cricA5d%+2!u1I)&T$EO$6F3p(_0qyz4JKW)vFY=&5S zKs151)i9H+F|BmF@6cQ>1k(V~KD~R)P_RAos_Tu@*Fx&s)8x7{5pW?6jd`d)tlhUx zo0~=uHk`yZ%sIW20+oi6B}6OM(~QZj#g*FAK{y-Beja|hF*Hh} z0@^;EqWH#=9+OzeN{b{%A|{L9WVgpFgqi!)ri(~f9ll;T2(gjjcRf5f5Itsd0j7XPfH3>&-eW3G0;#_&}Z z6 z$xWp;?+%es_CXSg2NiitPUq0H64J#x;rlq()Mz3DL0Z~2>LM@bNC*?A<`{}1iq6-2 z-Q*m`;x?ZGpMIG7DK2Z#aWDuNyLFv;V}p zP;)j3WXd{nZCDaKtU9h%;9DqPt@9gF_!{gMH$2{EmWRA43w|otzB^t{egjM&*WfZs z4RQEehYibFcvI1-9h6z{52iT(2Lox}Prj4WwqPOlZZyO3s*lXfZ`5hQrZtVzK=xq! z{*}pOx$CUFoM4W!e~`RDznFiHd_pyu;s`*2tL)XF_hV-Nv!j2I!NX(9Mh~5WaaHQ; zk92!WrG#H+vd&!x=;!nWqZv<^a|F0~it_0DlKjF5@)T6HkM2v5Bq-FV2;Y#k%2b<91Q%1n^{&TF~L1bo%! zYn!=uTBIQaE+5SIyzIqE^Uir=^fctw%}YJcrT-Z2q3K-Nx!4l7LVN6WB_5$qYmC1( z{^6)+-t}uZz8NCo*<-6Jvl4|Cx){dES5OCZwnJ8xXxh-RlHaIzwhDald81U$8x`Ok z7T91hEG=~}T|a0yZ{CwB2$=j=e4VcVgLng=2vdu@Hqg%8s3gi@84h@7$$Kt)Voi#B z;eS@Sz$ACJ0;obTDYnooDHUbbzC}W7bylW#nFTkr2#`@qNda)U;=}p;2B}6^3;yMi zno%DAIp*|vGfk}cTDHq-3k2YA+7CWS&Dy&tc9xz;%TM#9jd=d3G?ho4(*6%!@5?be z#?kz4>;lx6dI7?eNSmACt_YcaJiA*c6GNs-cu%7qh52lT)_Lo~^C0rLbpq zPDn-3RqsYn68nZ$2eXI(xi#jQl7ab@oGbNbZVOojI-qRVK&?k`8fpR~DtXa;Njfds zC{5b6z{C;0$9q!J+WpNti878m_L4U5YD|3WWjfiBImz>1flZ!VGr2Y zB@_4BjF+Y8igUet@WkJ|xio29m-g>x$>;~hpgwJzU1Wqd5rB5>Mdu|+e`dBFlPGf5dU zTU!2%#g|xGmq;uAJJ$&j`@`fN?^ z%4%1OVsPrBF`teW!~gQ+qs&(|+~ULHqTZbwWsg~qw0bt3#HGwP^(FzW@7oESa^&hQ z^{!zTn_XLlN@D7&G1Z4at#iPa?v%GP$^tw-ulSZ}M0WLt`NGm9L&2u02DbMfA<|tV?5Hp2a6QjVjbv72wpt=*!J_P2 zWd#_0N!hTS*ooav1nKPJ|a^~UTN=5(YqYmK;nKYP&L*J zkEr-`lJDRJ7)KB8HUxg|gtJmXA8kDMjn^$9 z<+O7h}e65mq>oy1M{sR1N^}3|-!=R~7Vn}o^hlzT^qN8(qSJ4jmN z0&>R-%e8DkOHq8fx;|){oX%q!p01J{PEz_Ew{o5N@fomStpKt(Rw_)1=_?$jX?4L| zTstdy8EB*}`~NO0ZcH*@nkm-Ow79|W7RuW8I9gaeSvMz;WRbL7% zB->4aBF`-mML(T8Scq%e^^#!*{6Z(qd#&u6u_?VD4;R3%Vax^M~7% zqno%M@8b3o-KPwx6bp(*U73D?bvxLWCf!+? z^q)9=Tc|Gd6I!%s+7*%B=LBAR(RHyTuy9!XP)PKNI#(wQcdLr#_v5>|0VOYPD=((e z=!NO1P$eogOn!~z%ro{KeeL#fy+khno|A1S$*&epQg@VqV*A;f%toWWu7{Hn z*EY>KhCQ5{lY+y^Zxfjd$>O4hFiVJ^ez9S!wrz~XXu(}I*XZz9X{xuAql?ve%*>Kg z?qxxA&Ki@`4~lT!Sy+8Bz6>w#N?UPQmtfwLW!S?et~{lPip4 z^SI>^Hs3-S{gIy1n1RXFl~z%&7QK}}y>m`Kbk_x@E{VVYw(?p#D|LeJ96Q2%ix%eM z_Nzp!FK~36gUY+`?T0F=jdR$r!Ae{2udjWOkNCT)W-TyRIW$x5&kkwU zAxp3#WXr;oA|Z&=Rg}9sBUWi_Fq;`LB_N{ZJkZm%GKhZ~BEe_Xqt&TZVmkc4q>{h! z`jTY!IxJmr0x#huD#b-=@yfDXMB*Xvz({QO%7V@50b9-)cTNv4(&AbI7#tW^xCJ)cBJHw za<|5Bp^?6q+#YxX)CX@eE4Ey}qrXS1t+Gj)H~6nm%azFF85-j=?Cnvn7>UkCp7We) zdCGG?P^l2%(zoGZf>O5pmFVp+eIdSb0CB?F-!YAor&~?wnU%z8@bdA8a!v_Fs58eW zN-L#F5wOWkjPz!o!!Vh4dX@2K17)&KZ>|JdL+VYzQKjO<6u3w`|&N$T2U zV1k;2?IvxSe^OcRp^G=WShMo4B&cP#aLEW`I&SbR{qMq1Z09>q&GiXLd8L*R#j2Ur z4`k@%LRv}x2B{hb^^}iLN4uF)Rnzj2I;#u$kuh=IW^m^ga!p-SlSt)N?&*roXj4r4 z*LN{id2uei%Mo&GuS6ndLiyV&qh{n0QZl5dvQ{ykxafg+KxCTeBl6Dg-IZR(Q(5N} zCrX-6U<#weC+^D^hRC>8o>K2ZJ3m#oZ0th$p_&-e^r)5Q$IOB6tlTg)FkD}0zmI-o zzq-=?!cxe>$CGltM-`qp&7u3VAdCnrc?g!FiO;#RMJ0&_T=;RnyM4r z;wZo8!ixG!&6#m{bw~=kVhp4jf|=yBG~EbR>_^^ z#iOdQnkcuwK|h2v_BUu|k^Dy6Ss;1&v$*vSpK%r~F-j!;=jScttg_b6$@IEOp<7n( zw3NaXJ)g^mxWsCaqC!J=G#&`XDtm0Y4;~Ywl@ZFC#!3C>I%uTD#|SCX@N zD<3|i?&8^-J{fMP;;*7*-i5yy2Wkr6r$h}B7ct?Ymi-^}GP5z33fAA}B1`bfXuJi>PfD+iV)u&39T({wsl0pDjCUhA#WYT+(F0RrmNwD7q z{dT5J5S_568SF`;$P{rRR4RoQ&@%ozlLkrurYYk|lf$|3IQPcvCjKw6`oAbg8c8l? zvD}B}(2}ot0V70rK0xOlv~0sX+EU!he2yXvYP_vfK9X`S5iW9ee)Zn@cHuVyw)35B zZqN@l1`h4a?9dBlfWK@#1!HfKGm@?I)R|ID2aGN?Au(}wC?+`O*lluK;5XPkn;ZsX z2s~$kcyT1B3FOI+0OsZgsJ4ltVfm@QveKR1f%?P}sdA-pqL|mGBg0=xYVj~%aSG@L z9I;kL3if2as7g{vei2ydm{{9k&VZyd?9IBn!|VcULzu>+&HIUhOI~X1>}n&Th1Wl5 zVKZ4s7LNFzmeoei!ODLWrNaof>USN!;l#+4qywCdhK-5}L8H%ul)S6v6`vG+f5ccF zS4vQ=iAb*jPN$wqyPt9zr)Z<}4d;0(U$b2m=a?KhFQa8-Xn3Tit*NQ4t~?LmT)mIe zkK@GC6IGJ!%!i_)ryU|o*3P=7)S`K2Q%E+)_^G^H&w)iQj{PXGi#Eqz&~wC{_kBa2 zA}PEhU$!sV24nleYgp05{r$IKH;g(lGqw!5C-*+D{i=uswI2gD1v^y+O~%r=xQ@3B z6rFBBaY;2x3s7gBj*UP_Z0ocm(DG`_EZf?&e6@dbh4yp<-Dy~E9;_JX$OlSYKu~+_ zpbq!vMfo}jY%7OhRn0RBDdsa|3W9Es!)68kd7#|+-+yim&6;}h_aD8X(dFN|jvSh@ zrTJAzx~Iso-U3CCy&Wzb&TKK4FaHeG(15h7kaq@VRvy1Q3|SF3E1kV7_Uvpj8kfY+ zqxJ{Z!ETyNLgq7nfJcW!cwYO?bJAYk&BM0mb9g-RK^#{KW0Rsk*96O(pO61S?YqA_ z2*b%ceLi*Fx>XhI*g&X^Xm{@Z`LDqIT?)HM%dIobHK;Po&Je6-7~woJW-tNMIb8Fm zuD0B47N5kN{tRmYPg|JOv}TV z!;|1qb~sWo-*)wM6V~is^Zzk)7H&;=Zxml|EMUMG-AImZ=`KM!B`qWbVFP{=4iIGw z5Dd((5~74COd5sJ>I{&S25V!0pp?Y+^Iy34dER@T`=0YTBGQ5D)ZYis1ZFt<)Q@WK zoRDW1q@wO$Y!cc=`FqGdh%LF!C1Tu5Z$lc7?DXUBKtwFv%j+k6QV+%C{2Px|V}HPV z87@>$M{ikaVg|ZLLu1ha017$!L;G!>cAkebS8o;&y}XA?XN#EQjo6OHdhWYk%x$$e52csaqf_isHNx@2}nSB5G}uBv9^cZb=Cg6yw>kj|-2UV){VMBAQsj6&lNKFng-Yjg?}=^~7)M-;k$* z`WzA|&?3Kl6DbAYYzO+)L7J@b)dRClj}Hd}|4}?rwO*E3m;}Cyw9dMm8HGS4#M|$> z*3|^oB4*}xJ^4%7&j)SZp7-adCldNoyc+eryIjnAf>JyeDN|B)*57i4e*`izQ4cdj zP;dX|0I}geI1AXBLcPgenF282!cva76sDxUWEP6@>54=>+FvJD%|+W~gNU~=(S-6) z-*BisYM*!h6pw;Bd#S@}Z!zl2?-In0?Cnk_qt$xHOR$ZS&=zk7{L=J|tM3>-zAnX7 z?izSn@NELWUA$_EJ!D=r>DR6xciS~ZX86nSbex{(yREf^buiy|^e_vw&Ji3=++fdd z!`=F+T`L2+pF@Jh9#mnKqsvUsL1p3<)_vOjdqxV*juUw^l|z$T0a)YgA+pt;cOh&p zKzrJy!9ThfeHrv|dopuhhLbeQo>Uns7OQM{*MfJp)LPgcS*VVw8*+sx-wKTe4yhwB z{H|m`Tq+pMuD5zGtQD>OCf?wI(72U4uTjCV`P>sYW5y&A$9qT>&RzzUItCwxLTapa zeY2^btXix?idbGAff)P1($LFJX3N~|WLqeBFuSDT!0c6Wg7rXTFXpNpPj%lxXH+R9 z$>K}=LtIdbrH|`1;x!}J0^aW=>*!UjkO6J_(etO81`XOB*)8MMN4u)oZ_hSV#PPB_ z*)Pol3XWMNc^Ur|%C|l<-{bmT5S14M(2iSqkA4pffE7WJOc|W)RT890-&fmg`X9PVO|vU zqhu9DbmU}zN6AV%QQZ)$^|LzO%38X2@^n1a0qIa1vgd24*q_w7w@?WR7n6N;Rr6MP zmlV5@t*tNohi9Ai%~R%v4n_wiyfLCO+fem**5+!Q=Wnodd^tz9L?PzWBy1 z+qq>z#aMb$m)JMZu2W|*s;h7c9=6Il!llL1{gN=-6!BgrAU0XJiJxhH+yR8JyH0Jt z-N|y~vbI}n=w1UJzQ-`*h5(Vwy-2Udb86zT^>5_w48+QkcvBU}t9cJQirBvkV-D`~ z)0HcnIx4n{?is|c9kh3(oU%_G)Yu45*kHGNm9%INXq{G6Bit2il9Cwo&h{zt%;#jBS&qUPvro<+SR?6N{m(yJ zwmm7m`r7T9bzG?`GQ|9F3E*?m*;apH%)ry*K2idYh=2C#)(}fw{S_Uj?0UPL`q#c4 zzRVr@wf%+MjU5^N0e;=~84xlLpUa*AnCwoFKqkFY*$gX}Qi5?Z;nb)-k~2n`&mW-_ zcn%a!4-Oy&2R_@>Rf{VZ#DR3*{_|xBC%~^?9X43oF)Rkx7+}_ihdaEWc6$}K%=fUwl`yP}Wk@8vDti3N4P&IMJ<3F$rK*nPMayof}SZq%m< zr@SVIU)6!saYk|652|4^>|P&X$(^}^o$S8sxi^g-nF2}EJelmwAzj|gad(fbCTZU< z#MHb;WjUCZ*pU(As`NBUkWpbCUKLg(+iDYo4wGwE8d zI&Har+gg3K(VDnE{CW5tt|{gWS_@{q&Dpl!SE{-C36!@*{&6X=AET0lWS#*!-C3NL zl-pafBp$~oL-{&ZTJcg=^(xEivs}8|S=5gn_5W0#5p8N?2VoTeP(b(cAy}UMH51Q( zjf}C*2x>LrXzg>P&Wg}wj@#jR?A63=&*0*+c)VuCi*7N~vpS7!&FPq-gD zA|s*Be?BbHJJ;b9H6(u%N_xGq`;BZdnG;9;-U)cfdb-iDzcB#EaIMCdca1g=NKyJ{ z@can@XGfWg@Sc~HzE)#7c^j2xuEM6}qA6z4EIpYdn1OI_(yf2qMFz0^x$GTL)-`~U zk&xyln)r%e0x0wCSHv)*e2OVfJ-lnb?Gye!4}W*#VRN^PVPhU#Ts-$Byt0$Kc)*+S zMUY#|+jQszz;}M(k`jJ{SyyImb}l0#&OpDO;;6yU4}Q6?4;-|*bpfl^1=c;c)4sfg zm~Hc0U7A!hF}Ds{A_>UacUt5@Op|kVD#<@!kJsV={5Y-09uxT9S(qSjn|;DGoabm3 zTd;+1k%tO+4r3HJ0u7H7wOEJ$U?fBmB7;IaGNgjaRRCHy9%X~d zwe{Mv+!GF7GDSak9nJ1r+En94tX0Uv;DX;rHv#yzkcqWt_xU}R*1%=Yd97&OLPbD> zKecP?e$bv8LiJ?qDMDz2W_l2R3+!gWTy3Bg>Z;t?qxfDlR&qdVf_*@FW6Z1>^<+4 zXplBHMXf-p6x`mphgG)fomjiWS3a2tED`ZuBm^(oz?f}G|AA|!t zJ~aJS3XZ6Zfrv}&xF=t{tNqqG8@&rP?=oReX6?#dsIu$UO*i^Z9wJ1J&Zc&tuQ*aZ zM6$8B($}Pmc!Hgfr^UbSEQ?woh<+V3SZeCxa?v@x%=eFPT^L;U)dznp{lhC8ogxR^ zWL7R0U2d(9HKrJ>HlN2_^N1I2dLaI#CKnMNnR}z;6ZdAihtjX4$T|}x)^aIl>P2_; zB_i|LhRJW6p99ywZBB*+l;|t(X>u$LO@}sB%`FXoL9+uB^~`O9q zi|uh+Wi-h7qX|bat+1cF^^gQfLVQ%C-w;L-)B^$99^#uJ{J-UIX12gY04F*!v5L?| z_L!Fv*|Ka$@sb+sIYJyiTaO@lrU_<^R|tHd1k!^TN{4;WhI$A_v9S!5VpS$5t$|dP zs5+CW9|=no7*vMI?FdmJnYS-V(|Lact?sWP&8ORM| zW2N1ID><9G*h$F29LjX?h3uS0-}AiVbH6a~U7q|l7+*IrhE zN9|``iQ+#|PMI~M{Jfv)G-nEU_Rk3Yv)C_m@@EmwnNlO-%}@1FH~Fb>@|re6QV$n$ z0xo{}6H8oF$=%fHx9_gHIJ_eWDoBO)ynFIq90Uu#{Kfy$g(~}nF{C~1>KiK;3l-EK z(bfrlexA1-)o*_Y-K%n1?Qi)3t92AHgP?!!U$Cvx7T}-!Q5EONYqNhlQ{UhQ!XLqI zP!mg%+-aTY$OG6@|<_xj=f`j_Hl?^6D_NBjl{mG4d09TG~l zR5xZn)Y)J8E3+c*wqA3MWH1_*XnXs zPR7AQRz$l-!lqQ}-cXZ}ZXDl~rn>Feo+v5y-TvdVcFdZ?Dqdk0Ytr-9wiO^PjuQyD z1cu7o{w;Mqs!uueudMXFy`1{<6o#P&!c4e4)DZ2q?Hz6TD9|hiOE&d>61Hmg>swLb z5%yONtY2Q8X|<>Jx%^>?U6dfpK)!UgEr%{LC?hTi3!8=|u$0Ka;5sm|bU`S9Bxp=i zdZ?v^`UwdPT$Yg0E(dInhzE{xhQ#xOEhm9_#bQpM!QY8!An401S0%9%phH^cS5lSL)+-wCr9k^7r_XYDa!#C7T@S;UwpNiF-hylnufuje%Qgf}TYcP^0W5eL8D}`D zNwC#wUdfKV1QZBG04>7L2^DQCm{NLB+Edp8z~qm6GUPM6m+sJEi(BM(V7a#}1n?=a z4fIU+D8fCks=y`wcMwk$^k#D&$T2o~Rz?!e?y>ntE$D4DU(b&eMTKi1?+D6g0$tSD zG$9eI*%hWA4s{X>JWwYd$m^7u2MVIDUE=#>n zGdOvQi^#c@>E)@N*aRwSaN%`*055QVUgO@j$Ol0VW%uHRXAr2Gsn>wln(gEu1{Qi# z6(ow_fjS!8KfDZPJX zf>McErlx~F#-$ZlV4dsl-cxn2H#}(?e5)$veWA$Rl>3V}^n+&E+2 zK@$9_G>M`wOYiROx(uUqAPyEPJ(HxDM+{!JW&ep8uvmMlo-_P=lAR}RE-GPWW^j$t zjTxdyoQls;=x6^9Q}QfRi`b(biNN}w{#cNnRA9gM_}p-8ivTb|QbUSc1tgxVvLuCr z?V_|lM%wkqoeNoOv=FH)$9;&+jT}-mf?erJlE|^HG#Tqj2oR1$A+UBy=Q0--T!%_` z$0b!bm0G7A#|&04Vi2sQUH6{~yfp>d$-K-T-4cwMfZu4cID_FFWs8zVEs-whXDyo&|C z+Gd^Sny{R)kO`%2mx%89qz5j!?q=?)=*FL*SB|HuZCi^Ad*r(mt@2-7(END^wd^k- z_k(c{VfA9GaGEmcy8~wTE_m9=mZbGK=M3SaHt2!9iSHo(r_VhMo6Fth&hy4)4aqXj z!R<)c;J z6En}#kupEHc3T7Np1_p1!Nt49|MR)mX#r$ews74VouY1@ews^L(UK~+tCzM=z6SAZ zjDm}0%;k+i>ffE$;g$M3xV#X1e8}Jd&_0)xhC+p$6@-o|a5_&J?-t3hEzXn4K<#o+gV; zed^eTx}1So8%3@-6nM#@@yG|Ue>r%ms%XDHX`fd(IwTNv+Ti8_NC9J#a#HB)R4L_+ z3{n4iG|J&>oed^h!eQ2ACqs%~T*;P&4hh)gMV1!33d@&Xz92b0gIE=lE_*uzv<4%^ zj-o2+H+g{`3&1?5oR^jIsRmfPD!Y)GPYPQ6C#kzOdskGGL9OI(oeesl0eNYev(n8zgHOwF%rveF9?yp|8$~@4Eaz~f&^^*5=C+J%;@eWGD z_43C}_oq)zucz7zoUjo;0phPOVn}?M#$EBNjZWoox#GYZuO(Y}$nfsY-gl6?;Y~r2 z>g^#yCG#qM5*XJjf=8vTbNNoR&nQxM)2V)M zOe&)0_1L{rcC}$%9^EawLkKGGXTy$B1cCx zalb`TiaR4L{h%KovXZ4A?7bevGfaY-Ov?tPNnez^EIZ)Nm0}vrbq&F$JDyl`wsYTP z?jzR|@no!y&b%{>y?zvxlK}dj zG@0z;ncsbKoKOXT-OnE2&xE6Rw(h{PYBQxPCn*EoN1Dj~Jf1YaZsiXCeI{!jP~sZ| zT8T210|q4~MV`al0O6aU!FT1xNG@UuGCuoZkB%OnR4VY>e6Y6<~-4YbjcDubmH`5PWE_!5QhhbDCCIH(^!^ifM7jyjhUo;8IWvWkQ^nKwgu?Z_nqyXD`w_mnZcF~+2J7p_LeNrY+Ri5(d}LyN1< zgZxT;F^Xl^ZDZ^@N3(UORu_rVJqvqz62g)82mekH5t+(_8sDV4xB`1N#!XCFSscLC z=M*pf)i2{K$lo%}Dqp4}EXe8qvBEj=xzP>C!m?|j!_U&D`KrfH+7qK~KX^TAX_e(- z_*o-s9L=m7XP>+Zc8)}9U@eDk1p-#{_#=hPZ_VFaj#oF%JBHPE?X}e6MOyx_N?OW) zBa?4Q_g?3Y2e_nJ7(*a_%-HSw*_z6QxkNT}wC3Z3B^4<%#~s2uD5 zX<-Q|p!&xjcYO2}SC42XYKV8EzJ3t?WULz$$Nv1;>uHj^>cu-Si_C2EI3kCHgodG; zl99a#+!lJ4DX~IM{moHJ0!t~(nt&5=S~-A&N(CKZq=sysS*7M-k8y8Or%GL&>TrRB zee*&x?Q&GSJtsR1WgSJlr2ouuh&S}?EZjPzAoy_7c#w2FTW1yU!;AMT9DE^d5X$8W z^rdmoK+O+>4*v{+hb`y04z6vr)@^N{e4jFn|JamZ8t)^lER5F^AF-!d*)|dY^)h;I2(e_#ZrPn@d!V+h_)sWd7p6F;qYmnz)uD;-}%nwa(M!&v_iwR1Bt?#yJOf>(E{%H;48GAwEIY4@4P zc$Yj z{_1iV-mZ$*%z3h8bAP5$5$rdo-i8vGq!XP~yN|p`Z8J1(14Eq8RA||O{@0AYRK3yj zA02XRNdJu&r^iPwZ~BXOO4P(uGH=#TH6c&+KZA-#9Bd(t5LkLnWAusWhxfpg;z{mM zk)_z_O|aoo7qB~sUc;$*!sBbl1Jy_71|3UOHyw64xxi1Q8Tv1&d<${{GjN2tbTz!g23?lh#ej@ zMe}(+frZb>7&KHPh=c00^h=bPk26e|k-98|v3*G3`r}By~E!}1Rj!i@` z_;p3>vJK^Tq;q?>04{mkEP(XlO{LUK&&O zqyMLZuTgpg{)0jo5CADTDYF%YxMlL^0|EP5W!n~rdWo=ANEkaf%A04$Eti)iO$X7l zppl_d9j@eK(Hc4(aMA~!TRkg`%5`_oE}DR&s;D5QxW7u@jnBHo?m}$=a5(wH1GhcM zRht8%kc9Q;nkNrnmwUQ;_4jx_EjWG9c7pMaf@6p$Ao+gKKfy_5%?Mix#qyZn5YKrR z#r!oC_l7{agSx4o~ZBy)( z2Tn_Wvyz*sdi~t$opy0_16-5OI|DGG)B3>Og8o1MWxRVmzNji#lp>rh{M*u8>!0O9 zq{339G_2(DWW{Q)f=W=?^!r6T>~w`yUQzinm+|;dZ^d~ch}`=7JwTGnunPFpa)<`Q zU(30d?8+elKqZrCX{>eSFQTw;k-&E^UUXeg7Kd;<{_E%KhS!co3uge zUAcSflx~8&m^L}q4n&Ii^9E?(Sbu4Pt z@2_gu$gZIQN8>-swXGI6EL6WmJFNLNT!udq54b}{ve$mgkX(naxz|m{?2YjB62*b! zx}+|_eVN&;oiA0km-V+~_d=ay)1FEIdHf%9@u3D&>4X-4@i(w{>VRuE5oKiE;xD3a z3#+bG1`)nRQA(#)glm?o9J~ds=gQG9FZ_7}Dix8lz@eyQ`=Y%44qm`9Avm}HjUuK% znmjA}i|2KNmEY{nZ^feK(bs-l+g8i0U6rq;N^5bf$A=Y|o2S5QhJPafOTUy)Rd_T! zeCz2ngo}NN_3Y-L$?wUO9$@w63{Wty@^#+d5G6(;k6g#R)!%J@Hb~8THj$(sEsPTJ zC=5h7hBPDCNWgjCyza)l*%9dkj2e9P-b(%aaBzU+tcRsmI^xbVP3i3(GAOD%{De}_ zZg(r4`p8lKOQWfMki1G!&;#~VK*>dzdwoDgM+7YY3%Uv$`LcZR`0HUk%AYL$RXz_z zSiam-_%*M$V!D9dQ7RO!QHJ3zR`ehQ7si&^gM<^>8}YsWJ?Cwf>pagKUaa>wdJ1c_ zVz6iE%{m71eQG_ZqfaQ`j=*`-!L`6c)Y(Yi-G<3JZ_#!KYt6~Yw zoX(T2s2(0|_TpltndP4viWEsl%j()bMhk0(T53_aC8&Ubwt4Zd38j2o0~VqdB5*<-g4R|H|17cj&j8=flcO(v5x$hg zRivSRaMnwg?wtNdch?8tJRkFmBYdA73;L|+`7Ls`=N_yREtn^)Rd9hju9$au7NGzf z(fW2w+3#yh9?+$HCtFrS^SzHd(PYlOU4cC<`wj*|=BM1}1cIk0`?y3r;_5-B`2*Nv@fV(=_=~$HJZm2( zpe)hMB=HuR^U0Q^Pv)}6hY;>P7Q5A5j~4zE(k3-_nXA37RR&QvsKYD z_2CI#_D+H}DdM0hh*4Ds5 zu9Gd3Jw>?D6`uI+8DZHkWhzzpoFDtR1(ojw0C;GrMx47Uwr0V*Dx*#gR~`U}=WVlFNHyKq!#N=`(KWq0_`Wb-k9Nsu_seE=3O#g|J?M?>Jjtm9_$tZ}a=6Ou(9)#MyxAL(f z(7q+=u8ff)A%b=CT1^UE|FgSf^P8}6o8YsaT=(~En4hncZNJ@dtGsAxB5)nn3WEY~ zchr*UDo&$Sdr_minj_xtygs~kd`@LY(DV0=@KxP#PZO0-M?@Ewl?De~*Qb98jI{n4 zEUH5S|mi=a0q@=RipoyYW1=hWtrtJYBW3!~e;fo_L`8$!Vh#v?$}Q z0(Q+3o`qCZB0_ojmZiBJub8Q0qeZZa1NL3E3Kcu7v~91gM~qEO2!ffCp=Z82WkkG9 ze3L1@&hAF&sP!zgdN;ts&q7sa&f zPtQ?j?+)6NnvzaD%nRBe#PT9Ru(&ECtv~d1LHZD{q6lt@j0wVhr+(v==z1jwZwu>q zNo>!(O!(R7b31mxtp@sMa;-=Yp;i|03UoY?Bo{U*7sY*L8%!iunY~_)`v$!N2sbu3 zF57g{P9D1Vg!)b>=20&!T|O^jA|JD+b|Y#8OhZjFg{q%#sKw+hxt?wLKQ$P1UEjy)K%y2-!_GPi!C;g^8NH%w1})+Mi|y-pcrWfm<5X=#32@k$o-}%*}>C`c3&Gaiw z9D=UUNm|)wti8JrommCj&w)x7mIA(o|9D)kGVQfpYuV|bRgiII>{yfQOw2p0NShdg zJV_&?)A~jLAAi8SBQvh8h|3Ri8W<#T*<<7kmJG=|c453ztFJ=_z#o~YE}c5gUKTn% z_YK>j1)Fo9G%!tU8eyC-j+K!sSgunsfMFbVuGZTBNpv zE_kA*ts3yEZ9l);<>bH8CmCiGh<740i>G1gh#_5-*m_J5(v_^0kZ5X5PmaUUm&@#~ z9Q18XXy)4?ecbS`7xN&=<+*w)cFrGodxy4K=-T8%tKaG^l|at}N-%=m0-1S`4F{pZ z;Mk-v2hM7cBBjRT>2)%--p1@@%df}M5WHb-=p9oH(z#R8Stu9bBaQ`460|3Q5;h|i zPDo!6hD&RC(uPc98!PTW#H+|mR|rC_&%Y6`+M<#c64sCeq{`(IZ+Pd9@LT+1Hy0^D zJWHb+OeO>aoyzCWn?@!oa7~XTdYL+rJOBsP1|(Go8ZeL0BU-b*oB{0ZvhOA1c`sK- zM!O>)gZ%!M@JQw~8rt)BU6C@4Asr){92Ng2c}jACEW5`(&OXIcb14a7dXX65t-dGL z-Ds{(mltn3-YkM(ujiNPfuJ2;QKb4cwfmXJ+kx!i+vBEwheRP$H_}kgneh-Ssz4Mw zGa}v8p43$oxDSP}|JivUn1#+ql3;pD(1RSiSbDh1muWhNisYrE)Ju6aRQ}f**3cZhnKrWtw9D08dAdd3ZU9hQy%%$Q>u0WSa zu+UChadz898~GYLc??C|ZQlAH0%?f2O8H|CnZtj#WN*kSD$Xl}dY?Dn=i;uwQEI>T zL@2$xr3b2GQ63GkBCc17+h2j*Y(n>&|6+Qtsz|ID|GlKHh;^Hfo)(YJ_+#DB{XT50 z;%ZgR}UnaPIbUJ z*+@%a6Bo#bXeDW*QdELNMnL(QW&@v9b8YSiQN?&G(3#^x%=DwhU-GoIR<@bv&uS*k z`0nmq(K#;$(Ly$eWjkqd8IsXzHI;?CN8meavb!;A2%G&_Ck zNe&0X(mC*wEyq<6=J?5%#3y|u0L>sZAzm5?%Mid3@Qost9Zg`MfQLUTOx5YTM}ej` z-KVT3vtB+#I1(@!dMWtm*giH%KV%!x^@}RqnEgG8r6_c#ES)`ePu1}CCG&!U5dp~B z@oXHKeoZgRH|qn_inOr5`**k@EXzag6V=VTqcH?wX2hK;9Ryf_&+S7+7XN8vRE_q~ z;Fps)+cJ^!bh|oTgz!!<9*oly7{c<$_apJ;Vj}#2*ueiSXw(|nO*{-6;J^`m!juvC zppByu44*x-4m;Ytwg?-yThY28))2AW6>WMdkziWxt`0r4OH?(rA>tROkQWuj6Witf ztIutK3jiWZ0m4dbzabWHE!ri6We8;nzanM zr6#K$SZ;>-pDT4fN<&!EtPn>!WjC(83)@ZSu-pvgjMxp9E|3J{+%bkp#Bomx%EuW6 zm;}F0T~jHOC-BF1LxA_V8t%^QxkoWfE(@7DBo=|#W@`rf-3>d@_6~wFmPxYD12ojT zfBYs6I@cLX&cZH@23aDlc)x+c@oNA*t#~7ZawGSA!d)9A6Tl2^Il=4s>nMexIy&Ip zM16tyTV6Svs^+#yG{t+DUOCmX(t3GFT+q~i4N)!hY#refi~kK!&#dy|IiJF1t>0lq zb2Z)5uCw>@*{GfQ)hpTa9t25_Y)-a*n69)G59bv1g!~Pe71r#b+AaOS;oEA|ju4f< z&t<#SpskOSLsz7;(=f)6?1AD4nF|%A1gmtP{VC>K&71y4o%5;-YK`(rxOJRtzNWa{ z_BA0WV}%bu&jki-u#}rmdxO4?gHK;d3^qNJaIz=)lsv+J+0SV({(8u}P!KHAH|0)m z_wstqg&9~2K(^6c(}<_oG(pf53=&o&#{MaKlc(Y9Uxy)ylB~(t!R-@P z$mSxF%$QrOY5O!G6jV*FcyRj0S*Xm*-KYEyZ{4j^nZ_&%VJ;r+fF2&ttn=Ecxo^fS zxim~p6I*a6q0m-l&HU7jseR_^&W1EE3&oAs(nSW5lFJg7cLv~fg%XRoY3m1we6~1i;fO{egNQSVuqytqWTqdewLsoKL@N#nXHxec;$d zk;TWh4gAl}%4kWQ)%wU^{9()IS&{7W^MSx#vBiJis)r|^yKWt_g!gMjl#)%)-gigC z3a@sYwdmpx68uu;>tN|S!{JIjgs~WU3s5z@VU+(rPoS4c%j+-Gdn5skxPX%+Ma;gy zAP{hK%aU|H(>lkgB(EPA1B;!am_i@7-z9@WM-Gy%Z<;y~3*&vtZ>_XnDcW{^Uh5Af$jOS&Nf*{|VaG=1yC_JD3&8oM2@p@AB*hL^p6G z!Tu7?vfS{RGPP5R>8xN*m{O^_q`xZS9=8Tbc;)Sqy<(ZD_bEl0ujnJ$UuQXq`TlGE z@+;8RnH-a}wA1mmdl5ngWf_>6taQp=J)*zHECi)RWDk78;u-r5jwg5_>)5QhkNQrPUuXaD`VMacjnC@N#`C?ye$!lN;TO;y4CHF% zjl8PkTN$e1!Um~MMpSqy76t?Q2@v@Wb z@{y`!wQ~BNC(fLi!dujxY#E^g<_7fcTCjY%&rb8Po?^|un>l}_r>>7Y%{6)64DnnX z;8R;O;=*cVI9lNwc>rbPT+*KHy~og_`30UmhBN*eP$H33T1KLUX6 zC}XF0ySUsQzxc%`)=V`*R7eOOLo&fOW1G28oYe6czO+*3jXiHUWwETmXR6!GGWn{r z31cl5Vx)Reib;;et&buJ3uH8Qj>`gYM6}Vj0mYi7^};!9Mp#^e4_ra!g!XOL7k#eN z`!nkQ<8T2!Ql9QQ^MZ`wuVC(%%-%~Q`ELu$yAA1HQaES*thy&-53ZG;MJx)saw$NK z+DqtZECO_zSIn#Kf=Ac7bT9+vg$bGL<0fMlcRPZVsRU{}HLeL(DhCLyl4g7WtoD8Y zg0?}u?~9<*5D=6Aqrn*n9s-hvVxTbuUK$?*%TExX2{Ld(1UyZcAtFi;qlq&lBneV9 zX@-m}L5?QBuh7dX{F#0W^g*c^r3_-Is1np@1ctf>L6fG%(AFX7()1Ym1_VQz5ySW> z!GvbYFf%7u&@35N)&v`xEyK>9;6QU^I5`tsXvY|?ZiM4BcZP>2!HeeoFN8+$qxmxe z0trF16O7;xLMSba5gtJ}Njt?jeTHzB7RfjlMTn-wFk;UW;%M=V3kifo8j(RtA|%sN z82`ISNTsDQ(lZE`XkkUWh*5lx6FO+G7_U1CT{JqQyNA$A>tpl}5C&;)7;oPZ7_|3{4<8AiXhV$Q&x8@$ zC}V7#FhQGSe3>Fl(`Fd6bA)-?0%LKB@RjzB@%;zkCymKqEfd(Z6~^is;TLV4vGJSm zhqlSs+9vGKb{T*72>Y}H#vzBm?L3kQ{%>03KdEPmJlgzOJ-M^a6nqmn(4n!?u^g;7 zC}{|Qn0U*r45m#PYM%^Fxy3 z;?L=8WC1iD4h8Us4^Ney9Zv=?u-Ab134oLj(zWR$J_=b1ks z-TyiU1cZ&tcXccIBLD!c3M9G zA6_E*Tk~AFP!=XXVc{A_*?mHu0*Z?Ji3+(s+Xa++d@q6w5h-3*hwJJm_bj(snMMS) zfDHTbBKC}&RR;o=>0f__3%IU_@YsXN5#v20yQ7?I)sK57j%L;|U(Aut8Uc!L*qPkN z1gF>Y>vu-O#ckt8FAX~U-KJz5*vf_c4x=VzrT(T9>ZBfHiKqC5(8`N`k+A^!LJEV8 z0D?eeQ>J3j5WAyXc*F`l)hgcD1&Cq!^${ z>6I?~o)>QD>0jl;vlqsoi&axNcU=9;?)cS7`wf>H`FQ??cj}n2HwdL69v&rC`TwjO zhf>xECdU^a0YrgQQ!`f_B;)eMdEg$%Bi|KuI7*+EUO(zX+Pf(#z7~o}UIs30%WEvG z6a)Z9V<(k^YL4EspMsE@?lw!>7w0%l;yhTzP5TfOYAC|_ioOp=pnZ+;zvI~qWF(wGby~2(2WJDDFlxVRy1`=vKMf> znq=v-fUTxNvAp*WUsm+Z=->ws@=;d>ZAo0W1MllhGL%~Nu&Z)`O*iRt_+k6oz?@?E zJzsbopoXl0YWSD`9y1mWKyrGRwGUOM*MF0@`lfY)F)4uLKUGgzqc=GCy6S=OqARkk zVpEFu#<87KWdm$J8Q~_0Kw-fqC0o6Kr~Fwe(>fLW$?PFPCtsb(CZl*~(DC}bM|-mf z@>gJ8N#3ORCzd6uh(7A!H?}=EpPD&1gHYM+t_NB<{A>RR`Vb1MF0Bv>7HRWLYg9XN zeO{{K9;~A80W4&DL#9EZH_ygm!O^UR1F$Hm#F1a(1L; zCfoP&q*>d<($E4Zl1b);)uWuGDdUB6P}e{#GtUpWC~K?f%~ary+Srtuz(+GP4p8X7{r8lfmk;0L=fw z0croc2)J}whCkdaQ#VJ%PY*A4W2y|NTwIw8;paIvBQq7eefTQZ6hU38e4t{8m;cv| zsA|*EtL*N4u^{OSMdO-yjMmpT`6Ff#lhlkXR$ZHS^HKq5N%u1~jvoI*72iNtetlxd zUjnF4`=`W4#|yix9w;}Qu_P?gJx+z?RaWOcAcV>PW?~)`!ABRUzMqc`c$B0g)~SZB zZ?gAZAivVn1lEK8@$w8s{VE>V;eCeY(^G+Xv9L-m`TmpKG4{w66#4RJ3o$~tDMvIJ z0D+4?RXg~s zKSzK6lAI1DA0T>HPTwPRBL;KqIty95uOn}JSQ&|lZS%jc>1y~3JlPHt5>-#0uZ;2K zNKPm4qK0`x*U~B_yL~XlIXEPCxW&ER(sOvy-EXJwN{i1+k_HO&Bv0{@kmh^QkFD_# zs-wFRt+FRuy}C*JEke+|>38<0*3k$Y=yYHZpvB(JOW*u9ilYS}40! zfe6MIGv9@5Hrw2jG1m+l#6<3%<{k5JcB_uD3g+I$L(>&F>gpZ=xhkt1HYkf9ffY&0 zTzR~ny_#lz`C`HC!R((*j`6$@0I3a}uL}|IIA;7^Uobf63~0#xWa3uo9mq5BA-8?w z!6fZ;WuVG#;}0Fkhm+{F8xX37$I-3o_zNL8o2hB7V>l)-<6$A5T%Sm=u;$U?{_RxII+>Xqu?p;C|R0n#HPKze02-`#XMmb|ej3 zKz_OG6;v%!eH#MW!s+1+;r|8;yZ8RT)PCvl{^=JBLTzBDZc=_E`aC47BSk{2wmQYA zR3Zd|Y9KFx(bbm}h|*93Z2s^>OC^J z07JDP;*R^5m(I^P*}6LH%+Gd#mlQHe&rA?~&uxP#Kx`CH_9!VbS9U@a&osn*DMN!) zY!p`Z7%4I>c32e8NC8G}x26lC@UDKZ0ga1_sU{C+9#mQHfn6nO0TDR$YG6g+m0 z!c>CBA^%wu+cqd%K?q@Xu{{kuvlKqq)G5iE+hP=26<`|e=!Ypl(B)pRH*Tv1%BWT;SUXHW}LoSFr>Wh(4oT?>rN==u^8V zR{{lNY*`pN&{F}!U2tG_VKK2CHMs})gGL?cJ39t;b{{#~bQbGEa5>rss$28cslMr~ z0qM2a0xDY#}-uQ6OyyIPD<^YOkphS_nD=%=r+^c5I0?v>t9x zUQpX75D0HT7VQuL1rN3~Rw&u6LjEZKPY%U7VHI044gLW}ZYc-pw4&T#>0(=u>5C=nG`~6>WIZ$st&RDusNuEJ7@k@6rX8uU%-gP%N9TBBkVvWxP9q`MoNBQ_zZwEqhE#Xq;BT_jyRn_r)d|k>a*9#%4@2v{OLi`{#aMwVzQH@7X z!WIvu>9+Dp)+Zi{8E7a9g6~w~_Q3(bbPg8XXfE{<-l@KjHsSHU?bY58yAebn~^F$GjmHz;ub<;*3 zdy9PWa0H1Af7+rTmqm1LMF&e!+tA?2YgRX^#(xJ0o^(JF7z5iLM~mMM$`c&>veEj{ z*aOu&rYsFxIg>sKiV4%hqsn~>|zuRF#{pu`X= zcMPF&O%~|1+#yt=%PXI5nqfv43_k>7z2%l-lL|4kipe2IF#sbN=(Ue+Tyn2*1ZNg8 zfWW|}aO?6#IBoN(_h)e;HM{Fyax4 zzN%2BDy5f2-CaNfV|0n0@LaNilI@vE)Rd7ygemBwk)gIRRdPWn6KTAUBLP_C)CX4R z4Z{Ul8Fk|TIIybTN7CqXAUTl*swvj_2+#sd@f$$sUTg~kuW)U+|C4DsBQdkd5DHa- zV2T3&?2(WbE(j>cKMRp$7GNOm$@P$s6Oy11t9uRr?*JPyGBf`)H8wXmIWR5sJqQVL z1#TX6c_p#iZ*U+D)&OD9|7K@sYHMse|0iGoTzYt8cK>2-Z7eP?04nSNAOHXj*FG&p zT`Np5*na>3LH`>eKqDk2Ui~I2C@Ehnzkg9zMN(A$Syf3-P7uJ=H+`K|(`BS6K>t z8jVL40Yn?2MfONgOHD5p$^aH2!Z6TJVPa#$WM#iYX~1D^Zqa{na&vTbcKUaCd3peX zO2R-v$nhvae}W(>L9HMx=yG*qAZ}rE07ldo6g*-r1Wq1+jEocndzcxJ5Ui7!1_Sm0 zm{$t03;?+}CJatkMo2&wA9k1kGKc`b9RUDf00D4pG=hE&5N)#p57`$31I;TbG$+#~ zO(P*RAJZL88!*@pDD;S*v0d*7g*9Y?hP1)Bp%Fj2t z5d-b(3kwIX2LqY~18e^iuKfd=`x)yGJ!=7d1KZaZ-veC@3+HqP57YNu`2#t13pLgQ zGc!WU0}e&O2YmNGAQ!?z0|P-|Ye2FCfbz5g&8B)ZHq$UoQDFgGSLp-9rooE7XSD-O zxUn>@)2mIKm^75ricL#EG+Wm#UtNU<(sct9*9%4mUE>2+G@#RwO=vqb%-2UF4mGs^ z3b6WIsXtHI2Ifu8yETkQa&x@7yEfk7RvuXeK^q%v7!QE)Q%){KAWBYF?%N;~26jvt4Li@z76#n=1UM%F?MuiU1lv-m110-` z&SpsY2YuH8TM@klt{Mq|R6ie5Iw2?iJU6P2>{2S<4XsEq5C0DoI9eeKeir{ObS6{` zHYFMR8W;@`8w7s}92y`A81`%d_oVOktUInN|1W-6BmwMH`;rzc6cR|k4K@H>YAXLJ zmtg@H=eZF50q`>k3pcjz6$$?o>j5bU2pqS6BI>070~{7X3sx&B_&5*`A|_UK675h? zrT+mkFc32cH!q2N&VM8$DxDZ3wUW&({U>)rIx!soRVOAJD0@L$_e~^cGi84sF&ZCR z8);xu$m|#Gm+SgfmV&E!XK6TN=>Tu zfYtr2nIpGe0u@I8IW&ASP`PRm3a9Tid;|ytU;tHi7y_V||KJqa1O@x_SL5#rYNC4wmbNNY0bPU1a1c}Xx97gujG0EsL01?S`2tnv60CW36 zL4p0z0E5~7Dd=(zj@XR>DEyZ5q?V4_>$Sn)1Zn^u0oVcf>*@gibpz-I01?Rm|8)h5 zJVp@z!(9d+jkR3;ty__;lC77m0mF{NF~9-GCIKz1NOToIb@WF^CV)Mfb@?VaRReeP dumkJjfye#;;^7AdAH2ZY>JoYY0KnSZ4I0B@HGlvB diff --git a/fonttest.map b/fonttest.map index 90aeb075..c8bd10f7 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:34 +Created on: 15/10/03 12:14:30 Executable Image: fonttest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0ea0:0000 0000e4a0 +DGROUP 0f0f:0000 0000e710 @@ -32,25 +32,28 @@ wcpu_TEXT CODE AUTO 0000:5290 00000058 16_ca_TEXT CODE AUTO 0000:5700 000003fd kitten_TEXT CODE AUTO 0000:5b00 0000080a 16_hc_TEXT CODE AUTO 0000:6310 0000158b -_TEXT CODE AUTO 0000:78a0 00006e9f -16text_TEXT CODE AUTO 0000:e740 0000010d -16_in13_DATA FAR_DATA AUTO 0e85:0000 000001a4 -FAR_DATA FAR_DATA AUTO 0e9f:0004 00000000 -_NULL BEGDATA DGROUP 0ea0:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0ea2:0000 00000002 -CONST DATA DGROUP 0ea2:0002 00000076 -CONST2 DATA DGROUP 0ea9:0008 00000102 -_DATA DATA DGROUP 0eba:0000 00000506 -XIB DATA DGROUP 0f0a:0006 00000000 -XI DATA DGROUP 0f0a:0006 0000002a -XIE DATA DGROUP 0f0d:0000 00000000 -YIB DATA DGROUP 0f0d:0000 00000000 -YI DATA DGROUP 0f0d:0000 00000018 -YIE DATA DGROUP 0f0e:0008 00000000 -STRINGS DATA DGROUP 0f0e:0008 00000000 -DATA DATA DGROUP 0f0e:0008 00000000 -_BSS BSS DGROUP 0f0f:0000 000002ed -STACK STACK DGROUP 0f3e:0000 0000dac0 +timer_TEXT CODE AUTO 0000:78a0 0000020f +_TEXT CODE AUTO 0000:7ab0 00007375 +16text_TEXT CODE AUTO 0000:ee30 0000010d +16_in13_DATA FAR_DATA AUTO 0ef4:0000 000001a4 +FAR_DATA FAR_DATA AUTO 0f0e:0004 00000000 +_NULL BEGDATA DGROUP 0f0f:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0f11:0000 00000002 +CONST DATA DGROUP 0f11:0002 00000076 +CONST2 DATA DGROUP 0f18:0008 00000102 +_DATA DATA DGROUP 0f29:0000 0000055b +XIB DATA DGROUP 0f7e:000c 00000000 +XI DATA DGROUP 0f7e:000c 00000036 +XIE DATA DGROUP 0f82:0002 00000000 +YIB DATA DGROUP 0f82:0002 00000000 +YI DATA DGROUP 0f82:0002 00000018 +YIE DATA DGROUP 0f83:000a 00000000 +STRINGS DATA DGROUP 0f83:000a 00000000 +DATA DATA DGROUP 0f83:000a 00000000 +_emu_init_start EMU DGROUP 0f83:000a 00000000 +_emu_init_end EMU DGROUP 0f83:000a 00000000 +_BSS BSS DGROUP 0f84:0000 000004f2 +STACK STACK DGROUP 0fd4:0000 0000dac0 +----------------+ @@ -89,7 +92,7 @@ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) 0000:1e5a* IN_KeyDown_ 0000:1ea2+ IN_ClearKey_ 0000:1f1a* IN_qb_ -0ea0:06fe+ _inpu +0f0f:075e+ _inpu Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:2964+ MML_CheckForEMS_ 0000:29c0+ MML_SetupEMS_ @@ -114,11 +117,11 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:480c+ MM_TotalFree_ 0000:48a2* MM_Report_ 0000:525a* MM_BombOnError_ -0ea0:0860+ _beforesort -0ea0:0864+ _aftersort -0ea0:0868+ _XMSaddr +0f0f:08c0+ _beforesort +0f0f:08c4+ _aftersort +0f0f:08c8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:5290* detectcpu_ +0000:5290 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) 0000:52fe* wait_ 0000:534a* filesize_ @@ -134,9 +137,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:59d0* CAL_OptimizeNodes_ 0000:5a80* CA_Startup_ 0000:5ad0* CA_Shutdown_ -0ea0:0870* _finishcachebox -0ea0:0874* _drawcachebox -0ea0:0878* _updatecachebox +0f0f:08d0* _finishcachebox +0f0f:08d4* _drawcachebox +0f0f:08d8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b3c KITTENGETS 0000:5b9c* kittenopen_ @@ -147,7 +150,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:60f4+ get_line_ 0000:6166+ db_fetch_ 0000:61fc+ db_insert_ -0ea0:0484+ __kitten_catalog +0f0f:0484+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:64bc+ LargestFreeBlock_ 0000:654a+ _coreleft_ @@ -163,380 +166,411 @@ Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:77bc+ heapstat0_ 0000:781e+ HC_OpenDebug_ 0000:786e* HC_CloseDebug_ +Module: timer.o(/dos/z/16/src/lib/timer.c) +0000:78a0* start_timer_ +0000:79a6* elapsed_timer_ +0000:79f8* ticktock_ +0000:7a64* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0000:78a5 __STK -0000:78c5 __STKOVERFLOW_ +0000:7ab5 __STK +0000:7ad5 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:e7b6 textInit_ -0ea0:0884 _romFonts +0000:eea6 textInit_ +0f0f:08e4 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0000:78e2 printf_ +0000:7af2 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0000:7912 __I4M -0000:7912 __U4M +0000:7b22 __I4M +0000:7b22 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0000:7931 __PIA -0000:792a* __PIS +0000:7b41 __PIA +0000:7b3a* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gets.c) -0000:7948 gets_ +0000:7b58 gets_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0ea0:0000* __nullarea -0ea0:0496* __ovlflag -0ea0:0497* __intno -0ea0:0498* __ovlvec -0000:7a12 _cstart_ -0000:7ae5* _Not_Enough_Memory_ -0000:7c17 __exit_ -0000:7c36 __do_exit_with_msg__ -0000:7c93 __GETDS +0f0f:0000* __nullarea +0f0f:04a6* __ovlflag +0f0f:04a7* __intno +0f0f:04a8* __ovlvec +0000:7c22 _cstart_ +0000:7cf5* _Not_Enough_Memory_ +0000:7e27 __exit_ +0000:7e46 __do_exit_with_msg__ +0000:7ea3 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0000:7c9e _big_code_ -0000:7c9e* CodeModelMismatch +0000:7eae _big_code_ +0000:7eae* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -0ea0:089c __argv -0ea0:08a0 ___argv -0ea0:08a4 __argc -0ea0:08a6 ___argc +0f0f:08fc __argv +0f0f:0900 ___argv +0f0f:0904 __argc +0f0f:0906 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0000:7c9e+ int86x_ -0000:7e21 int86_ +0000:7eae+ int86x_ +0000:8031 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0000:7e4e _dos_getvect_ +0000:805e _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0000:7e59 _dos_setvect_ +0000:8069 _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:7e66 __I4D -0000:7eb5 __U4D +0000:8076 __I4D +0000:80c5 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0000:7f39* _heapgrow_ -0000:7f39 _fheapgrow_ -0000:7f3a _nheapgrow_ +0000:8149* _heapgrow_ +0000:8149 _fheapgrow_ +0000:814a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0000:7fb2 _memavl_ +0000:81c2 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0000:7ff7 _nmalloc_ -0ea0:049c ___nheapbeg -0ea0:049e ___MiniHeapRover -0ea0:04a0 ___LargestSizeB4MiniHeapRover +0000:8207 _nmalloc_ +0f0f:04ac ___nheapbeg +0f0f:04ae ___MiniHeapRover +0f0f:04b0 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0000:80d1 _fmalloc_ -0000:80d1 malloc_ -0ea0:04a2 ___fheap -0ea0:04a4 ___fheapRover -0ea0:04a6 ___LargestSizeB4Rover +0000:82e1 _fmalloc_ +0000:82e1 malloc_ +0f0f:04b2 ___fheap +0f0f:04b4 ___fheapRover +0f0f:04b6 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0000:8246 _ffree_ -0000:8246 free_ +0000:8456 _ffree_ +0000:8456 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0000:82b3 _nfree_ -0ea0:08a8+ ___MiniHeapFreeRover +0000:84c3 _nfree_ +0f0f:0908+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0000:83ae+ _null_exit_rtn_ -0000:83ae+ __null_int23_exit_ -0000:83af exit_ -0000:83d0+ _exit_ -0ea0:04a8+ ___int23_exit -0ea0:04ac+ ___FPE_handler_exit +0000:85be+ _null_exit_rtn_ +0000:85be+ __null_int23_exit_ +0000:85bf exit_ +0000:85e0+ _exit_ +0f0f:04b8+ ___int23_exit +0f0f:04bc ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0000:83ec __PTC +0000:85fc __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:841f ultoa_ -0000:84dd* ltoa_ +0000:862f ultoa_ +0000:86ed* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0000:8663 write_ +0000:8873 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0000:888f+ __open_flags_ -0000:8b50+ _fsopen_ -0000:8bb7 fopen_ -0000:8cda* freopen_ +0000:8a9f+ __open_flags_ +0000:8d60+ _fsopen_ +0000:8dc7 fopen_ +0000:8eea* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0000:8d64 fprintf_ +0000:8f74 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0000:8d93 __doclose_ -0000:8edd __shutdown_stream_ -0000:8ef7 fclose_ -0ea0:08aa+ ___RmTmpFileFn +0000:8fa3 __doclose_ +0000:90ed __shutdown_stream_ +0000:9107 fclose_ +0f0f:090a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0000:8f62+ __ibm_bios_get_ticks_ -0000:8fd9 clock_ +0000:9172+ __ibm_bios_get_ticks_ +0000:91e9 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0000:9000 kbhit_ +0000:9210 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0000:9017 ftell_ +0000:9227 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0000:91fd fseek_ +0000:940d fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0ea0:0098 __IsTable +0f0f:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0000:93f5 tolower_ +0000:9605 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0000:9403 unlink_ +0000:9613 unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0000:9633 open_ -0000:966a sopen_ +0000:9843 open_ +0000:987a sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0000:9697 close_ +0000:98a7 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0000:969c filelength_ +0000:98ac filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0000:96fa sprintf_ +0000:990a sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0000:9729 getenv_ +0000:9939 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:9814 __PTS +0000:9a24 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0000:9845 read_ +0000:9a55 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0000:997e* __clib_strdup_ -0000:997e strdup_ +0000:9b8e* __clib_strdup_ +0000:9b8e strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0000:99e5 halloc_ -0000:9aca hfree_ +0000:9bf5 halloc_ +0000:9cda hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0000:9ad1 _heapwalk_ -0000:9ad1 _fheapwalk_ +0000:9ce1 _heapwalk_ +0000:9ce1 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0000:9ae6+ __NHeapWalk_ -0000:9bdf _nheapwalk_ +0000:9cf6+ __NHeapWalk_ +0000:9def _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0000:9bef stackavail_ +0000:9dff stackavail_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) +0f0f:04c8 __8087 +0f0f:04c9 __real87 +0f0f:04ca __dos87emucall +0f0f:04cc __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0000:9bfd* __exit_with_msg_ -0000:9c02 __fatal_runtime_error_ +0000:9e0e* __exit_with_msg_ +0000:9e13 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0ea0:04b8 __curbrk -0ea0:04c0 __STACKLOW -0ea0:04c2 __STACKTOP -0ea0:04c4 __cbyte -0ea0:04c6 __child -0ea0:04c8 __no87 -0ea0:04d5 ___FPE_handler -0ea0:04ba __psp -0ea0:04c9 __get_ovl_stack -0ea0:04cd __restore_ovl_stack -0ea0:04d1 __close_ovl_file -0ea0:04d9 __LpCmdLine -0ea0:04dd __LpPgmName -0ea0:04bc __osmajor -0ea0:04bd __osminor -0ea0:04be __osmode -0ea0:04bf __HShift +0f0f:04ce __curbrk +0f0f:04d6 __STACKLOW +0f0f:04d8 __STACKTOP +0f0f:04da __cbyte +0f0f:04dc __child +0f0f:04de __no87 +0f0f:04eb ___FPE_handler +0f0f:04d0 __psp +0f0f:04df __get_ovl_stack +0f0f:04e3 __restore_ovl_stack +0f0f:04e7 __close_ovl_file +0f0f:04ef __LpCmdLine +0f0f:04f3 __LpPgmName +0f0f:04d2 __osmajor +0f0f:04d3 __osminor +0f0f:04d4 __osmode +0f0f:04d5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0ea0:04e2 ___iob -0ea0:08b2 ___OpenStreams -0ea0:08b6 ___ClosedStreams +0f0f:04f8 ___iob +0f0f:0912 ___ClosedStreams +0f0f:0916 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:9c3a __fprtf_ +0000:9e4c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getc.c) -0000:9d8d getc_ +0000:9f9f getc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:9de7 __CMain +0000:9ff9 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:9e4d __InitRtns -0000:9e4d* __FInitRtns -0000:9ea8 __FiniRtns -0000:9ea8* __FFiniRtns +0000:a05f __InitRtns +0000:a05f* __FInitRtns +0000:a0ba __FiniRtns +0000:a0ba* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0ea0:064a ___uselfn +0f0f:0660 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:9f0c __DOSseg__ +0000:a11e __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0000:9f0d __Init_Argv_ -0000:9f70+ _getargv_ -0000:a2a0 __Fini_Argv_ +0000:a11f __Init_Argv_ +0000:a182+ _getargv_ +0000:a4b2 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:a2ca intr_ +0000:a4dc intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:a2de segread_ +0000:a4f0 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:a3c9 __LastFree_ -0000:a443 __ExpandDGROUP_ +0000:a5db __LastFree_ +0000:a655 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:a554 __nmemneed_ +0000:a766 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0000:a560 __MemAllocator -0000:a62a __MemFree +0000:a770 __MemAllocator +0000:a83a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0000:a787 __GrowSeg_ +0000:a997 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0000:a8fd __AllocSeg_ +0000:ab0d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0000:a9ec __fmemneed_ +0000:abfc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0ea0:0022 ___Alphabet +0f0f:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:a9ef __lseek_ +0000:abff __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:aa29* __dosretax -0000:aa2e* __dosret0 -0000:aa35 __set_errno_dos_ -0000:aa9d* __set_errno_dos_reterr_ +0000:ac39* __dosretax +0000:ac3e* __dosret0 +0000:ac45 __set_errno_dos_ +0000:acad* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:aaa8* __get_errno_ptr_ -0ea0:08be _errno +0000:acb8* __get_errno_ptr_ +0f0f:091e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:aaaf __GetIOMode_ -0000:aae4 __SetIOMode_nogrow_ -0ea0:0660 ___NFiles -0ea0:0662 ___init_mode -0ea0:068a ___io_mode +0000:acbf __GetIOMode_ +0000:acf4 __SetIOMode_nogrow_ +0f0f:0676 ___NFiles +0f0f:0678 ___init_mode +0f0f:06a0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:ab19* __set_commode_ -0ea0:068e __commode +0000:ad29* __set_commode_ +0f0f:06a4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0ea0:0690 __fmode +0f0f:06a6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:ab25 __chktty_ +0000:ad35 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:ab84 __freefp_ -0000:ac25 __purgefp_ +0000:ad94 __freefp_ +0000:ae35 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:ac66 __allocfp_ +0000:ae76 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:ae16 __close_ +0000:b026 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:ae4c __flush_ +0000:b05c __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0000:b095 fflush_ +0000:b2a5 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:b0aa tell_ +0000:b2ba tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:b0bb lseek_ +0000:b2cb lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:b0ec __doserror_ -0000:b0fa __doserror1_ +0000:b2fc __doserror_ +0000:b30a __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:b106 _dos_open_ +0000:b316 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:b12c isatty_ +0000:b33c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:b148* __get_doserrno_ptr_ -0ea0:08c0 __doserrno +0000:b358* __get_doserrno_ptr_ +0f0f:0920 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0ea0:0692 ___umaskval +0f0f:06a8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:b14f _dos_creat_ -0000:b173* _dos_creatnew_ +0000:b35f _dos_creat_ +0000:b383* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:b194+ __grow_iomode_ -0000:b27b+ __shrink_iomode_ -0000:b2bc __SetIOMode_ +0000:b3a4+ __grow_iomode_ +0000:b48b+ __shrink_iomode_ +0000:b4cc __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0000:b37c vsprintf_ +0000:b58c vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -0ea0:08c4 ___env_mask -0ea0:08c8 _environ -0ea0:08cc* __wenviron +0f0f:0924 ___env_mask +0f0f:0928 _environ +0f0f:092c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0000:b3be _mbterm_ +0000:b5ce _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0000:b411 _mbsnextc_ +0000:b621 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0000:b46c _mbctoupper_ +0000:b67c _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0000:b48f _mbsinc_ +0000:b69f _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:b4e1 __ChkTTYIOMode_ -0000:b54f* __IOMode_ +0000:b6f1 __ChkTTYIOMode_ +0000:b75f* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0000:b621 __HeapWalk_ +0000:b831 __HeapWalk_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) +0000:ba71* _fpreset_ +0000:ba9c __init_8087_ +0000:bacf+ __default_sigfpe_handler_ +0000:bb24 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:b847 __EnterWVIDEO_ -0ea0:0694+ ___WD_Present +0000:bb94 __EnterWVIDEO_ +0f0f:06c8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:b86b __InitFiles_ +0000:bbb8 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:ba9f* fcloseall_ -0000:baa6 __full_io_exit_ +0000:bdec* fcloseall_ +0000:bdf3 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:bab0 fputc_ +0000:bdfd fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:bc85 __ioalloc_ +0000:bfd2 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:be13 __prtf_ +0000:c160 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:cf83+ __fill_buffer_ -0000:d1d2 fgetc_ +0000:d2c2+ __fill_buffer_ +0000:d511 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0ea0:08d0 ____Argv -0ea0:08d4 ____Argc +0f0f:0930 ____Argv +0f0f:0934 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0ea0:0696 __amblksiz +0f0f:06ca __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0ea0:06a6 __Start_XI -0ea0:06d0 __End_XI -0ea0:06d0 __Start_YI -0ea0:06e8 __End_YI +0f0f:06fc __Start_XI +0f0f:0732 __End_XI +0f0f:0732 __Start_YI +0f0f:074a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -0ea0:08d6 ___historical_splitparms +0f0f:0936 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d31a _DoINTR_ +0000:d658 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:d693* _heapenable_ -0ea0:0698 ___heap_enabled +0000:d9d1* _heapenable_ +0f0f:06cc ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:d6a4* sbrk_ -0000:d6b4 __brk_ +0000:d9e2* sbrk_ +0000:d9f2 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d71c __qwrite_ +0000:da5a __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d77c fsync_ +0000:daba fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d781 __flushall_ -0000:d815 flushall_ +0000:dabf __flushall_ +0000:db53 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d81b* _frealloc_ -0000:d81b realloc_ +0000:db59* _frealloc_ +0000:db59 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:d915 __setenvp_ -0000:daa1 __freeenvp_ +0000:dc53 __setenvp_ +0000:dddf __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0ea0:069a ___IsDBCS +0f0f:06ce ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:daff* _ismbblead_ -0ea0:08dc ___MBCSIsTable +0000:de3d* _ismbblead_ +0f0f:093c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:db59 __mbinit_ -0ea0:069c ___MBCodePage +0000:de97 __mbinit_ +0f0f:06d0 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:dc34 _mbdtoupper_ +0000:df72 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:dc42 toupper_ +0000:df80 toupper_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) +0f0f:06d2 __8087cw +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) +0f0f:06d4 ___Save8087 +0f0f:06d8 ___Rest8087 +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) +0000:df8f __GrabFP87_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) +0000:dfc2* __init_8087_emu +0000:dfc8 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:dc50 wctomb_ +0000:e025 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:dc9b+ utoa_ -0000:dd3c itoa_ +0000:e070+ utoa_ +0000:e111 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:dd86 strupr_ +0000:e15b strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0ea0:069e ___EFG_printf -0ea0:06a2* ___EFG_scanf +0f0f:06dc ___EFG_printf +0f0f:06e0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:ddce ulltoa_ -0000:df0b* lltoa_ +0000:e1a3 ulltoa_ +0000:e2e0* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:df7c getche_ +0000:e351 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:df96 __qread_ +0000:e36b __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:dfb6* _dos_close_ -0000:dfc4 _dos_commit_ +0000:e38b* _dos_close_ +0000:e399 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:dfd3* _msize_ -0000:dfee _fmsize_ +0000:e3a8* _msize_ +0000:e3c3 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:dfff __HeapManager_expand_ -0000:e508 _nexpand_ +0000:e3d4 __HeapManager_expand_ +0000:e8dd _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e55b _fexpand_ -0000:e55b* _expand_ +0000:e930 _fexpand_ +0000:e930* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e590 clearenv_ +0000:e965 clearenv_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) +0000:ea9a __Init_FPE_handler_ +0000:ead3 __Fini_FPE_handler_ +0000:eb0a* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:e6c1 _bfree_ +0000:ed9c _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:e6e6 _bexpand_ +0000:edc1 _bexpand_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +0f0f:06fa ___FPE_int +--------------------+ @@ -552,6 +586,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001cea0 (118432.) -Entry point address: 0000:7a12 +Memory size: 0001d800 (120832.) +Entry point address: 0000:7c22 Link time: 00:00.00 diff --git a/makefile b/makefile index 2f5b29df..66e40c4b 100755 --- a/makefile +++ b/makefile @@ -41,8 +41,8 @@ FLAGS=$(CFLAGS) $(OFLAGS) $(DFLAGS) $(ZFLAGS) DOSLIBEXMMOBJ = himemsys.$(OBJ) emm.$(OBJ) VGMSNDOBJ = vgmSnd.$(OBJ) 16_snd.$(OBJ) DOSLIBOBJ = adlib.$(OBJ) 8254.$(OBJ) 8259.$(OBJ) dos.$(OBJ) cpu.$(OBJ) -16LIBOBJS = 16_in.$(OBJ) 16_mm.$(OBJ) wcpu.$(OBJ) 16_head.$(OBJ) 16_ca.$(OBJ) kitten.$(OBJ) 16_hc.$(OBJ) -#timer.$(OBJ) +16LIBOBJS = 16_in.$(OBJ) 16_mm.$(OBJ) wcpu.$(OBJ) 16_head.$(OBJ) 16_ca.$(OBJ) kitten.$(OBJ) 16_hc.$(OBJ) timer.$(OBJ) +# #3812intf.$(OBJ) GFXLIBOBJS = modex16.$(OBJ) bitmap.$(OBJ) planar.$(OBJ) 16text.$(OBJ) bakapee.$(OBJ) scroll16.$(OBJ) diff --git a/maptest.exe b/maptest.exe index 35601a6bbb5d895b26a6372c3f100d06dcd55e13..8574c871711a5c5baa08509f419ccc42b554f710 100755 GIT binary patch literal 85400 zcmd3v3w%_?+3?S9Hk(Zh%SFYi2#ZP-5KI8MhKmRbwh}^sfVbEH;i3>Q*-gY^2#ruq zPO`7Cnp6}E$S z5%!b{+Xt`@>cKp5xF4ZntW;6u1^RfX+tco<%Q zp^sMB#=t807NT=1YzZ(H?tzWu@ezJL37kR@eovxyY-#!j{av zN$_AEX+bZF)=)0+G1#7{u)PVN!SB{q*!~$^Ve4TrK>&n=5Ps22|J%Lj!z%3-8@pVf!B31oy#u_!xX}8rBg0 zn1L0x*^mPtfEU&bs<5f>TX+K|46d-H-Bw}y658R4p%u0(;d&SaZ^QFR6}Ep5r(TSx zur0d-8I2}?Pg18LZ6k7gs>0UzQ_}OZ3fruwDFcXomihrV`~v-XuEO?9NPn@yb_Cw| z6}tTr`a7n=wt#y7_dG>80^foyUr~mG6Yhm|@Cr1;osTKXTv!Eb;m`0M>;v;^Md<^B zVG3-7U&8O88a{=q9#@nh;Dl`W3H%z$pccM?m>((1LRbwiz+G#IAL5=+l$&4<)IkIE zDo~Wi;VF0v?1hT*9NfH)w87XPD@rA7hZ#kRk_PX=r|?fGev&w0)_O&G7=8&4Zcvme zxcMiFG9I$w4`A7-D81oph*cHk21tUt;eJ>FYv4I}1>S(Y@HsR>w_@IhVK5gSh5v#- zLM{9gPCz@{q$$cBFac)5Bk*7FD|iz!&@DS{{33on4MBb{HBraR6}G+bZ+MvNo5XWb z6}JBc+u>Wtve1^oD(>C427Q8GEL4;{%XL>i4h684zXvWt_HYl}4{q21FTkIm5)Q## zS^N&;7b{8)9E2fD6lFH7gcsm9PzIH709xVRrQ{2qfD-rvybbTcr|@r>w~TnEa;PWp1pE|Uglg!Wt0=cX8vGElVJ-X-_QL0I3T!TP)vYK2xSD!>D-4Hu;DY}J zZ^HX9Z3<-pg-`}TxOS?d^o7AN5*~-2!3*#wusaoH65Iz%p$MLZ7vW9#5_(Njl-uDh z_$j;$JK^u}PpF4mrYp(=PzX=MKj0L!f&FgE2X2Guun<0i!QWSuAHy^7+z%Ax9e5uO zgZUms=?`PzL3jdw4&T7FGZbYU+zYGWH8=@Zryv`c4MngQ>fj9AK9g(kEc_0(q|)BO zt+UW$_)(go9D{pilYaOe9GXL$GnaIy6E{T9<9E0hvcU}nput}tYd-mhV)zT}gi-g> zw!jC_488AD6bFogJ7GR7fE@TG{2sQ$hwv|GgX{07uE2Ph1Iyr9_$_RK_hCJCea>yP z=}-Vq!E>+~s^KH}49-B@5ZZ7U0D~YI9)dTZ9F9Q~oPlmbkr9l5G}r)7!;A1ID2E#O z3WnTHxxjkxz;EF-_y9hKlW+#&5{VzCLJDL-Hk^pM?#c#ef);3l0GMgZtPlrw=movu zI_L{GLIMnep)d?a!dRF9lfen!hZIPIbhr;Pz%!P13F^inZ@4;HQ4-+?uo%|CX4ne< zhVJ9hcX%2a;fe|9GE9cop~po2hRN^~cnx;IL6|m)_7v8`i%<<`;hHh=s`%@+` z2qwTZ$bhBrI6Mi@!|&jIH~|5;;YLNd5hlQNNP|pR29H4@{0XYzbNCiwZlYa;;V=p2 z!2);;o`m1P*qf=_Pz0~S7N~?8_&Xehc8DK9-GCXe2sHRDybtXVoj^MRLtz@sha7kg zcENu560El3OJqu~cI2Yv?6!e3wydpfX?n4@6!;j!;_$|B($KmS1$PAu>a`-3sA(r}l9XMbsb)^!vK{f1w z4`Dxi0tewR9EIbs`6~JkD1&-91J41-B93syiel)=Z)1l_O2wt^gZ z33k9yI1K}?Lm%M*$c5j-A@ITN*Yh4E!#ywyvS2loz>Dw(Yy~fzfh+n@r{P+-1rlKr z%z~xxBltPI0u`_iPCz^Kx`Fh-L`a24VFUaeHo<22BUC^OT;G?x!`<*>_#M0t!}`(w z!%|oS8{pTl1wMc_IMfS$xDq{qkx*?y2Vg&Z0tewR9EIa>0vezRTA&RAU^dgO6SKw864c>&eVJlR^HmHU@@FDDnPv9UNhNEyCPCx@RK?}4&0L;`4E5v~v zdO>fv4*J54kN|^VC=7#JO)38Qg{~T znQ43BS@=5~fp(Y{MLPwHAP;^9Z@^yo3WCtbLYVL)co8QQ)3T{{f#qcz|0q=kp znxGAAal{E@;U3rs&%hh73r;`~uDXK07`_krume)!$t$$Ls&0&Z;2+S+46zcJGLor-FGc_VRAZ?DFfboLydLnZ0Q;j~DHT3+A=j%U7Rs-SfrH zKE>U+oA}KvdpVZ>xo`C*^x1Zd+H>#32lJYPc}@26J{P)067DJq`1$! zIbPga%qxq;^8*&$roSUE%RQF4^4TUjtzy79td}caN!c zzs4g8nq_cIsuuH{x?Qc+oGn^& z-Ajx+Gwfs}26IWBXO>q~=f~qYO_E^x;r--)~Eop}9D z5@2Q(tY)RM{ovgTe7Edu1RhttwPBj(Pn3EOv@rTMxN=VQ> zl=8`D%^9bq$CvEh^dS>avW!1bKTX<=;M7JvC)H~D!SzA6wW#0|#WfajSd4<)rlnWO zM>g$aLK=Z;DOVvxEk>JX)-rm9xzL;35bPLZwO@1_J@cX%=`79@pH9;c|) zB*$qF2d9qmjR}^2cwS&JQXTpRyAIEGq40cxG7^V+rB~V2le!N5{S4ueX`3nU`(?z| z`R?Du-3)Oze|{x*3*t(4eW&bw>jIU;b7{}c4OHH3zQkt-24r2ehU+T1tPu*>QH)xK z&Ex$1u7sjw6E)+6QsZo60OrJ`sBzXQiki`&I_uT+Mzz3Ol5t{ZhL`#}F1;={%J;hB zjvnW1&1(tf)lvVb@HF3AI?ZH{q+l@5KG?~H_&1^se0`ug>D0R8t-+*I!Mr&2B<-kr z8e!HOMJFo1(3zy5-Fy8R5n6hqNxa-ju!JT`W#YMk{V;bftFnz>}R%)+Msj%C@x=~dHDlsb==?3aaUpWyv4uB->owwV>Z zS*un*HLBXsVBU!>in*L7V8hX~!8~ut{!NouCsLhF>#Nq>;dU1l)GMyJMq>7E`kS45 zG%dkV_Of_$Y8k6JWhX4DvKKFlQs}Dv2O=I4U2vJtbk1`oo{RVY(Ox$2+z`y%vH5?h zIa{Ng2((3YHmKVX`dzfvl_F|qqvs%fhO;?oyPDpzX%kCWwEcS&A%AD1meE>*ic8^^ zzIgO(N$sW&ctRr-XKOI8P0MJWr=_%{BOFw$WWU{8W_fCT)f02a2ZL0BrobB(Eu&3s zRND@GS-ZGRkFq-H5Z%J3dR$v0GG{OQ_}rXH350}|RF#?lFLTeMhc$}!5)q|is5_N@$P8I8p;iN{jyWsY;CgK#^={S;BG5>ih}In&3fH3;YvL#6dj zE*Mmsv>3xcXpOi&~Z7LRy z)+ZG2!Qz-Ft6a9^+j1Sr4)?vZ_={nEc(yo}H-WdXw;+h#-S z<8+HjRFy{WwE=4N_vnSL3O^h&-gsDCOKA;`NIK;Gb-*_#sLQxlgbZv#25o9atNM{H zgXT%9NKWp(JBhjNd>P!Ce4{&6=D(-R{}rKTt8?0@QQjxclC~>JTX#=J8(L3BfFYv%3Fnqq8mfHp1&;O76yw(Ka9hEJguzNZjh& z&hVgmQavq`L30t&R0U)7v<73e7&1w@iw^!6k)GS6O{c@4AA5kl;SwUD!Ry?Ti8Nm$ z8aUdgi1@|jJAx^VMFow@6EADdCZok?k|NKM{9tasQsJX~{|xNRsMlhUz;rdn zH$CVZ73CXmMk%f^(J-7&{*jyUOGqRLDb%6$#fn0#HZ3Ji&zN_7o9a9v1m`)Zi!Ogh zMstD|BYLjkmyowe0d7kO#uOH`{mA`jrAg5;PKZ7eYjG6P)AEiO4+SIyL97Zn^=p4iI2 zL01Z4$%Ov-?4<>Ho_4rr5~9CMWFtN3zeA`BJyM6N=+Ua8Veq@(Njv{8w zGKoIQ=MIJ&^cm>S1(nUc2?ZjpJRW`a9ARPoe0xURzJyvNN)(MTE3UocO^U195UsNn zxo)AI{ca(2gwRuj5FbowEh=bLp4jGFe&&(_5be)-QgoGFINLADY`2na`c?`N}-yZhQ*TmAT3sWww&0 z%u-U7nM#T>L%B!!f%1LjZe_YMO>rtyl_|VQt7EMUzs{9ZT6hG>GS$pQ&ZKiit6LeZ2h59 zKj_%jOfmJd(Rqg7)%W5H8#eB0D5i%v;z~{ar~BTr4`a4ocV&5;siP`jfSD9*Ur*gj z+hVl7Rbp&zS%1iNMbU&lv5MOgUXrfmXH=vn-hvH^P?tOk#SF* z%%*E(S+G}eMw_QDFe^U6{JBN>+Vh3Q1XuU z1zj#2VKUy!2#bUa7fn=L$ug=ldQ^o9QDJNRH2J>#QX}`B%zxe>6^{lBodJjM;ZS1o z&I#20$Ks4LiC+`4r;hZ?Kr?f8B|o0O<065&{#ckzh~Eq9b+%8q&KA|%nY`^?He8v_ zFs-B~zEO+M$SNsq|L}Aq&#BdAS1>$!CrI-p>>wukoGofh>Eb}fgWL6RuQS4x^Uij4 zo0`$+`K-p-z>2XL{MBQ8M)@`>WoDAxQk>HAx@rHBjf`>kyH+!7-G~;YwCdNIpHH9H zw$)^=Zzz7HDOmhkb8vXe@ZhlE+=`7?*3WSDDz3Zn^Qe=>d-?f~sCM&S_lXCKJuSfl z4aJ_;;DLXsZ`TLa%7)-W880x+!C+2|l^S+tSVemEd12;Jld61qLFV23>vb!L6drB$lz&c0Qq-dapaEkkl`nz@t^oz1h=MueBd05%vX|DHaZxfKzXUuP1w6lyFh zpsuQ6BZ}UMi8F?>MyH508^*<8#Z5KIsKlR^+-NcSX^>r+FucPL3yZNqZ5td@-h;~0 zpf;Y$Xb9ir)~Q=I9!WgLo$+fGOa!k|wl27neCXq_PC;Rg$#`d(M1R0=J0co+k3_^^ zx`|O@=er^iTpKV8loTj%6T0q4gNoalsn&4Kdx3qF!3^V@KK02EgnWt35eOzbJKvZ^D^b6_xY06V{SbEH6!NU#Eh6DV(uhk3i^2&^D|hw7MaPP20D-4 zXQip{iTX6?*!tbBo?EQS0q=k)WkeL!{l0r2+|J6O|C@8xAZE{!_1L0r)2qOQDw8(D ztlgo0r0(;+6jbw?sSN!3Oi$$-G@sTx3Kym8xoO@vN*kEAV?Wj zQWa}Ro2z0rZ;P>e>#b$6$`&k!{nQ=Lq4K3yZQc>XCAD{T%%*?HCaFyXwOxi}Oh?R~ zRW?Z}zlJ8gIOgU?dIiz3@RHVdM~r3a5UHS?EG1oz0?a6nZ5uF=!LZJKfdoN&5$PCrEWK36p_d)n%ZfI_rT_?Fp2= zwNs$m^e_|72~Fj9=IDW<`j;MP?+XMfGEXyYDA0C8E5B#-En;QND#qC7lthjC zN#_Q)UHw*15JLPE%RyMDS~??0^(sSx;_pVW_N89K%*WuArlkGqu2Uy#eM~>mMyY$S zMg}5s(*fked`w%|eibHsdaHU3vr;@5B?j^x4+#y`$2&+?Jl06~h*~c;X$f(ehJ?$A zO}{Jxv__Rrb}LbBI*6V8T4%4U5= zGStfp9cS55tuD=I?p;zlyELQ4?!B2sfEJd6dX6u+GC!UW_&u86^W-#BtE}YPvA}AN zB<)VxpIZB++GzLwARw!eaaWdLnbEr%6)8?u*rEx$V#FHZUWMmHYeFkmGtRm=Hg~2f z2ST;Nmg7%AaWFW4P)vz~)ns8tqij+q(uLDR%|D9ZN}C9#U2cyF4g zZrp^$u{m#eA8V&$6048i#&%&9n-!t>n?DrxWKvLjJnR_rSy5TX-Gez2@>-V zMQvt&dX=I;6h9SHQNZGkH$?OyBKo{25beI!Fft=&5u}k>SroAH+EbOyIusWtsd3VEd7WTMdpH#<;F7I)n%hStyUFh-;%CEf8aidG|hcQMA;CGVaJDyze!D( zGxq9b9GWs0{t%u+^mA(OZNg9%LtU9dV-0{5&NFh;EmUOX@g{wVP`^(wZ4;oymI%+S05@}-1<;Q-JlSm5tiSCC5u9Zz6BPM{*V?>6KmhE3se6H}?-dfKd) zTT7_ibj@+!F-_Fd&xCCBIR{1@r5R0jufGYmnEj>BM(-7@j5cX0*f0$w$h$4z{~4{o z-&z>?P^;6MQZf5!7t^@-;YnJP@=3~br`l;~P!@g>Z;}sZQ>x0{2Jeppe(j~3U%GkN z;9-Mv25;nla!fVS5REjh9I3NsF)nr<5sRKq>~@+!TA(_85-9!SH>{!6+bULXnH~%e zBPK-3lldg8k{_#Xul;gp@OL-fOk=Rq?0elxClR(*?3XntDUM0nop?-io6duYRYF0W zpCkI(#RqHCKbNhghI>HD=X8;*bA~$^y%L{^DrYF)*#H*HX)-JV($Y`p0STuQ8BAmV z?sv~uuFH5k%C|Xh5~QpFNI=W;ViU0d#S{%$pO#F!Di#(y!_G$HCLF$$TI9G+R(excfXsTH7AO%Hjc=pBBP??-1Z zRHGsrllo8vzyLn40=#?v97^Zv)8*T;{(VPV)@1m8f7&u`U@6q3_+D=>O*xWuNUfe-)W96t;ofTFtdd&qx_XwTQ~xD< zzQe47OfHzJ4+p5E{Fz)ZQT}<%cecGW<4CFVu;{S85BllB?cUq`{%U*K&#z3~RKX7F zq8%3ZR^RS+v8-L0ROR0)e%T><*kitT+jZ-#!vSMfn$gd;b#6~C-p?|jZJ0wLTd!k+ zK$=%fY*rSU-VOFD-xnonM~$a0p>RSW!;%r68m6$vI$PY`r6*p8C8E}e*W(Yn-u(oJyIo zS09s|_g3e5Z{cBafwzpIxM5%0A}lhQerD&zxG9}xQNN|%0x=A-V zDJYCLX>=RLTjU_C2!F{t)K^|LM0& zi|^%~d5y&A@|-6Z#*W37#A9~vX_Mz*wIy=grc3ACafH5{(jnMz0A&O~h6qEfFo^M5 ztW3yLUiYa8=_DD;8FXokGgu3+5uSHVwO_MR!i9ESPLzGoc*PYb#?dS4MMj-P0dvkr zcJI1CM3!Z#_ttGmqa>^s%Ob@QA@BPmlN1{HHc~L*QfSmo=%$dGkx@|!b`0M?_{HED zk2jMd#k-dofrwPeY(Mw4>v{Qv|L1y*3#}2(VtT=>zNcoi$>fMhb?qVZz?N$d*}X%9 z1blT-L7U>T*uBr36(;xMGa2_XWEyiI=L>h!m=A^Zu3s@m869;GW18Bbn<>~B7qcwL zh-QgMt`Sbi{l<`^d84!W5<(MtPJoOlT`S$o7iHh#a;#jH zmF<`@W6InasY8=S_K#EC*^9CkFUuxvnJ!n(vITA;bgf>MrOcl(dqviY@dK8-<3w09 zGFMHVF?+0oe}v~g$xwJ9YsD%fTlqPpGBal-;W?6KI2NwV&Lc&LC6lZyT9lKOo9oDx z%g!A!5{R4oMv5;Z#|qiVLH5~89J#9z%LRJF{S~8#Gjnn>SCfsL9Jk1# zlIxa1FLp1#&4G{{nT}N}b7bkG7L;hHK#d|_nwjfZkcF&Q=4IvN=PW~1*-Gr}Wshf# zjR;CvmYwTfym;BdWys2bxL4+^cC5-=l9lUNmThEBnX}TBxqNPJ)*`(urp}n*$Q$t3 z&;gG*GV?N*EzexAJWH0)?4>L7XUHJNI`p581u}Cha~7dELPum)M4@-cBo1Y}bVU<# z%5|?2Sw|+Qh$!0e1Lnsm3*5P@9Ycqrm@e|L92Lw_L{5gFy{kk)EzQhXl%JV{pj<+! zb2Ia@(3h-C$Ff`pQeDoe1>_U;lKCG$0JZDT6sd6(+&vG?Ug~x&!cRe0MyZJG3ds^N zaEZKKe}Cc%QN&KJrn+*@FX1?a2g9n3s!(5rXk!(W)U}cnQlg<$qsOkS$50L=O^#(* zh>-QNxc>eTqs9#%wSq`T+%azW9V?WT%PA&;TAYbkMAb3$!DtggO+=Do#$*aVFLU{_ zMMy?gdR!WA$#qB-ao}`;oEI)N?m4nEalXi2`PhnFqb|M61y zb)kRUy(`_0{462(r4*ZEEXi^#Sj`?Np*2QB;8;jWP^3eJ{6-8LH=JWALdjdTban2s zg;ZlvFpg~ZiUnv>R`x=w3xzDIhTbTWi%zW~DU{9B%IsXSL22ibiBN{b!=h>|XBSpS zF)wy!FEm=zN8J<#HF^PU+NxE{Nr1SaEGC(5^3qum2X(IHWFcwX@T3*W<5@W?jrx?6 zWrWy8oeS3|MVDQuPM_1uN+ytBxsHl-NP12ue ztb^8dg=olV=SAM~SB8=(H`gUTHei+G)&UC#EF36yicvShO#+*~LPd|3XQAbBxeGJ1 zhfI)(j;Iv~La$b0$6!Yt7TR_3N<01%|BF-fj!iVZGQ=Fp>0&K{QS9#Xdc{GV8rNl_ zj)*=`Z^2}KWWUrQ{0o-eIdWdPcrhJ4D&ks7>Xxru(orEpO-e3(ix5KOO(op4ZRMlm_${&l!lWfRt zllGHauhgLc^(L;f*+3h?Hb0sQG~1|=dUKi^ZaWf{*qQm+Svg}9AH&yc#fn9kJQz0l zqKy~r)naNEjrC)4?_rj4k==x7AOFkBUc^SxMRDwvmE!=zfDPHNTMI2^%J>iZ=M21M|aAG0Y6D(IU{u0LAz&e5<+BEiniW-(oLEBBOxN(uO{|Fb0Rl8jMBX zrPRAq`f=}R!Es)3$925?)>Q48mY|Te%c`qKQ9-lGeb`=h>xhtW7(;cAtCG}&_C@_( z5&NRp%T<0}WUVq0glBmo>8&Z+i_O8}?bd;2ZYP(uh)(bV_?Bm2wD+L>QoTnFUpqm)k5xzZ6eRuqZrZuBqc6b|rGI*noJI9tvUj@TT0G1Oc6tOvoUeMiTZSjXZccAWiyc+nWSFTalIceYA5i~0W(TDn)tgx%?K6-#U{ z>bNRg5z?F9>ajH#3=-TG?3pQSf8E{dtseTHE}QydLr{IDo=pN?Eu)?-d~zkg?mei8 z4Q%xu+`;qM!j@EJ>Z6|QIn6BH*^GIvb7xp&iy=MTT7oe}6XSC>bk?zuYoflMDtr?^ zCE1bLYGCPzhKThReG{T=9Gp$;L}AXYndzInG00TRcQWVHpil5LMojPsf5ZB>dTJs= z9kmT{JxnsaM=Na}J4GoB?(vHddLRz6}Y=t&(B#%MFmV%NJmB|bsD$F7bd z2_@6aq(P(%zm~PV#lGSuCEp(5BS9hp0_rFCa=&W^((yFw3}X4`~IuyQT;AqR>S)aV3thIz-tgqo=w zxWT`4_Xw0t?PBjfxzO&hv!{a0^PHVY4fZ5k3y(dyb?h~@#NL4@ST&g=KY7fS1PuSUbF8s#(d%h^1X8nDk+w%J; zHjI6t&5PHj+0_GT4YK(XAGH3I{vX|F|LWp;!al%SSG0I06ZWVfXp&8m}oa@xNX7=G} z<2@NoMKwLxSkYE;(5bFP3DjwmSyJnva5)_Fx9Rl6snL3nphB;tJYrg|b~k2OX@c!zalo=K@XidEmW6l^Q5&D#^d-b&b zpM+nWIPsxe%%}Hou*2Fln~)5TxHVht-t8y3Hqp?tUaX+l=@{i6Zni^rsEP=S4ml?2 z5ant2wx34gS_)n&&33PXn&b7-(9y?=sL!u%MqLy=LAAa+I2^t>jX=H=%xmOCk_24& z)EH8MCXtGAMQrz%5&uan2#6z4#F~S=lG5(0J7bJeLaTbBheW$SVHG-}Gr}(=(#Dly z?zEdwii#JWoBWk*tYiLSE!w}`0L>2Db z6^Z^uu0iNGjQ8l`Wz~K!LA@pct=f^Cpv|R4m}Zj%O8dI=xsayQ?~Q46R~W%g3U><~RZ6HvSaZTviF#zz8}{t!Z`-|Bwfg>Y z7QKq{{WjpM3h0-q*LLrTC^|et)Q!HM1rmyz^<8N{J|zt(JYSH=Dq@#n{m$L zZrp|BTV~;=D)W=4K^%LI#La}>a6W#-xzTv#|4!L37MEL>%pOtO0 zvIchY!4+mm$FusVux~`o$Ny2(d`@jT&qA)>Nk1eEP?;-fDbuGITYAJQI{V?o;Tuf} zJ0{|-o}fKwBgb+27TpmP1ODeY9#R-wpJQAfTvA2ZTGqE9omIg zN$W=1W`(3xF`{+Z)r8m2)I4;IrZZBJDG-#BtG<{iA zX8YHUj25G&%OLzeODN2;o)N}Qee5)A#Do8jb2j6mIlFP3v)R3KTzZqc%3fA!G`bha z)HN7?X4%yHpM4`nWNR&%+S%v8ktjoMs=h_%rD1s5C&tpbMfv`r(EXei z^H%A{JFBqRAl57*qvNU)Ex5Bm&L=UBYF1oxj90=otZW1Gn!|01rif-Lin3?mjmbfw z=hb@%sDZH!tqU#(Da~r0K1Wq8!gN=in+)BikT!=%U#J1xCRIZ8e)LK7gY0-6NsdO4 zBlkmO=l+haI@mWc*5?TRK8hKY0=Dy`+*B80wq1tYduHJ#X+9rBRFk_u&xP zvM!rTeLZ#fZ~qqm?U(x%I=}5+SlwMdn*Vnu6Bx!j8t`AY$nbFECg|5NGlseLg>0ez>1@n%^-oz$l?IYqmt;W1X z?2X?awbopos+>A0&+tgvJyR(7eoTOZM!CgGwp1=>b`eYvG z?Y*uvy*9Jh@+!_VSLjE+@vFPxA^$f2kjg_NFC|O9K|$YS6ZSCXvAyiEv8k1J4VUJW zd^sqh6sA~JX~ySW#aL;*BlH5Mb5~EYU7(~k6|=rH@AFNA_(F)7Pp7!*^aAT5SCvMvKpio`CFVcf6Qbf_4J^TdWj-IDKP((+o>1D*%Ov`t21(RLH1 z!k}RN{xw$jKgZPN{DYbj3cahKEAz%)(qpB1@r(M?MMC8vHK~fO;@fv(Ibc}3;yn-A zx1=ig6LTzAfZc|cQ{Rr+o<9_kj*HOwySfV5|0Yl8MLgZr!{?OMcSyJL;bE7kcH+>- zuGFsUQmph|YCLhFc*IWe3+eZFL^NJ6Q|cV^6I}_4?4*^{GLx3L|AG;9^=?RWh^4E? zL|tRhh0+*@hce}&?jh2S+9ewZ>eNLa`3 zlg3-@=+Y0>ktg#tFwhcnP-6PXtA5LrIcKFYlhKc137xDskw(sM-s$1=!D$?W>gvC~ zg~tARF_!GTe)pOfcMs85T%l$(l`vOG??7P3!_%KKfbAsa-SsVEQn@AQO5T~#B>FSg z($^W3h+hj`nQz_0uN$`o^UaAZ8;_)M;+!~|x9s>Reu^ap<6zz%nDSA+89@<{`;=Uz zsNWP2Uu!B`9Ujd@EXvu-<|g^Setn4lnYV`cUoh;~bJk%Z3^^=uxoEJn4=2sdvuo4h zNx3)!bTF+`etZ;G&NS?ytJn-%WlC@9SyNIaHe)jQV+_cnpCPTkGuf%OF{yF%!1knr z>%MFZCLL7k&b2e&av#pY;(MrJQC`e(q{L*g#S7AN2wy#>>}sv zw3q>6K9UaXfLCowEgLf2&eCuyJ&^8&&LmOD5XCYLjw-W`u=5Jy+VJ8W8i2zJAoq;(Q#rfHHU{}QVxuekBM1Q zBe9GJ_0$>%JEpgUo?T@;iwEy{&x*Ar&VOtUJ^S+{J=|~}nN;eKf}X_TqwQ*KDsyXL zG2z#JR*cHGk2&Y^2lDc(#+oyyjWD4agS#-xJs3PumMb4HvqB|*%711PNZ;WhA%({7 zd$ImKb-|HiV}m0{$J)!vI-ck6}JC0cTQpZPjV*}w*NSHLSg$l zS8`!{p=<1uhumW-|3xR@+4dc6rdVI$R0ZENCSR)A_paHu-t7BY$-A?#{g=7Z3)_F5 zJGQX>Y1in&_WyQ|to(Ii$zDP{)MYCi3h}=zY?k(YY4QEVl1I{hojbL#{e_%Sd4%;V z!fM6>yX4f(iuU~|+V|UN-$&6Rtl#7$=Z!CHf7vyzuzfQ*ExnwaZi)7N8SU$4^^LMt zehEs7rrK#9ER&xv*W;MW=BH z+cQ{5mmKQ{Cf_NOufI7D&G6)m%_HScA+j||mk~w}vu~`~x5(`Cm@EGaQ}R+H&s$vB z{$$R=yoH7B8{CgY>XpUOj*p&$M`{6F*+E55gs%GS$}ZRL*Pm!6l(Q zqRQW1hDa;hE<@KVvoAwGEAPFWhnHMVn(Hn{&XX>q;43#?PN59GoD9A^>@t)!ZtP_| zeB+qQc=+=Xm+|nscU;E9JujmLs4Tdg5^T7fkSASEg}w4}s@2~wM@9d3IcXkt8Ff$} zD}*}kG>#sWUk6b4M9cxm(aW0L= zSthw+yr!DzW zKD(*%`bAp7R^Dd8zV-7wU@l~l{U%1;;b~xbjs~Xyges+&DzEoHujS!9P>=ha`i0!~%IKLPEyHMI z5W+a#i}##-u{gTqAO{16MoT!rG#84A=O~{h&eG=i`n!dVVu*#gihI#o_*F-l@=t0)fW!#yUt!VXlZD4Wf6N04IeU_(pP)2DX4k) zmnq97#XLpeT2Jw0d#PSm>rs{U_iH}-w z26#GO@L;Zhm6@D>@HcaX{4LYTk!0eV88_IywhJc{FC;zX5y`ZLdbD%=%2U&H$4vGu zYtiEsQ|@AM07SZ)+lc7BawC+>hnLkBEU z9vF~2bU?1cMkjWPiVsPxmb+Qm*&#QbJ`ks*+%r4%&NNoZz05giMyLV`%NsUN&U8-gt1C6>I-7d)dV0soFEg0>)I3 zMcZ&ZVE6vj#00PB;O3Vt{`bnJheH>-?ImQ<>%1bDEIZu0q(G)n z#;J$TOFmxGc}?mu({NdA7|9Ezdp_ zeEV1+h+LSSWs=sub?)-CQja&#+w|TnzS|)bFP3$BEP5Oqup8J}&`>yjhJ*Z-&fm1A$T$xMLJ1tb<7aEcT7Y$?lR$6f?b)>Lig$PioQL{_Y1yfB+sfa89l5l z7e1e)w_sOw(k8_u+ApK+5r{@T4JlhDA@4TMZ}SQq7gRy4XH^}~D+?Qo|J1hNK{ z8OMUkSwaqTd)XrDhkiOnYG|k+4^HDNO*U}?o9q&CL$k^mb3A5E%KF^}Q>vZq3dthf z(b61I#Ru5JjaN}1am~o0z?ij3MGc9ZlllZ3TUt(KH=7zcyPd{StfjAgM|?$uhEllP zgp4{1Cj#ENCax%O-`eP+hEe|QMS)E5=i}nfdH%N|dltP6I(u`(vA~aiRs%zY^k$>} zu%^*aY~g5+Yz`|)e!w-6olau20$!ZLRNEL$+b@(*NLjqg`U$PEkr#sTzpH#>5YWS@i0ld(~PLMm=$Kv?cPNJ0u$eSaNj9XOjpB0M$E+OOA03E zxswR+3SUAnKZajod^ZG>7rVu;@xESs2gKcrUv0h^j5`EDaK1AEj(6JBy7c< zmLo=~JSR8JppjW$RnUv>xtKj#ttKV8o4eQg;M#+Hj0F7-8PmyeF8x3)q4zVx8E*4` ztjBm>uPjyirPNpkhcBh^FNb)Fl_=bD*a#xVeVzT5wY;Vs6KN1$arc~=5^k3UpFa7D zEBe~qMV|%P=+Hxdu_Lp58o)4(iG_oGLLY;A(f@ZnRgpGLJZpI@m*LT4_kN$%Hes$9 zDtZ3}Ix6Xkt2fJHsbWI{cGPY$7i`9O@>Omec&q5zhnz7fHuavQN zAwDc9bQuq`h*$}UqqN2T0V8lm51)#&R3lILY839`F;r*ahAUR2ejO65);lehQd7kN zlla}s^ApvPsj-{WVtwoul&K7ro_;LH%pW+0umhbP90)D-&YWmkd~0zc@JlEQGYrlAR)c%m5UgYWgDVnhx5Wm&Xk$M6D^HL6#4RH(>+kE;7l)%D+(w9Wsq-dCM7bH%|khmwM&&Suf$%?vUcENC|8 z_900<34fHjD`JL9rpxb@)`;+Di%Mzc8MC@w?Bh{u{9EK5HQIktYPVXaU1Ma${}){n zo#&qD%-M-mjwI@gZ*+YRuV67eT$9TKZc+V0<_OU!6~jJZC>VM1ck zn@yZuUg~TRqU$R~*I>!Ll@#1SFxL_rKjsj3s_=vAI9*33&3{CEy@b#HO<^Mu8zD^M z8$@^}#`1i$ToIM0>s^6pW2Bh;&yWK(nhiyM{BMK>g*)L|vyo4=pxN)yW!&*y2GMWO zcULNfNkQ4aChgz!jot#%NVOw-X}4mM6|xtUK5B*R5|^lrwO-lOyEu4G%#N^NPXCBQ zrF>l^hnzQxrdkid)lD2dg|X7GY5y%1w%Tmn*K%7@5c{5wYn>1t*J6uDlX^#o@bxS5 z4Sz{(gY-_A7xcNhOzWF`C!nr>V^X#MWxaHa>>8yb+HE{9a3K{bl*MyG6wj9dQtjlB z*rI|HmfTU4(QOpYts>dvgDzPb@GI$z#aDl{WbFa7Oc?w6GMfE$dLnD3pM83B%~Z;a z{Q`W&X}5{E8uhma=^5$>uGZnd8*51(wM1 z<8(e*Z1*PEnGT)k)}0|=Z^tj_nLo96<>*;j`FcAJ7Ga~~Zf(PB?czg&vX3u)@%47m zcXRe7UPl(rOBWt}!rPetZ$mSLLytI!08e+0qdijUtP0DUX}SX@QZp+eHC?5osGwRL zLcP|sg`DGWO6u{iR6qOo@-D>bUWJ&ACH}(!@rV zeOlh#j0hWbXU*im7Mq)|oAIxI6EB;b4gTMVKVFf4)cao(0rVDX zHJiN=D2v_OlkfaiZjLDC|0$*0r#kn`_KCADaJ@$9IJo&>sdFDnr&k~v<^9p;r*LH~ zDa`wSkiuQ6Q+zFe78E}=nZl!NyD4?<3ReqK7=`=Zxib8~MhXu`q_C^1!b($WVii6& zTV1K5bnV`IzIjTmF4^Zf_#L&STUgD?pLBPnY3vLv+0XPx>4w+a#Yb`3@%g}4PvHk$ z{-8_0)?C7VMbS%4@J{}UDb4Z{w|?WexX~oKk3nBOMXtI<<_gujm}7S3tZ*IcDrKx< zQCX>8RAuq~pNCq`smfiw98u4{tHw_br{+6rJc&syskM8pr~YS>vLsw;mz)$|>wlJ% zE`|4$TWFhD?|c0c7TiKoF`xd=lJZ>zwBYg!XvqJBROW+L`x$lCtrYjW=z4e4{?5 z4xJ0Y0#~Y9H+{TC$scBXC*$d#RGx5Y1y=mbaL}- zDY(!FM)q$sK4xPt`>8AJ6A-@Uy1=zJ-RC}Mj37fR?je7N40oizLx%eT{tjYRM@;C7 z$=|O09lqD~>a2ctUdJkrKF6nfRSCx|u?#Jyi<#mUZdBnonONZp-+gFSo6bA30~aju ztx<)Oz}2Rgsx0Cx2?A;L^xei#nN*8y5-ca`Yq5KoU=Sy?a7qUUkVHhnSI3A(?9f&@ zxJP`bDC7Y{rp4EDk`-*;p`WQu@=Plt2q#Yr-3ta7C*z1PL#q~Yi5f4Kj%gf`PXA|m zNq3Y3a~F|I18S}GPV;;=IED@M<=!a58^b{>V#dFUNk2A=vakG?Ut~A`GUQC6hZx!k zuFJJYM3|gjrHAhK{=?ZwM4?{! zJS{pW_3|(hc?^m->bsJyl=R+V**k7WZlA$B`liZ>(D|q8##xtI^VtMB&bq_mGWXkS zzpreVSn5OmpWQlJ%o;mpav<#DrbrO=i1KStg<>1 zimfUs+cK>8yRXL#{%Ba$$ybXeJc=Z~S9Zp{`B7_$J=eO~&5wNR=GFZ8k#+btbG7=i zcJt;Z_;anbEGYD6-ypkp@t~(t<>dRB(h0O0+qU%JTX?3Ef1d9e$Kjp35ac^=_MV-Z zR`QYOi>;@)$GM(bUBCOZrZa!S*`ZzgaPXeHIdhYfxVE+{tdfe~=gSZaCk(~!s$1%> zZ@0cRU}x_{D>qVyS8eSs;{NlX`ET9xL4QNdx9{Dnociq4p`y=}sO?3yiuPGwp$?xF z+m~4T9r!Zpz~1zED0Uj%aY~#x@{I4b)<5`A#vU4`FNBAWmLBL!bKI6#)%2QrTK#lj z$HHMh|9;T~$a>L79t;-c`(MiVs7gYRG`tSlsfBa>`L}?ywe14 zZcsFQ%wvc)xRmK5G1Lw|g(Gcxi>#hZ+m5r2w&p#ejT~uZ7CM)!GQ&E|NCM*cP>@<$!J?r39>5(h%D%N0jO&XvK>;fyvdPOR~_iD2{l@62n{To(Ut z#gd}TFLgGlN*g)Cx_PwK@A2Q^+d#uisQr^T%u1{VIfYw;d6+nDDav-e}_| zks7C0QPgX8;+09`JI$;wWy_?KF5mQ^ufJWbGDNN)(87*3&u7Ak!z%N!SNhP1<$k-j zTi_`o-B^{TUZ#v2`@>45>&Dc&bpfldt@$U(1e3E?g$gut%PM#cGGpn(=4lQm=1eFsybg zbm5iI9jPXMLmoa%XnFEE#q6H7F(puVda(Q6uvZJ8ay}!ZaWJW+zN_4-!}N4XirTheVjT8;1_!+c{x|u&_z<`G z)L|C;M6E%KjKbk0N1<+bS)NI%;h9&?NT-R# zmgIbQkHVCI*|$>RitNTu;7?)Yn#un_a;E(4$A0}Jj~c5tHkOZF>jj@5D+~lzqAx>9 zcDZfB^Taj4pGG5ivz+vw?$1mf?EaoS;~|;wqH3INeAq9>KSnwykTtQ)BJ}3(?acQH zXAfLSCa{%vZ1Td~m+-Erl3`ksg8u!yKgnO?p;~1$<1b@U5(>MU{NpHaSMaps^AvG=1kU0?L0H^pf=c0$;4-c>7CH*-}U ze7D{3nvk)T%4fI9V#GQO-6EI?-bY2;+cc*QBQWBgP28I+(!( zCA?54coT7FQQ63Pb<$Jf7pVfpX(}iOf?yvpMs_)Sn#u?2f z-GunQ@;*|*j?pv5N^c)eMgXIzEw#80g^>6)Wxy9^y3-W$jFx^zt@FQ^p45_V_x?1P zu{T_qE%=eh_HpOP`LR+N$u22780~sU7K~KS3En$S3)!is!^e3chqt4ja#`7BeAr*j zsa-;U+T^>j;@N{OF;T*Sk`I>})pR@RF8{WB*YtSGf2_uNW=?F4Gr%cldFb>R%4!4$ zQ|XD|Y!pI|NP_n-t+Hk9l!OW1VbPo+M^ly&@NXdu`SDthlS5_1N36wIKpb_{dwy)f zOZUYls3MP7VTaup%Xw|S%%IG9(tc5?Iqa&+Hz!m-s2rk-$RSIsnsMfTQ}!)zQI+Zc zXD-YD;z(+E$q-v55jE(d5r|eebXCF{&C8f&b~7!Q0V^G$N#k%}qV~7DnY&wN?ONN# z%H2iX3^@WS9phpOqG)J#hQlJE4Tw1B|9##w16b|;e*gWf0q4Buy*%&xKJRn+KF`Z$ z1Re@d5|d()i*QqA&%ims8isZt|AqXkM*>qa9VDT>eX*+q2qmJ44OpA`xH&4ZEbj^3 zEX-I2`i#VUg=3+hAV=~ez4STNq-+bUiBw$949b%3L}}Wr;ess!^Yea}Mq{1hYQegv zYegAv-GlyI!)OgCwZ`Xy1Irr{qkOM@i(4$IPmgy6b{f;2fC)mK53o8ZSsTHBC?PAL z27&g5CI&udLrRq=bkXVngfG}9a5fIRaxm((?&pvALWnKw(V=tbFez@XeMR<4KIaVY zI$Q)Nm5a_KE0U#!7uj3D|9mCr8M%XOeO2-~HR#oD+-eCg4B{97p3^$P+nedKXW&KU zKlw`7>*KubYaYof?Fe>2(1na4lsTS(43cd1=ipd_VANZYgAA$R!kk{eqfG zs>hCmU5px-6SR9L+6@n6;lvZb_R8^e3ebHu2!p_cU^QS);;eq1wI>c5ED1&i@4JYk z_*$?ZPc~;g$1)CJavO56|_h2BOr5laA~2vnQ6L|9`CT=^83bsd7XjUr9_fw!*%TW_Y5~3lNcBeeFaCU7jvr3^9PH|Qe8LR(OFCB(0dAy5vnKIx(8GDgWnsimdas}WUGTRHk|N$ zb6`I|2La+~)cVa0{N8+5_vm5HCy1YcDL`<<0I`@szv`PIuR5P$C&s)BL_0rU1L=!Z zqB%xSN^5-EP{G=&?3*cxkULu`+~2=H+eTJZ`tNQAan{B2P!44 z_2+zzd3z)4&l)O`ImeEl&F-UI@=>QgSzKz@BrJ@jre9|%=eq+s3#`W&$^4H#e5LGE zPhuH{^fwIY!v$lJg|$j)K==z&S4ImiFjPMUzX5pwuj*NF0J^2vA|LH!ygl(i5wh=^ ziL(HPfYtKVmCuPmw9@mxUilmm76d=B$gf@bocJbUm@INGdrpkSHWc+!JwQQ4f*wmc zwfz`L33-NMk+Nhgb}V=7Z$D0Bl*zvdxz9az3%W`@1o()k|C>(Yz{HY}Da``25*-0} zKB(_GlA_adv_{X*{}?QfXf1k+#-#GP+q>MI+H&Z?P=UG0ii() zs|VGs89C^{3+Vx!7qusIUB|i_+{d`;z)!p$9(*ld%asIvrxiIQs4E9Q8Fauo`d&xW zoyo^?!-V}pd3;^h`PaM73&(`o_yg6+2eU>aT}(r6M7$_8#2<*S0}#C$KbwC0>cQ%V zZ1Fy!-Ox1bK-N{WQS_%=V{${zx$a@U``5(OHr6)9i=z(yl+|It-x0Om+9rcItTy{c zG`y)$0Gcr9VUOuiQ)1J-%vRG7k3Zr>z9Ih-7nyTHX^5*~ z)&}IMi+aQ~E5TQPit;(v@O1WcC+cdZu#@^e?3veeCCYY!N3jn`kxnD6eG2=t_`XPC z@$jT-$8h$iFv8uc&uj4uOO3jk0CJIZ@o((ZA*w{%qOjM3o!>p9Rn1Kcm=k(oPRP2W z(&~q+lMN9(D%o_GDQBiI&B_`Cwrv)4GR|=;Ta_nnq?4CEP`(N1Nz@3WJrk$=lb?2% ziF&HwqCfh%^)8dLM@iLczAv0dok{FrrdC?EPB6@ z$KOhaay2XYU?R#9+zL(Wyz^APMtK=xE?f9UlF1w+^Ls`QbBs!CLcOimYBj>H0joGx zKDubZx-C(FG3yOj6wqgqX_DI6xx!Dcu=j$T%rw9(B~_5%f`$TR*St&{>p_DS`5{be z4a0n-CROH!3d41sN#(f<*<>I*%b~}chyibAY15QTX+{S=pQt@&ly=4h{$TU`W>RHN zg!TZWXjg9cF6RbRcq4oDYfX*RK4MLMf-gSQI+ybnD`p++_pa3%47>uznL7^()syw?jF^76^oig;1_EjJ4@W9=Y);Dm$j0QqNK>zP{|PY zCDm~x>BxGMb5zpFoU4%${s`~#BlT7U`ljZZ=t^J3hN#TqJ^sr@^$9f|aem<`I=+!P z^TVYm80Ne&A4AsX#D9fSe@13tG{ht8n?)|>Hk7TSpx(r?IG2A^rL%^KAqOFCxco{z zD|m_jkpMl1rj}5DN~q_8_fAd600wGMVnnBwD5u#@2s{Q;toN?aS>%c#=<|gc+hM##tKXj`=A%cTW`Z91w%>u~+&gQ$ zKmL@k4h8Pe<7rtYM(m#G5oV*6Vqhi_dK&7Y*d!=x&KIJi6us~?snKm=Bm^@nYcsy zeEY-w1|}Bf;b$xU3KNsO=6s1Gp2!-ofYzTSYLp9e{J})hmq3@y^Ny=D6H}1o;h+Zh zWPH>juTz!Qd8MY~_Wq1qI*ql>TLtDu2LAVcE#>Q@}Gw1teZz>tS3U?8k9;|8kXI z`o4q~3w9c%aKB-|tS|eXQ9PD4H6B}!)@tu29Wtc*ufl;#u4wEj3gQYF+WX4HQJGOS z%CVr}Na1Ld)r9i0Q{H$S`)HSL0Dv(LNsw*5o05*MjijW&J=tL(1glb{r5&9AGpsl8 z;A=MqXf~-Y&(NX>JQD8H3u7#DnW-e{DDp?{L|wT%Xh2#Osq$;AG)#nf*Pp}cXVyTA z`~qsmB~pIGl~s_b2IpQr76=Ig8sX*n!M3R;`WXGP$hQZdUeTj?)<$19wFrA$vw<(M zUI)`#w#R721gJBdd?<*Z=;gl&&h+=EX^QAdDQr=^Bl~jgX;?tWPJ#p*W)qlDW03!B zLtIL@&KW@yDVR6KS$jtzw$9I}N3{OKX~s#e*zNAq)DxCs9%3q1{%~p$3ACxB^5fbU z#0;n+q9NmL_p`48eagFD!Ma1wrn9H~MZp%%)`&$epTy4eTj;P$2yRVSrh{X-iR?n} zqt~4CyAA5P6KosMF&M@vHC{Js6r7M6l^a{Iig6x=V+qgDa}K)dz<~txj^*sDz(I)* z-$IBa+Mna!gaeC3s*_yRBfoaR#V0Vyl0Eu6my0$qbt_q3eR`>08@F<80@gEy0j zU>)-1%dq#}r7E}M6atxu2cL*T{rp~9t!P4NyS#%2Ce`B?bhcYZPxR<4j_$mJh%bzog&`XpPvgIOhhD2l z)=w%yh02}ELl8f4f9I&Wz(O0uDN{j;z%$ZS0x!&*a&_Me9CoSlZQ~U~aPdPv4OXQ5 zU6~mC9m3@i3>WJI-lI%R7!5jAK17zuecrJuP7F_z=BERVm#f~T>O4(ng^dCKFSg?f z3BO>@NGwAd+Xyfbs1$Ay*ZG9vcL>7{dLa4q0;T|& z+9J2$8QCX$l|zuk`}^}B;5%|kT+oKn-F~x#Hr=onL6BeuthP#n#lme>Dy%J4B^Wdv|GK z$&4AClh^)whK-SVs+k41ll#A0U}3L9TAv`#h|J&*S>$KqK;w3z%N$st;;zGJIxxs* zw&@&?ZJXs>1~!^_2p*>jIR5PIls{-x9gY>O#3xbgRs?P4_;^=$vg<hDLKl8KI1UZr9Ai zX%Xx}CD_Ss$fn~;lz(W=@|W0|(|DYYVhY&rc$EJJjD%K>5l*NPV&*>bs z7m3}k+KZ9He;5M__`ItK_@GqPxFOD6FiZSOd6vsNV(;V_g${)9)oxPGy3IVSM>L8)_WL+_X@HEVEDysuU4? zGo5JvYQ=es^mGjlv%4oUSMMA|6R&NdYIlzgx#)ec%P>oZorcb4iY z-*LAz4x%gLSy(X9H{*t%u@g(cPl5z6W zW7nRAjQ8uhle%-B;H$tnutkfY5Ta+|F%oas)SxL`7+8)(P{%-5t%ez@GgC;T80VW{ z)m|H=$U&j<;cEKxizI(ezp0QHjJl4b?%X1!t<=CNM?p9-Z}; zXK?KZ?&L`!Ar3~G2H<3b5O82Xt0*^tr@=#^)OGJK-Dyf}*Lk)rju{Qt94CCe?ja zX@s{JxBd2v9+o#(XT)TXyoMc%8vfKstAKRYDAqfqU3oBUt1XPJHDdJ0yJC^Yv=SFI zcsn@y6F${O;+qCj6%n_i7Ub7^!VUqB}RzJbQ3;WQviYxhVZpwo!k zhAeWG_7*STEQbiHJqFIMfkunv0F|VhWY^s^x=knz>s*ibj32TEAQ&K_1t_o*?_`)I zfG@y6z2g923X(bEGXrfAfM`Y!(}_&cuO*{QzF295LCJpMN=^09nG(kWEKI>jj>v8x z9*K4u0`A%dnhOjPol1dSmnm$rnf3ozVLGe`y*ds|*|;s#5k>TXX02KYw_4tTD1l+U7U=5r6HU=MFoBiW+(3}L)aR_ zSp;H_ftG|j3MWGAQ_~`_z5;7291IXCLrB)dBoUWdc9g9z^qgUOnWTUJQKGP5CJ}rP zTQe3NgFlmo5U?V}u`=`-Ac|-5uK#9y0^6#vGhqaS`rg76K}wu_@d#@t2rL*3E(^{_ zr}MueOPK1xDwb=ta%{BZ#C8nEy2lN?pdi-0uJp^$jMCLO-Fq@XFOr1-G}2H-T@xW9 zF#F1H2Gp0Y)yH>GHGB{49rSP0HT^}v|BldjedZVkAd`Mvaxh?=x3hOR3&Y?{(?}2Z z7&iR{!erxmWMT(Gbm%a_5?QJUZfpLXu*Yx z#AtQISmgom+vnzJ4O_z=?QlmD(9({M!iy1bWHZZdNa03 z)LbCR>E9OAezncKyM$_&^Dk}tdF{FVwQn;!qHnuTmmNa&N`ZDlY5Art7VOysj&aki7hpZ{g>6|5 zUSkj2x*}|A#4tYU(XcIx@jQIk) zDa;AY;Lm5k=qDR+B}^;+2A?<&hb<%sUkOCka`#?+h@PwcZoI$tJKbK!VsF1A?>jPQ z?S~c!c@{PRvVuCMLu_(EY{LEN5Qc7L`o@jyKD-Nblt66270kq!=niHiV`P_W9)yZZ zdcwVjQ3*JdvI_=dk3DpsYXI6@R>pB#Sqh;aXXTJE=yPysqY-Xri84Rj>iPL?>90jipK zp!SDaxKP5`4?sH*AN_tEJ3r{QK7I(K!&uz;%%naHCjsbQ2(vgkkjH{GYfcG6i^H{y z8UaMxV=$uwWqQ(k442pSKv>*ox5Uyt*4->pghbtiK=-AD;cUEDC157|1sS-a`#UaQ zi+(^rWOP~?n>XV+$84?p%pC(QqS-N4aP<`8{DV`8raI_TI$evEMXJ+)+P=Xvbjtg( zzt1zp(^>IP=oUo?bn!F-SiIQzC+{*`B^iPl3rBZeBlY52q6v#3uDU}MGa#~8#~~5 zlHU&xank;*rI3tH!fKKp?!IWsHtaNUNqcke5grN^mY9-ia~|Lk3lJ%o@$i2Bq0qSf z>g)(|DL>3o)_^A(k-dcs`O}yUt&Z8EBLpl3G^BUM#I&B z_9Pu~&M@GbYd;^7JfA*TZz8eL?pNnVBv(*<~Ec~V36cnD~54Ye&v z4LKRY7~Ofnybb0L?;|Rie9RE7#e19ztAi@P5&upT$(pl{Yd^ll55=qfet^Fuqz`qA zk#H>+AkW(_Sp5ISz8wyPCq_LRS5Wryi$PV8*NCf`y`%eK;lqPSQg>>snM3BVqmW?O z@D^3~2O?Bi+t}&&{UIi2z%gU4$Y}#p#yxqxSc?X4w9Z|ncdPJveWfyhW?Af(@#fg1 zs%%3nU-g;MT{Wcs9MS<9zTzV3I=|j}Syu&|Tx1SCp_^YX5wv1d(}t$CpMPd#8Dr{y z5Ec*RQ@|_j9Z+mJbal15@HPGyr`0iK(*$v%_F{#0EH#8#{!YPD8 z`)?~ry5NG@a|kHxYe)TuDrNTBj`BZHtmWF&X+gG2eFYMO=a&c)LyMlKesB#jn9 zS~#>iVN6jS+M=$Jh6ntZq)AH}X)f^RtIVoOmseAUB=3j*L>1S}21NrTHiEDV1%T1q z;H~9moT5;WF#d>nYX1&$2G|ka-<4{6-U_8c8lFP8vX%HinsA8`;_ksY{Kd%0C{Yo(eORcj$4j z?WTk5B*y@pxLtKfr1w@8KVry`?482c3n?xZ80_h&f-REy;uy^W`pBk()FD`ahImfm z6b$H)?t>+Ch#Gl98$ziB{)3e(G$))aWi{%V~G3gPqCrl9m9?gmi$_ zqz(o>*4{(2KBf>+tqcQO1+*lgQ9d{T?=H*{7?oQcjSOo8s9B8fAwi(YyogA?+spYu zF>lXhl!38nJK*U`^}zO)3~X=wueX=f-=6oDfcs?0{1;1Fv9 zUiC}+sYBSZzBFA7!1{g5v6o1#Suu|8XDcJbA|H<=OT{sCB=0_53_dYCCHw{*rmuQ^ zM&?%ww7>xrBRQ+$7Lp?|SL45RJg@C0EC>9(em^J;moo0Q4%|Xcws-$wWEbqBkb$q5 z$%D)(MEeK!vz3U|XTTa-%s4t90<9&MUqW#NTOJIH6{2kvNAO@6Z$umc>@17*!4VNp z4p_!eQi!sJF{D|^VaK?NXndfhs++4v8Dw5ap0ul>Gr5UO-h34QQ4RhHXI$ zV2gA#Vr8`e0pq*~J+;zU)C`el_f$$j&g=%04g)1((Up$pAlDmaVU$Oa=#9in2zMF} zoS}oYgvR+)6(mnTe`M!S4yU@OGNB9v7-%PiD_@(JnN`}(f>2B$BseL_KxdJ!M-9ZL zW@5)f)IzjAI3?N~!paj-T?5QU5GxRYfdCA+w;0?V!vnqmCZk?HbwSwHh5aZ47+jGp z5h^i=1c|wcCNqi|w9vHXIr&>9tta#tbL1dP3|yupBmcINx`eyr46`B(kd)wCArfJW zYN(BD_8w6wfcN0}(BSh$FtLId-cS6RZR~CQ9iSUo7ek4joVQMcFlezNArvcef4}HM zrWF>8e2E#*0t`>qRkFQIob9@Sd(>N@tus1*2%FRjezKAAqQlc9^5i|DK!%SHmC!?r_v@xaf#;p#s6g3hww zCfR0mn5#l&ao!;+IK18)O8f*OR7Wh?IB}|ym4@Xe7WXmxA*sUynoO1d#_DA1Hgs*c zVd+HlKBUsMHT&UnXdox8=JbH!TyH0g@f)EO@`Q+K82_>U_p#M0Ks=tJ=U}No;xn9} z3gTYalb|&_zpa#W|9dS>?u*6i4elTONhcwn8+F9c?Ju%4W%vrCwy)srHB5RNtkq3e z(Hg2QsVc|dov4cgmf}VV%r$s_3n;=0D1s0vBXqodI6mX83B}goI@b#Df06YKbwU$` zB0C&tFHGSIWE|t|`!$*A1CjcR0xlRJ_Pf8)@iX&b<#ax!iP@fJs#{2fMLJbpC$jp} zc$<}?e#cYP?^9w4dzi`F9-)T~3=uw0q*MxkG*ZcH0wtg0Z4P?a%Swi5C9`wv}vUC3%|3!y&kGpbW+Gw^X>g-RrPAl9TN(=8W~&9lRY? zJV##|NufM~?t4GddH=5SZpXq{Be=52U5W2{g&De&w5ASv?6_`d4sQ>o$DzVQrexbH z=hzAtrePm{i^qPK;99`jN7CDUki;rnBl(9+6?+P}Rw75N;9B9aXYj5_!8MB>N9y3qxtX_56zq3UZzrmAEfrW{$>!|A zI_s)9GlHHHo4k*z-ZqXekH4C?J?ZW-WtHFvGZ)pN1U8m^Rk3w4Z=XyHQogoqzk&dS!+E42r-=NY#wt?PIhu-wr7AbcG#CA+$)rz7iT@ zKru{}KVxP1=@58a02JVR4i*W){xk~PIjx@J0cAK=CIZ3>wiT6$(8@d&C_@7mpms&* z%m@^3C|fb)TKI->dxjJ&?$Q~XBa{8osm3{NSjr>bB;)?Bez&N6bSjj z>lsuS-c`j*jxxM}=@K1)GoBFYp6g3so9mZ1H4(muxMQCVvSE)#b2{Q?7Bw(Mj_Q7P zPhh^Z7iBoLNX9TCF=-XOzh8TgJm>*Absn`0X@o>F8KzbwG<sSh*`uHyX8q@&Yn0CCb!~re^Nv4=gf=&Ni0C%L2Sfy1Uc^ly_d2- zaZUDGzpf#v$~o5!(=%N|?bn$NE`L>sW-1~tBo0+$ReCsX&PofMERP?;cz_R1Iv?~1 zW70MUD45id{kGx-c6|)a=6Fq^K`qd(I)L@!D4v&YzJgd9g5D{cV2TJx=|B_|yLt<1 zn7jcf`7}Oc8dy#MQH}t-J{$oeOCq_FO-d(Z@z84g$G{94gckKQ6^IFHK{+1{G!^dq zxi>F$xyg_;Y@c?L)0Qu#E-E0jPn(_Uco~EsG7<4@H z^`^vR89xtEYV&%A<$SH|FE|BF_dDRaDdwB6p&b*57Gel7;2@dWu?ah?mK+CL{3aoa zGc)hO?A;_~3r6KQu>k%kn;!OA2m`YG%1825=ohJaDfAJp5!@TZKqVrXIRO2U{C+@$ zQss{jbirC?bluYjk3ZGNZANBfLP#ZD<;z_qv_GvKOz=bsRvTp^`0Fkv3nM1D4GcN> z^z~h&QG!nep9Nx)U)Tjn681eDYVCw|g~*Lu;7{nz`OGAKC+gs(^uTz5Ta{wT{fztv zyGTI3QXoFM&)y2ScvX=d*Dv98l_7jH7!Kk9P&wGN=7Ic_Ify<$QWR2|E@Cf|HwMY6 ztlfmBibK*7Y!K)eFmgbz+C*CxYYmo^+MS>u9wFh2wO9EZT=Ar~^6Y*>P9oUB4wzsM ztg`!l(c|Ck1iwR%=R<_2=xtJ69!AH2WyO$VocCAIm2;c;`Y_RP4aFtr$OKpd!ln!M z5tge4y&Qj;P+#MypJOz#YSV!Df2toBo1=BsZ<+|q0nEI=0SJOe{aS}Sa(Lm zLYMO(4~zY5EI$Gy#>qz!giMS4u2d^U`T-HmfpGIas4>h&tTNWZKTD}~Smhlj0^Oo( z>@D)!tOoEq^!>mD>h_l)tWpXBM3A+}B7Z*yQM1+F_bwCKtq(G_KsW3>IWh(269r?IcyM@&VHfgN6Z7Nh7E9XIpm15faG z1OTOkgJNMk^T?U*yq_&UT6-b=_Sh|lO&I_nH;Ed8gSgr)@zAK?ic)1nq$?D(A!3xp6 z^{~mik`NuNhXcokkwlBw)$`Qg(B$qaEusydZs{3XW%8Sjn5cq;ehr?PtTYuL<4dZ_ z8UmrlwRoqF)9s&SkzpI4BOp2TuqhipQTQ+KVKIlNb?8yv*RzNS*va6dC`UtrXwXMm z`QqOhUnFyfvEL_>ctF_-MN;{9;LD$LVDaya1LMp&z@X~5Mq*6ye4Pgf?&0uO61F3F z5@|6Od%g^{?YZ}Un->%e#XJo-V3V+TB=OuS($5;r4yj#0XzSQ=wl ztUGnGnR5&)9FgGTkHi+fGG*9!UBVgcra?F_uvW2Tl`b-7!6ic0$ZND(DIHQX5~e6k z;NsW}?lvt?5Ui~@HwRWdywK+VdEE%|WAVqRzrX5K`Kuk&xx%r9@O{BtcP!JlPjy7G zvnW4xs`)*GL%)#45Kq7ldBlVgCKVFh1~!;fI3_`jEqpaN630YzJYbVvLM>?Nnue16 z2sFO&yd9^Z1~zxZL;!n-`RdQQl$4wSeOq*N}9x@dUTBg|C9=1f3*hT07d{|M-F^ z1WkD++$$__71S<(1rX)>H4 zWD>2Tq&Fn{63VFGIb@MQ5g~;MONS@#56rsR>~>^WBWY7 zi4fjNnQeRw8? zA#eR$)27=33C%QpxL7NRv=zuM5YVw-_#D=>4(%RPL>=3a4zYohKZx(V^*F3v9!L-_ zKnOwv+wm&vDTGA0I^d1QopQpQW_s*u5v)jA(#^6+)j6VIusRx>>@>nAo5v;3X>l5p z${j$4fDm->4nkrPz6u5O&PN$LUB(8s$h|(2b7puDsh87QWj!3l#TMb1JtDXO59vYb zu2?rvjSB@9n)?teTp-CDVl9ZZx>LozWAjoC=exmslFa!n6p3(wHr@C8a(ZKho84#q zhMeByoZg%vd>1rUih*?e1@7E;OM!YUQ-DpNSX%AimY03T)*VSp^puWab}rwoJ*U4} zvXeUc4e&_jmqWHO?IG4&16JL!A{c8aT4or&!p5sI>Zq1j0av$;_fz05PJb)x7w5OL z(;i9Lux4nmw(TT053lpB>{^mz_+3Y^XA=GyN59uQz=e$~ae6jB*zIOnx`UbL`zk zrgs;b-n9csdW#C4ocbKbF*+|5!*Nhds5Pd4Opd+E7YSuld=X%#8VEHn^*T7-p*91B zn(?(_ns2*1ZT4Ky26sf_QNKh$38o0Q9#{tte;Ql|{W!qcpAugghy#3(;Q(=zkolPT zs>b0v=Jg^edM5L*QaBgmpCRmfEUi9U@%c|!E7MR#RVi7KA3HDUFZmuyM2Kmi;Z=eu!Z9t`DlL_@?r z#u`Lckbx)dLmvZH1}&!0oH79p64!+Say=AV4;9rV0QlCe+AYezkRqwrekck!SYXXM z=7G}}lj=j!w(BntYc9P`sGqGF3kJ5wxH}Qp9=~oa%WMsq!8y zwARBdFdDVHjmC)Sep&jQwD2`!FtAk^eQ%Aob`y$ zS{Ld_D0Xcus&%`LbFSMxjUZd$wL~~jjYN%ZO27#F@83$)ImaO~*DBxUxx6t>&6}MYSzTYsx%F9joosf3D5#I>WgzKTuqM z+1eT9l-qUo=N&$)v=U*!7&csHqwMQxfj|Kf2nA1Y~}20Vr25b zB6|YXQ`KysP58>ZnUfr|@eG*0gshb*Nx4t%3datd9op3jG9z8oa4g0Gy34BQSf%wR zvc0bR5L}GHuR7hW2|7R`;mZwG_7LLFZPv%`8v*c+FyD_#V}VX%0dFr404B;aK4hmF zy|0=Lqf%bVROc$1Qzj_VGs0<%&`RZ{OmfT%_U|tAFI($h_+dSozGFMsdb#pnPDK9!6MvBeRpi5t8X=o%7pWMc# z9(`gw2_bZ-^J!=M6=n19H|FV-+ML#u`Hrho<~VE64Bwo0e~4ZYm0MD*&abX0ju%E{ zYoLrVMtPgw8iEsshVzsSNLg3_?H`8%kg&-4nFc8Yc*-e`Yg2yXjET*=�rmb~9x_ zPTI!KIpqYs2vxpEO3w)T)fk(%+;~~}77?44SYMja=sZY|(XEsOC$cH0U8C%zI+ofI z2u#`nf6Q|-u`H>^@o)aTl~k_pXDL4h(TPS#qvS>gMCy|2oJ2x9$&w?1`~*wtbyot_ z-#C)lP*3ajDkotXXC`VfSaM9##r0Q|{+m|%BuY0WU348n;*qZdyG{?WXBQw^F2X~s z9lbq)*(7fvrjQb0t?j*e`RM-P=6HXlJ2UdHv#n7A`iiPC~_U1 z@_~<2<*vVwO&Dn%hVbH?f+5P=e8<27^3lJrdgoM{6O>m2U1UymAJjg64&?5&vycnK zy*^xZ%*URh6t)nt;S2a;Bt?P&u}sVw*RaygBwoAWFTlw7fPHmLC93+AmM(iY-g=I8 z!A@uI2Dhj*;+p0qXqml#2R36Yzjw7}ILAZy9FT9DuhNJbC=to}@O8IAnInrBQ@|)t zO0L^M?NGDG{=npe!~@0zWy!EYStY$Qp})s@Bsr83YQMhPZoOvKllv^E9|KULoFz6WF> z-bu_7FxzJ3xbgs)*W_5#0_I>2p2>@}uUQ)jPRcm0@iyhOa?_P+;rLlK^94dT4yQB|beeK7D9>`tbPlsQC1e zxT)8mOONaelMkf?Kuj*xa4LJ>W2?#53)~3S53q9U4c>1r(M`cf21GtpVXWKg!@k&i zHFhX@7rxbsCY#r%7Uy&q)y{R}VHwSPBY&_EvRMHvj2(2pG{&;*Jxl@m4QM%RwH+#q zcdQ|Gh*Cu=kN2rdfweeVK(sAGg`5tiI$%ByuS&ydh_0grbQqE-Jpu^R`;}gq@3rW?ZAS0(5bxFIl+_M* ziWBQ?t(vmNaWQ3qCtn+*4 zsLHL%ELInxqlK{^LXxBds*0wl5SSxj|6^79Ez;p*(kos7lArPv`nOW`hLZ?4`adncPdNOIZ_ai3aQWva0qt|BtvUE`vr1v z2m1wZq)u;&e;c_O)~Fcio8D*C4eHuAlpzp~?U5 zVk5SA2dQXJI|`Jl+$<9HKwj!nVOj{(A|fU2Ikv6f$w!aY!;T0$lj<-b90`J**3vxZ z4Q!iD)`%x84wnnGMuyUiB9p^Ae&Ehsh+ho%zTx*y(0MC#-n(_+3-#^KV2s)Ul{UJ-j2VvPyboSx?CSo@-Vv8lo^tq7ok^u&_ zL|>Th2jU$1C4U)AdJ{ST%u0Q4&KoJ|4iBVCgZGBZ-jW`+H($gu82ByJPiDq^#m{0$ zfza^2fc~*SY|uU4=iNYGVA9ZFF|3PYJxlaBu9gA|arF>}ZZLSSx{US?Pn9z0kOKADv2xE4YkN-q8E42Q=*goP4ya#*P=<>ZL^q@Pe8y)}5A0$0- zhd$C1b4Zn+VKrPiLq9L(T|&7$h)>`~NKL>Ctl=qtf>ROqG-o2&7=@r&WN`>2AX@4R z`j)!<#1O(>YXp(?KjA$#Hx}R8$I(|>VpsR#0^;y>UEso@NV<|YGr^&tl$sXl?YYDl z&{f)CF!2{?J#ose|Ink&f23VU;viYPKmQaLI$?#!rwzqH?EUx>B=QiW_v|I&0%4rD z>r&sm5MgOvKwX-cRQaXBBtl^QL&U&|IusJXvzNGF=0boU_^4R3=0y)W*O&*N+2R3j z6)3$p5Da8xV&Px%|7=6#Fd1>c6G$vY{`<`|e_$Om1@IWemLROveHy#Lb@qB;dIqI zbS&LeK#rjli#$9MFuX+sJ-droyKsd&5OUnL0@)AMpR$Nap`q z9`S>RuWbFqTO>~k#t8`!rWz0}G5#9}T0U%7gLgN)YvJV5clGi)XL;LkKIZ}`XNxGJ zQ*P^98Zw}Tx1AwYOk=_g(V!&%78%X3AQ*C#y(qFH_ulhw;hbydZ5>%Vk_X|07Om2hm zzCW7PmDY$XGjUkXWSj0t?fK$@&ST zpeaBt)0@3NK(;*w^gek=l;F^`sB`7bD^?7PIzRemU{{!F60JvAkc+j3EX{NoHEa$v z2cNykTbp?s3{`E69Tp-UzrcE!T!Zmu*I+SW$CZ<a zgaho3O%~Z-c}0c}ltre}ycK?c`FermwRC+^dL(=kW0zE$=79+`sJRv_#<@mezDdXp7uH7zt3y5M zk>HeLt0hj9bfTmezb+9aqbP-ll1Y@zq7*7hVWJc+N`pixLX-xJl0}q;h*G2|T_s9G zMQNBQ4Hu=WMJY;@Mu^f#Q5q#mqebZ&Q5qvk*NRfKD2)}R7*QH0O4o_fZ$xRl==JEm zztcBVloCbhMp3#+lx`NKTSRG^C`}ioTSX~J zlx`EHWKl{Hr5U1>DoQg&X_hG6E=qTZ(w(A|CQ7qK$tp_eqI8!i-7QM@h|;~Hbe|~Q zFG_PnX|5<`h|&Y1lqpK{MCn0MdPtOPqBLKW7KqZrqO?$y7KzegQCcEOc2Qa?N{@)r zGEsU|lpYhM<)ZYsD6J5sCq(H2*Wm zqbU7Jl>RJAZ;R49qO?Vn-W8>-qV%39y)R0C5v9M1(l$~0K$JcdrN4>NN22txD19PI zpNi6UQTj}jJ{P4gL}`a8{auv)Axi%grBYGaDN4IUX}2i-OO(D8r9Gnbl_-^o(q2(2 z7bQ`Y_K8x3C{>D5l_>2Or30dLP?V}g>1$DvMCp(yeIrUWqEstNb)r-+N{2=1TTwb9 zN=HShL6jOr>6j=riPCXVIw4BUqI6P}PKnZYqSPWvr$ySzJ~k>@GDOPlAH6$O0KjAqzOu?1o;KN z#G~L|TaLoOF?_q8KmW7bx|5KH3b_`}2eQf`4b<8Zzz+;!t!Sqh@NZ%I%qgx?jp-)Es7+|qAc%r_+*DF8vyKS|+H%Jr)>>^4+F{*R)qcn=G?R|)e z_Q`ZgJoAQ@LI^jj!&Qfo0~u{Dwzi@ebO5sH-Tg+%zMOVCkzx@#h|?F&dL#mejtqAY zT=%4MK~%ZT=onZt)I4M=KGLk79Ham;_%z-pltTf<3*oufk@FOn4m?3lN_&W6MCJ~TnZhV>bKgg?Jq;{B~Z6I3mr zI5{klzG)bZp=nct({0$6iNo-B45nwyLY8Jgj~KW|-qmnf$XUb<+o~U?0P}KR|Fen1 zwoV#`MIFfaRQUFkVR@%@@J2QeV9q;>L(-f1ko~K$3-YTb4~yHoU3YsrFlWeJz4T%6 zfz0`Mu~UcJst^x3dDzy;=B-oB3|B@47CtPpHAF()T{HZuBaA&`(+8q3p!X@|EX`ld2uPkf9nVa(Rw z1gnozFLaFsHmC=`Qf~L9@)N4(q#I`G;PR)M_#>WXl13v7=j#xj>Pt8yk5})!Q}NOX ziaR)|)>7T$P^osBIYi|bySk&yxVg}J8LgBF-!B=<7y*WtSjK=m)id&6&I+CJ*2}qu zDdV{68Otag<%=+%PC+`#06JkyhDnH;W18`0s53Ob=Z7^;f>Oyoqc$g1ra7h`)>N4? zG@yd~UUP|v&cv5xG@WjQEyC)*v22Io))lJr_WTw8_3GxvRsPLQ-)+Xb&D(Wdz&vrz z@eHLQZ*FYg+)1-NW__L-v+o z8fZI_-FvL|9B#E=CXL7Kh#vTQ`;*2W7HnDyxFBqgK!*&9K|+iYheTH1^0lG zH(d+0RjQFG{vlj`0aZ{agNT?O6ZaQ$2N)HbR-RvO{y}(v?@`o@= z>qor(Lw?RSVs^H_&{RUJE_^iuIvj3B?DuKBTBKR)3Fih4WVXsISzXA0a2^}+9L+7v z6Br%la8VJoLJIgK%O+}rzC&6LvA+Q|-hvuQp=1p3D@`9@XVyUzvN>u?Hv=mTfQx{( z;C5NUofcxkYp-=8X2hxAfIIOlkhgz?@dP)25!`j7UjamqI8;2eMyr1h$BjA!Gx8|l z$Cx!4)?Dxk)36dIWzi~p6r&!1^?{B{%ZN^`dKpJ!VO*(iyHB+ zurRP(JIK3Y1jd;l;^bo89~L?3<~c=#SP+ z6{0>|BaK@!cHx3*l(l@h&76OEn^|acm^L@&aEbx{+1zE-gLMvZ@3SnKs!qd4>a-8n zRMWn+&5t&L#a?1#7HnKs-9J=e4m9`BydTVY-!%ND76=@{6}}T`>&szP*twBY?(&uE z93k@CoRaD**W=mCoN}|TJk${?KdamM3a4D}E04tE4V-eVuiS{=+?+DZR}M5yS2=Eu z4B085UposqfDZ2{ojSazY1h=Kq9a0Ya%8x7t?|})>kqnHLfkzeP6Gxk&YO?v2p8HK%bGBE zkarg>T_SYl|DdkVKj)v>b<$lmYmn+1R4dmu;SQl=bCn+lsp|&Swg9?bUt5!oKYcHl zyK1|Rxf|7`vHaDGxw z@y4$}$KeP+ejXnN4xWew+a4t?V(QENQDP6-j}-7V(Kdj{1x zjE!AN*NL*G$qTi=d`cH9=Lm^CakXNIZM<58?pK-?nDy0cN8GvExpH~TDqL{Anl9Cz zkR7vPbyiH)ajA?BG?t8-(X#6QRCm?xL5TE6n}m|Gux?zx!f z9$OQ$;;EI7XO9c#vL5C7Yg@K*WS{`zJ~pctb`EYaj|FEg4TM2ctv@b7N57vK$?CoOnev;{u}+bNI) ze~sLYgTvZ}-$Jj(eQU6k!sHB_{S)YiwVlR%7WsKm21?Eu8ah&aV(d(+x^n}lLdf_K zXIP%AEdoc!i=0LT#OD*e$89K~z#oETcajLicLq`E<_*x1fQSGI7)%~2lwj*5jJ!ow zUMT^@2)zLTgV%`?lB52W5&>3Tv`Kl~C?Olft%SAz3J^eW!Ai?U7S0vXv7d-+#c#iY zamaLV0|f3fM>^~B{hVRl*IG%7JaQN4o$!Ol-cfsr$G=Uy{VekqATpQ`wM$>2nP)y2 zhANP)sKl{5&?My+%qUI3yiLz9SupPbti;haNFkNG2dyo__&>!-WCgCgU&$-xiV;q2 z#YJwNfZR>P?TTl*R-6Tl#7ddVXTQQ*Pw}>vz~Qwt5g-;SYLTDYSyF)b%d)(lGUxK~ zGx4kd`kob%rwwnckUEg@`hI|Bl6tbt&a`Cfh3xD;2+b+M*5aRoRr9)=t*%0=&x+K? z)k^eyo29hur3~P9ep}++7fMSC_Z@cR;^@u|dpF5Vo7z32eKB-3%Z5c-hi8#vm<7%h!Pa5bYQ|K_5a;+#{^VjJEM z{7j$s|i`v$6$_qdyKq@9Irz6%(bL|EhxvwUfMLsturMFgQ93lc#9WL$0` zogDX)BB$WXpdXfpwHZ%gXYM-NwSxFV@ykGunCU|4`TAs6OLi{bffoUrKo10%P57vi z;&(7a_QQsVCX1|lnQ;o}CNQ$Iz&QAS^2r4ONfH34U;7A=g87PsNH-ewZAT2;a`fZevF%QT<)V>`167Ph5w8v59IK+)=Tm2L;D?24`M1)7Ny;cMvRpvegEHyqgV zr56Kd$4DCvs!I4X>FoS|9e5k=zM_+h#0ca#m5Ywm$^p+MIKPMz6gxm_=Y`m=3C#8f zB@~tiynP>U>3Bvs1+oX@5JWj$4a|1ndlch@0~U75Hq`8)-UC^N@bwPCI7@Jy0t=-6 zw_M3CPW3ToZN){S?=#VNkYzaa95N5=h{66((Avkb4^v32FcbZ*J%_m;ysq{fbqr|~ zyRK(a79yrukI%0S^cb08fZx}nQ$$*H7-m%{pg0U+ZRo%VSuYV@-AcV|y|R~bfT?MH z9Em<|eu3yqVxo4Hl7`XQz*+<2Wyz`FL#Bp0$gJ|r+CWSPu96|D^PQkNIU2#1k^iBu zmt8AxTN&gUT{#IkHhfEx)0DpVwen|%T5<&IKv#3UTtKl831t!RU-}AH&~Jlx9W(HI z+a{d>s^%h4s#w71`2FX$4{OJQE@)`SYkC>#xVZhMU1bI|L!y|L2fX4Xl1wO@6^B=~ zr?8y6$#~Phws57r{Pvm>CJd4#i7Z+;Jy8p-uMICTS%4hlJ;;#NcdP8PTD@3Q0Rgmc ztx`Uxxu`F*VBdB!$^+(Hq-ajt{gs8U|tH6UT||V@uN_U!}DPnV6=u zbJJ(~`j%_T>w##5(a1F5&sK8k!y1K-;{Mwg*+@s!;zahoDL}xpH`J1v7Lm zIo{fO7p6zsnIBx3#jxe8Sa2g@W3@PQ_!S%~~+Ek2kyT1L7!i_)_ds@}PhQf_KYI~vh;oXj~!iMcb z@ay>x>1j86YS`0`D|!GftD|jhUvfWeKN?-&SdfS1YIAX2g$td7oQE4a&hm#qn;?$- z#}~9a&LLYMr4>Cz{+}1X2Rb2ver7~R`HuQipW$nY(Y5w)^{54#S1z2>y+fDxouTfG z)?+p^g1x1^kg!2#6V3qZ3wi;SXlq486VP#Q!sp(K)tS>)i_ofOa$aB#jxkbLgzt=)O99wYvo zp!QR8VCz+Dh=)M;;2eMOKA{G2IJ2fiD0Feu91MO+s*#R^zUZc?%+Q;!AjbB)! z(HJ*l44`q#6*O-B4>a~^G&T{9j}wj0U|IIl7~~al&Jc~;iN@f!)6pFB#V`6I~j=m(Bmc3A?gZ$XX!`M^)qB=cM zL|WW=iA`WV^GFU3Cz*on7h_<*(7AXzTbs!-9^6{Z*q4u=b3r>EQ{{>r);nQrtpXTX zt%e?)JhtzU^$ML2QC#-?GgBwf9pFt_Q5-TBTOuJGH!IXZdb)75Sg~ z`k`^EvuqJqKoTu>4Ya+Rf?iN}X571*g9C7gK-u zSEv3jS4{mK1Nr5;=QO&k{{{#jiBR~?K47OLm;Bql;muh ze|Ga(0j zL_h6_w_50TQrC?EqT;acL<+j#;eQr?pV1Eg9XK10Z}|uQ+q|U|hd+KfwPlxf__q|^ zah$9sIVMAng&qC0hXZGQ2euW?`$LA(Q0`pmK7&w02Y+-CBzVDdR%Ivrdw1i+KmQ)v zknfEZDh=3KLWfXg*oaNpt$Gl*s#@*oia*iAv&llr}qK) zXbP>pyJm5|hCAPse+w2kK#rpFr&s;Fd}1BGK;ad^!UJmm`_D@6DpOelC_X({T-)(f z_p3iE&59=0v95#$ix04~&R?~FNzkE!3r8fm+X`&m@X{?Ozgf-`i9WG`QQ93g32{&73v51E4-x}<`^o?Gp%Ho%i4wVtek8v zv|Ui=;3Bkc<&Ugti+mUYDfuUtAPSK1zF!AMPdEJh^Vy(`&l0s<*i~gnC~Hsj?K(gb zGz9LTF%04oS!`Z?j(VsW!l-3j!Ph4~6O~FqBzQib#T_o30l)nX<^NxMXCE9@bp`OZ zS(061Si+~RipH-ZAd-|SU?c&NjezJvN)UxW2myh7Kt47C8VEZgNeIJ~N`*f}?Krkh zo$Bal)Pe{CQlvG|L8=xpruqUgri3Ao5VyZ`?|Zx17!=3O_)lNv?0b3dew=&m*SY7M zdrw8JC6|j6T`N_rm~BtZuz-&cU#&(qt0ZFmkg|0OU}2d6mVLld)**4?3Y?Y9y{9++ z)7tJ1fAxYv`p7@af}DC;;o5KjY0_KU%Vl#h>xyjV5%|9kZBZAexHNfcJ}H;Hmy@>= z-kHB!yGbI$I9H7#WwmT!nqoeDqJa2VO3Qi@@1gM}LuKJ8Dr}G0_c<)IiKBO=asFok zshp7kp-se{M^#AjnY`X=*kYl98{rgl=NgW=wMr;+#fV&8Lh+;k?|(*k|4rp03;jLw z92sWV7A-@A{7=5CtVaYiW|R(dvO9))v;Gk7*CP{!d5m?~rIOej7Oqdawu z10MWK4z)6kl2+L0s*H~`V`F}m2c2L!VsM_Jn0t(oB@QPotZ@__WTPrm2hz*^tu1Ij zoeeKW$xw{&nr)$bobbOAAC^&E=gAitp||F#){dp}3E76r`QBEH2{=fO3?JBoJrDB# zJS3ARLY}sXv?UY?uN$N}F;BAj$jT0=Rr7$Ep}U6xa6?) zPTX;RtK==YV9q3?OWw%L5GuLh?55<~jZP?0@>e8&7$sHm?YV*sixNshA@V{oD0x7K zw<%?nJTJFv$w!AG>5@;$?M&cs$w!hNg9?6E$jRAojjDQofnA7XZ%pC*WN%Z^9E!LV zhPJlmT%0(p5w(i=4QN^VZW((ia0>1;kjAjiy?n^-iVukIgpbZV<_nJU)HJT8AC#}6 zzaFh}jicL>V)163N6^*qKBseWCJ5WGRhyCe7oQ5lGC6-_^HPN$Lt>@NA}uktee;G9 z^HLBb-aZ$HZB!?3fN#DhgJ{F#4%pcxpDQ(fbwNv*WPN@Uwf0!6Me?9@zG!M+PmiI%3V;gD*R-?)j6;a*v>I@l{#MEwjb!HvoV;rN6kED}O-%NBQmmM!1Y#&ZW zi$qHyEmF=T%vvm@=Um_tXWFR`gI+g=o4dru>5(h6lU0A;z;4F?!@$or?zUT!GtLz@ zu^_C@M71Jg+RP2L_B-aAhX3sCa8d5 zH_kkr!iDM?B$+ePiK(L?!DgarV{;1A2lB#ZnN(8DnTzBfIl6@fGyDY&XOYx{9$K5# zP+!Lqm;Po$;HNe4tHDjMiR3uH{vOFxvX zA|PuEOLmT_{dP|8*}Yf)7PIwAZ_IjIjE(R4@L2PBmW_?#QOLC`@cLY|W2oCL9(Pn6ISQepXe+iI_>q zxY`~&;2&Ah9Nohho9ZZx4(#ud#D;h`wVsHm^{fw^D$e^H;<)e}$}Eq$)=c)d6&|vi zDbky*+fDwy-mXi0Ghv>XCnYX#{8Fj@haPg~P4qv~aQQyUs=?mN5q( z8Yx9b?sGKPPA*r7yf6y2m>-W^FOZA#mXEJaBRt~3O@wWj&+}) z(PrwB1C!J$a}VL;^n%7CS?&*Ksdnt0rWw9dwG*M)YxTj6>%IsMt(T>H)kZVe`k9jx z6?#-ed($SBaEga;qyCI4vQU}{(dMC_*l9SN>yEdpQ54Z!WuU&Db1s{UZ$pM52aM30 zKpzi!iS@NjJ}FKRQyMe212fXYY(Gv*E;9>Xrji_N_`j1WFZR@X7sfubm%l^Lglncf zQ`b!YaLt(1Ok=2KdRjGe_Gv}DCdh~{(xz}7ETx)WU}JuB;?lm-tr-k0D;7qlAk5KuNk?~~Bm4AHx(8+tbx{-rNFtH|oe z322UHm;bO0d998rXg*SxSbqdbDg4VB2euezD+8v`-rHQ9ViBL#3)%CVeF$u*0_DeZ z-52eFee7*3{I}YY{#V8#HGN|{3qU!I=@#N`>7|?J>K5QdxL|WZmR24?b&6Ru8?8L%Vo8V1BJr#)dhjK| zH>2caMCdx~*TLQ!#HNp^8bVNQ{e7^?oP`PmC{sO`m6cV2$M zvgL(E#U=jIhgYmL3~z2hmY5?AUskX3k)NKms76Lf1!ui zxX5dC=(675udchhxpaUh)wRBxOTIfIsPxnQlZypR=c~K_pXz5A)qq^1`fHfNeG-rc zOavwX&II6vk&$4YA^_ql-3 zB^$75kj3+lN&lyg`wwWj57-7|06t(jP!4+D9)c4c=#(*ye#$5h+Z%>>X?%pN$-rPyiVf1u3 zE{o|A9UUEoJi&ECjN1|HuiBinx~}!y6^ElSSI|!F*(gEJMmY~>=PWB;T#%n|Q)1!} zqQsM)-G)~8-CDH6EwHUbgO%o*;Od~dzUICfp2d-17(3jKgrP(DbvSR~cl3cC@}}h~ oexol;m*>o7@;j%H-yScmlINUZ{5rNI@!LQ99)7PmxqOHFzmT^~z5oCK literal 43060 zcmW(+XE@vK+x{gYOGNBVP^vby_Y7@~7)9+picpf!ftVG+pFW+ssJ2h{gW740*wtaQ zn%Y!Vtfs1=M)LM}?+^EJf4M$g_i-KPbq==xL*OI;0>FTnB#*}u{rmX;Blq_f1SrVX zIq&xNf2rFFj~gi^1N{6z8IzxgDC<1<^q#%B(FEL?g>O2rAq_{dP{4o z8lyZWx4@f;GIA6`Zh1hVOQ)m-8=SNuQ*U~_NorJ{$G?x5=x6Ak$*WmI!Y%cGJ^>u8S`l{ zPN%(2w7E+nL}$#WL`M!}MPZ#haPRC|QUSoBwOq`hg$==bb+naz$+P6!BMP?gc*D{A z$sgW8fITXc`i2fA>kn!U@zI;X7~JkU)ptjTLuHpth}x9lc4%bX?y?D<8cmFr1=W-! z2*X=v9gcQxzTj?;95Wjsy;xF~B>{c-suqZ089`;W%_}Io2Nfgo5uAppE+Acb(;D&#+US^ zNQ1};TRH7?q@*sQ(X&x6 z6;z2d^aZ8t3l8mWLp5QU@xIXCySl<6(F*lE4;{FzHH+Iaf9qX{_E$9ZmxI-({r4VQ z2XGMic+2|=`47z@HtGKDdicER?DHB~tQ>B8ZKr10$)IE49P|-x#nVgB;BWnmvWY&Z zc)7{*DF?L^OCUGtl~JI7Xc~a*STbv=>H+?3YoRPQHEVgkBxL1n{PKUVEqs}2O`5e4 z>--k#VCNjkcxLuLY>-8zKU8fLqrb98Mr-0Q9jH3KvF~F7r?xpcOFKON22A2VzXYAB zg@1JN*G^*!%ZH8VHnRI}la8QF%boYWZV{&#?$7D2@Aq)e^X?%rv$X)ro=1?}wKZ6r z-ULsQ?7nSfu54~YI6sCKX0#UTm-^<8GUl+%rOg9QDvTsf|FN0=zW{?yT*~UHwBtO? z`GoYuMIL>t7QVO;HSDkMkLaQz!+lf$&$>W$`q6Kr||^WjBy-0;-d@E7c-Kbkkm~ z9Xlj!liR`qMIAm0BBNHHN`Eg#~kk-NZ77-j0rwIJWC; zapNXoeMqxH<*h~`QRDeHfP8kfY;DF)$wU`>qV&!OZPIk*2PImXlV_mvEoU6qIvB8r;O04yRKbQd{ZV^118gP9>#iRV zXtHgk4i7x{=sD&879xWkM_oOn-j_y3cI ztG*~YME?*iM`wZo<1>s{vKohKLx+e#CnF%@%*a8uRwyVRBLsyCeUwd}mGJD^{rW*S z51o*v!teC{W*70Kob>B+u>4RnxTRLwFxIlx_mtjG3AE=IekkFDj({z%78M&Hd2Pco zlSiDf3?@!knlp*xuJ*Hzsmhkw(`gC{JlSlBP+`&f>f=>Wo*=Qt5|KJ+xrZd*TH8xTRk*Y6&8 z6g#cP*R)3EmZhEQU3T3)&d0U0R3_p3c*4#C`n8=m(YuM62VScAd+Ubn4E(}bI3U??JyOt?-7%xxoxSN=9Cqrt${JJo4oGJ z3syTA`okknTBfGSSQ5Sv$K(yTp{s%9ak}L))6)g(dcEdUZ`&%YA>muJHt(cmIaL?h97h_0tjK2~8wu z&XYAB?CAuoy#Xq8QN*oxSbD7g4_6Nzyc1A(L^@AoaKTFQNxEtoDE5SD6xwG4M z*g)oeruSy~)Be+f^4#DwMaw{<`VBVy+B|nZX{`_inx(>6F1$&WiKtZ>Sgb(6x-3&{ z{yb?)L`(0G-Y{E%NAr~?yCa$BmaT#vaVzVpSqSs>^d6rEwE)G|qi|dNJVVXwJSA)Q zLdu!;1afr53h^jW+e8m&db0@XGhKjmpNCLk488{iRdnn2EUw!*Rrs#={ffQk-(#A= z>R96MVb+&}*`7hx=)o$8;!&W}+c3l{^{OFT@fL}h+|suRwCprs_Q^>cK; z*9jCas{bfEXn4p<$Di1T3Gh8z=$F$Pd)?0NgD@)bU`OlNj;;rTl~}uPi*SjQYzgB8 zRhR6{p!Zu2FskCW_E5P^TfVxAE_(%`G(ho)=pUMrYL|Lmk8VxKsX%+RP*?9bZVVA{ zAf_fxBBK${yo56Gv5thQ#95Q*RKS~?5*`^1I7ZJZ;!}y<&5;`6DeGyj37E;`bS1)G za#AuWO`ebrYy&>w5tyvg$!F65mvKq4M$H%A>IWxN0Gz(T7@t0<@w_`JNsMlv;4Sgo z41CqpWHK(zmy9z84;dM19sN&d0=Pg^F`uCQunz_T0bT%b3h)Q~fXQQoaNh*Klz@n7 zWx$h;1w4GofLq5NZ~{0kAD9U=I@>(=xtH)XE*-GzPl*C-lEi@LgrtPT*npVv=%~g> zdW2nKNZ=)19-sqg16qJ44^Rfg0Z~Ah0SHOX<2?~6J|_vm3eeIaWSU?k54qnK2CQi; z4!4EReVG*97wDjNp(q{k|?l{XZ>o<_QgLUyS3OhEO71Vn*Y2%OmU?nFfibh|A)w<{f*E=bnDd) ze>C7AqcBhIAU>iHH4i<`Hg?#|>>F7c8Iisl*H7-G*1>Q|@R~MfRz_f298Oqz(1icJ zGe`fW^ohG1+)F;S>0*dz5gm4cE?5F`zLufQ?cx+^=fkdNTdkZl5YHHmw0q9?SLHxZ6H%9j+ueHFxV;0a>P18nAR4lRaiPv=n# zL3t)2_W>)_`qP#M`)4UY!E8c>Wk7mq*ufXDzCgkAGx5o4Sk~$Ak}qUs`KObfdf!h~ z`Yv_&D9_vGhmq_dQN6Xmk#dv?O(tscwD;Fvv=XI>|5wEAjMq$K}1J>QRhGBAtGX?)XySs zCU00&!+M*XK&N%lxb2Vp>^~ckZ>agZZ}}DUC6DiF%I0BQwWbm5?Pod1gtiZl7R|xv z(pK4EM3eWjWMU3)t~Z1udNKUCdQ4fmwI_%U?v%ZjkB3dR(V_XkB0EOp4x8O+&dg6^ zCz2C#q9K0wHi%YV1^&00xTLA!DeJ!_`xuSO9$}44QU`d{83dE4P%y|N@#pQq$$aez zTFo~p+eu$xZ>`}BEPAtM&Y~^5hH>bdEaP6*Hj^d6n}w`iro*G(rfzJcBi&*7^Q^6B zi6~`69r;K7hHdT8i>QFLYl25`^BYt)0ek*3w*^LKm4z{oc*q_(oQ{?PGvWo2`Dc$x zxUgO9BZJT0Qn^~l)refI$mQCMCki!$ycJx;793id;U zDiH%4ubjPPvU{A_eQW@p(PG==AqgGbDTW?iP_{MYD%TwT z?akdf7r?d;2@<{e5(R`w{O_OBqN1*+rBS96LN8<*h4fp!G=*MMhx7+;`G{2*KwZHl z6XjnR-e1&wP$CruXjf#uoCHPJs|03yy}Rp5kyNLeonE91HLid7T>+J|NBvnI?)c)f zxagzD5W?o^TCr;(LLX}cxYS(9=|7t8jk2R{h1aw-a#VCkwMi&qL%Sblq8) z$zGo4THU&Su@xufyXd>2zwWM3?F`S#0=xD+k4xu+0U&Ki+#NgRO2X6(hMy!|A|wM9nYL(`CqRvv-vF!` z8l>9ka5aayD3x5-2a{W+3xUe{B6KDsOvei)K%*|dY9)kD(91=I-00=PhVl`+$>Mmr zwRG+|s>vZq3B&N?rv?PNvk$oX9F__0k36J;wYR`p3ncRsvynNmoc726&G|T(4~XhSM1WE*8xG5T7ku+L zLY=8}z6D*a=GXNuU$EYYe2&_W@sr~R5WZRxAQ9tsZHCE)U-9!fP42I!qJzJlgjQyR z9y;HSZ$Z+|fME46X7@PVAd(&gN18J3-woQ8^A{`vbvnZHXPwI1?Z3acf4S#Fg7KL#E70!q7;U&WSLfVI9ncQf#;vveG@-fwL}NtX(9fzOkU z>B7JOycr2Se(Ybpt-H+}>MtPY=)940%lsGF`4FC-M$E+A=G4>GO|dqK(b5^YWr1Hc zo%h^VyEn?)ml@pEOKtqk6UX}4?~=^%t{kW&-AnBKuV6R2M9%LU+k4${TDRcaX8k4t zcxt-*#&T}54XyeR0c4;VlB!u0Rw`rcAFY7Jiz$gnuHfeATew9qSTpAyQ@DH6_hTIt z)!9O2)`u=zV zr-Kf*!fR)7E&y~sTfCk%En742^OX1DqerL<{MFVqCSH$xAJr#c#bw{1D+Xi8<5 z(<*6EsaehDK<)bVMP2n*^eTatLnrhdTeQ#?ueDAe^54@*XkFGtR4j*K3)JfMuv*$N z202&_S@x#G1KU?SASMyyqMyCm5(eq-DL&Not#z4Ktq;;Tpplnb2aG*0Bk!&4I$H|* ziKrF?fdA~E^0GE3Wzqc6i;z5rZg>c!L_I)NEBJL;XJ~O1*0C18BV74ijQWqUt6k>9q;D;uA^$>Y)a5hui{;?I`eUew)32s$r?zh!Kl z0M)-$xltI0GAZ9Hed?|jIcZJw&b#*|*mXvtuGmw@V>w6FP~^fK{zu=RnQH!X_E}CZ z(XRJ5(3V*?xW!VrWSfw=(m0j7wadZO)vo-GcCFRbJ~X%GiYUIaLj)duTi2wGHAvYr zA8%LnpIvQ(_lSl!TXpQ^I$RIKnQM>Su1~9$uIj34|gK~%_Gpn5f>Zs7J9vkM9 zufCPZRHIne2oEM13fMI<%oP_%LWijm)Ifsge8G)pxH!Y3ETeGC6!P0*oqNaJDQ(WM zmSGxb5@erR<0*y854#+N$D%Ba3`+s~zfZir1&R7M&r-m`C=MIM3R?%e&uSukjY*vQL#S+oGK*N( z22ETsg1Q@Z^rfi{x321`>j3Co0FT*t?Y7ocqFNNHBo$UhN&Xl}u}@)lT`y2$yKlP0q1Sf)G8J+G{dmI>m5?FSOOYa*8{dhy zB9&GtR~Wy%l%G=Ox*97*d=zP+V9pVa^rYd24$nVNydq*ZW=C-0>cM>>FD%{1Y>byW zOWHJhpZXj1o2(!NY){*MZuzHuiOCV@02;QTE|Y) zvfql*SF*OuAw)g`NGdF_L*3E}1RW6l%WR-z0f3K}7)^*J#$l6rG1#Mq0qX?6SiPkAehp`;k zN#fxh^b7(M6PKLsX0QF}FTTu~(nb_U88ahEZ8|>t_{I68INa5?Lf0Uh^Ok88|-ejmA^IwQfgSX2cmcVa?~S z(xuK4S4l|V5`6f37j^WR&RCMcn=1wv@1&H*U6iT5-e+;0>g(MfA!z(QQp(kMF*@p! zK8X;OKlJ+6?Sr)8KJxnJrSRd`TBb5g^%UDB9IU|??rCv`bo`dc_+!n2;?_jUyp&(? zLsDOAbdiIG%eO$r1O3bT>c@R#b3Fzw21qJM2gTK4J>rj*%5L|4i zW!N0!i2lnyslk*Og#9ku$E7OdBt3% zpGU(j!Qdpc5A=K1WO{P9O_jzPl&g| z6PP4g<~6q;woRQDv_NM~3(@#lTsV-o2g%|SsBgNnc67ku4Q%!?OAshpf5_oAyxw^I zqldbI=Qg>LCE zBB;>a8 z2c+aT>0)}F&$SLWOYrC17=idd7?B7!Hl{9CT{hJgMqaaQXmE;E54ey(gvKZ^mA3qY zXM*I^4eg_36I6=Y9lT|g9z6nNBsral7CZTp9v2{)`VEeni^1gL?xca8BIX@r{HNV# z9AYL1M06ILyn)-&+rIEYz~@GYno?a>p%letT*dP!i3?N{lMmToOzsm4 z>1zGMKB@{KyGEilhh!u5B-7^PkNLRn;y@yuduz|`I7*r3rzkCK(xootD(2bPrV$QE z08^iRR-V0&rWCzN#^}6K;*-97e6rLlL1sTwj2j}cgeoX;^*Xm|Mk}Hh*!Wx_8Ks4JRsOz zz)ANgWv_OEBqIDCO`K4=^C|Ob$#tI-|IwO5pyU-t?lO9L0;pQ=Tq^?_jmc0(j#f5E zj`~a3xd?3e-aeeaNZ$>U#@dgBp)*jah4v1MGHNKrZ*;lvac#>kU$#ryqq=+$!~ zyzC0--DERwKvd=BMizx#J0t~>eb*rTnl?N>$J6}S>-LVrwt%Ge=aa}@CC2#6Ph_%u zr!E@WI^`c~7NOZR({|{V7j46)a64+98-c<$>r^gPA!tinvqM&nF9>Y5-<>8x-7Yav z4?@w{K4|L9)Rol*K6$^1(IX5GrGM^djT>CsBSq01RnG;X%aW3uP=95wV1c~e@ZO+5 zkDx*+qo%g!`DmRUVA$^fs?j8$YY@RCx3MJaL*5Mt;d8dclV!inrZlwr(4jTf6fiAq z%10ij2_3l=f!3~liNp&0X^+;hq}$pmRlby2re$s9Pb{*N_`!{Cc?ps?v($P7sUOA# z-_V88Jqd^J2g*`)du-H3`<<9m0KeLXAl@&smu5(Nq&=0kfA|@Cw!~Pa$3)tkE&%CS zd9f)en`^Sy?+B#;Ga$J~l7EhL7f{bHuy(nJc=@_Bcc5qWdCTEzZXrja| zn=N*RKBCN`9`TVhHE#vVIST}gbyNFg9lxH4oDGzf%QG$t`5>)ZFp!eYnk-*M-*L%)e9Y0G(s?+}0JTr&zr-vb5>CEapfG?> z{NjMTs!2Ch9Enz~1)E45!>Y(cI_kgRC}k`3$f==~+0duT5Pw*imYauMWHSo;4sEIS zC#zcrCM65eYWQKYRv9Zgdkfxy5)7+sd4^IG>Dq8T*LH)U(^RZE} zXFsPO;O31B`0X*Mo37lk)v-7FW2J4AJRyGm93kHvfxBZkD>iwH-|v&42_t3i*6@bl zv<)#M{pAK9p_UE@E`@jg>MGl#?nX0wlZ(6czPAxCe0@F9fqDKg=jv$t^VBcWQ#wb|EQH%?ErzABU;WC9l?yWgXkDmoUPH>6d7R2eo`A76NhM!iF_FZi-OU3-!SaXq5WSBHTU=-p zOYiZ}LV>J>pzhVZ$=RVc*YnovBq)#jeCie#5Lv ziKWD&;bu1S9BRoELuTwZX~o3kI7 zCL@sG+QlG)F6jB{_kmd61Q7|IIcPa^wDg|@C28UJ&N35aAxA&P86Vggck87|>4h3y zXoAa#6W*EPx39^!-YfH@nJ6h`f1L%* zd}(8jmX0bMmcO2rAf@RQS8QVGzAK<*c5{XdI#IAIk3Ry)I&i#02u2{IURr3GddPCO zok*45N@1#DrJg0;sEQqH7gb=980r`7GnXi^V6fmnF?6?DQLU#em{UK5gws}T(R3ap zlqCdY=Y}AbiGEr}d?KHEmha4+MDstKc7wb2Kjc?k6{2Mu+_cV`TB2c9hX^(9ONU1> zny1q0aLeQe7QVB7U1n@j?Q7piTac=%R|yDKHgW8@In}RxW#8+hM&tK*eP} z;IcZoS~ta>f0piA;p<|bhOt>Ym$+)hj<;u9)b{`&8DvQ%??9Is-~i>V9KHL%#tXAI z#95^>t{JnF^he{ru)B_JdzlG$x{fe^t?4NSAc_Nx7ExDZ9AOfBW(<-54P$RGu@ur} zbi83LK85E~))&H)_|5JvM*J>NEL{5~*xVxvqh{p!P)z>1WDejG$|ZVb_>cC+cV;xf zl3P=<0tl~C{H0HhMwgyWp%#s!?$Ykwa*_bO0MA(^_lVzuBTOQervJy_YOFzdaWc@_ zj@KXnUoP3HATkR6=g&v#n=49lYrTsX&r?2$D<4677j4ut`~RtKA9(_csa@X)hW~tm zthiTbSXfAV-sUdp81DnqEP2#<=QF49ZW4)HALPSud^9~CxccdB5BmM1so!cPI$o=< zndqHkgEH%fJLP`-&b4s5<8#^Rv*aZs%SZTc?#A{d&V0`3ea7~u;zz+^S#W7yK~LeD z*@f9%(A8T~LU9lC{3^Q}O5ASXo^9=y3sulWX9(_WS=scmvQ7iy*OPf(E-n$hTO4Jv zUpAw}!_;B9oojkqq{&VTe^LQ`aU|J*l2fyL|5V@~jtfi6K6~|&8YLP^+h6;+hDg{^ z2St6s54d?NCw%yr)cfViX6wgxW29~M)_uzVR#vaowZq1gWxs10kk9%f%xv~uVlcL8urDo%@-3ZwT?Hb-a~@*O0v*I!9|PM0KI%tb zZ+9Bd{*X^fNidI$QkIY*^Ut<+It5M2@DT&tgZapu67RnCKT(AlbjwTNlx3RlPgjPr zsn9{n`x~&ak@4`4@DndW-%|sh=<*bjzq7Es<6o6L10rr9y3bApWlCNybIL*9i-Ax~ zNI`}gBw1lDh-FjS?d8~r@&(F@U5Yvy{nkw{~SWzoQzoF#J zh6<52TRQPb*Htw_Ph& z!fLovbH2x@^XS)Bi8?s{&twT!4L6bb5q!#dya+S+yr}b}!Ii7UDUV9Vb_L%4qa`zM6S$xhH9c(BP) zz%|)XK9P!jIv&{m#c$Ew6X`LxFggc5&CqNa{h-fj$7RDv;Wsa*2a!{p%}+G*4acAk5kf}4Ub|%zF?{tj$5xc5posyBq`0Hdk1mhO`1&XOwI}m9pYFa3+ zc{xI9>TWbFttl38wlJ^}{CYSrUt-v0KZW`}tXt#3qqfbUJ2QtXQ>11@Sbhh3=k?cw z`}0a#6PwiR7NgDdE=wsB@nozY>i%G*oMFj{tJH-Sa$aYnLYF$A)@GC(XHa?G)TYpzGre0Wcw%E ztUF!jir67)+(%7Zai|7EC2|G-$rW%1?oWL8;; z1j`+qz3SJ{nt~LdZl* zUe_k~12L`^{}w6xZ}@bBE3$~k;H880I7M?sUV>-D+$3A3mP}wYuY71K33K$#Xu2EE zWtp_y@nEg83y@3xr{%1X?UmqWiIe)=)$g_1t5+MQ`EKvqUj$LNKoC?J&9I_YN&m^J zFOSiKlDJONd~=(5ov-!Vy-(jdaFmr!&~$}xe?X|pnJbe9G)Ik%FKfl0hS#bRnm;a# zal7>=a=x;8pZM>&4`hDH6B)liDHYG*Xs9Y~+S+x69F0S-=pduqJ2F81!hZ$8tEkUj zzV%mSdmIWculaH=JH8&<&G|lcd6&9#Z6CP&vurck|_$B68rRL-7`4hHCP3c;RWK8*w|3nmWwe zF-@}+D9ToP(`-xVivD4YYGUTJ%F)DoQ~^n9{-#<3_;c5R@s)0~0g0%0VNXzLTV-ER zcnMCZ`qdH64<$Z`XwW0@cr{E5Lh#n^QaGwK@kB5F6Zb98cmh7b$4t;^QPO4gKH*{% zD?-hR4w#g^iZq{Bkc(-yuX59@8*-l0At#=hKhOVn&aC~%H%MHN$kBXogiSZ=Du~6w zgIOKmp@Q%00ND<=elyJl^6g5R`M1kNQ{F!$|F)1PKdb3H_(AXoe%^_Dsg`7`WaxdOMG~ZDlvRo$w>nLJ z1q*VaEE8zElwqgo^UaKFX_ceb>p1O~j59EL{7pz*@WClad%8(JDYPWbJ`qAaY)-=n zWMtmB3|W>Fu&qb8@Rgxk2IueFtnLMl{JBd@ZUByw?6dvsc)pn8dyO z)JSq9^mO4&W;@kX&sx(rtwa%{v zGuy>o18ge67-Mh>LymokNnz&4%GoOI@U`a`UB<3y&i$P&2Kmp>Nb|pS%4SM@Q{{#^ z106=TY%uw&9%r>eDlJ z{@-OZb;@yIu)3|L;;mc$s@psv{B0;1U|WHIlHh#~$Rw7m%C^5CkRmnl82VNm`SY_G z>;Qbb7`G*Mg~&l5u)q`mp1F0lG6Vo#^p7o0J|IiZ2}df89U z$5bWsvINSJv{C6O5zj~c8BhQrxK=W7^6?6*kK6f2-)XtE@g3&0<~74&MNIHZ&ew}K zfQw1S;()f^ic4121x&#tOo1sXX-oz;YM;oVG}#2Hs2iLL8#>}FPX|FE$5l1f*H&-o z7H?QA`!0W?&MI*JYC9``hhmuO(8_XSnc)9Si}_26CbXr1Toin|X^R5OQ2u6-hES=T z9Z|(UmZ(VHIf4;T?VH2>1O$+(e&){1ZRy zL_3{6P_ie)NJl8&8=%ekstwGwVB?x+QA!})G$jz8B#4gt3Z0*^RGH;NwJ_-@W&7~3 zUA;-8tJzA<2vG9JDwSK$;NgW*%C=wPq5t~XXmM1bV{pR}v574<*gRtu~1yl<*}9L@?ojj5v~v^i0CHkjrKzbQFghMR0Tf zahuIVsrl?Ee?3_devInI90YheuW9SSMmsBW3U@-`xtt zu}tgb)afrmWg*OeCwB64E04;5c?btD!a)cXb(EiWoKf|4ss43ueyf(cv>5mzAbbf7 zOmy@;l$oYM~a=UV&=ytsw z)5Tudt;>LJ?qXR_V+zC%~l82x5$$Ezbiu%HW zX5sFx-gus!j|<>x=k9z#Cy3GaRPOC*TXmhVPXk|7$}$u25~a!Syej!x51odzz3xFF z6YX~SaX-3+hinD??=Dc+Y6fKCRRREUbqzao&H$t|3F^dv5c}*r>-!yfq~mo#w3VhM zu9zD@a5C?@YKKEx?fur|XpSb{vuR>lXloWGo@g;BwmsMOaItMg7PnkvFDx(qPr3H} z#8*=iH#=4r6NPOa*QP9T?a#{>K0iIM(FkgzOit8fuda2qxCB@HoLoM2`P0J-^yv+P3460ni`t;mhh)J{P(%&wv&lorDYR35|RU+CHbS*@Vd37h0El3 z-vQ^6v9u4ZkMv9Mud+BN9xh9a1lAB}U+ag)2%D8_tax4|^Gx{Ba5e6YXxE&Zk@}7u zVcg!V4GPCfn`C7rS@crXhDqJCOPYGkwwre8gK5`0oAHryTg?3B^u>f-tw$bPOa`P} z=L+9>`Zx15IJB_L%6VocobUFaGNhxH?(J9HEP5fnxlOe6&Zix&cGV93%m}!S9zkgr z%2FXN)tMK5Z)`#eI#0su!IVqHuvawy*^7PuKnTY`Agi1g?@qa)b9VVwujzMoY9{Qw z(+2NBm1`v&!EXh2Q>bhFmnC!Wc9-G&FXmms*td^BE%nvJW_Ak(vmCXFQ+vSG>83$EVvy^wP+j z+&d_V+kD9!wb53}`5*v*EAuv((yxGu{8)Wka8T_K4!Y zT$+_7a;K5@PDy6cQn0Fk!^@uNMJ@C}N`Bc`B8eM_cw>)?9=od_MXq3SD|#vHBu@3F z`D2Qsu~_X@Gx&Bp~@$B?yP>QA8R}vnj?LcIq$v|bmllO=7rv+u)xko;_ma1t0UwmMQS=#l)-PC z{9BKAz$qf&*!2^^n6D@&gr#7FB;#J}(B- z@rJ$kk&LaVg8OICB=EkX!tk;%jQXRZOdG0klVptqhlS%kIL#0{@Hm0h_H3++a^7%? zdjeOHstr%YG(L$=1jhu*Ssicd6yUf=%XR`HG7~r`aHHkqhhq|ZPF`LfUbrh&4t(>c zlmC4PlIwftUMTllFq;q+p5&8c991QyN(tr&Z3^;qY2PHmYB(x+Bb<~-{%M6= z@;Wh@6dXQ7PGqqOXlXeJ7NlkDV0zTxrK3$;o`<%3^q25dEq6k6w>I2Lfsj0W9_Tu1 zZjrWckA3o5w$vE#{SS0c&RDjKGE5!zA06xS?j2!ou7j)lVWwCMb?R8>$f~S`0`z}- zpfA*cBZrBwSot^Bq=k#MPAP57Fmb&{68?M($*66n5^GqWu@JGZ*9yVJWi&F7k(VzM(!lBPi&I(_x?mxS_EHbN%{_ub=KTYLBT=&C%!l%{NUg;A?t0ljt z9c#XA_`fk-eOYoIbPfs)63-TIyS zMV9YQrqEBBZ!9WgZa~u1$8hpK(u#tl7N7IB^DrFfPT`!W!*IW2z?Y%`I7oRlZ)=5s z(53Lp2}>Du3k2B1)GSu7cI5`%YsRnsKnE7Ca!hF1;O~Oedlo(zO!+k`9ojA)R`s9L zZ^CHhkNW%P_Nczf-S?RkAgL#*R3x@VePmg9@RUDKORQ2M+ltKCdLzT!mNIC+zRxJTwj{FCTgGV($B7qV8DF7ZJtBe7=aBqz4i_%Y$dWC5{BNhMff zrKOQL{3ZDlsbml#!nXXW#^-*Y;hM03%8+DV6%RWQ^&knRqAXK{mnU^ zEBHIJV$4ek9Ra!j6YZiyCUmHwH5<>^kiZ?LwR_a}b1bi>`!g>ZjXww% z+KgO(n0E&O@1`IoXzONaJ)ZVWyw zPP8XFA~BRYFhNRG%M(%|^+mPHzzw6r>$kdt>z;YT)7a+Ug72ehJ^7-qh}|TErA# zA^%%v#k^!Ia}3w*}nMO%qYEt^j>Ny zW7FDNYg-<3beDGPwKe563QK9!avALr^JqPT?+e+}`rNxWTZS1R9 zQh_^br#4e#uf3df=#$Zp+WcZP_zy-rcQb-0ZlH~k_sOY~Eo#;uy$HbWMig20VkJBm z-;&g`D*ve8f{REBfN{#tI`hCd@v!krz9SH|cO}2{!*F6}MpeEJOW?$VKIS`&E6I)L zr+!RZ8Jr24C|EqbqxO+$%C5%+p-KnyUyOpw-Uuh>4~%NGzJ(XQw>NtaUwr$0^U^}= zqQHRJw%glUQ_iK)WzA(QIAmD_{FJJ_R|bg0|a`ssOach`-3+Xxr13@q60+mc%CQ@u)X~% zghXi&1g_j3K=TixMP`8#i3SL7$f74rPP0d$2+!N`-)35DLA{{q@1$VKazwCV5Yv8X@{tq0>fU5ktOxd5PfQ~FZ~6x~?z~7kkzC5~6+76+(A-5NWKZlTqV|)pC<)vi zy2O`j(x|8@a}x0$FVI@l3wTHlX8VN`Ba@S`I}W-InwrJ4y*vGPSVB2$3Bs`evr4+6M-DdImZg9RSw-q^oNa zRxXXHNhmrPii)msQQn9J+>^&qnDu0m1LhR1Naq;~5JbiXv%7foccOXSLX@u@wi;Do zo2OQy;0ooNiWM#s5t{xg?z0D_jg72;3_&e#@X%Qe8yXrcVA;8^Ibbo8DrhXz@@-P^ z&G)9Z!(v})6UwJRPovM%xjfqk$M^oSKWf#dyk>ct%!cFdluCHBW&IsFK(X83tjYR* zE%w)o?NSo2trX0!MYqnUTGJD#(4-t_O19mv`x>23I8Qtp^o%%a_uM5oMQR@Iy#Hh9 zydR-(7%+bO&K>9M&DneJkUh%Ydl$0f4qu7mkh8jW>aC0hN|71G*`z_XGmh4sLn``DhAG@hg|~a+Kd)djkN5)^TC6X6dWExmj$Gks)4@kOe6+3qAK9$P_O! zd-R}Q^kyFCH!R-}<~J3>VfrT#56_KPXC)p(35I*m@WTg$W5aAaJ3Rbh4VTKBpCp4M z4K3LDm2-o_I1ai9@*K2-IGKC`IoD!)iid zj^UApXJNX>o8BcG9f$4W(ddkGunzXI`M3&-6-8GeyNSmq$)5xO;(xtD?RT(%z~3+Y za3(T>&ql-?UOTh-J>5J?KbEg7b?%9o#r+k|kqRjJb@U97w0KzZfu{QQR5=YVc^GrW z_Y!K(&%NM(d6I_Tx!i^-r8yh2)f^?r-BaM9RtDgevgp*!dA}0)~ zEJ^3=gIq0c0#9f0ta7Q+11aVw&nEYijzZ#4Nrb#1s{vs4jh()Ks}vwt%PMb9dXfqq zj)TLp%Ir3}(j7l(kEYe6vMXO-1-?Jzju)YWd(MZl$yP>mpWWQ)6WgITm0)RcKT8Z0 zV1f8lx4z69Z6NR5%R#)G*6Zr+7OYeYPhQ;8HaDJn;GSkuoUIeGdm=KB}?0{o`?S94bCsZYEHYc~s8SXA}bXkKCoTNxBgK zN;~w>8c2t19v8s2`8dV1;l@oa4CL>|#N~1Lea7Cu^kvhW#ENJ^Qm+cf^i0!!aSeG&#kRB!^6LyrAZV!6eDcGrT3jm+6kZn|;5L3_qJ9C8F zd%hMw*l_O{S{D$teD^CC>v4Zvngq@f{fSy`r@n_8{#<|n72yeQ;LD&zM#`xe;EG>TDQE?9NsI2 zrzXaNEjx-`STS$j#!F-$!FrC7WZa9Aw=wD}i)lv%`Y|;Hv4tVW52SVnEL4kf!;XM_ zLC|*}G#t&2hkr66OWA36K#2Wrp#*QO-Ie|sh28v$LjVZxQRI|OI8en0fcgi$96OKD zTvV=I4UJj}Z96Vv1t*0xK>0@oSS^w)g^#e%(ry9cQ~$aVWE#$qoW}(1m=D8>I5<`T+W%ZQ zl~&k0HcpEX0x-qlc?pE}Se$%}vM5w8_v?`ZGu%{-R~TqEWlFUL4_{3HhS1KV=?PdrgQY8x!jY_VfuF=j1$V2-a}M`k$!B>IVc*dy|`Kw!qU0Hixmz^us@W^ zHHLS)9dW>mr;Pa*Nh%^_6~P1&Kd_CpE4lze%03B3SOgIlR|xOin)*CJrx)C;W!#|d zizx?PoZJ%8d19gk19=Z{n+IY3^#%Gox(UV?cK}_G!i*)=_Xq9@6*9tc7=20fu4TJ` zJzXjAE?H1oTlJ^*v{aDgc<5yMInIB+W#=gQYA`rbv_r_XV-WZQ&}ZaFb~ANi2l zeO#`vMLVv(w?tXYTKZ}IG}u9uly2R+=mS-zgklOe7P1Adh;J__}{#TVlYGD@+=F!tWz$!g@T;9JG!Tm4U#wc+vzdYJU%t2U6b2Dl5zN47S zdNMPl{6yI~KWLs9cUseao+tg4-jk5uE&s%Eaj4ZCuYwx)Y~=qWKipOPeJ-ywK*F=cJ=bJP} zrr~E<{m7^Uq(}j>7&VYxx*KcbF;Vm~GtiI|!6kj|Zo0K8(ncX|l?U`>uHdGnTK#|FUMfm4;NpS$)zNJ~g=5*3sNS~h4ZanjSngvn{zR~@80K8#x}${)_xk=OZ!0^KYn=H_I0vy8Vk_U znzn!U)Sr(Dj22G4a?KYXZt&I&+1(oVnPAR9X12J4#iL7K0JZMd*`#v~&cglZLw5aY zCXBuv(Z0O(xgBlYMdpqiR@_+ZN#7P1-spra*H!0u_y@NS0=8uC@xUrb+DWZ1V`k(A zsU1_wbJx=w|k}-ja~}XQOwDn(YZ}<<)QqSD{>g zcc;zwR;*+lueSU6+c9~m8IP5RABB@UEn=6vKWaMb=klIum&ZqkeC*&4I=fok`_6oC zR1aqnchupzLpCxAu^T64L!JyY&4meebrqLWQq+Vqv$A=3lH>E1R-xpmFo@>i7!%dM z$!)#B+(K^p>Oh52i>pT8EJXHN^vD;CLe!8f^{WGo(4#kYB?QBhbpVbGxrlGq@*wK>mK#@6;MeMV?uRwXUybnO3yyVWGBvCqfebHuJj$J2YH)yiRmPOzp`M|8t1g%epO>rqcV#HKx3QtMS7 z&qz|CRtTQXw$R$0q$ySOs-^YmC>y>6j{mdqLi?R;&s_$Ng*BGPM`&@JuGG8Ju0D(| zb4qFp;y2zH!||iDON5B)plOG$s!)iPjmXoygtCtz2V_ro>*_qn4^P}FvvnFf-KN>? z)=`QTXrdu9APc3Wt?30bf>G1VlMQ5$OYbcRX+)fn_Kg9m&W}v3m=K84@|nV|L`)=A@`bcEw3aQ~NaUwS|ZlBe!%P_PIm*1$q1vcX@7ODXTP)Hyshj5Ggx z!fpA}UA!Zf-7=PpGXRQFyiTk#Sy=43(8KyaY1K9~O!cC_IN7$Ow&%G?#-r5yejDV^ z)pY(5E4gN~=+o~ZFzO{r*>|fA4^qE=MCVEqTk-_Vts(Uk?UoSvXWw&s1A>R@x`DVF zV*4cQbv46z!zx%p&8=7Nb?j7o`!tERQaWjPy_{;TQX}B=_YqL=H?<6;%N{i_5lVqy zy>vKXuxEG$Txoy^y*KfX$_6Zct8Gy^-tqy+Y0y5cP(1#?Ezq#2QhX>fX*v!=NxUOf z%W>_vx{J*Y4JCEXOSs!Y=B^Zr%X>kp1!}^RizGsG^hq0|;ztD6*thZI4~_2-r7{?y zShtv0D}~8C4d~!iBoZIim?dNstRfCYK13M$@w53>X!}fI6zb&O(DI%ejkvt!H3U`v zk^7O!9Cs*5%XMpY2Ufo0xx8GgnNx6~>R>aLhx-xZAAZfByT216IK5kVbgY~jW{@<# zt<0me(VjG8JT#NDdL&}Jk|y9C2d)aBAO+(&HH#b4^UEkLQ%oPnOJ%FwtmC9)t?^0& z0EHQ>#Nn8coAS$$sM?b*f1h=n8KajA28ERY@{av8*lo7TGDjjq_;I3)xJF_koH;q5 z1Po9_B=)`2ARk^PzuGThSVPWOs~VJz@rx*}aYADV-i@FA{4Hw=?6G(O8DZnySo}+rcKAZgZJ+UBvTc--wNX z>Zd;^02nMkHdBOg8Svdm0J^iriF^I@`4!Ip<)8PjPAFMkrB@(0uiMCSf1IR~+Xj2B z!ao25vqIjTe20}qm4P|_P=hyhXK{K zKzA~D5yYw+Q6)dDx**=g>A+228U0S~@*Gn;U3cM!2%K*h?1JXg?@{Mu z_kq9}|7(t7m`i6Z{>DCO{&7a;IlJgP<;z?Gr+41OrpJR^=S89Gw^7xl>l`I^{g=JD zwssEx*4AL0uBBx*(<1;YxqA$^{Cr4}>%_R+ghxm_K-qZww^3O(QW&9>9oh*%f zJ@L)s0oL8pmU!ZjZ~EtN9FK71vtQa;wP-J}eVg9J#cS)HP>JX9K^(*DCh!gXUSM`c zm*GFGkA-8V+TI^>*BU8Fd$ghb9+&cP#1$xJu*#+@R6$xHdl?rY>ePj+X!KW7;{H#IgP5dNs&3H6HTOim z+<-<`R@Vgsz{`|_@;_#5zf)t+f71W^N(MxF>5 zL4bSxE8LMse-})iP5GkGg<;t>U+DT$&2#EE)d?gXuS`)*b_~z?p7_e~XL?H)(qGoN z?8`}l;t!)^h~Miidb)oL1g$E^88ImQRAMg|3i9MamOb?@ynuwerkJ85=IG{E_>>#J z@RI@Mo?f7z#uDr=4v~@CeG**!*0#1Roc%{>2JQ3blV5*7aC|TiGsYk#RE_kr3CFsW}Es9$` z#?3If07FctDu=3%OEqjDBUj)d8zPfAVGGJ50VQ9MI@H_ls%r@weX(ZDiV|1bE_(ej z+ES&U1t50Ck_yO53OE4dfT7snUy@hr2RN#8q^0hxo&H}fqW`%-&^KeD`q1_=mtDYV z2C~qY*JjZ4ZMBw&#H-aJ`4)YvAyVOt>4AqFvDAKIAJrGKn{YB)xqwpjTRWQ@Hh_f* z4=MtwbzmILcFdcv*0>`5r=k}AGbAoRydEq5!S5qgEP%yV;Z?e0I;T)pf{*zWzsd>p zh+ASSr*;E$@Y_6!3b!kHHN0B3TaxZT2PMR!St%T{oL3|{G>s@71XzlS{D)oG-Y4n6 zL8|W^gmOs%-Q~Rg(kYZOc}BJVwRLknAk#7e8-;9VSXsvArJVRGt5NtTfu6C8e2^We5aHnWwf)Q_?c3Yyt6mRkMUczSdfzIjyj{yT@uxEJlDFiNcwG8#WzrCXOa4s}KtM@I?1M zFW3HRpB8An^Mzof@(x9YyypG$a?8M9-~WN6zX$QDCKkTv{op_W9MVrHldnK;me#w5 zl}PSa@>W&zN*M435kx7jxprYNIA5*p7kyQC66@cz>msP`uBi;uO~wDrom#gKp5kV~ zr}uOHF<}*J(aV=3)iv;S;~on8jk5f0f!c%nUA*K60H?CXiqLbW`v91T}yJx+6zXt6fwm`U+>7RJcDRJtySVlP#a-%qp&D6=1F_y5Eu=lQ8U=SVgmWAZYe z%FRhX{Wc-JKf@GaibJWn%Ub@q_@Nn>uJB`sG@023W8e?VS7#Ri1BX1>Y*SHe!+$$m zcm;cbnwo3(WGK0+e_p%%66y8Uc+1oK?XUG}CKmX5myyUeSwD>tct6qo50vI;^ZuTr z?+H*%8=m>fpCMg;9b`f)@5@iUV`<;tuqeV=?qAD`#@i6`i$k7KNy8wmDoG~IL&O?& ztB-cNU|jyz8YQ6r+Zd$r>>#v;Cw%+$x=R649{&h#H-ky9?nR008jSJu0)5JcxZW?M z*>PQ3dck)6jEND9*?HxD8iw4at{uFlvRWJz^l1N^>!6gm_XuCd@3@|;%A@Ljw-t9K zKD!~RW0;CarNWxl`$?0HZjB{-7H8gI?~Wl-NWA#H+(aan$)-l&n0=xGqrq49uUK2& z2{Z>WEZW&FEvI6ypRFxw-qezm!>CP4Br^R2tF+X)a+bYRp3jXXig0ru4C84DiW3G! zdiF=etTKpOa|qu$RE`yT)_6ZHIz|a>cUQQyv`+xnyWsLf{0=7MS6xm=!veW9nn|pP z!`r0WKmy%#lcuu-nKg7TPStSqQL`~C%}2$7&|U@HPCAob$|P(k+b1(;Ez2!uPnL;J|?BoUGfo;$fDBgrA>es!v3;cfa z%$F3Qdl+cmE8E<*Q(hP7sUzkE%w9+yN%>c8N61;aIvefG^BTv<=Z=U#lepr=0S&T- zlt~56-)tMs-Vpyw#z-#*)0%S>KhPg~_-weYiv4%zS%~1T*cbw8NEf}_4+jE}qvCuh zzgdF__3EczWHLX>Y1!58^YBnmv;My5zcUszu9!%UYeKZw81gfG*My?MCKla4jN{s~ zInt%|23pkIikA0H2Xb;=fgkkSo z%>|fCY|;TcC{GiReFRZWeKnx-q9j{Ls?Ew%5NkZguk;JC&*q2~gGvm)kycr(iu(`b#JKbontS$-@&) zz*MO3#`|bVC|#Huymh8Q8P@#$jD_Cieg%a~W2la!IfNU04Z`tIK8tOT0Mjr@f(4(4 zb)({7RnPA>YXP93DedbhlbZ`1RjO@qtoHXXj>k3V1wjyI#s*dpyHEqb@Z$h-y#zGP zF0?N#q|&Pg(<$t-Z5U1$L_X#cZ*fU=m+Jf#P@2~UTK~#DuJ{}Dy!Bi_fWDYmW8WWQ zF9_Q4-^8i_wFCUGEFSUG(u%Mu{tjA6_1RGTLij?f@EnuYdz@XhgA_GtN~qR(!6xoF z48GMPrTE^eS4ai=-O+k0O4AGi@_mi50#Hw?4~&0mDgzDVNQ*hAPT&Q#Kw*X3GI&Q!Z70_i;MLOB z)ao20?z%HvGTC4bGOIMI+jci}Qz$@G5Yihh&gS^OSaKek{=uQCQnGEH4 zd-{`o>h_K{)#tN|-wHInFT6yhSWg=2G&n9GAoK3Vo>vF=qfgIN#~lN??ZphCt{|)5 z8XtH4`0@_v}R!`3W7< z*`{I9_!i!g&98e4Az>O+wZ{$Yl(;nPR<0PYP>_wXv5o5HJP~;I`sOlDs;~E;c${6% z*P=A)PWwDT<}nI$Hu^YWZ^Fo{W%b`8l2q5*Y5vu}NIenr4Sq?4dyH_mz!OleCM2%> z@`=#abGg)wOA~epIGfn_X_XyBmNgHKvBjcka@I0#249US;&_}ZQ4s(^V`q2-y39w` zPBR}Gbo9#hQZCjC^G9x1Yw_J&$l*^AqhvW6*eAr=RDyDK z3T&&>%NBt3pJ{8lnfM8Mku$Z?mmg(SOEVr%yE_sJ**5--uRQs{8~H~u;Xk&Q#`AR0 zw*bG^(_&G~>X)ZB2}3^BPv^!5DZNNnWP^DgKw3R!cS#iYM%$-&aMfoWDr`}4+JMP;kBUEas7B;f!()JrennoDvw+}x%%_)4_?bi5e{9hl zNy((3ac|J(<45BRQ4v9Heme(l;>mqW)pL(CW=Z>6$Z`K7nacB%bKzev?#Un20=9C`6aWM6K7TYx zkEtRlq+`-}mdf1^AqIXT)P}r;te05OgNu{jdrN{EElmwoL$JTt zR9Bcm>QSwYYAmp?emIfIn=yww1r@S+0ecl~sE$4F0v;(Y`!Aj|$9)xdF9w446dh68 z&-Hef3DojR$e2YI2^m9_JNE_Dp_j`Q^)f&czwq` zIJPGjI0&z2!7kM{_}GmFAw5I$Q~OL0CIDtMS!9j4wQX;ywzV&OYh>ZTVJzT_I~#&*7jI;wibo(Ma~Q$2ha8{ zqm71F8*MDI$H<@#?tlA+aKYLD_L%m|rxS}*`Qw`!efLMXfXP{drbSTaHn{uYK^No^ z_uVmw-SmZQ6(No$;;E?;xb&-P*IN!y0YT_4BMYz&rZ@YRdEm62KEx#e*71YigMPf& zYdSJ(SGRXLimX2HelS}IBZsBeM+eEybMzmYGkn-08|W+MKi`su4b7O9VHYx}Z*5I_ zDNo%3$1z(BBQ~rOyJ^Qzj|h3u!G$`8*B4EN!%i!y>AtCW%cDr=-Y#G10t#Cj5PeBD zpNK^rad5M_^NM+-tF6o~|9g=xnsis3E~oUbN^oMn_~?gLD3C`k;MLs!fL7*-LjB2d z8o~*Z4*7L&Jt(P_>vKnG_OYxL+PrVxbB=0H zMJqB1BNo>)h#Lq_f2u@Pa=5Udi|7geHNpw8zd!!AK}whTCw@q}Q&KpklBZIg>In_^ zJZHnf7M}FscOe{LSxQPDp5kH+KA}n6vFROIb|(!H}F`F+C80ckLv7V#%s#Kx3R=9x##C zJb#!+gzb`>$wOr99R^;e20X+Vj1Jq+0ma^4XSfy$8cPhnmrk?w1La2FezFE152z^><>2&|g#1somsgr=MA}Pi_ zag`eZ7>fX?O$?rjlav}njHUu2B0yHCWcC0o0%5&OtPM+mZx&d%QMlP-tFF-qYb%d! zeDTC*E$_ld{8AsP=y;~J(Q;dmRDCn3VWn|o_&TlyPWN~H6fk|@T&Gpt`0E( zg^b-NB8q800kzuK5Af`Pyw*pOCF ze?MSxSovNrWc+?eqhVrWPC%Q+6rw*OXZN3q^Xi23FMc|~v#6p`K!~A=#|n!CCD0IW z3`Dx%z7Rg)lOV}X(?dSG=f5mzalt1((%)Frv*ce=MoClRoRiRBgzpn;Byc7s|E`6k zj1zEzc&p@1V>qzgZKh6I;R2A+3W{tLZpbh~Awpgs86vSd_f%hb698&iDVD}p?6KLv;alG`<33nBHV3yK1%ZqR)ep5UD1Qd;nlY;a{+hk z5|!aTze%k)MueKqhUP!efk2XT4DmpeuGcKq2S+A-k?!?VvC5*VS*bbvU`SA20NY~R z)ULh2$$V-0a=-a+&zQ{3_%8|epi)`!m*OeY;8->BUl2-sj5Me4Q~-NWwpw~~JA0?Z zye2VNt}9k81Q0D-hSdRRbEdqG_K_Xs966`CFW|eYUI>I`*FJa2&8iuXT@(Am`~mEY zwmKp!+?j<67nR)~6%^oBXZ-3oo;kQ9E%HPp4{3r}+pX&bWT3Svn2k@gHReuu98jlU zYWf@D&nS@D?SQJhQ-m+8Y+n$&%ZBJ33!V0_6R7Mvx-6&QO;dsfPBR(0io*3C15ik9 zG5SGEh!jk8YK+`>P&(2DdP&?a==K?OH7}h5tc`#!?sSuLg{LVr#+RnH(Q&C1=S-40 z?{vt28fAQ}Vy+bz7-+75t3ZRu5nHpeN&g|Io{)8^Hb|HjBBY$qD8;{LjHX+-LY}xwIQ-Bt(>QAs^1E9RpwNz*W(wKNYYZzx_ri8hca6|J(@H! zQMUY;L_jDnER;G2{b8~RI}J;S;Z#ttw;&Bu%y#8w()q1 z8o!71_NdlEiDtq~ zWl|25U-ES{8sI1On*YJhGNR8wmCtW1Ajqf{Jt%X6@aKRp?Olvl ztw}#~)j~-bko1c*9x!Gbu397+0jK7N=u_M;{iw>_TFn&PhH0Ah+IB(9+nI|Txf``wi9Z@RJ+tZBz;(ZJnh}q}^yVr1P8aM$jOOe>TS(H(oKPv~&AeCgys~_*WB#v* zAlPH?vfLN;*sZ!jA&dck37p4u>aP6Q_%vM^yZR8Un>Y0ZnCXTMTLg2hPP{VDb@eaExrFlT;|#e@EqH#QT69qwT{ga*S&F>>5_#=~Pc6QQ-b zZF*$igy{8e|ISq~YO5y8&)-DG2tL^7B)lW&l4#37GLd11JklAu)y?6Sj1p|~d-SJW z)jJiQByDs=jzqZww4A+8S>Te8Zi}16y)8Tmfl{YsfVt=IOj+#=1T*{ub4A}E%k^j? zqq{-LIJiLHiKfpp`yGJ#H|b=DjTTe8hU>B;fv2xO;JM}Q=GSfBH3Tc2cX+1U9z1v; ziYP!d{^kkh=Bn?1>Gaw2?T}tExtR{-y&P8s&aAn^Tjxn@FHFZ^Ph37<+W%q`Ez{ne zII)Vs8YDllS0;?hnuqR}7$nH5rnnqgP0+l?-yP^^b+X%v{EQE(ucqA+52~q$<}TH2 zL-*Tjj2ZFuY)q;jRcy2c+fSYLWMjCx7{g=*p%VdBuVy|7wQBBLXt7IQ%JHsgtiZz7 zQn)v!vV$;=cYu@;3-@QOqPr$1#d52xvFo_gn&%fk1FsmUThu3Cmf=OH=|V5%{3W!? z{SKCcxLxHFLNIH9-44u6(mo`Yqqo2HlnI?V%3WJPGB^+~^97azdt&)p8ZcATF{^NR zH|np?pKeEIACCzQ%Y}z9!=h4NcZ3S_E3kon;S&{t$ z>l~IPYYU*&DarfB#o|8e2_u)XaY|i4)FeE(1&Vl{#oGn)F?O+SDf$@ouaoSQ>1GxT z&Ek-Q&sLb`Z+)Kyt(WF@!P_y085a1DQqrz5i0A2@M;CgEZ%Hyem@)^u;;N zRX`%jZc*V2vD`BcRq4jGh#Q`cp%q}(v$sob4`>v*EFTGGuepnV8vpLTW;2HrENk4H zRWLzWv|b}<5M9=$IW(+rJ3I(5Yz$Lvkwh*V~+q zXF{cDzZl#n~>9 zz%kA#*mAv7v>!3!MkDbp>KC2ZNVfJmkzc|c+~`)^VU92d+G5>}ts9klH5&y8h7)M; zRjjM^FGe0&6bmr{BXJvGM%G!)y%(6UxhdE`0oGr~SjXkv)PvViuZOqQw!vS3$vfJk z2s=~ys}~6jv`-P3-3e8!+4gL5fxd}?_X<{0EW`oK&g* zz7o1~7ALy?Jtx<=(0B3{yyfLT2d)*_%$Su~6mhs*d!5A&K{H?TXeO71 zd?*$ad^^#}=lSXxL^83Krtx$MQOQ}v{=me%A&dI0i> z=RDg&eZ#8-GbuJNnjTDqKn@2@2ZN7zvOm>ga8e7f1w{L@4STm1gjNwKKE}s*G zgrdg*2|0+(4>Gr;EpVM^R0YC(iNPZ%GA$a|xE9UR)XB{^iG9^v4O;5>!=ewBixh9v z>9mzVPzCZJ#G>GPXrzr(`tnX8oxdtEmUF0P<8W;2?Oo{NCfIHnbd$E`|0U+zjiA7| znfBeM2;8n#S$3gQSx?F0UWR4)e7r%igppCWzL9#~wl$a?(ZDXAVA*K?Bk>WYvl*4X zV0yxgme1u?EFeZm-B4 zX-xa(e@U3@b3xPb*Q7TZS`+?R}PjO(27cSTPLENSDTBUD)(=e zNVcgz0v>yif*se}G3i}IILPr6+|nSQE)Q_!BrrZK5v|r@ zoJazj`r-}+OkMEfQMnD{mau#ZQ=nVO)DGV>5`c$7;#6c|5U5A@0|_uCBk+F(0F-1A zkT2}Sg=Lu&{Wc0dJV{t}oWv(n+1NHYC;1x=gEdR==(=nb=F(5$ChM;}?bVz}Dg<)o z6XbZlwvB+6zn@?YQC${Xyr~aGu5xMZ*@!V;S^v{EUK4FnlgowA+t<_Z_keYmCN^#f3@zIh9AmA^7H+rEnMjXW&{dbA<#o^Y6c;EWTm< zH%DEA&u%4-Di)Xh+p3B34hyPN%mq|` zuI%x#DYaJID$mMxT}e{bW=cQt&$tD->58?rMteZ~BktFNE=AlL*GSuah8s}d1Q$P= z7V{FPJS3*2_)J`yLzV?de?bg`O#I;6378nO=sSAYo-8KpW>lwg?BlcRUzgeZWc7`^ ze!RwId*<4nXd|f8W?>1=B32?*tsg_?OP)xLS1Li6+0{{c-m$AHqOaKBL=-wKp}M{T z$rn%X%IIl(?1h5b%9}#c7Jc>)6dopuLbMQqR*<<%_9pBnbgS1-h z@$+0;q3@tB1^Rs-)gL&eH~h(i*sQ=mk9kUT6r+0%kxP z)H?q-vXLc0Z>{D-SaOG4Q<^Y*^K+5up4P9X@YQxg9FDD;D=|}x3{>Je<#3YunW@_s zHwcT{4Sr0%je6I`ICa#t^1@b^Ki>|+VnG->N1nis{5@)T8A6U&toN-pdqjaMIv#|1 z$veg`sG?$cD;wMbs1$-(|1&aG;>Z;%hIqDORhwI3PjR$+y|&|51??P>+tWDN8&doxG)*{ z4Ow;y8zBg@&+N*WVU?qfbB7kvr1dmJe%tV{<$O86jqs&JD2(2M2;LLKf%zwSEipEf z%<4H`(QqEi_N)JC6hGP&x*r-g1m8yt2$3cwhB+O+!tjmT{jlEWTQCXRjc6@Vv50lC zIMahOscNQfl`EaLsVb)9);M);WOZh=Sf{ZJwXq1!%OmJbs9U_q^ktxtob8SbLpqcp z5Hgp9BNms9c!o;jV=}6jgZyxRmR#_jw=SQ?3O>EzHkBa7b!jD5LrB7`+(tj&c1CF* zwttbua50oIVm4Vg3TSPCgb22vOjmbvlMix)5pnc858gK%sBgP=W7u+B8~^QdKOV%h z8A$8#InfAv=1a}su8JV&$lKz;Ev#K@F`&2+&6_MHaPCh60a|zqBJ zxPER$ARY@eZ6ly6q3uhitm@hX!wmK^<2ECX4RvE@U1gRsQF|-Fg+#(i?x%280jHS0 zE+l(~gY~vVe6^a0-C!&otKQHLn7E}{(jB7QZe66x;eS3obWVzxC1wm6f?XNFey&lo z$h(OAD0`_I$YImHqC!(`l~u%Sk4l$mib4K77l0V-h^68A@jpyg)-U;Ir)1 zVAH6S$n^X(vY5to->bv4tFPaNf?(r5+qe5i-kG%hrOyf-nCj-O(O33yFDgw@*f-mB z%Au`7gi^ECWICF|5XLYNli&FRWPAfE@k^gRHz^XC?9uk)2$uncC0Ox7HaUCR5Kl0F z`9Li&$WlE50{9?uov&&5uYIx67N)6tcq7PCAc2gxqdhfE>{MF{1=YEfADwlIhK4uq zKXJ!0>AqD;{l3WpAzUZApk1z(Rn_EeSpn)P`ppS8AxqQ^k3vM9q<8U<2Y((f&^Pxk z|3ouT9c(QTWCMOYJ>_tH2;ML(&LI#EIgR=3urCn|I=GT^bSV-!4xP@+R^F;Ox9y47 zEW4m~JA7FRNiX_vhF4-jVx#brsy^e>UJ0CL{LWjaWid&}9cegnY*=ZYH=4(ADN8G%RlD*8z7q%n_ zKExQK6+d!GF!b|QAM~6tc{9I7J>YH7@L!F@D;#$40s*Y+7Wl+QE3KEOiu(rR3Dg9V zsYUz43W6hOl691J)zltWUhZvSSJhrfK5)3Zk;yVqgOwIvyp_*#qw%Y!tTO&%rE93l zBi5g+fAUcQ z$+Kh3imsdo1YX{7)A0nZ)^HPy{6^Oys0y^mdIspvyMnZ=th1|6cOnGhtFpxwFY>@& zgd+ycyxyR+aLm$=81=EkNCHpPJJJ1}(&RK|=M^Yp>>geKlF3iZx!6ele@EvXPxbr$ z@%P!oI>xd09>>Z~;uuGi9D8L`_8uwY94pR2S}Lj!DxnmoVU>=RbW}uT6VfqLgpl{o z_jmtw|8qa?fA8zMU)S@w5L0(H^o3e<{>q8EUAs#Nulw_1C^iCfYI<0TgCww**tlT9z@M%E0B6s;NpfP<`9G*xb#eW7#zMYNoq6t2x*G3>n`xYy;)&_5C zTvRczVAvOl+eX}`^riq8LzRlM+afSoIqlL75ppdl>qvF}!7sP+)g)ZnSGI}i_A58U zOfmL-%^n;wBU1NlQ$jq4Vlfvy5?Qr)oDq`&;f{BO(cA9G2+ zo77y4F&hC%g6GC*i0=Z!1DpkWJ%eQs+5%R;YEJbsV103>xvY#x+U9lrFA1wH3$ux= z&;}g;!k9F|9zL`-bm2<&DgSMiSs;MY(yl9h$|HDTl)|kZph|=Ia=ZFTU(%CE{mN}c%iAVN=d!+u zj!X)8lAcp)dhi+_3PwGKetxzZZoj$M#i>gka{gk+u5Qs>#s_*)(01m=o9R1=opk<- zmv6p2e^V*t3wf+&6d1in!d)oysJ+=88oeF_*@+XvM2Ep&s82Sgi0`NI^|bCl00Anz zyaFD_v>fGV+9_|kW*K5G(|fcv@}y8t^BY0kMj^Uj?OrsZ=>fusqE$Hb;x2D~bbdc& zZYg7FAVZIHUj4#t#VIXpOnxk|WsJaEChC4BrI0>StaK_L&}V3870F%R)z zi4sXE59wf;5?Q%Z@|^<5Q45>bpzoD9#+0Ed1XYF_i=a->U}&1apQ3%hHNKVpy||*$`|Q$5|)r2qzi#EV2W^k>SL0b|JVj z+*s}&1W$$+>y$UahvCce^Ctu_0uPuggwu>OtdLMb7$ck&5lM(*M6+UI32}^gRze~n ziIL1Yn?g9pIL}H=BcwAjSQIKDlaa;B&LQM7F0k_Q2{Z)IV6pn%6Fx9Lvib)I zpBRIzq0fXbjIXTW5yB{Aj5R(%m}E?`roRzp7_+Ro?}T~A4;FiYu*g_qEw2z(89!NT zzX-n>>#U7GgiXd4Yx^%@hq24r`$yPkfHMm5Uu1+QZc8fqW0;QiBQV~uL1p(%py8(A zBOYTx=N)96N&X=HU0Ns4;F9D+W%ZW3yz2o0jPW7u)2NM!C0fwIx$COTDAM`^2|C!&iD;q zlaSFiy+P}AHx{St^+uRJJQBK|;uXTAIX!4!x_Ym5ZzUm|HYzyZ|Iq2h)%^bcU)Qq* z&y-bC`K)5g`H<)R2#LjTWmgUe&nf{nHC=WkA15~YiyY4VH|G=;P?(HUY=ROuz$+X) zs}6$867+IBgw>5YoK@(+OcRJbRhyaX^nwIWth*g4L8`md>1;lmhiHeIuCXmZ@?jrr zvoHRF-2u~6!OV)Q0~U>9%YcCUbmmjkM8fOhDd(olyX7&db;0kuikOp;iuv4c<;Z6x z-|@+IIU+N-zg|W+HYJ)_8uroJ%dFg-Uq8KjEBTP%9X{S8-WTjtK<3Fkhun%PkaC$2 zojSc_+=bWO{B2<#m2Xf0oZ?3pbpnz3MYab8iWz?@_RkwfaNshKr-8X$I~L?GnhKl> z?Y}Fwer00cQR;U#JU3T#dbF$*=hapb{)XqVv=CF=lVAUtl7m?L-OOV7EWtx#cX^lK z>YeG=`QNG;S`R@9uh(e*Y4%ZOAubK2!zjRi!L0Zk)4{EDr>6`-?E(AvRNCtyL~ER~ zh1$NKud}Q}_y^(2=7Uk<>T6R?l6Uv7695#>SV{Vg_?u1(<@BLrCi_!x3zL5!-}=)>@0QBpGOE|d>*(OUlIfyKBJ?&XoT$sMNEr`P8CzJjKr!wi^y`^wywdWV_E^V5c zP&4B|v`J}ZGE7~j5#aI%uBD1KWkhgEmp_Wq?RdqRCo0HwJ+k7Ur1EKpqYvl*rivA9 zT1Zak9eLy%=zCaD?kp6bb+S5@$+qVTlScA2u82iw&Au%dGzl1fNXuNPur3Db?m@eC zKDnKzd|Tv=2xGdO4{LLgSphTr?_vP$C_ht`5s}LNez7<^=4ivSi!RiN zogupGr#>>V9-NhtIb7@?8?hYTPmLqx4FRAgq^7CO&uS+qG>_c;p zqgoyVLPk#th#l_qbeGZgo%}n&++zZ3Z$ls5iL5TAR4L>{@z&DO%eOS>nvR`1V;lO$ z0&%L+?w%cKuDVwSVV6!^7Yq;pW$7dIk3$O@o?u?%>L9(bU0bL3td3X2TODxe1ATzr z6T+Ih@Q^!EiFeIu|rl|Nm!LlfehI(ZGHKg7_e76wVBGV`Q z8vd1;R)_?GjV7kAK*@3O_jLX4)?arA#r_k%ll*ZIsbO)N>kobF(ul!aOl47UWXeP)t9TT z;4@9}^E~HV?#O8~Im#i+OTPht3wP2kQ>ns||0I1fLHaarx|)Abrn)rI(R|ECD9VzL zJ{B&MJRuzdODK=dnnM`|Ovl7X1A6o2T0rvvf}FeWFUQFuvPSzRuN?LR7X%6pM&yxeojdzdlq;yMq#wE;=K+(81D zX~pF4qxFgo?&P<~qwEn{v6(O|ocdZsyM-T}sH`Kh*Lk1QsEz(@gPituHu^%)1v;vzQL?Xs}X_*riYz{O^ds&<2Jr92^tR+b|*KO{mwInid>Oe1r7v(Z>Y|Bw7 zTkyEPq`uU6NbG2`3#mvfcTDV5UQR{cL^7_hf?5V{m6C`9u1LziZOB3rSV)O)o3{oN z5i417o79!QQ)}4g)st5JugZZa^`z-4h2i1*fNZskhs(RAnhZ#;x!1dg*`gj=WPHp% z$8oC)j4w*MD6<&Sz=`7ygCg$NyA8x?UiWb-l}>OMXMMjQacdjy?KCe?zq`d3@QmYB zEc&G{j^o!%QNF0qJ8aFH%Hg9gXCADRZQo?NgBO552EbYKy64}fx8`5ENlklS;JQ_d zLS~p9FG$~=iBHa76TX%ipBXfdGkl<`?UXrr*I#&gZ(tx7LVGQDOrh!ctK7#k{HE?} zx`GEY*16rU9x8BLtsLW;cYAG|6j$Q+J#p10GbggOPbc6%p2$g=m_C4D>}w_xsFkdd zjg4&wsMsqq&~M1Rsvg)kG%VV@y8NMjnzVFmOd(SG3y(U7KGw)O20Az$oZvTL^7HV- z0ii9q7--}@Bpn3!DyyCY%$5(S0CS;Y+D_1$+R!^PGSW;y$=_>NLF-VEx30c8X^5Yw z@gXppi=7>(aXv64oD*lI_aU9aU5Qctm5!Ab7bZGpYRGvZRm)VURAp(=eDNx^>UX&? z`~ZhK!mqJft@7V0+Zxb(^bHUcYj6@(KpZv@22#@TjNYSsMj?`5=goLTe`dP!e z)RXO3v?95-;`CFZmfO98&FUdNEBSbok*nvFds#i3m6c(P5_at?8ZEb8c%FG`jDmW6 zYJ*VhI9L;6dK7KET7?)M6TAbL7EGE(LepN)_-n*W%iqdVS%F(VK9Ftp{A#x5WV}xJ zL*=FTt{xZ^X#*8sUrbPuM1ASpM60iFS0zRy?n`^ltd^TXARR(;Y}Yk=qkj~CsYbm9SQspaoeOajFdym9 z;|wv7`E-xLQVGB&AvzE3@Oz{ywAQW0>w@Z^u9LuO|2B4X?&aii7Bczx6sT z6HM6YRdZ56E|^Jy{}Tg5sSV|;mO&Sixp#x3(|GwNgh>_K_c$b7D8+!xD~tmsYte)P zeOo$gFRcbn*gJ0Ib=5=Pd)#^6eQ!H?-_s`g#AG4(G&PEMI|z8z6u7fqe+$LaeQBdm3zIKWUJ4;JT2bkMLx1^%i#R?#qCvdS}wgly=W1n4NqZqz*X8xXRVET6lXop z3UUHcbNefRHtN*0 z#p`LD-KN(U&Vc!QFwL}cnBXpH+cm(&ST1889mwsSX6KzYjt)$*Ni#xXif3*vqJuVn zAn%ON8I~vneq5eAvN|1-GeZiTeDaGYa9XC9+ovHlWqNjU0}s8^F&`*29!I4Kh!e~v zbpux~Ow07m{gI716BBbaP#>GducKuf*fq|{7D+iAm^C^55qKpJ@#xCS;&nG#`g`7! zYg-sJ&^Wbxls$`2%KytVrv2HqecQ=$)Nse?4hk#F`KrKP=xO%ZUMBGT2d=bDwgk1o z>GKslV#jq_YG`0dV4S_QHEV_Wm-CbQ`@ve`d6^4&Lx0Jir#3J9j&kK!ucmPqjH0IP zX~SnKhyhN3q6V_`3tf5?CbFUGs9Ho4uR=Cqmurj8NFkvA9= zX|h&5>Lc4`+t*gk9pd>~^JX5d%MI_`xG45sN+@4X)cf4BcRF15P>^e!E}a}zmY@5a z8hLfD0rrA7?mu6Tdsz!GLDnX0W=njR4Z^k&!OLIQz^drPZ#ujE)ABrds25p5q!zMYjku@{DAz@jsccF*XGe-O_R8A}YhdQtg6SyiM$V$u^HsR$86LYX*A2m+ zCx0Zvo_-T=n?p}Y!OdNydXkIL1^N8sZ~Ip(kfvQXX55Z)WQIJ36P@{&&3>DmZ{^XL zli!=Z7u7gkQMHPgtf*Gp;}4mss6Dwiqp)-VfH?@8s*Gq`-{kw1DEsJTX|A{4!jj`osjj3Bn{d*1}bOWQkmT2zHVT+m@eIbCkwXfz=1$A`1HsRiB zeQ#J!kh=1i0O%*A;bGwA?M9(Tas<-QLEhyyXZn@mt!UM<&tgx=(t|4V(39I^2|;xA zS4n5IH*u9MwQQSpe(+HuFVnIOeo$h#&I_vdZypt!N|d7ta)Wt7l%0%ZgJ`&E671I- zlqt#XdR%jhW|0ybH7UCRp3H01-(G6C20VjgS5NlsS#_2l2jghPay{zx zhg)i|dik!FB)0S#5^t?Ia-MVD(Ei@-mMD*Yos#;67aGqeE>Bbk;~?Sd;$%Ii#2J$- z*Q+_F{mrCz4&Ibr>DWlQ*V)iAS~~Cd3&l+7&-mQVBJf^p_#aO4(o~DrR8j576)*l> zaxHmU2tg#eRjZ_h6sScswSG*^WxKf%b>Dte6y(iZ1YZ1Eg<_sWr=7oHVZFG*4n3cC zWap5N>`^JK90fOQ5}Z(_W(F+|5yhw~C&uuo%;eS7{fyI*+rYU>Oe9!sKrB!+_Uf04 zQo%Ee;)R`jKm^3iy6$Xo&VpUNhzS^T`y1M3_u~eWmKyai;aoA)OnX{Y)?K}s>;*qU z*nnGyO>Ei=QNCVMiq@u&q4(Z13TI? z)soSZ&G)UE__&Lp+PZ+F7)9oJ2r_NB73%wECSF+k71fjik&ycF0uX1{ggd_{{icp4 zqF%*W)2To)G9pjLM^MKP^2JLxzLr(3 zJLJF&kv?LMPXyy!H>9!n5z8;%S=uKoIKd9hQ44Lza|8T4Le_PzFS;eCp;CYGajE*F zR}c=**3*wW{G-P9O3)B*L#ZcnLT*(oCGBwj^)!xy!TkPmb&eU47XNZD(=%@T4ODXf z3;VAaXv>N%W?mltI};tNc2;Por7M#8_qS6lWX>eE$naQ_SggKa=if`PM1912-8l2>?YTkL&B9nQxLV#N7>Ij|I9xDZiuL5XQ`nLV%UKV zG5^8%^oLh_wLg{a=d>dqGqM|B7Zr8Dg)_NZ-l8no3%36v3_rDMT_vYKJ|_^#X8>v3 zUaUepz%xJfJ>;xWczV}SxQ<-&{#R;ERYn5?8xf&?CnhP5%0C-yJQEY|m(6eVBs%Cf zG|n^wwdQiZD_N;^Z=u`g_oZbSzEP|`Supn_0Iiiq~>@F`yLw z`HP_sRw0gAFu+^8h`f_H>-Z2;(thII7i`btKP{Yx$!wywv6dBN+cLv@Q zo$x4Ux&g!bk9LScfXj!KNC@I<40yxa`r1++wL%1;3x?Trwv(+Z1AEbF+HoEQJ%*dG zfAzN`OLBB~Y+D=cQzxzXOh2PdzRKpP^~Q1oFb6YvFWJMNZGNTL@^!{Hk7*M%pAQvV zMC$Io^ILjmRZs?tE#}-~L#wBNKxzP5$TMn?; zoZ37?iXTKdD%f-G8p~WsixF?#N=cRI7Vs0-L0Y~Vn`8TmoZ?b0{Ci%7l+3nQ8ONC* z94!n1pnuVPxSyMAgpcsc**&E8$hXAJcpI3amgDb}s{2x_sX;u?IB#H3W72tj*q{s}KWgr0sZ>$R&l zg`ZwC^Im?dTdd^$iV+^l%=2nU8F>({KiQ$j)7hHzGz;|-wkKr|VuWQO&Hm?o)rw8y zM9u>rL%}QO#LCHmu|J#683|iVKT1{QYcyBk-&EQ(G>P(jvOTeFra0h;?+8=0=xYGf zF^c8tU|!fZat%1df3`KOxcKqUwME8zO>>##GVNmxXtC^L@%tSQgd@ zT5}};R%_QV__y%MbAQ6;`{^q}v^1SB>%^iD!lCG zjFjUjOtRA@b=5R0C?~i~!Zj(HH1@9FL z>0BpAt-OI-FJ`ihPo0Q+FJh3f*IO!F76p+i%Stnla}N&Rp)L! z)GPdt{!$x~I}RxyV7G89<7%R=Xg=iZH|CC;>v`tJ+w(g&w*8=AN_qj#fknCVpz0ia zw1r;ezO?oEG>|Njk04E3+az+xJNzq5a-}l^(A_@P5#aAO00PUMr0mML99^bm;k7K= z`qvWl>0$*ZH&5EJ+dv=+Gq6hD{J>F-Aa-H@9Y6BPA!@?fc-%Fv0fMfily85)mK)ea z-fH~=`F9I*GldmsB%r|#T~@>pI}~pn(OEr(K=R%FZI&pMl6|_!kZp6fH~93S#j^=U z=Al7WBCA2u7gSEm9KUew_}9b+uw-!|5){@WL@$0~pID`+-AYdiZ-CL~!fbtO)ydef zX)cmj#|z8HqqY`8j)U_KP@BV$FIAeIS?u$TA_+&)sahp_1p`rQC)-6b&CYIl&hC2g zpqCQkzFYtL`i^da&W>A+E9X=yWCo*l>;sD3p@;VU^1NR*K`l0vzB^wCRigw;#cvkX zckmHwtd5_fCvEbb4eJv8*be9_LZEtlv}_?xpVPDn(BIMV6L;d@nsIsasDL~>VPZe% z4{=}A%Y%S&E=^jzwCc7RQUDI{=AG~+bHVcS?o2e0Hq17WH!sZ|jfZfwCkFvHY^W_% z$eq~0Aow52wR}`ILW}QO7|LM4!ryxdDkKc8Ic(`_L@7mu4WpqHKa9RO+h>FW<`Yv# zVSu4QTuIu!xU+9iW4hSz)~&!|L5`p3R$So7RG^@Q;3xIoNbXwPLosgPCm^PMNC(u_ z(>E|Q(o+9pg2u#JX|^S#B(8);$4G-*2aNZi(`Q1!uS;)GTPkPS$Q$(Vt1@(MnRs>&*3zG@i`pqrJWvxkd~{de;Dv{|B) zWnacI+v6t=%hsgxWO%w;xSF5bv^#RtGy~27NJnr9%MEJ+0Kyc)%_E_@YjoK7h{@*+ zGorbLrQ0_Tl6c|ejwO4C>U67Pd=MUONF{tR`{|_ekdk z61EB4aKqMf0?mesoG0B$$IJw!l5PNp@_^R|YBfIqay|miqx$O(ze|KVzhBdc0POv7 z8(r%`D?1CKuIZqOow0+i+nAStfd=ma3{s_1%EJ-<&+9P;)glAKhw?xE%ippETRdYh zp$+5GRU1^YQ;^e@8kDdTGt%>>gtx(VmrOy}V|9)e6ihQzs7j>N!zJ8FMW|R5s&A!}W1+)U1En8Wp)aeNN}tt+ zhFAHOp0``_y^nhQ8`h%3ae4s-t4eNR!Wu&Js=P{<&wLXbvjdhR%WR}ZNu3S%l z?yzy0ot=G^mheyFqt^-w+WJs_C+G+O<>fgbCI-vt!YRLS^?-8y^^8>qsKhH+vGfS1 zJsd1Ya-oi5h%>lF-eJF~DSiA8LFBH`EDtxQ_W=?Mh3ezsU8Q1n(l`zRz4ZXp%M`UI zk3>U2fq=lH!O(Y+5^s=Cd{DDE0HUBY6d&FRn}Pg*Am&w7A|o{>5Qe?*UEV+9h;@zV zAL_AF!D@0Pt=b5Iz_JrkEhRBN-%QnKF=4wx5`aKrAn#WcH^Q9bzXFiDcKP%CN?0(ZL!S#)}O~o6l052w8W|SiFH2< zI+cJ3Mtb9}9?(t2T^K;|#R>#~3=)dKEfXv`>bWtS+(4@YO!$GdSUoRh4I!GXEs;$X zOzwaW8}r#q{3-&M9aTmC6Vyo9SA@80<{3Y}?yi~6c9$QGo;6o2RY^u36+G6h&GWjz z&{KxPc%M^WK}1xT3;($djujV`zCX1I*}5kr3syQ`7967IA+(#vn#4Ap<8<*y5Dl*^iz1bzvC_!le>vwO z#6=C{@1zYt8mo}VFxv?+Zpf`=_(5h8`&f7i3Sq+Qmj&QRpbc^i0sL=azfCIpN}P`c1X%z>ZmW5BFO{9hZJ1kUzv@cj2Qank0Xb}w5S;O$LB6WJ<2{4SA5 z{Wkz)jqIzi(9G}oI`8+u(1rB-`26v+p3Kbq zectE1&g;C+>%7kEyv}*Y-}@?iiZ!bL&5Cs%kF9@217rQSGNxzpza9G+`!{gzea2q< zfU%E&Mj*75v73OEfDL#H@Bk6}8CwXf10Dy8w3EYv4=|RA&nJPq@%?q+DloW=u{_{i z;6gcLeGf7=1h^fD29^N3fj5B+uNX@P%)noPJI*pT z7kC)x0Q#L{EDD$hqyT2r(SlDK@LwSEJY!n`J+9vjypHeh0Y`vZp!C0t{rv)Cx8UAw zz`Bc|@e=p~qcz_`Vj7ZQScQAJUPR4S9QM<_>T zyHS^CaPLo$Az;RH$P=&v+ksNxA~5lJ#^Qiwz-r__-U~{TrwTJ_7y=u+dJP0hkKR0pfvsfOKFp@Ce|y2lDT@C@()@DVWR9q<7V{=wM(y^Ps` zAAsB5Wo$C=AkY{vIqW%nhQG(yW58R$C&2f>uz!Ltz$xG=(5JssHyW4>%m-EhzXu)% z_5kky9|DJf%YXw28{pK90sa6~0}Vhc(08CyHvxzPwgZQN{{Y_u+Cfg;Ex>GGE07Po z4g42y153l5x?kn)P{tDCq9YEL+r!EqR1J(mO zfWH9$0EQ2B>TU-X0U5wf;053q@HOB8biS*Z~#%m!5?5N@H$Wh zd<$rA#62Jp$N|m)gGZnaKm-sCO%EAOYA3oCj3-jD-M&z)Qdp z_z<5nG?T-Oz#PB?+yx{64^Kq8N$6j|i@jOiT7X*M3|i$W57Wmtsn9R z4g%i-!$VOfa6ga%>;nD`Gy?s@a33%NcLHmGzW@h-qrf?!19;tUa@bqIJHUIuzkmZk zIdB-L0!{#*0JXs9z#bKJ2+#<00+ZCxW57z_A>b|G0PqFS&yTS&z-%BL*aqwdE^8nU z{){aKrUWpS4cN8#9teF7ECh;xK0(ljz|+Buy$!^MKrVruz)Qe>AfzwG9KZ}b4ZI2* z0nPx;K#-0xBajL_4Ez;v1Ec%F4gk`CEkG6UJuoX2;{>n;*dGR41DG%XV+nA_KL2ZjP8fYCq%a4RqYm!VOduPu0uKXu z!0&*aKp|iQo&4PoFi<6$aADZ^LK&T3#QmA?EJ?Ivg?L{Y^n*mGUxlY_HUfX`}J@>7Q zKMB!c3zOHcHM6ZRjDiQ zyYJq6mVe5hsSfB2R58avZ^jH68>K&Q6-;d?R`}wLd~@W@+oCQRjtf;amuqT7Q=4!V zDIVEMMH?yvEzibKv8OZ8zQt4e2B%L$*+|Q_mX!IUIxgE`!*ThJXm#nNnKIpm;}L}# z)Ml3!g_reJA=fcmg(_PE3KmmcyEGBIv~s~hdw0>2N`4x}QpIicyqe~zr9;9BQ`>Fz zQJ%s-Fx4)TJ3r6OEPnP?9;Ip_oM)9=IL``A?p<2@Tu**p`wUAsXe(2*q6S{~m$wiN z`IA-3bE&*8qWoOJOlFSZMRm$WT#!q@Mh(d3g%b300+i@Z5(UTZ3q7 z5j3{bqRAy_i`TkSS60gT4#oY0=91+}Da+`A!$PXlcDmZsgxRsFNia3;s738|u~Ici zb`>VL_Nr>n?=m&-s5Ngb^~1Hc!h|;YTFVP5%i0g9)QwGruQz)N-)Zqoa!v9~^ep}N zt^tkl=Kh8CqrddKT6hwlU-@;YPg<_5EVQ{ibxnn~Hc#Dm!oM0lLV1&CRqCHbQ=^#b z6ttk=Xlek_+rBFG-j(quAa)gYs|U7-V@D^iEyy=Yo*bF{5TQy)bqNV=Tx5@q*sBT` zwM8|8sacFu7b8bgOS0eu^9;2*$>T1&feB8M-W2F`aWzpJfKl^+YFN%I@a{NV&U}~boPNALhorXN96CEd3wnu8q zLeW{81ZQh%6LK2j&8cL{i*+v+1nuplv^WtdJKRAa9>BODXX2GyHK>^N@mFX#xg`jrPV&@F0Pn*{CARE_*a zVNmlPJZoy%qYhG9^Kbxbt+$7JTI(H8l?8e$Bl&qL0)umdkzF;6M0<<7EX13{D4jER zwSkq_x2Zb=qjZShMRT=_+OmOc9C2JHy=_)%+T^XN!tSTsrQ4X@<}M5N79ef&rUkRD zX=OU^4WpEyluS1iPIooD!c#MXAftS474Oa0=KH-*SI*?>K z@}cF6lY~RmpKiUhLmz&VaAGJwoO5A1waS))uoq0N%iiGGW z4Mv`?Z7xBUJTF5<8D;`6Y4^OM)k%#3r9o^zLyC!(65E-w^{PFuYH>*je;s$nSxOWe zp_1o>3Q6}-PNlP0*LpuLDk{j zF*9^g2nN4ELj^S0y(=pX6*}pByTu88AYYLA7fPueSzczS(a)ddnm^P0({65*{+P|X zxg=(nba!*?)UlT@fBnD_-O2O6lwlx9?RAaU@U%_a2PNrtH~xXh15CNvvrg=4K(YwSV-Y>H;# z1gw#9V7aOe{7G#S+R><}H%;p4C0b#ohr`5hJJu-Lo-xgp2_ z-~I93+J|~h8}H=NDh zlT@BP*MpkDsFQ6ZOpc`IA0#(w7Msze@!%{z zBx?jYd6o8#vQU*y`fL-I6K^l7(k>|LPpSX?lhk*Y4M6I@{xo%bSs0~$TuCirLeO5N zO)N8#oNnb;g=vc9os>%!u9C)DDd$8L@w5NvHn{B5yp}JY8bJT?rzyb&`z5zh$v~M4 zBfIWZ**$JP|KwKdvI!nx&6V+aMbV0on0rexvloMv}i2-rhAr6{J9J?-t(P z`!`a18!D9MZjf?*;Mrm-^G3I>ia#m0F=>DgHedwBC}%r^aZU(-g$!ky=W+^l#FM8t zfWl=;ZTeD^8KuP=%R->bNHpaeRsP5;dSeNx)t_88N;hrX&nWfbsETEU=WFa&-yU%M z>RYSOEmw7qy@@pVqQ7k5s#8stDfFAGQe}y9RjMshQ4h)-vmrDY^w_*WuF0z(hxl^X%V865 zn0Q0>4ZH9k*S1rsHJ)83&ocCh$%`>BHk}ttX9W}NcBnvTpnB4MUx+FHc{iZ$o4P7P z>-NhP9z&}D4Tx!k6!}02x)t$r)C00^*Tx30+#sQ{=Hdj;Uq^>Q85~vH_Xc2)kgb)9 z2g`IlCnZMd>kV>lUv2QURzBUpb1c*vjz?XhVbjzQRY3w``Vy?KS=&&P@}*9Ca|1^8 z1~K7F43R?071ZdN4PI^JXYcf*sk|&6M(Htrrx4R~o)lOMfM$UgzgOKti>|~kH3m64IM|*gw zeRF*YOgCzQzsUI)%v4+at4)_Y9-p!cyk+;w%a!Z9czHocAuH@`9JTK3 zIIp=T_h9ylFfd1fL&sc~BArmQC!+VVzG7sh2?I+*vgjRrO}of@finR+T1dNRLqmBzt4}T9l0X+Ztre z@ar7tw^p=Du!)395iS~3JuU`%wLkRg|1y-;QCUI?@|u}NSAd0oOQ14`uKZ2*O8?A| zrCzAQ_)obJt1D<0GB2jfvr_5Xd$(s0Uwc<3M(Mr`S%Tn46jB;tK6)u7i{OzTeR`BZ zzSFYu$#b1Lm zLH*Oc{co{SGVg!QP$oWQPf^P5O_>;_e(7FbVpO~g*VG!N)ycnkd#8^_>1+8;&&pi( z^0K9im;YYl<;KBl(2S3-@oL5$-jdapoz1x@gwoedY9i;HTc z?Tvy{O8kzGd}nu5JUSC-F`t^QR;!4Zw+fc%GA7hv(Q0rTmR>}D46}SxXmWMC)1eGVCzMvGxK0>D zDH|N`af_0#xy)Tf4i?$#&kagRCDUD~{e6WQ);Q)S%5sZ5C*x1`^?6G6d!I~G`pGXp zg{e5k%T&d_4)n3eaQ*Q#c;~rE2CXOG!qu&5Fq3Qzuxe78sbZ_Sl9o-nIR+0IZ`|ls zj5nHON%KJkjP8OMW}YIn>7@I=#dIo?zNO%s#pP?ulO&9`o8RXmF~n|Zb^O<%;}+km zN3BkjXtwp>i~W9{ccCWg5}aKsTSJw`citv*htDIvTiG>_@L{Y``s@8foSZ=^GC+lp z2dyyBd@YC*QX*MeeYj(AffHHxEAtHJv+!A9iKMya8?2OI;?aS-i|;2ZzaW;GgJ~YU zsgWvbDsZc_Kh;Uw-9A<3tsYJ-5$~liz_*G<$-j$2G=~qr@AD-IGvBXPVdm>Z!*sQR zQ#PTSsTB_5uF?uR7`|^hXmVU_YEd!H-jnbM_)x{G{p>g97kCQC({hiaIqzRrlq_G2 zbp*9=NJwqx^52j4h>$1;Tnx}t4 z*1LQ~nPpJlTZDXh3!!(&W(sT!AIrj%>a{HXmr4~8H9q7j`OxU7_2vT-cbQs#CAW9G za{Fs4$vHB_Jfwn#X${zl)_9zkQfO+Kp)vbTH+u@0%on2n@%wtGe`Z&SQxy8)*yn6%bJXg z^c;P6a^*(a#*JBP(##p@dUKXOV}p5pM)t4dv_35}ePag01NyX$SgAz;8Tw6Wn|TpA zxIjhEi=W;7J14`OqhDjm&d$g*Z`_Kfl%iOC{Hl4DO`GRoZFz=%ZkAFMT(GpB~#iwna zAD=u!k3ag1>}-(1@Ic0<%}TX$vq5EAb{6u}8{+kAvNElp2y971RnpV5GjekDIegi< zyF_GS!F?}tuD!+#)yM|#GuP>Jwt_92&>Wc?s19q^pdgl$u`b7)7CUB35L=y=ld;*H z9Xlp!GJYjzteZi#NzO1QTC&$=%+Sw4=@05Nv&{OnMEfYFG;vyXcG^}{BRktdRb)99 zp7mPG#@qB@l0HqpIV+pDKEwho>TOV^$=9dl=vQZe*ICw#?A+`PU{xjyO5X5r#tfgV z*oMp;%i6UY)@%T;^k8>Z_E!Dov~?Ld`VE;%)!33ObK1tGIT`74Tg;D-*IUPInJ{LH zKFyl8VPo3rjTyX!lGkVD#`7#@=;crSYF@dl>~shY$q26sHF`Hsf+1|zE@;H39Lr{^ zI(PyRp{9);vpkrsw&ZNpPnZC~G@}k1A-CC#YBCPBX!dP2N-{bR>K?7Ag|OB@<*;i}~I7IQk{6l7uKg19z2BZEr7CDd)$ zeNmgJiA}hgXwLq53kNeK>=JE=3i>OtHi$t;%~_xjE$XEja%|4n0^tCsQDfc~l(XCx z!|t0rEqcG;vcGd7Ia7&DpR9-Iy9i zpJ~~&8q$=Jxdz<@jZ9rbR*I-a53L9axthw#%t1BK+Bv9%w?dSdy2i$|%|6Y%){?nK z(Wnnu&=}~^tD)02Z{7$3=muL0GA*b}PeHu3XD>$;4AGMeo7lq{*;z_|%Fa-7?4{3j z^(Q9t&fBMdqV7pOLUAd2lNto-WFgeZ&t;9cIXQaL!}&~1k?wLi#omByK^A&=^5$7 zA8?C?hBc6<%#4jWKj9Gy&o)PPi+eE3*_^Rv!&bO=%mwO%Ey0fRqR4tVzopZ{$S# z^a?OS?pB-9He`19VUn(2@3gVk_%E2r0~@Jco?|xJ>DM%Z((IO>jf%JSP_7%OkI)z> zYcO6PJ}z}L{})^DCw$IYyA}gIM8v!v)NRaK*WDq#DkTSF3o*g>ChJmdxolNehxSeJ zOZ~Y^w4s7l`?QN5!;`lI=X4J~Yz#EX(o9r4J)Wx^xrNfaU7e4s_>qn}aYc3;x1TI> zr}kzjtGJ$K19U{j7RVAf={v85bL#RQ#wo@7&f`2<4Iqw zMbCn=-V)4clu$0_@X;lh1!rWY$Hqc75!1@&0We^V()`8BOhtWQv!;~Aemp9BoQSpN zdHAB=ej%Tkhn8yT$AI7pbH9B?d<&}H+2X;(Jb=*`3e)j5<`wJs1gunhqCCUh-nf?~ zCeeHv@jUR%HDX!9-%;tdG|PU$U&)XX2mBgq_Wvn2Fho_RZ$MYYtQJp#@1npBqiijI}PKgL#HbgH;~fyag=5d?+#W9k=HI8RkjrHL7t0Qg1aBy zH(%WC@({1h0kU`$*-6|<6m3ql!|%Ar~ojiIs} zrg4gBF|d@){rL8ne3Ch8eyj}9JU7Hwthq~|r;JA+?|9@*tBj!(6;c|CY=jXv1Qi7g zMU2>GG362hKzQx{mryno?)P>q@{<4mikKonUd|6hQJ*V2DqsQl9$D7@I@5q39vJXT3eZS+{7|KRe{Ne%2>4KCPHTSRMn-9Yw&@;`$eNDRG`5Si%%d0b)vE+2mP7QE@!44+XgdiZc-{Sr#*k7;M5C zS^((U6ff&emoQUs3vpQD0k7J`;eIRB(<^iO3#~s<14dIRRLr|Eo65r8^M^^-*BoeB!J7yvNWuemd#6@bGsVzd7 z7ZM>X)Ctp2yP`NXXducE*Rn0#5kIgLji{Ty&UB+vHx<`l$b+*G1s65i?;8gBWKk(?tG_Wp0 z&>TTkn^AA|(P`E50`lQl>#80ERn&~D6jrG1?L2xyiT_(5%UYuFxzeg1+|9Xobf&m6 zM0`xQ!^7joN7>F5#s$2QZ_&KrXNk0(6PJaEaXO(+s0ME?BF65Vu5|d#G^Wl@=rL>v z--~g9;<8{w4(X(ium;t$UsL>m1vGR=d&zOq2AK-#sVxZRqL>2;%94is!u82$dzH_1 z5(s)G4m*oTFpSd0yUHdjVHI+I+(Y~EU4lR2;Dn`W0U=Ydw$$c=>d;OEM-(-fgl!N4 zA#M(q)Iu39WBvCo&X?_OkKdzb-qi@sy7MRm@=iHIkP})F|0}2AR9DB%QplL2$mdG= zF4|Spf@2ht?`I$8CsPDMsZUM6tAs?U8i5Ypx`Y0k?y{j@!3W932=r=%B@m+<0b!Y1C+%1`6{WN;L~@G0tJXiujB= z$zyH7o?+t3<_=qG6GeB=M8UZf0T#TlG?c+e&`EzniDW5w>)g74C>5q*)pr{jwhf`p zl~LauK;akrjcYiFXzDsc?YML0L2St9zfroMiH3?4bmZ?4Qs;yKf*4qhatSj*i48#< zR;QTfEIN!1t&R{UqxOE&NEe2(FfdIXkJs=gs6k!Aw4%e%g=F8fA+J06or5YyEdpmb z7g{-%?J<)Q>cZE8ejXYp^WmOI5eVfIw4ef#1S-l{s5kB3XhDGD7HQ3a zlakP3ukTc5DYU9Lcb=@ZC>Rv0(7aVVCd!?bVts5}&Sn9D0XpfNiPw;`ihh5#kVlNR z38-qN_a0j0)_9%tBt-@W3jE%4h!mqVLtAm}HTio|+fVh_L{Rnjxw0O+f$S5Z9)c{K zD5!-r*GUlXQ(U}Hp`X(TMjaLd1@f%GN*~fEiq;D{DR)5$Kp^l&hR!VM2w5gpU;U)7j-=>nb&f`*cBu|nD_@%mtBk_UAtQuQb z#eU*8sEjK@-enO9g!m9K;W7>Bh^#`HCJ9zbbV|GB&~&He-%7T#Xjnk}?>wI_MWTUg zedm$HcXcK7BcK?{iDJbc`sR?xt!bR^yb8)sW8vZMry^BFNZBtCnf4i=Zz+5eRn>@R9AZG zCPhA9#%~RB(Mj)6^Z-&!zN?#Wux|Y9Znr(aqZFjX;J!SEcui(VcUpN?I08NYpEKp2 zkN8LG`N9dsmU?gwrw<~W(s&f(5E-DnR@9i-&t}5V5{EQAu#sev%(o*m5v?8}t_(zt zgLTq>?kqt_0d0PxkVP~Gt}ns$4{<%Rr~+-P+2JyeLeR9eOBX7q?M=&oT zD_9#*v`X#x_mR}Lu9l1op#dE7P9%^Oozh6-54WN}m@Vtc!B9yN!V;LA$Z;AZ)2oyf zJxuj3Gtycw?Ew?>pwr0y70`c80aQQ4nCher$PIc6{17!L6Pv2$sWCE>=%5Ae#$D(q z9?(uvmGjhMjI9D9gE}rn9qSjAn3KF6i5oqXWFW6|t$D0)3S&rgN{gi;+SF_*)0JjH zp&6xvbGZcy(-(`%*#31S)ur@wo`vJdi2RIzPBL~HgW>|XjVvehso8&Hf2(GX&+4sa z58-ZaHFL+6nB#x7W}~A`EtaFvDb1D&U1>R#uH={_dt-W5YA}pHHCOeHXWrFPwYH&B zM~By)?^!WfQpwg1LcQczU0tHQMd#)IL1K7l5C%6t`&SIZ&kqP@6H_kBB8>QlnI!qN zL9B%e!@yNR8r;;x{YjWdwJ`G%x6_B!7BTxswhuOg)bS>sF{jQ!EFwrPbc-|HB{9RsDF<+|S@lz%<_EG6 zv$%7{cRFeL;1UYbuna56Yh#u{bdi#vf7u3mRe|@S*W36=tUS2G%@hxfL=M^*xS24( z_U5C78gj)2km9Xl<%dTV9m7-K+3I_H0R*r_5b}0^`PZ z7YO&svi%;=<8u=B8_@lKobXeU1wDFwA3J>6WpH z<#Y8q>8i1WKkT6*$rP(7PW`gC63YWddmn)5+*?X)7bvPpgjru~rAW%Z%vGAJUT&~n zYE?d9jJFI!?Qax;#-&oUAX_eHnr}G}sNS9@@quiClt~rM@3hw3NdhN)!Y!hH(Imsz zsN0OpUyMO~)2R6+_GLf%${tBXZ}r&UEo{9d%ZTtcAW3pBDQQ53fw+t|9LkpbaFu9n z6Y6X$$ZeDAET~kWRWK>oQTu3s<-gPGv%f-5@#fxJ*5%f**OaVSZhq=Ny$~wYBMvbU z<3U9b4W|dsKcuUt>PO!He+9|Hc_p({nUQn#urs&-8PxQtp zR41vZ2A;I2TBRWAyO};U>g`#t0OnxGi-$_#T8avR6(0DG5NzB5J^viTc5$%DYV0>aiOl#(6IfY z(_?55>VIl|5DkBx_){A0lW8z`jt&>{TwSVCgM%Svk$c(Ctiu#E7&eKaR#hFAf@1-G zM%EW_tNnzmBOt3nOmT6dstxVizX4J0f{&BN$FkRgDw?u{kn@PdGXWcOUq<{n?2lqb zYj(2F?jMt78)*#rBQb#0NkVgC>3F>kOT&qL1X@N85bnh*;A!Dgn^qbyN{4jt{^2Bt zX=u>^iep|gS(yhSLB?2~fUgq|LJpJwUK5^!XPT~b$yl1hbWG)U$no^@C2pIKP@!Kz zevrkuF*k9@Mn>dTP4g-+s~G-Z#KL zEuj1cST5H}1Qq;@T<~*L@SiLdXQd=Pzw(J>JP+kI-Jv!n#@(5MiXQ=JzYP(Hc{y0p@Yc1Tm zHoxQd*=ww8@;i1~(#nM~*DgNgXRbp&98(^d|+dEWK3VAJ*FJnMQo?11a(R-avuh< z=ya1sw@@4k79q&i5l(OmtWPA_JN&c>I_is`El8VU=&4d}VGX&(8!P$33(LoN`X&Au zAIurcoR+{U3Wc-}V=pZ4%Qn-EH`!+Ss><%q=&t(D*x&ODn{I-F^m;wR|G_LsJ`0f_ zz979lmMmVl?&^e7LC0vvt$fBB7E@zORENUqVE23iV3vu>{D;53ORvM z+9NOh`b0U(TunqNKSapZ@T|lnoJ(Ufl?rEVr-ka?OcYGoLl@iXi&H=BEtTwDg5$Hc z*!m&X_Y9alBKzL$Da@SnKD1SI&p``*(B%5rb*l1Vi^aSHcpeUb)DLa-zv*`3o~=MC z+9(~3x|X7kp^V?G73v<2RM%E~Ft2%B)HT)oSosiS+#5#~L-#}glevMx_qX_IuX6j$ zeEN=2Wm+!jW`lq3aLNvI_H58!eb#;pR7Yh+@W0)58JEb%ef+w5?F* zW0CzP%(}g%Hx`jFPsJ$!G$Y9!i}MC@WN{%)Ar_E!nc53YZIlwHq*a-|hXiYp znvMd1cMWsZ6c^QG7IszEqvc;VB1@{HdU(tE( z^7$r8exxHKd467R{I_G|87$6~nT4~}WL2c3rl{f{M=Ozi0f_=MNCH-0aB$yHUFoe$ zF?ZT}3uadu+HPE3FqK|C}XPRGNw)@3L<}*0)#plr7fUbsOyZ3?DbP!%bi1*nn@mI@(K^R4qYs&Z-Vu%B%1`UPJ{(PA zk{pg^eF zkDA@pqmIXP^(emc`{?Lj?@^~mQvGqz9=A81=P5;;R%nmu>QT@GW6~##NoOm@Dt#;8&5E5JeADTQV3x2jIdS%q1#IE$gg8o$|7BYR2el$zZX{0pMXREX zb*1`y62psATuHcoKBgGa`$s~GYIRb(KiqI(5V^i`e1g!3CGJ3qgripI7$vXWlMYkv zD7=}7lf3%!y3l%Hs7hJsSoTFp(==`)RxMu<(bGUZ&u085X$KON#tLU3?8T!LL1zb`oJYZ9TC>EDXAm&doCCC@sL=M>w zFY_+XuJZirlG_8mzmt?t0mdy^=Xfc|?C@qMF!?t)QW$+E1aRvU>p+2D8 z&`p<^=dzikZi}XmeL9dZsY&9J39iB4oxr5%qTQ=fb+r4(2KyE4^<;nO71*zRZl zlUq5fLIoZ0+DN5c?AyJO!;q$f!)48|y^*O%f06FWnhu>EKrz9OZaBbH#V@wGu|S6* zy+#eLtj;fQbKhjX{O%0cdH-s2!;U)h3r&Wgu?BGW%Lwy_{9qwcFjW-$d*jFw1p2B- z0*iMdXLN_{evW5In|Z~LYr**GJvI>V9#G0pQS39EdkJxv+fy3qA18e@$9KbI>nPA> z3M4(mheU*-1#pi!B4$-=$ML**Ri+LGvOu>#H%Ejv1pkAO5wKYhe&~*RbZUWn`ZhyB zQxwigeGD60T&DxX;rnt<5aJvVX0{84kgA3gEZu2i_n&zl1aHrAF zhw0}s$G&cr?HxrZnj;!C%h4RcSl~x|Rueazl>UP?jiy2kj`qmJVI?su%rmjmi8d=B zijz#WUH)A6SKmlnrf1PjppDTrZzOLAe@s_PX*D5 zVx&v|F&v`=6?DwanRaEDKaAOKZpd>e@`1lUM3x*)BK9#P;CS?EQyaqyZ(hU!gwx`P zQFM4Z+iA@mJ1X+}V|Xsa9<4SNi|K3Wzr(Yw;i#z%^6fP%V}i}{fm$T@i*(XhnT~eH zf8-KrRGy0x<#xxY%tiX;s31%ZU!I7+IK-1yq7asYjUZ@kmp5+tt6|(8v^F1^35D>w zC2UbbmtKne;p*#V{~^ZZCEl_^5^m$y_z9_9L%Q)Dh+xNv9ksY|mx%Is&N>x(cm^2s58jkrR&6rX>>ThC51V znGk1$!EBP{e<%z=^snf{QN{=YE;mki9vSk z7UWcV>5wM{i|*Es#}0JtAYZFrpOLm1CO}#?j%vu*h_@YJZ!z=zo`5~W3|r$(Y1y=K zpZ3>NlKDwVi;|GdYV1qhoW-~4(&-#>cHW!xi>4dtX`8T@6nmJJ6BS^Bu%*fK=P`N1 zt9}XX2>1TuX9UPUur)eexlCmTGfufTS2{dnEAm7w_~u<^N}4~PjsM9%z218PIsL*{ zY-0_Ijm6G;{`v@gY%F%O!gYep(P`F=R8uOB&y0u(J{;hWfpPL9^W^6l*hJhSuKg@= zCN9dUy$mAmWoD&gPL`>#4m+IJJjgfFQ`tCcfgjdL{rKBK-d=_HVu<(wmM@%wJgyin zR8gO*A(Q7@tk7!7wpT;a>S`Q#r@{!KMs->^hLjU|N;B-kOBfGM&|S*~JQPM-mz=_& z?hb{FB@Oj#WPCw5)mlI5l;N=BKk`_`Jy)v+c|BJ+c;<}3Q*3IX5pR(Pv%$O;bM2ZQdZBZNA~ zKV?pk@9E+|FDEdVV<%P_I6?F2+lI>?C{!t!bA&4RwND@*!hA{NzzcveuEBQz@^1Vg=3hZaT<`(APPw4&kHyDaH?J>?Sls3t}hhafPz04>cO#k zL#5-G%rU4Rqcnb?!ZDonO&sIVY;<;cv8jnzH-fXSNt1I6vbho23_)r5Sc0+>DGsXp zbRFdO{&2^6MErt>)$_2C2pb_(3D|;($iyI|_lFgdg8ix(HTr3-!A@*CuNeo=k#__O<`}kMBjeQJN%HuO`je_g4kb61nETSv zQ!rNOEdr^NYcl0n%fki_?0bU^P9H>E(-x0rVM;gi_0Z#oQ1(yaDuZj;tFu~Db&>sQ_TS>*)^%eQN!cxQ(CI$qs_2i zz;p#C-J&d-e^UaxLtd!?8>{oKiAsXS@dYA%D z7+!VKO{SDSti)1JWY%#qd5sfGS7UF{$Dy*sZf{%wvqL9E=->{Wxmpf}+}nY;pxuA# z=q+lqxO{I10xY_W4utc)(;<9H*4FF+CB-l9?Vzz6XI~=fNJHnPFS-%F3c-Z`57X=q z0X=jO0V3UT9PRmHQw8z+S%X}cIu)o{>_bg&loaGuG1PyXYCmd@cvDc1_)6iE--s7{ zt1EGF>fYXbwb+~{rX1!@=!#_d=)mboD!{H zqM~Th?$*Zk8sUUE88brajXA^YG4B0=tL=I8*YO-4R+*X{uh5Uz`Hx1&JCs2ChZ(+A!Nu}X8dQ0CfF)RE1>fR&`I|GYq z;r=MzxwiwG>>+57wR0dpw9q#4DVn z0PHt*4D!(`z172~%l{TB>$+O)nn|%!RN1OQ*Ugn)DK1$}(njljd#_=^&C3-vPEG%8 z;Qu{WR$qSujVG?$Iq?6MD@(ds=Qr9Bl8d)=@OOPJ>!*_rVSI%YU+?(v8-*8YYFIgAg4}{mZUW8>cYz(~lULPW~$p5d*h+1w& zoI>+~Z$E@}^X<19=X%YE|46%Z$K}7RNjPe``{N)nmeWjPrYJs1LvY zubcEES!yc#bxTceZRIme^p>n3?~|W4DQ1ZMs7Z07XOl_;`*F+gBe_YNsYx&Vyh$&0 zHK|d$C(J&*wMUbFq=H}BYZ>ClWyd?6hs+6<*DW_$9=6DHYC0DnQp0y`CJOZnVl^yx zqVk@Mr_AM7(!{&~ikJvQkVP-&g&oNY=&3^xk|6Il`bz-$35yPq2NDi^^u>2WefEx) zM_pqHju*8A}tXo69v+meAhKIU0dAq+Iy>UE!Ov%GJ~WQcach1oWfUUQ&-c9V&5C( z)!Ee5-gt*d^5Dwx4&19V7OoaK#_Q+t4$(Y6G#K{|{HWocT=(C-JcOBUwJ)==#`QbpLP1A7nG{3x8 zL+76$lQ!Fk_cV}=hj20!_Hg1IYussm5)KABp#`UO-~bYSp2Q-a4?iNqMLV25Cg zsi+;`yO5U#q)K{9p!b3%54N3-)M5jDndC?LedkY}H_V6d;vIAaQ~UGhGFzVaGFQ%# zc7nglWZwWz=Th>_LY_F61$lbHZRe0(q-NL>Y)pWEit>~wPfV?7Co%Grp8qP<`8@VB zgqPUP@on1Ae`Ru_An&N`BSrIhS>4q8%XL;3C{Pm0oQAUpewA!i6uwV$GWtl)@W>-0 z61gLEd24-iz;OTEz@k&p0sAy&^~jUDdrQ?@;aNj&>qaM&*I2&@2g0u1n3X$<;q9i2 z=%ngpY34OqoAh&UpBouzn5s{*WR7350jJ2yr#?^6C(36q=iq?r&0DkS)x!E)=8n@R zZ>0laZ`0qIxn_diF#V1@#!rljnmFOsTW{4TEM2%zpO%xeVI9s7#aX548Rm>NbeM4h zjyBH7%(ARoubefBRfJ5|H@E|#9=1bePYx=m?3aJh86k`q?jKP!BHZ8aOZkeeM!blt zBmBj>nk({ucvZnre`c8}>hNZ)ipXQpHQ9Yp)zTeX(J75m?x>;)v7zRo{GY|I%^3E!yp0d)x(8{`oQNZs27arL&#=l56)_>19d_?;18IOLGbZyhSHn9$S*g zo#~y$MreV<`$O?=JJr>HEH_8vFwXU0qp)zHh2CF z!3!PYCy^)a#2K16S?j>_Te0d%-2OmsO;Ns zS-x-K$D>wVrN0Q*fI3RTk7R@$?81htq|+Vg4iQF8bA-#JfG=4=iXLD&`?{ z$W8H`aIV2|a2d*tzlh5yRpQN_bXrdlP7~2`btu36=ItKW@jgj}?2+&0k3FscF{MB9r+nb(4~3rgKu4gRQbTFaX@7KCzTYtQGE}JuT2tTy zd~IwAj`y>r>i9uFcqtLy*atTdo|Lns!wPiJoD@q0{paqwj=ghq9i`#=f4i?EAd#-~ z1DK@a-Phs!rt6>@>ED&FV_A|00PF~hx`f*|tGJr)q!f>PQ*`nn0t@D>Qf3l|Z*2sgsl5hV!jX-h(+4@Ge~vD@B_)JUvhe@uL`PlZ|C zdf^FtXj(7&9b1k+H7AqzP5F2f))WrkcQQ$67-2iTZw!Lp?mgM3cFPTYj&F@qqyXHG zW~~ja^#u*=r1FW|1HHGU8(E3+{Up-%^Gc8Rkv_*QL+>_+&y8-#4HA!uha+oSuRhUw zRcsJzB9EVpK9M~X8zSp-Ln151`pDyvwFtF1iO(~C{KJWpOH(3UVw2yQ0mrk$<|FC< zay8NQ*6-Q|xZ=0yYfjgkiL4xQ;(ysrKl~1%mjU}#4yeib-f@mT#d`6CST(6Ob>&Go z);Ys8#VMg;Dh|ofg$pU2#VMVoDgH_5Aw?+&xj8$o1T4er?@m&BuEbv+=k8zbk{q_zPYW>PlKkgLoe>jOD ze4ziVC|BKiI0f0YXs1T<%(=jaL`Oo5oq2h{x z(@&ZQ@}J`1f-CC$3vO|hMs-SAn-k3%$EWm8O(I_Dp;(`TSN_h}E1ukW{(kIcoLAV1 z*S%%WsWQ1Sndem$O`XvJE{%1jIJJcrh}D}}^ip&49S}2TQcj;LiEGKHY0 zPBAW+0)E;l+uTt{t?g97*C@V#a}W$9ezj@#bZQ(n#}xZct|Kxglz>mPf{T;dK;yjI z`J&3b9xPpj@hhXzgdoH0SC05(lUu1HchX{ya(p}2RdhZjl*?tuA9?KxjBNBqyctX0Mk6%EYO&`lCIJ)2ng=#eu5k>4$Ug!cAr!$`nC-PgrRMZXfL%gVW@Wfj(an@IG zok!*z8^>8Afc|I{oD(F@3#M+CJ23U$`%cPF%k}x#{+Ne)%{M2LaauEf>VSuNMz}Rt z@&PxW&|{%o@?&@`NqL_(ze$|;j8OXvr|idCg$jmuQi;vt559x-mAda-r}Gbm)?N0i z!U++n_&i(i1&jW|qK>Xym3mU?Ot(?=ewFDztl0XQaIUCMNa(bk5$W)&S~2Am!uaqu z-$}=(Mi0)`KKX~5sjbtV0H)%#!8Lf>9SnGi9mdK=$|=Oz(ffP_XGGy&MkAnY*Kjg+ zr4L&9n9NUod4rz-^GX@^%wx$_IE~dd`7X_r3WwWFox4)qIQnoG#gL))kF?S{cqi#2 z%L&o+iJ0;c??89~u|mBX2aQt5GvW(zI9^_GFhXryj}E3$5EBq+H`+2h&?Ba}g*Hbe zabvS#TC}yefYLFAi=kah&oh&Fzh9bt0myl=b1GktqN3f_9H3j0bQd2Gy$q zD-WodxU=&|CFS$jIV7B-lz0oh$Nc&9&$k6whD<+a9fZRvKNs@cI0YaCw0Uv^=TS)z-*^NcAEPDMLX54xqppxok(pW3<1(P%$pg zJ*3KVs(>@c@uryPcGU5Nc!4!v_18ucJtaiBXrJrh90RXD$6<6ewlmOVm1H6gsXdCb zw(6jH{CAw$rnZC`4j)YojGks0B%Ff1aahB4oO$e9ybtbHXeY-di0FY3nxuwj_IG@x zw1N&t`6i*gmPSG#cn^Mry+JP~7TFv5=Ao`5ddr}_I2X@pfJ(+0?xSjIYfj+ElC`uj z1|8~lnXpfqzZKi$%)h)%C(Vk4mFASzlEULPywPQ9pJiTza64=>5fd82aU1b=SER9s z%fLBwZmQ;Z-a03FH$q!g2W0lg`|0T|qqA>yT=cz+(W}nBmfkyDjnkD{V)tU`!27hD zOGsg<5i=g8#?gN-;oQ5zu?nWEa0`^n5LX1r1Vp;^94f-@;cc< zS}uQPuq6v;k03&)okpPdTex1X()RVxfx$Rdu+#DY%(ZZO_au5XRW!|w29PU(D7!Y! z!*QPClosDaF~p775M~*G;#GJzwAKT1^O=3Q$1%kIIEZb-)q?gA^M^>lqXxNU+)bi1 zuBC)1L*ORNb0QE_52Em8_!b%>3UM~!F0D)@V(39A7PSX}j2^VU|6>=eemJzMyHtv{ z@s?`;5{-iSc(Z*qzBJp@-HNQ>3~D79w$o67gGxz-kS75C05<}6GzfqSqn4_Oh&DC_ zSo+&=80E}DO-!yOG(W+uwr4S1;SPu^hy)G5HI-vUOd9|8JBMtzQNsr@#a=m?8$_-R zbCf-m#h5LDq>0R997&k&-OR%k7C6#kA}u#?-4uiT{HjgucqOscF`b81LTQk;qLy?t zb6v)RV2wD0WJb)IoR<+7TEX+w7(9+zJU`1(4NV4_K%}pVMdbHWIm&um80&Vt#q}Yt zX#I90Ocu38Lytm`G4d*`3Kp#)VxCS`ve1lB%`}~m#mXqHY$j=ggyxU!4AK^w+;}IQ zQTj0`CL66bP-UoanL5>GKPe5( zJndoLjF3I=Eo5Vq{_s6&g+37o_m$5*ZYe)V_i$<=VyNgaW>hO5!U7*xJE>vXKZDcD z5lu(29y76z3tzZKI2?$YtL%OrJfXtdEJn+ZhKGa_XUzSVfuzXDVs zva1s&9L1;&hq(i-)t*>59E}k54cY+j#_Q+iX~dLHq26&Q#o$W8!8D#!nDR=Ihy?zE zYpFA^6{p`?JBw1?j{V3()Zm;&n+eC^X;CZkV$vav;ScnWczI+02!ZN2 z5FV$E{c+N)JwZm5;DxuL?o#M?j6IjD<}?tl@J+R0l4s=1RlicTuRJGN;!>S`03 z(+kbg>l7IVbCx&BG_ZvFcE9gR5L-<=NkNqGGQ9KBP?5h*H48142%2%EaN$VI#PN2A z`#b4z%E|Et#y#)Uav-@K68bAsOVJd9hSt&4(kT6I7`RK5GjD^E4B@aC>dsX5ADf74 z{IFp^d#DQRhH%HJLeY=4D(;Dpy|E9<=@7DvBX(Nr(R1;ZZdpALIVkB)jE&gKh2xIs z!S6O%1USQ_CPNBM_-@=ut^?EX#2# zH7nDC8LU2r&}=S;0~6ofp43lUX6?FdZT7IcsJn_B0hNvkmI6K@vUY~UBB22S&iTEs z`YnXD(XX-FvlN=>j|rrPc9U@hH3jIK=xq*X?GAU zX_H@3mFD>+soc($poZ8`ldSGe;=dgWcg|qbdWxdK=Ctl?L{BhJNAT-h>!eE7qpOc> z4mBrMWKM8fl2R<@%xl=)&0&xyTFm^dp=Lvu5EX77)=pXH#XL)xnR_e`H{#67ck=-! z`7PCZY>LGmIVS4vNVGbS?!Ah8TkMfMZh>K7HF{EF%*c#MVwJB3OXK64`rs2ri%?&I z!&=x3by#yRJioNQYiQ>77FGQ$ah2H(X|m2qp>?{5CFkel}JllJJwU=t5|QKP|*T7 zvo)(W(^w&S)?zx}A0mv!sCQWsk0PAccGR`zD;kha8B}YffqHW%p2O;AC=TVfHzUF( zPVR?7%I)2gtf2k#ut10e(g2?#zuipD5HSX6DPBgu@LryNw||eG)7l7jQ*(Gk)N{NE z+eY@3?J-(0I&_AU1tbhwd--qlnV#5OOjATrn6O3h3}@F>&7cZFhf_3w4yy$Req8|n zY=xO{7!rTeL<-golkB}$!_~phpvTUUqdxSXsv^Y{gIu{!TO}0n7uXX+8%`}Eo+))S zMgEArLCAnAA{f%zdR==|fdK1>bNPuj=#;4Apsdj7mT zm|6pSrZuH(U_(YN^2e9>i;x)mYW*|s;MRuDT*R$2nq#=C=wEwlkw0tvxQ#FWmE!^| zKh90aj&>kKoP%dZjA;ZhYwmfFlr zo0zAPT+JU;h-$ZV*1#F!7{wyT;229qoMSI$fA)1K`!TDsuk&AG#lwjC3EZ*Cp0UNT z$;6`J`vtbLjJXG6a+i0l0|5^XH}`;%QS$hL&UP8-P6#@SqdWHi+&#imF=V6b8T=dK z3ehTpj4T!vDt9Rhz=9*4+SN7sLL1B}QvnM79?5w02UboQ5qyBdPJUrbv0@A?e(>ml zij+Sq*9X3X!9IfFVttTr8YYYeEr-%5+8a(#mLPsM-tj(VqaoP=Yn2@8ytAY*+dEF^b*S-k z4*L%=1aCx){u9PRgQ!v>mDv7x8M2+T{eMHKb3_@bjD>jXBo8fMpa&JeCOct8GOAel zi`FdP!?6FDGjtM@`y_%jCy#c8!i6#}-RD%XBvGVv3XuMs_N(_G%1rKc}E8cG!=^QUGL3$FGZ&kJj7nKP!g}g@4=mtZf-ofbAso!gB0ShY< zTM~&NsJ_5_K|+Uj`n<=LhIxSDAinJ0*ABT5M`Mu)Qf84D;3&fRlXQwkG~w(;u%KF( z)zclZCd56sPwPu$4f|@8JY>!6)_xE;VWu+?=)YbltFSrC9vFRMlJd)jiU9 zP_7JRVZlUqK`ZmfwZ;8dDpLk%zLRjJGWu2l9TMe7>aXWC><;A=`{G#(Wr$O|XO8t7 zx)QsxpW-WlIIu$tL586R=F>#oFya-KW;#1(TsIv1?7C(t;fK%25E$3MB~1HoC$8#!;MktmEDA`bH3VWJpNX z(n5fz0G2TW0Yh)6^}WMva9K1i3Up)@T zU#fFSDROZv`fCJt#P4H#;uojz9r+e@G7(r9+YZB~HDso-4j}0eW$F~&0)^Kv)sz9T zr~Bx)Z%(nd3epW*V_e}|Ew0cKE(XxVS=X=BA5Ik$aN*hx&=T>PI|01bZ`iwlem`$k#TNV8 z{l2yR3EC9-?Y`yuE=Yv`+%wxS!RCm^J-#y~^8c@mO`UMkCX6X8fayM2P* zE-{WM^MckgKg;JJDiSecwVpnL`{=1KQ+c0m>%=tV-PCp&2H-{-hoKR^+f;nS5Q*fw zQKf0egdau78W5E3P@J-i%Vgu%WYz|)Js`KCFt!48NMwV4`A(4X#>A7&2X4r9C$w3& z#y2TDgUczT-*STI;|ZE0ZOYBU0=%^aZ&9z!L~akJmFfG0t2Aqq5FEoRC#VpudZX_> zN@fKqktPJn9TKw}83y_WEI+9Aqr;l&c6!ty?j#+28kIkd)uavqJl5Vzvp%)}=5z5) zn1V{!tT0{E&=YvTEX6$PXt;9rRh}ZBKThWan#>K4;JbXB9}x3(UqTt+(QS|>DOCg7 z6OU8Rwf18FMSJfZ547jIMQ044?Wpu!ACPX5VvdKuV3^CnK373{QVd~KzL=avLjZyxgzpiJUnR1*~8FpTfSG!uPF|e^IGa-C#sY#nWeLT*( zey#uWm}XsLnh+24Sc_d4`wd924im|icN$QZ<~gUA&pyjLj`P{jiJ+cBr;K&;`7v5t z_D0^(L^zddg^MHff5(bxEz_w_Vqo^5&`BDbb;mS53%Y8YwIo0=`b4fA${(04STkW# z5MP#i){nyzWOcc-QJ8axHV>>}CSMCp6>V@hBx2Vg0@($?0{Bf+X@YR1Jw6Vjfr=CK zrsI$Syg76ogT2n6HMdX;L_-qM}mFTT*c_lZ`9V%@T7bMCV2d zwpSxJ|1z@DGTe&eQto(+yjj{$J@P1`$;>C&GB473X2Bj`^r#rEjvS|4ATEv2dmIET zaV);XAZ!COil9WCI*y9yRzUooX!*L@-D|Bo?N;8Ozb~(6tu-qucUB1W)S^XcyFJ~I z*}o|sFGy@|>G@#~uNv=GaU%mSc z>&~@QyPVha#^trI>8t$>t1J5U`wdxUs#nt6G0US=r4@}#QkCzuMgVe7gb@=C5%54T zsF1xe#jt$qlU!=&OW{6{j!d#jdY`#R^_2>wJgcylQ5ke{&Nv!auk1l7ur8q@6Wntv z>G??QIii8+XSy~)v=U$w8A*1L870Z=(~EfEGt5GCqFJydnbliwF>hWU2@Z~9l-QOh zAb4FGxj8vfh`Kd$OG@OHU*g8?k(;OE9rr$c!+j zt;iuqC6vw+=7eVO=QE%)m5m^e+|wip--j&(trP7&D3M(=z;fk)S@vGfW;~L1n3ba+f{Zz-|lsW?#=1y!$t`0qfyI8zawx$B?c{D6b!5!FehrAhfJt& zU#~}YP?D8@`5kt9*Sx|#Y*;sNsUT`9z&lV7H}Hq-ql;P2@ZZsP4Ms_T%q(Ed1`ZUa zrcAx;JH;Ui(W7LQmVB-27P!lkmwk43A<3|=b>D}q?yyA$+981>;reOcF)YCBNTTst zh;lUelnl&Z|EZNwzNAW(?Y`f0C~R;=Lh($Zsz1?BI)Of+U`=|p$fNKr(>)=AP2lXZ z$*$wYOSfGTl4`52rxqtkY)*=)Qu&N#k&N2?!dl|=w;l#r<3yd)IPKZdr znzbemLW{$-*a*6Y@9A#|KFTRyJ<^bMgVEgUw8fFdR~NJSrzN}y+Ro%bK!x|Iq^M?J z5KAe#ujBG{=m(ff2B(#AxihbI&DOA{Zq2gom}{Jn(_JtYA~_sTm}=c5NA?}Y$|9*t zzuLaTJ#@SFN}`O_L!sm?WFa&ByoKw9xr{)?AB^;MgvS=&Vgbaw9NG=CWKC}6aqnLKEmVvJCy8iXu!s-L7 zg=+Y2)B%R^?!m=ep3EEs1EEu}PgTybgN|Pt!aoM%C)b@k8F;wwV0VksB80J?im1voP*jy^ z5W!TYbV@MDr?xY=zItR(s(5hbu=HO(pTXPFV^vx#L{=7dtHN+88n6AxwHkWhnA z#Unjs(Xe&XtD5fYK znK9RV!3+j5wK{+Yvk`mNL6UJd;Jt+XL|UD-enV|yrF*Ui2#&}qkb}k?f2CQ~H0Zby zZs1Lo>0yYpn--#`hhu*f9>I8E#FKbFAhW=vZPFo_*p~I4;)D6sSlB{M3DyE8sSU~q zjzhJ;c*Vs=2RU?L-1KYxg=<&47GaVUlmJ}dZru-Q%Am$?M8jZ;v2 zq=$JfTC$AWEnMQBHTMb&LWRYa#Omw^dH7;P2v*#DfL{~OCV77vFMbZg?FY?8$4?ElE#9S*w_ zjCwXwdiDYd5GueltqJjsW^xE@AkaYp`xZ}1l$ApywxiHK>*QFUEqA58g~@N0Neqv| zy3AUh-2&1Gxg>jmO^v>2gQqgYqr!9#ygIGS(l~74xWvjVV;o=kxye&G^zb=^S2FJA zA}Bp#?_t?cnWw^7pYBj5-5xUJzxvo~$JT!Fxrs&KIsCowSR|heipALmdJ}u*A77(= zsDzL`5g4%@*}Ac;k$kd;?}{^$;?JX)5^G)eK(+}@Hd&!-?|s_;J%sUdba@fkJr2u) zgj>RF6PdcDo0z0)feFSe{6mraQ8mp*xrlN1MiUo@Rgy-FAT11XSz&Bp4celvk&G7p zFJJ4pnt|p5f1Z#gL{BW@l<#AIg6jLoA7Bj-9F`##4dzB)H8-&)B?CMIzL~GSM$sGXy_mjwD`W45=FH>_ zx9JO;VoAO>bJ7=Am3(5!Kb){1@Qo)MkS`BVPYbMg{~cIptcryEh*+uQm`u|bW~Dl} z`>O1(m3{dQkfNOi4@u@<{2}m;t0GYawXFGtF!d^o2$-YC20}?hI&W^a2vLaqOfezv zIY2a&U{a1VA>UCp!|XLP(*j-LC3(aFM!)gN%5jFxD=n=Sn2Q9Zd4yC!@G(=R6#09c zQs4|6en<>rVAiE?Q|R4D`UA2Ty$}&^5xoGPTxuq5d_9;h@YpeU#p;&u4SZ#=`jCuy zyPwfa%utLd(DGY|!bUyUSG!(dLP?$aeRe8*%0Ar_M_+iM+98RT zHlqbBRYF%F?HgfQ?Y;eif<=pBc@=8F z1AZ1zi53$Rlq}lpsifNk)Er_FF${hdD7zlA)q|-XYzFv|`u%oL0XSw;o{8MDvd?r2 z{!xes2r&hK1YUv(0i%{jZdrjMq^d<5Z-aZ?0Pe;%<0K@kuzm~CMUsVupL?R+Ve3y~))o6BMnpL40 z)GU(Z(S(Cw(N*#Zl%+Mq9l`ITKt0=fVNJ?rmCfa@pmBLaa2=1zm>1Y1Vg-|SA}Jcd z`mEr9cg|-OO!I*=#?jJucLVNX+khtt++*@Z8h-`s<+YrHWF>_OM^L|~4Cf&H#rFgQ zOl`bS4j?=_5)VVBKDUDLbT~&K6B>aPLNP~=?sYc9(6F+QrK}d52zUmf2pU{i(W7!i zY1a6K&zOAR4{&Irb#W0VDx@xrWE0#4V!%C#2@^@*4nZmw&jzBUb>8J@InkP3{830y zl`qilDQ&eo{Q;pT3{1K~4(z?W$M2SF`x6Wj_A{|_n4d?`F<3!i$T98*$|23PZr~3O z5?x~`s%|J}JE4DIPO8XE*v)Kg##2AXYQPTce^!4zYn}C*CPHKS1L6prZBkZ$# zFWXZf2VE!hZt3|bKcX7Ih(Lxrfq7^A9e`Cz007GKO1jaFgK*yXKD=)T}CNPzLVZaq(d#WJ+mO z7FxaKVln&vAc+y{1ScX?hmoOB!Je<+Q#>vcw32n>O4ti6egupjb_Fz_TpGxt{T*ew zE-M%YI=gVTc9lHO&Zo;O`YQRS)%>K)qXWt)^|bqRlaLIZt=L&+DXh~^x9YPP#i%OR zbpE`42ft%uv7T`hF%OaX#QC}(V5^Uop1F?>*j#GaLfU6=dX?BE+DrO^)3myRt<+BquK7? z(t>}xwv<|YD|H#Ox3>S-Fp`u5*wpjYz|iDh?bE#L@apDFNQav(WfrO+zK>{T$FhdT zFuugf%%PB0{qscvR3}7zj-#Bm0@g4k;xPo66MaCN9o3NQ+{Xn)aSh7kd9oQhnq3n~d(`u#I^e5py zpd14dXN(8}+Y+4?4=Wu8zChK(3mpE->xPFMQHxZ6U)As@DrI$yD*(?0lB9lK$6nPH z#ptlSl+o4?jV>q!Fw(pU_>lPt#Z0bEaO*#o#DcN$YFxpu0wZx<&m?8+F9@; zh*2FxsqDrp{CT_(EH0nk&E^HAVwCq8#VUYeMgGno*?0n5*~V9bVh=J2kn>>VAMGXx z;iR7hvYvU00@_7jq!9QX_8;`L43r>L+IsqV=L#PEt`gf_=cWhp&AoMZ%PLZ~}kxW=G(5Cyx-t68u zVY=t6-ls8RT?0o{{oH=~?`+)>u|#+26sBWY zeo(tlzo9gR8koMpu!ix2zL#L$N;k3QYO(6-3qg>QchVTVg^gEfGEgmX0#Y!<`pN4R zhrb=O(DOHH4Xl0>)(j1nj1f$vodCfYtIm(cFy`cbnMKgx~XHWo|Fjuq+?W#iZBO?4n@%+{a!mzRcVQ~R_>~_#9$-&AFu%^h~Xp>Jt2d?j%Dowu5`s^Cdy6nD+^{3L3V4k(R(lQOkBMfsj#VBWi&*b6b zz4adqHGg0;e-H^)?-ms}IVBe37@eDf;keA$1tl?kV{+|LzKk!W;zOYa)y$XB5ClFj zXM_Dx#@C8vq2r#k*>eFK#D!vZr9qm2v0{uk2InD=j}Qc+I3xnGoO{b$592ox-pqz) z*3Q66nT6!PIK!}Q$><*O|FtI|Iqh9;D8~IP_A`Zj_A8%4^-Scp3sFEQEJunQeL*=M zAn^!?lIh2CO%}R2#*FC!Sg>LZRbLq{cdsCuD%9|2L;~rv*!leqqSMK?$bBu{CrLZe zi?8E8p>;B#A_~751~KcIK~ccg=Q)(H!(tr7x1(Et0SoGG-i3usN+>eQXVhQ%4s1Uv zggp{4^FVyW9oj(Kv->Y z;CR5g#*xy3W$}1=J2Z*pf5ps20!617%vTroQV8;D+5M`$bMp-Uu}H{l2f19l!@ye1p8 z_o#q{jH;Gk24GSG%PF|cTgh?`bcV(_$>05zd6bYGQg=p4k@x(S%zDsIeLbl_5TE{) zt#H8{2Tv(mpclJfLF``De}u37wU{k(aGTVq-H#M~aeL7)NJ#ub!vUrjvHku^h?_^- zWEu!k2(q);Xa_5q3@lYM?ebpN4T07&$X@w2>|qV>G3;B4pM8d9u$k(&TQB~F%@U3V z7}4xNM^lsoZJ#@7L2KVVE=5#4oh) zYr^<-;jgEMzMgJ-Jw2o_Jp!0*+~O+Bd^$VSH8yOMxh7$uMOYIitP2-bhkDZ^fUw3@ zNt`GdL@7l4x>%G}S*i_#cT8Y@cIh*GpDjT5C9Q5r8w*NW10q7*A4o3!uE5NV<) zT`x+LL}{`pO%bIVMCnFRnkq^+iBh~MC5Y0^qBKpEri;=oqI9b$%@C#AL@7~}ZWpB_ zQA!r2nWB^;O0z^MRg~@!r8`CGE>TJorP-on7o~Jjx?7a)5v6-Y={`}qUz8pYr8%NB zSCleD=|NGNCra~0=^;^CAW9BVS|~~ni_#;av`Ca56{W{SX|X6dMQMpB{X&!;7o{ge z=}A#qDoV>lX}KspB}ywq>1k1VMwFfvrIn)eoG7glrPZSJyePdON|~aRB}y()a*I;7 zD6J8t7e(nMQF>XF{!5hBiqb2hlp{*(L@8I4@!MUFO8-lgel1GB5v4ao=}l33OO)OgrFTSWgD7njrA?yr-=g%c zDE(HH-V>$wMQO7reIQC(MCn6O`bd<1CrZB;rLCg$2T}T1l>R76pNP_@qVy+G`b?Cz ziPGny^o1yWDN0|7(w{}?FQW8UQ7RFo?V_|ply-{J-$d!}qO?ntc8gM}DD4rYGEovm zX|E`ii&BLsRf^I+QQ9v`2Slk#l)e@vNt6zX(l?@XNR+BYsYa9zi_*8E^qnXj5v8M| zR4Yn#qEs(R$3*G4D4h_clcID=lo~|ov?w)-(iu@|5~Y8L(pgdZUX)}}@`+NjC@G@U zB1-=hrB+coCrWLi^n)n1i_&>fx*$qFic*Itb&67#C|wk#Zc*wHrCw3GBuai!QblP( zmhs(-;=6bp7x>mk`B+L;m_dX9EwXd)!7mAO?oKn)fdMLE`Y<{&VA(==lZ2o0O<%H| zOvY4Ej3zI@NDBP~YFJ3(Q*?+#34T8MnMj-i5S20-<2PT>p$1Bn9s$w8 z5FFB}fLsa*Fo-aq0~2VDQM5P<15X#Sr+5Gk8KOiTC*PsO1;!g19UNFz^7GviQo zUbxI7J$t+BVSq(|wI695(@3BTxIpsq+2_pSK$Ey7L(~hBtQ~E?{W;AXs2*nF051~L z)=*az!2{1#h=S#-_7<0CcPSf`NwDN3_ZoUp4&Pp!DewHPnIz^~P2D9~`(R!xwi7Fd%fKnk66A)hF-BKyI@nt0eatqWTK(+tJOe zd0tQvx#FP$`(aQ`qfxuZWGYWCU))<@uh|;m8dqSi&$s&0)U6?|M)s7}-QKa@+Bjhe z#1Syg52fK)G0j_3U9bSF-@uGWeZy2`H0U0%jdbVpp;)npDOeN^F&K=}FvumWC91G` zo`6Vd2Vw0Qh0)A|nJ%#t>tzd4g~A{d0_GMPODl#V*pD0SCyn+7qrK5+Z!#i?ougxt z{YZzsCe#&Ql(W9D+LLpf%eli_2e1`fLx2O+ur@KLT2AgYpQ&?TPR&;<-{t1`V%&)G zbA-#;yEBGkfJSL`&Jm^AlXH^GiP#z6@9s&Z8DLA!a%Z5qRCkOgr-93v%0$_{)Y>@K zn!T4*(V#S_*%6p2wzXkbV=JtdH$p_v$mL8QP;H~qoIKxE!)j~z*R^?anmAY=k!kKv zTWeApJUM4C@9g}Ba@md zjCNF9tnJbeM*R0qP1_Ek!XlFCP48vT+ziH2>q&S8374{#2 z^UQw&{l$`6wtJf64Y10B!YowTMFwXcYe?MQk%roxO39RmT~ zrPz_fFgkgq`+mH8yVZS7@M*5npv+a4!b3SbH2G!MBZzo28gL1AmWGxJue(oJkEu@# zC&Bp>bw1;69Z)v!0aLC)sm^XrUg#Q;JjZ z-G8K_$_aW9s{8{HcEahaDK2-Z>5}pte2r~!9cd<$`vBcWx02)Cmbm=1G0JwTV~G?E$_>%FHEN)r#c{>h)Wlg#rUsmc!lvm6t|VZ>#_HlRm!PsUj*kT7c$3=e^ldOa0n z1Gqne3|h_@_9&;o3lewsh!`k2Hu2)Rfu%RG(x*`RSmMQ;g9sb;wZ7{@a4hb?!J7k_ z|Kr1o_hxtXdGyWUzkyvz>}wsbz_C%&k1<3-63stQz8@0<#2yz(o(i)7u1$jTr&=0Nbb zHWiV)JnO<#*Fx+moSdYmBP)R|5yUBHlOme(InvH#b2j*6;47G3x~8&vl*UeH7oK|S zJE13Zb^%*dm~O=TXa1l)e;>wVSbiU9&2X*-cpVnUj*bcwYM?M;>%v~S9fvWIY0OMS z^YMG;k0?mZQtUWl?-KT#;^7|v!?JW_1^xq8I4V(gp%gM%cG%Ti;KT^{+W?t%ldlx$ ziSW;hT}bBD!E8>nXOt6SsU?g3U#b)73GXFZD3pRi2p^U zS;sIK-#xw5W9sj_TiGxX&&F@^-P}8$TJA_Ug}SfJT{k8k%FOi8De1N;=@C=XBd4Sf zpOPLmC4Cf9ei{ww5y1ctp%lK4i4~YJK#H8cl~$9Z7s`38ACSB2jK1%BDCH#~&yFYmLnI>FWCUhVQEyRqKZs>v_9E+#+h`Z0N_n@%XbKllD;{qb$%KwphRVg8iIYGVF8ICjzT zt~lWpzi*DJ+@_?my2vb080RI$eL8Hk&=eH{awOC9IF-IdxH_2d0S{mip8PcWw^H?m z5edgU;jh$$a??+1-=J3x(DFyO#-N%4Ff%|%q`}9tgi>mawwsn85OGt&ScX7|iCRg{ z`8)wacnnsB8}1_OPC1T$3`8UubMRHd9P;%l{|GC&4E)HARFe^o`h05U0Q$RzWQ=D%MBRObQnB3Et zkaQ62=6<#>iGjYv_)c63mIX0g-PdoJMWEM110|>UzPz+%3D$>aEik94B5h0zeh6tc z9sBBbE2lmr`w7HLq47;dSxH<>i}J{BAP)`N@~JL#3!8_+ zj4lr zdDezg3Q zK9l#uKb}CPG07?NXIlurfgxpgE3CKgGy|V(*utV0;B~}7dy7VtyLNO2aa;_{@?BxY zY{WD)`JbI^#5Uhx6)$K?S`+di{acioY{G>Y$a~}yAD*%%U z!7M>iM^KAH3ex>BwFH03UjmZefDVLkzQeuQzfMkfc|lbgeK%e56?e0}`7)M4|98QD zGCk@q{Y;tw*@5pR^pC|50Nkf^_+FzoFllJ82x;&o+J?I+Lg^qvj z4}hMqLlE?Y9OU!wUtxwWFXmfJQD_KHAhn!E;Aw04&+p^RY(v7=c?cCs9#9z1mvjJO zsXrWC>M8OmMWrPSi+H0MGSkZjkWGh(g@yDC;5?o4{LdghvJv z9Yc!yp&YZ~~hM}=I^f2ZBHSXjh*yL(CB_bf;L2|%4imh%CS+WSS(H>I@ zFhsI)5Z`O|K1@AF%L0Mhc|=@ST7A|~3WA{76(Pwe0z_zLX3xWM;u*}tuuy(aUQXBa zVeY3eG$y?0f~ttTNCBB4Ltwq?ewOLga<`D=49*NN+GmxhpiB_i8&=$b{?#%@$8gW=|pA}4P_nCZbb`41l=4=EfGP7^qhk~~H3Ai+t%l4&pV z;o+6PX+48wayLbKSr=Z6Fu&{#p7cv);mXGOd>OuleE{t!;9=tH;UIGecWORB2Ie37 z_U*xIB28MdIM>q48PUFT_7hT7(hTB;cu-)_GT2rrU&~j zpL!P@5Q`KThgbkGgok+mVtwOKg!~7_0)%7XBYwNdHQ0W;$=##cd+o{(eA^WJ1%ziZ zaPnO$=m!WFkWm=$)NEQ;;&AItt!}7>z^GRquhQw~=+@`V1vKV81u@%}7ir@8sFx$X zrJ%Oscw0V5$mjwXmBOx-Oj;Q?GxJ@9C2@lXV)9Q-Zi?3`yhPz|ap9GyY#?g5OZ^JO zu1ahL@vb1!ZL;BAMzez@Pufc{eL$de!OsqzA)h@IFMzFp7lISG_rN)hF)oPz``>Ih z%+K%`_7vF7dYioSgJR!r{PO_S*_x)XZyH8pXxh{WQ3a8k^CR&)2GcWk5p!#MITCRO zKhP|}Ag+Efa?8t+3ao6N?z@*CxuqZy8%mE(Qt)0;WbPRQ#E-RPqUTHHu>KcfvsXgW zn^##FIcd)}!yW0cazj*>C69;?&Rd8F+YN{o3-Nhjl8p*1dPHPvh}eX? zXZlswT{ADKZi`&0`nGd3dsX)&`ETkD1ZT+O-Ah);G?01j5TSD%tdY9$72&&GOXR0i?Ys0Wu`(^GxJ`}44t|0)iuT&$8%LPAE(G^FN0sY5s}k$dx*^@IDChgXL9g} z&g=eEag*_u{6&~HCzX~E^QTIs#iW@=$Ty!X7SWk0r5VT0)PeZ0`)@A&%6Qvy)qO|a za{oGYQ{5{6remi!;n}8bhE7;naqd`V7b0(}Yu$A6;-A#SbH~Z zBqmfV1M8bW_{8febazp99Rw-+AWMwjS9mzzUP}?+50P8Fv%ARIJ*|d?e5WIqKMZp_ zitmn!$0BPsjJwbv3W6h-Hn2UzOo>Y|4NOFZ81H}9?n91tr5NvTZy>xHjPd@h;LwsJ z1Pleq%#o?i!C>t3bW?zLbuEbAUSJOx2+q)AXDC$&?0$;z!F1@8m_nY3c7Y9*!(^h3 zjmaFu3#{<99eu;&$ycv2neHHtK4=vE))^!gl~LP;Tzx@AsiO=Ogl#5_lIZJQ~H!?HOfJu zTBw}1f0{}+_X}O@CQOK7%-zl(#3=2b@Xn9IjLriKLe5rl_ zwS>sKWMI4AuRy&=7-|Yc8+JdeMz1~yzVT{UtTFbn7rZ9UPpmLaXL8ru#R$NqS@JVW zW|$J;*NQG_eBkGUaJ_{14*vfb84d&i4sSPxz_pV~!aPSoq$74G5VSWBysPJ*YYD-> zT(r*U`QD#+3M`e$K#^#iFSA6>cnf`SF6W(xa8LsCzs2e%!#xBiiS;K|W*dFi8zwECVPd_VYoBf%N{LU7LGb7JY`Soo77>ix^v!LCPMrJMpmulL%hR5H%C#)^KWQ% zKFmArrkkOxvMR=Qo3oPlKb_N&+`r!-Rxy0ztl=*@{SkjCZ^jazo(bXCYvkW z>Qm6~-O4*2qnlc;8n^a#GVe&Co8QvgkLx9$;2n$Tre;Zc-y0;7aSF~=Ugsj-`K{o5 zg5duxY)t5ulXo7+l;X~D&n(ro0*vc3?7?Havq5m)N>3Vug;3+?B#FqI`0;8 z9_F2+=;>aBkSfm^#V@dw@5<+r%vpGIrPp~opA#eG+(sp01jjS{0#kVo*1*?v<7>gO z5}tlS&T_9agU^W&a#HDbgaPr@rt{A01?QdA+w0Z2wsNenBx}|XgMHN`tM4oLqxqgt zeJvbcHf03wSmEimWER5#%34^164+SIRYmq2c;^kYAmyrGv-Pa)*A?Z?8?^n3B2B!r ziHfAizyCGuSFOm(a%U5Yq$4#3%8ccmW3@7#er291cf!pRexZVMC44@0S5M@}W@Y#p zaF@%0Y!~ly@G%yg&!DiA)9Oj;R|fJDlnICH8@3gd3D?Su)XUJo1vpZM8>|V(_}Zf7 zYe`W8b9)?v$~kzB-q{;;b)xtyM-gxY8ez}`Q9KyFcEs?ZL+)y_T??b=tc@Le3@)4Q z2@kx=w<%x^z%y2ql272--2Db+mlmLovI@b|3bgVjl{l`E2{ja|j@((~=S<8;1}374 z4UpC2P7%%}%1Y?zG1|hm81r7!{ zDEz*cRfQZ&SssBe-%`F|l=0?lwCf76Vd0z6BzPJ0?ti@nV+DK;f0Gw=>TVW$gGaX* zzr*4?!{3(NTw#Av3tk81=NE&WA#R42785kGQ&%@vRS;Q$ahp7QL)bf0z0sKnUK{m1UR*qG(Zf|JYy0~f*1St^ScMjsWm8=?rx@|i zrcQeZ$ljrzy|%?u)m!nBdh7E_7130Vh0zv}$31Mwf`jX<`HL#d!FmnN{ob1UP3?8n zFqaT=!nPwiei=elY+uhQcXyN-TxR(_PD$w~3&FitIc0iBS*R;ie$KG{mz*-Oqbvfq zU*nW(I?7D==HZm9I?7=B&{>A88|Li-`nA1)gNgc`CElwFkL~b|C_EzcCY^MLCUqm@ zTvyiQ!YJ-fW|tG zaTyN-M7G7>Y|rEJdb;b-UOuO+&git@OK;%j+%$ohDyz=oCXaSICK48dNMji#K?><0)pHso?9})3+V+9r7JM z;Au2_y3KAQ1}x5Bi0KFuTIx!VVeYUl9$vCo=*;_GU6*&xKdbYUr!sZ0nlrdsu0Dpm zdi8Ua9|o(h46bg3qtoH)L+SY0@shQ(y0hL>r!I-(M?Bgoawa#zF+LCy=!}@xbBRVX zt6%5G$_db>6*$Yh0$fPNnli>9HFYX}>y@PFBukjyo|zF~-%604Iyn zk#aP-2IpM_!XZz)!ru^PI>0=8BX{9@P*{Yr$Sjq++z%|*3lzuiBP5q1xBUp@HJVxI zYFG|&He9xVMJcdWoAM(O&HGUHn%yYdguE^WWd~a-6_zw>NENr{2`=mf{Vyzx%Y1^n zYqfjj(wJ39$-0`-z)r}DS-v_mW=-bPuBTT$6~;aP=;E0Zmd3=q@I3p7!S5T{_pr;~ zeE#v3%UrHyG0UG`x$Jh-6UME%>@SSFIp*j8uAlt!B)q%yKPmaNUNYh5tBmQZ^WT>Y z)H#0qzo}Gz{P?32;%{EMSnKlTuS{4vF)QZD)hkzL0(4>AlPjKOKal!%DMG{te`dKJ z&vfBN-$XpVa^>nL9|tIPkWLNbwEV=AxsBhw$Z-$Pb9h>iSA{=-NghHA;zk6}a#Bbl zc&-#VTjg}F!hV{I^485StS&GWIUDlr4M^*@an>vF;y8>F_yKdO`FMhYL)rZTd#kq| z{%45Ma~l_^4@`eX$!NVtmdU*k}=aJKHjw_nM$3++2Zw+d)i#0l%xE(7OwVya@S*Zi4zNrWFqcf&zwx90BB>=3Vv%YF zx@B13Rm+9-)v_L#vP~;N7Ud+3yb#wpfk_y_>cRv^e@Y5ZEgBzOwo%S-2*N?iiCYS_ zJ9&ZGTTru?dJly)!sWCHrc@!P0Ur>oC_AVBKPxXy|2e55dKm-QHY8O#Dh&?y2fIt&U8loAX@ zAk%<$wu|)=UgOQw%jSW-l##f72>`6^icvxMQe-KkG;)$xk=zUxIH+a7_zAYi|I1901Ko!R ztqYQME%IJqt%mCL&CB1rEd)EObw{}|pW5})g4W=zZ|{ex&CyJ{1@RApnhk(Cg~q{@ zwr}`6(R*SW`L-=xw8=#9!8{^D?`izf^xMy#ol~3 zY44eq1RAup2=zQlk@wG`LrA=h=LFSBJgb zhzt;-(QT@*cMUD!-iP{RSBr{KZVPF>vtT_8mAuVrL2beMZnd>Q{P-T%pn}?ML-F&nJuzBTzIbB~Fa!(s;nzSCXnGu|gtp%i* zIUC;u6C%J1#tx2V__;tT@(v6vnz1^wTdL(s`$Y zo$Vg`@l@);A3gRK==iA!G&3H1vk7-0dRn3S?cIKRS8h(X2|p*OeUQAob!X{HoT9YOqJKoq+sNW`Hj0D`BjNE~bM70UA0 zIZ<$0jP?d93Zr-|BP>%O?$&}i9oyJW1KHG#$=qrLYyTMs1qW7gwNkC{?V42ZEZ>E$ z1}kOf0b#1MY!TSdAc^<`&(V`9h(m2}0s1j9^b_334CM&xTUCC2vnuRrQiZ>?tAe*t z{m|nxdMb>Kox*xqHFgQ>TU2A43N+i2f3?k@vC27yuPo2zP& z)`^~n6G~HOnz*$THH4-?-%xq@KI~Jr^4VfaDl0B{>jvTd&Q~$ z-GHgT^NRSAL_-LTHeib}ItbBzM`F>^@f%LZFWYBi)CGblNNCoxR9Mx`Mtf^@v*8e( zCJx%GT(v-tPqW*LV+9kiR9wZp%$;LhVf@3?8qwy~q1_OO1|w_#i1 zygz6x3FXd}>@^C9=-`ho1O+U3&#LT%|L`81_~$=l8}h?(LWL1KOK1}+jq9-~yHqb? z5**y|jd7a_nkW#nSl>qhT5MiMNh*wvJF|Nqghr>p-n%1}>!`i!9r-svf&J*HE0<5G z!5b*NJW%*&YTr?+vIbCmMxeO1cT`L-q-Rl(e9W;amW5qVlyq`alOs z5Uf$Ujte^~jq#p9xAOI*>@Um)6_;|li&`yaHMnT#aA z+c`>phT|IQM!!c%49Hq$Kw}FXHn=_hWT4952h0?Oia>1{w1iUep{jDqCG>Yu zAhvmMPWzWERawd514_~+)ubu3qN2%|eIVCFlQsjZiWuoy;6}Gpk?zq zkC02J(f2`!C&xmB-A-t3Q3?<6M&7Jk18DH?5vxmvZX0B@;Hd9M*pMHd1;J<;ao?uB zgRsxJhO8?1zkpCc#MH{p^RSjO`aXr#TL%Pz(58Dtqqf8BAQ>r{6g}y~&;_7`8pyi` zX+iWi?)pi2j|i(Fb{B<%>9_IHTfvtQ#Wwg_z21yRH1lnc9B2I_@SV>+^-TCJ&`y`iYl^&71X)$02iQO7JC zd3Vt|qmH*xjM6F2#=y+f10@z%bD6a3dLC_dLYH(y|0JB7an7F{c+O{l+|ygO$qNE)9z*RL-~NE(?rP2J zK?7h>6GQWQ&_G20C#dc`sJ6iQl2Ov1YmJ!yAW}?p(m9BlJ8dNeh79nWj8XtYn@|I( zqmk=852^v1Hc6M$+IRZ?}ID?{sB@nR4G`#4?>XA{mdKB zK-!@ToLV4&sb1bv?PvvtMZ`7;=>l`733>a?y%DR2veLtBnifdsc|6MqER2L2qqblH z&51#ZH`D{ksRzMpHJIQP%|SDzMg5a}}kGOq5|_GeGy+sr0z<}5>|*;8psfS+9`Gzsw#wRU8n zPyEFU1Eu5Xf-=h{zbYqXcV|k0WeVxdpy-G3-;!u;#5b4%@Xu=*1&4$A4`MMCz17qM zaWIQyIMc|jS42C$%fng)<~jpz zHo;bhj8Lu(bS4Zq2T6IA&+rk!DO+*qY2SV;tZ(cQ-fHOSPx!V%kAfNstl-Qc0uFQE z!ukh+v|;^mIFsS%qBslzF4N93fb$L;HCfr$BumKFj%Bz<*ae~4Uz$|!`m^ffCSu(M zudH^SHo{TU#GCEu_qgF4Ow?$$LqHQuGlAU&iD~;T&R|@BvWH<&h~^4BO+AR;+2*3q1nYRN4xNs zModB5;l}tT#ONpb<$~b|W~0C(6YTA6t`r^kSiJ!Isx~^oF$F9;)%vqNo{CVLocRyt z>2?6PC=`>>n)$vy2@}~Qln%rLL9xM+d}mil2q}GNmy>cp$2d&q3SDf!TZo-NNQ)6( zd!f(S1NYGWw6iX%2uQR8WX8OJO3!^U@Xd8mm;5kk%kTg>qKRAbLG(B-EGUT|R zl_CmDg%gW;$esA#LSls^xRix%!@u06P)_eh9F^JuyA%>~AR|p#sB7gRs3rsrtvq62 zCe+ATlxvvu;LoVYZw-6{Nu7)Y#73@!8kFa&m*Ini{o0KRs|_-TAV%oB9g5&USG*n7 zce}zG0kFXi2w@_dG^fJfIfxXePRjb`kt%!MU z*>kHiUy5<9jtSbmkO@&tmMhc!q|2STEQ_UtiBi;D?>Zez^VzS)NKfgND#f+Bl zWa+Y{92e}Dk^X|ef?vYI2maj_{L}A0%>M4a!k<>)9rl-hg+F?37yzaJxgRTG0n`7F ze*YFXiu9W^&{4YX!*vg?bX>D>-G%E8T(fY&pomMt^&qZ=xE{q7cyAcK8*oj+H5Aui zT$fPyAbgI;H3HXFxGv&eCoVYbv3C=2ZwRg_xF+J7fa^M3<8WPrYYeVLT#Io9+M>@M zyszTA5#Qm7#odH!B(AG*J%VciuKBq7zw>|deVJa@LVPa4MR0i%R{#c&M}}>mV+|*MGxRg6k_>ALDu!*Dr9v zl8Ji&u=xm|Wb``?-F-MGTYIAY`yW1k-!jCSGU$$o=3$>io*K@L_&&-q^2|_Mcqphr zD}4^dXa9P&?^i+WPuCUykX7j4{jmY}D|Gp94zRfVUI^|D{4)Yx1OGyT@Edsl|Kq;~ z84QErA!#;;Kz3k_wS*ef;cUIpin|=Dm&2;eGJP2CVLAe*PmFf@EPL_EWzV~wUcD;j z`uO-sC=#L1*}BLr4ID?;hmG2WhxC`Lu2b3HIee}IePW0?1WL?cc=tp6d)x2w=+3;? u@vr%f-Sj^AO^Z+6q=`HjYKu7|LGy`Y|AXvY45xb(GRHO7N zBFz$-Ahv`iA_k;AKi~KMxVf2~ow=Et+1*b$*T6oA8vp^Iz`O(q{>A<~{Qr{uw?lvz z{LK$tTfD9?*5x16=auxq;XoN1?t&_7+xdNGTRSgFR3!b!nWxnl3QeflM3Kpq48`QF6mkkRT`oBTSON|&10ivG%7@uCY zF5pHbPm9|odVi2Kg$|e)ljG7^zCIoVNINejVWA;GL4r5^YljRbdJ%i34=l8v^apf9xTP751sk+n#>e}46pcMgxw>B^ zcNsk+-$zE6(>TWOQN={oF}*f614(Q^Rn0hZGr^`>C*lnl$1(W^3%>l8SJv?XBc08p z@fvVCjbyR!s>QJBQ^@12X(7mA39X(dQkgrSO6l9%J)Z80Qx)!tw`gqyE_G z?-Zk^tw$oop_`aoU9k8h01>p_UCPVZ-Qn?Z!@vnNTG`-vjOAp+Zg3XY4&0NyX-1V~ zUtvKfJ14f}Drh_Oy!{Zmap-j*%Z-lXP%I)7w$<{k02VeoX%!ZM8Kv|cXr!L=o)?8j zKE$i?2;77cS(;j`N)1hE`H|<8?vH?vzO8ybyQH)}i(?sG){}a_Yp6wAkMfc|>!iS) z8y-!vIyX7U^wDzv%2F4X{Ls4Pv6K_uB_mY5pcfZowjEBFs*79oPpA1e`>L@xO@hV^ zFG0`3;H@WBp`k3QyD6eqJ9R>TY!~LRVC>dWp-o0{0nv5ErKl zN2gEWbDpEI)Zwa-DLtiBHUBV?%AN@v80Si!Tu9;!x_{)mGCdYoGxS zGywI%!>>+>fs3vb(PFmJ)H>hq;sIptG~X6EeOvfo`spqFEZ^jDVLT@x>4cujwO0iC^^j{>hWLOZ3k9r0Dt&?Jj zg_P;#-;BQ@P~EcSIN61JABAeXDa$u5eKtp?Nzoi22|Ac9SUQ{uBc&{BlH)fw{nRKn zNa2H+B`2BnVqsILAVrHTd0;Rvzw_A@I$u3RaxTU2AxZ9tj;v z>sP9!{d~4;JtAs>^kQT{DIeNA1!mjkbvac1p24go+_!PRojm-msdwZoi zDU*V24%8WY=l&vXKVSIrzEA$G%`^w7{JUpF2(eHjvFlIw>nxvrIdwpL{cUVWDT)IVYP0xZdZ3z0eRm3lUz$ zPN*_0XvHlen)rd~WrCInt5jQK5ZLLYNB6=U^JKdrfxLa@y|XUgj||((~neYQHs7S*Ran9dXhz5_|7BqzF%_GV6u) zn!PlHYZ(JuDZC?DN#Z_mmgQ24kKhdi=i_QhISZ;kA-FfAGsS1T!+TuShb+yzd4whU z0D0+5iZsS5KZPYg@=*q9Ddj%!WzL}o<&u}|a9%w~>qDL4=R2iZBfXQ(+Z9$KNM5IH z8E)@Vb9xyctaJY+GqxDN#6b8jVf&A%5;yKMeP665h;Lo|C><*Nt}5d{29D(-sL|kt zA8jmJ{~cHc|AX@unqa;zt=yZ|2pM}#4&5+BzvBj73%lb>7QsD*sW0;R^7f!}Dvu_M zC`_xaq=tnjY>`OGl9**8CY$4Om5IpfU#4wDj4v~VHb2H7n0;7-%~$Gq%vXD?GM32Y z;d@R7tl>DSJAF73TLXH|{^Gawl7uhbKihkn-mgg-&3nH?80PM&K790`dZU45&azkr z+J+(spP&h5nr^sO3FVK}&t|-Q?lJNuN8V+(f>^N84s#CSRwOtf1S)E5%a~7X9*Mp3 zd9tGu>dN}oYYrklVbk3i8<$)|M(hTf_bnl`T|z2%*jT3I1a`(5M`qPQgvXi>9Tt+G z_JNA+`{`&2akCX$)@?gTHFjj}H$UK(lUB>g+(PWnVDWKQDz}t$H&0f%S-Iins1rgLXkk2k_KKKU0G%hjk!B<##_zwXlUC^g|doF1-c(W&^}A+QdX3_G6Q=TeVQh`xV44ub%qF4vm^#$jFB$GKtC3Jn^Odxn z(h^HJXtbf#@oCwwc(zgF_oGd*{@)M7;xfa|WKEU{Px)V=5+C!~1Ct+J z-)+<)#(s=7Ij2ih4I6iT5^32ep1~g{fXmSa7w#!x(XHK3 zZViIPKqw~#;_uiY+#PVDFNPRKw5BCH0Cb%Ib^ z!%x$6EFt>*K{>~d11!F%YrLN^<<`Jn@NtZ_$pMGlYK@N%rqnL&?V1~ge|U2`#r)FY zRF+6-{^=`WCSC_W!+YClAehCMu2|s0=y#1VnoGZz#Ws(5<76#FQ;d1zx1z|NyOzBb zU0(1;@Gxy4`VE<%-5&i$s$}1KG3+@iBRGArWTIt{Ey#|}NTWNkVIbV80K$JIUV49Z zE&6nEPMm8NqK7zIT}EwpjwUEBT1DIHYQ)=8pv+C|C+0DkS!Z0rD_h*uK)dAyNDtp0 z%TFwwc!vG{bnB)@dlQCv?B^(ACNn>=jMTBJElk$wdzmZ!zd#$>F1MSr}}O{-U|CP@3Iz9`N||U@(&2b;o$)1-E~z zDcEeG#l?T2p%@nA@xUmR7=l~-j*`mQT%}DH>uuZ$~oZe*+q93m7flUI#2w&b8 zJY*!40tLzD+lD$j!76>|eily3qL{#%1ZUE>(OE=;7*wP_SXLZfi_6422DqlTrgVC@VN|iHx{)k&VMoxKyG;o3F$KrcW~i&CZ_{eVvE1z}9jicz%MVH_ytR&i zdi~)6rayy)zXiiqp2I4BG&qVTtq1u2l1c1Zb45i}UcDHc`2!;^Xy3+Hc3MFm?2guH zTr-2^QQDG;;gSQb{kZ`+ov0&hl~xl=2)a*AuPq#H1OUh+FgiJw5=Tgh*(Ic>P}3r# zXZX_yQPErJl=x&4Uv5e=AuT#K2N9h)BNH8!PKeg7k;)+@C8b1u*oWs4C@CtF@%ZSP zH0P6Xq{-x{13Cz~YOc_r)nve^) zx`x@WP|2zGh#;OB!H&F>6qT$-X*iIoHc61N-#zT=p{-}6D5*}JPK}Ovl5-_Rost$m z9YIZ{G#*s}{6SZ5ax~deC258#;NnUyaHz&Qr^7k|$qPk*?iE66_=n|;v^(`A+_XDE z&$YVZO*5_H;~42RIV$RUL;BrxfJZwLWM4x~z98H7>k06^C#A@+Ay()pN1RNyPmbTR zjf{+|29z3NB}g-@OnxAuo`i0wN)uex-7nPOEoH_VM1H1t7|>#)ho)__6F(D@Q)E(N zSRIPMe}b=r{vnngL<)6|EJ-a1jL~+~BuMXJDG*Zew$BxR&_`gyx+hj8`kIy_qT>=% z{1IvX`1q84$pF9iMEtR|wu5A#JqaD7g^sLbX$1cH(Cbx~uCeE0pv4F22@K#fe5FD9 zaCb)(layy0GD#cPPK45PX{7ihQiO~ywsOlmE+xa&R`UIGWMcl5B!6d=QBoxJwaDi+ zF|8_e97=adt@dT=rmonm($a)uoxg*I*pn=Rwzkd_N+v7GEe*)-*BbUo{d^xARFo0N zm#9ujTuOf_92G6#$POih3p5H+ew2kqfNXDS+m)Up+FzRvebYQ11+ThSqE~tQjHax}yHhD$9T9w!%K?A9u60Id zcE&X%6U;8)rH-b&PZt0JP+C9G44F~wo-zIL*ZTg~GgIS6PClsYq5oIUNb-(I7kW#I zJVgKc?~;0I$x;3TE?38Qrqg?9nAHzwhVhzXGNtMTso!CVNMCEZ=aFXW!9mdnaT-Cz zNqN_$B>blCQq!WNPVL`U`0Yi9UVxtM!9u)~yQb&4^7Os#szAFW^fo+Sevwdjp6+%? zHmb%IK3cqgV1G=i{ledfaB{p=dTEUv?x{&bYE^7~BDo`@MkcAf>gCCq2}R+rKq=d< zs@&B-pdsAt+t5(cZRhFU^dTcgl&pfjR%UHvOvJ^}q{qbX$B{i6%l_XtdkSG~G4TaQ zU3?VXDw-}!EV*Ch3$Y{ndKi+m6RZLmB=vJl4S1Z?E;&T#x~Ouz;1xfAtY9uF=6H`%sc!1EK%ZEba(}Pv^!Q`Ud$N3w zfr;xGK-igK{{siDhr}6QF`6N)f(Q})4oS$b4iq%JQZE2RB1I1vx|g(CzDSiRF}563fyf(ix?glyiIe)Qc z7Vz-8?7mEYG{1Nb=Xhz71E1HL4{@pktp{TTzEZ>LYKchDk5RM=TIi=Oy4TqV=Q=X3 zbHKV>?WR^XyV zU7bU$df@Ry3L-|Ht+?hN@+nwWU9p7|?^UiC6_6;~!mdA5c^dAX}%j|lP|8;c!e7H!kUnR)>)-;55BV=gKe@N zDwSt!6(IK{x-T76R4kGCB6HH*vqIv~eEmJ086Atf4hmv7xf3$-1D*dNuhv^C!&TK! z$24dqK*UkPkUT?V$Ty)%mJlyc_Kn{+zp|dA6Q{l%qVDt55jf5O&)k^vv=a>%^)Vb>Hppr$hJWXpT^aX-8uf|C^ zJpt6RU?OFqE;Y2-&9N_Gnh;sW?>Vd^RQRpPc@neOUdmMo!%f;oreM%%MJT%v8TOCE z&USVu(cjV=$V3d{@LzrQ3(wfsQUo0uJfwHo+{KsjfFf*?c+2WaX)2`=CpN zA7b!l9hNzqIy>XX>!z({=$XUP3VNx0-MvoyKbFuQ=g4Y^7FQH&zx8%SwhOjs3zu)j zOxa*rSPO>}n>SQPQ$lK&Q?OQy-Fk+6>YA?x9+c$^hMIP!uZS|%OJ>xA(M%sqC*#xk z)P*^|48MuJ9Zv2=XR|c*gDz5+qL}t76TukaX=exYWD+Apklsz|4*pw(@Y!IQSSy3^ z2eXh&_ep43foeU&uz~MT@U-!XlEfVP^-HLkkGYamga=zH15>p}hdWgROh=g{-EhS< zItXiC#W*z72oT8lnwEVRxZCLmS=QEym!HefnGb^0f)edcrK}K_RGDySy(>KtcO^%) zONcS_LFhFLi|I;KyB&mQ=yX}Bj`ljRrvSL>vXF;gWH)m^^Ho`g>f3F#XP6mXwsMzo zjG5pvtZTk$6_;|txYvFWkq3N&rQQ$yySI~p%i?aH+C2k)Atvf39s59dzj)7io!p&U z7?RkK%>(m5W5u$WVxA-7ejfVoeLkv2;8nJ)V`$(QqgMw1MJX_HW(qI6XG8ChuF*Bd zuSzvE$ByE$5TV9JX#8_q zpGRIFf+Yc8kd&Lh3-TSmGr8fCH+FCK<2xeH+!lVsZQTp3DYSI7rWe^t-7s>MBYiy4 zQKWYQ*(!?Xi>c71lJ_br9PC|W`0^g<+JUxCKY#HUJtv6YpXT)X5Ci1|C5kHfP6o@J z2sUO&=n5>TK#kQV9V4BYrQ-H-dc1T+`He1F)eI#>p;92M3z?>w=q}qRjYeSNEm-Fr z%X7YVHX&p1-S zZ?ac(>o59<(T8P)Hyl7{Yy~Z_C(<(Cfsh=S#f)~b`NIDsvZG_qLGXiE!uYa518PN5 z9maY}5NgD$vCIAmeo}ebim(kX-n%^6;S_!tHJ~dsJ48?MX2oaUwcWLE_eQXqezf zF?;cjp49N}6LO%Pc$$OTMEivgxDQZUZkNUNi&$$1)+KQUu4cSDYl~lX&eKSD)=#|sN_?kh z7ki}}c`rhN5%t%=-#ie0EkA|9%s|@>WBzN*k%IFbj8mVoaNE?@H2p-TK{N|DGd%Wg zm?tb;2{nY!44Gk?>azwSl>Cm)EfY;d3NM8@6uwaabW4Zm^=-#yQVT!AGhtZ>il z&Z!pL(`M9{wg9Q1Ws6w`ZG+8$+6RW_7u|LY%i1)3O;OA(+cjlV{{j!5-e|Gp1F{qE zwKwejy@*zj@dcyF09Xmc1e9$8s3TpjXDJmBG zBdD;5{w>qkWrSp`(C_CZAK>oph)DWKxy)`^mspv5*m_J{|D+N6$#)7b$?s)+zsdfz zQgv^oU9mCxtFqJ$;|gIS45|ABXK9Yz_)qGwiEGdJNEG|v7l zlYcc@W%)M^70>gay9CAD5Vmh$?Cv|s%X`1iey^-ICa}p^+2ITO>@cV0J<_0NDI)~+ zOXYSKr>iNOvOlhfnONO@DycPrQtV*+p!*+!Y8Kv9n#Naj zs(c}pc+nPKVLCSYoko@{+As%x5SQ;cBwRn^kb2KbO%NA+=7WVtmD@SCZHXh=(R_Pd z=aB`Vzd+|Z@pJ_BAL!q;7;8Gyxe1vLaP3hi3E#Sl@k{6&Dbc;O0{fV6E(c^*Ft2=a zTS>)FWt6q0>wmd>c%YBy<>VC6y~a}#|7|r&QDY3tZd}w|qn5S>eqN=rzNV%qZ2o#` zqnGcv=@iiLmsig9w*?o@sOCi@wsDJdi~Jup)S+$TX(Q&IUvm%8`$-3I%NHD6ZLcT| z1-Fi3@psaJ+rvXgPslSVjzTaay05)i;IgDRRY9DTDGimn}m3N0YTC-XyKKd|z zzOT`iU60;*t1*GFyL@Ox1igr+Bq&3J&P=sAJed^YqiDHl^U-)c-aWLxHARNCpk=r> z1B#Pkf=?}C3pz|}mUrHYAL;Q1|>wXs?7<$Y8kY~JqLf$Fk{a=T>;ur+rqBTL>mhwBM)3s*kAdOT0bcYP$T0t+=Rbi!G*JFVUO?-e+}jFy#9zXTc|F4WQZwEM z9^&r_)EwSd-J%K8vK-FW=ysq6YM0Hfg9Vg*c=EhU&@{5TP2`dO z1ugMf(A?=hX-sMpk78cvGWofDqI0Rp?5BB;Kkmp0+-)j83BJ)NA^u8f!myA#8cPMo|^-i8Yux2DEf2CR!L)|Fn$Km+OAwsh^(*T#5H6 zSI+ub)@Gm{_=}%co119R%soPjN^of4=ToWJVR-=Szk3_kfi6e)+3wLc=*Nzs_%jwm zQoI>rF7hAIdoF_&oa(5iG6~B16*gOJ)c~tc+_RD&9z83VAwXRY+g<2b&}x}fZW|Tm z?!&v;K7a_H>z7~4%~bPfu_IvP`agWJFb2~qi+9>eL#N7*WVaxcigfRqMeUUl)IL%& zqAnhaDy2;=E}XU@Jn3N9Bt_Eu#8gNc0TH&+Q|r;l27}mgHN7|HR`g7@A3=)I25n!~ zN1RjF7;jNvuSw^_3-?zSg0HLjDJ$D$a{YGNvU;)4dNIxVN#m->=yKH#DG!Fn$OOR; zeWpK7cBDk-0%NS~R4}O_d5YdSEd22NcX8Rx;|S_!95HR0-QrpRU!fJC%? z;K}_wZG#$9gdMZn^xT9$1#SEV)0`~9gnjFMxUEIrg{}WG?aa`|dzq`L^w|BfpMIuA zBWP;U`5&QP(<~Ac^3fs{bDUbw)A^r5ISRI?9ih@Q=8gyApf4@ePQHkH_Szrs73-kw ztUKB{(l=v?YBLoE%Wkt1tAGcTL`~Z?x*nxuU54LPQjd|VDBe=gg@ zQXojkp}P?L6wLiwI2p>V|I4qZmZ&dTsvzpYpnr4_RxxxNFWwR@5eD(*tVq?S2IubA zeAPDU58&3sr^R;GzE9{c^U{2)f(!Lkn&V-O;C?k9j_bFaQ=HFq*#pyy2&3fsc`08K4!+rn0 zCk?6Xm0auNQ1tnM$m=VkuC1UGb=_g@&Z1po5OLp8-$Ao6BUp$~tK?z%g&#FMt%VD9 zeBIahwT@x+3Qq1P*l>mnx}W0qS1FS87JRC~j%IZ8t=&81;A_?YG5Nk-ey`o?lbqKE zsjhgB?Y$LddL%@j*+lrsTUaKf7wcQYyMXw(*EisTP*Q~5v6 zHMDOGobwvzThG%WK0+&7oV@z!l35pODRAid-sf^R^!b&$Rno8==dsBHt2Pl@ z9%m6UY!AgbM?+G%dv|(-hVpohaT7ujv!KQTSJVtgMi73zG;jTKf?KqjJ!DG_Zb;A* zFzmLelpjv@QQP{luwNQ0msI)S>lHb9h_2rcD_injm5oonn+1jaGyBU6*oPx)7i#q! z68FT*jVTtZB2{XysLe2miQPB#uvK}TA1E5KsdV)Il)S-9t7>bCU(d_We6b7HFd}E; zm8%VIIbpV$UZ zvGcYn(tT23C)2HUPNW~u%GY4QydE!@72L}-ZTV>m3k-%CR05|XI=L5iAY2b9xAhsc zaO(#^vms_(Nq2%BJ)drN^c=+`_bk<@<)++p$$;l1LF{xM46ZrJ{Q`eJ4WnJYIhpPN zySAKWOmn$%V@-v0jn8ul&dq{eyfXyPb-9&hF-fyT+@7SE!>TCU(gL~W28S_ zMzl!PD4J*-&%Ai`^4fo|YFo}I7i6O0S^_$)&;VFV#vT$CS2CGy3uEw`?J}uHS}rJc zP!WTY?DbDjMJUZ&x-4zljMiK(q<_3d-Y&mXM6AIK8WmOsZ8=?|`ZB*UCaEB8DUue6 zcvO^~*}_n1J5U{%uB(v0y0rl-q*@njU|LRzoTJxh%+h;qD?Ao47f}0`r=(hdnNqYxwkj#| zVf`W2pIdU;QRd45C^Ku@8jM)EFTLdbEy7~sa{^bNj*@aHZ`$BksVbkWW%NWWR3EX; zH`!80b&^Ly1D(Yq3N)_rtJ1ITUiDW2g*~nFM_0ofARNGQNW2vpz*kQ z`8uQ>J64hV+1zw213}&TYT8bl#YJ!0P5X1y!z(Z8xT|0lN2(sQhkP`5C{x#)yyc$? z4dGk$5I#_U!csNEao;S{P5XUKtIA>F9akGrr z#P}qUe>3GwosNj7;H%QE(3jC6PSqQKMoVcgU1oG3Pb@?E|48zMAJ2JN)`kdnr~ie) z@xL*cH1%GzLsbB_tj%6lDav9wE}HT-E7b zmgD%&a~LzHd9dTs^P=hAI>1iYW(j6LZhtCVVFk_pp|LM23J^Y?+SZ^`p^@Sa}`87#qhm&DZ ze#6C#xJir51cyHB^+O^RChNf+ww046Jk$4W&HR;B0SqtzY(_;#P-7wQIYgCV2dXX! z);dWcM353Wq}1r@9eXX?m^ac&J^{>QiHi1LV6XewPY-L)R4)myHz`6qgnThy^0Ge* z9%!2}L$e`Qubf3b-t*gJ6Fn)Eor^!^Uf?J@tqi~Zj-&D3uAEfNI=n={s*NKK-fOmr zvpkX8B!g+%SA6&~s9JTTR<8|VhsWp2Gq10L%+j*Fn@rs0vg_bK(%5QHQ6b%$9J~Q( z_2xs zuppqr)EQ$+^ekjKjZ=FPh9jkj(_eUE#H?()-^5FqwXja2Y6gDYU%jI?Rt z*s{>bdmC{Dr7@FCxx*;Z-6f7fSoq#oL?>c@hz$VE_Q4CxoFyQU1G03R2yl1dY*%cEv8|VIJGeRr2p5Wx^ z*IyxbR-lhbI67{oVhpN8X{SJeV3mP%ZQyR?#ye7ypmo&4qgI0gfPG|ma0<%pkT>XI zPsshA;3ejG-%K(12=3|YNPY23thq-)wu;X z`iYep5Q6sJU@W3)Pu$+)P!p}-`^Am1C^BH74-o=o?Fo~!A`sa22K~UfFgo>MZSWsv z!}nbQSHRf8tlhBBZtAe8^IYEAy+X!ei<11ZYj@Nz08e&4n~rW z6dDw%?#4!so-v8aACD|n2?uw(bC&ZgwWu|gmak5!T(@Fu4cU~Nkf&CbZJd^RkXnxA zrn1x~Y@h$E-Ysm*fd`Dq$-w{ec1s?8kSvx2jhg3Q#vbW91&4yV_@B|{hg1=z)^&z>wcLfqR;u2pxXPh|QawA+8c$5u zHUce@(?u*u=^Du->fWDm3zxWW9|5zrY9LQwq0EAkz93+cRudv5w7!s+fkxW%|BEcR zb7_D@rc6`A=M~Oj$*eW6WBHOG7vH?%krNQL`}GiUwG`K4Qw!aPrQtw-{9}--2U^gm zdKn&qT-9YT1BqHfa^=KkVyFV>*Id!Uvn){o6t@EjqO{%SxZAssPpQp(<~o#HUiT*1 zTRU+Bt85ECAGMhqGBZPQeY>dr9rHR>Zz{rnVD8`yOhpxM*7dr)VU&K<6Zi&%e$G*S zp`AVEwz)#DUhy_+I+r7Q>G~6{q*5+Fo+`pDc9}jhCGmgw?#A9fq11Wg^JX<>kID(#@=6(EDF0BEnUNQ zGfF=QYCh>XgATGM49?m}_useP4_Dy7(9J6Q7G-3@K&+lZ)F+zS9g~nQhoqumZa7r8|6&ig{~O?MZ9R#k06RM|2!4i%BQ2qmS# zv>rwWL1QFkM=f8}2wJ*D*WdwIgA5Uor`mKl-C1(i!OP3t>l-o74w(3y^5AZpV~>Fw zN%oacSaQ^R5*cq46(@x{6;m%En6)U-%sw2x z*W$@h@AoM&PA?x#=Xg0d09t)OpxFR_xPVMm4~^e)_-4t0$qCD50j~xZa>zP~mc)IT z%qM*khJpwhiM%nDZ(OMmr$mF_|EI`-5%`AO*`yt^eKGFzq z?madw_W0?3J0p5~W*%dBQSp#Wf>);ZNXzOH5Lk)4?zoEhH9I!4AY@LLKb;L3XY`Hk zRnk&Ol6yDynnRtV)cCy+3a3llhoty()}$-S8|TpL>@~$+FtrjZvA4IvoYAXmoaZ~DBt2KxW*GiT6KnUEqrc$azbtrd#}DLE@*efc9g>`S##k}9 zCYRl_aCXlfOAOkTJ-p5~_?E;CRs4qg>x4*d3_TToNF<`>-_TlOOFtU* ztpC+5Z?IJiIUw0oPpTeAy#EeDZ#<4+q%Y zQ0^t5Zx%dr{wkI}Z+5S-Cm{ zlR3~1=-*SEC3c@k(4X0)fwsR49m{`XS*a(qk72}H?g0#zb0N)tYx{I)#*DWFCui0} zO|{a{Q#Jben&g-cB>X{B2CZf$Nviy!JiDyzwS`>E?wJ^R9B`#8V)(-hE+QyQDQzMG zuS)1t{zZ*yJ|QC%Efv3IgroRMN&6eYYNen-RTUYOgN$ZhtrX6qQ*p^t9P}umZEwVE zm>6?^$%s?wZlpBVVz+tPpPRD#bkMA;THO?^5V?G6KhEYU+-Q@) zgy~De`qU=-psxW;%8T0XU6n!3#D=exeU3*omHEyfUk;+)P2}$rD2<=>&~3Yu{__RN zL(4{RXbIP-#2%Y?9V0EI#wvIgu~*2UTKXBB)mB=hX)PLc+WlSdw;-LBMOFw&?AzKR zNSpt7#P(azC~LeVLTc#yY8Y}~qTc)4LPF(Nk9m@;`GoXIQIGpWW1r|nJqlZ2ixw}8 zJ$Ue!sV%gzgyvB)Qv4nflrqT{D=(HXjg1hv#@`ZybPy`?_@q5HbJ5ueq+ z4--E5M67R{4KqX_Z43qJ`K(4i6X>fw&Jk2DANVavggB0he$gM!$sqb`-lb~?ljhuDqKa?Vm*u?4)+VO)7-8mo^2Lp!w`+o}C87XOvC&37kN}o*-Jw!~4kRTelk_AAo9STiIxbwShM+SARP6(i1!msq?6D_3BAq#WEcIyG&7TJe>UMkQ2;N6EfEG=Hb8_U2vYx4l$*z{Z zuCBiJz8QevcKFcbA%bjrl18$9&XuTWwo~LOvX@&*HCkjMg=$B59wZWS92gZ6FlM#2 zvp_8|dZ%#Smz5<|hJ5d?YKc4m=bL(qEfbnyeUU)HdCakqc}N`Jnz7-`=;=_VA&5o$f0` z)mzY4lBZPpT2BvI@TK{`FOx_7+2FkbAQz7c5vB>2pGCp^<4_Z0s#@OMahN5}bPzJP zAZxidB|+wnS2LPWD<;y=3FF_fNTwq5U3PZygYeS4-d~TYn|YrGUpyuf7m&Aw>^8H1 zF^rV|L2#9LaEjS|3j-&tt7^g-mu#Cg!B%Ue#*lv7#_xyp1Hvi%QcWdC8>>(ym~||? zN(|v5fisqd8|*9{W-c}{8)fmBOgVTHxGn6ap5pygt^B4(uElog0iXd`ZHD)OO%TZM zr~VXktl)8fA*YvMwV1buSIJNrZ|23x4E%)U-x(=|K;~-O_GA3xtqtZ|jn~e~HP_^s z_cK0z6J+~)7GAwGMFA;79yh09bt>!KlAmBwz8-mVFN6gpcGUgr4poUXAUg-}BkSP! z`XqfE;OlIsk2JZA%kN;ui(41r3S(dRy2l3gMJ6fG7d0(&u(kVk#fAiz^HS8m>-9UJ zG9O+5K|tE1Mz{p~$`Z+f!AXzUk8p<&qx1S_#ZdbfOR@^y3HT}#E=|tj1bK_1j^YCB zhtsEBK=D~H@PG(2@}}r{f13@H(C>8V$w$6+=WVNECzy}eav1)QCm;L{oQpwJ70k~^ZD!IS5#Dg^NOi}bgiDqEGg=@_}gRh>w6$9^vkeq#nXyI z+iN}s^F6T)A2<2g=LpZ@NwwE;wkHbm6Hxr&M~E9?6RIc5ac?%fVCv(0>kB6v{dcvl zYJTcI>v4u)90gsUo~uB>3fDWP`}|=eD+AtjhWGy%+jRs9jxstXR~D4~2pkp8|(*ixuS|D8r_tP4fb&OCqO zPcE~M*`YDIwEnvS>hhO4JEGAaYVbNu=2jTM&OmFFcD_jzk+XIQ9LPXUo;|ttdf>2c zYcF$|Z&GZ%KMm`!FYQkT45aG_JN`w)V~F_H-azW-zBtRI^2+9*kFtrF}h=v zN(o4Z3QDK6DAK|Pyiy}&jF>GZp?(RGZk5sK3_@XaSQ{f10RgwyKjHpz@43%&&gWbJ z?YEmlU83a*JUH`1vuafFBOr}=x^~rlk>)aJdye9!x?f@Mxa9*j0sUPd=6O2+Lo*tw zYr$h;G@-gP&riSdp)K(OUV#}I2i>v>b8Lp%laX|D!T-%*PnmD(?2IouPn3-~=Bn*m zYXCxy$7Z1y2wD!U%$5Tc_C(p=PER!exUiB2eVXyu#}Hlh=RhtL$!wX7A8{SJo` z0I{q*L!pRHvp;tGQ(c|y%ff|=JvuliO;@;y*3g%cLmc8I(^qA2mV>HD=u2zw`JThq zkH13_%%)<$3ZJeucbDoXkPN%oYIve$#F~!(pe`*V`h3ffe!D%pO$1$cylRU5reUT6 z&0c-YKH>evAW!748+_7|V5|jhX3M@61S+Bo9f+)B;SuN6zeJZ5qTwha%uG7wo51q3hOT`x^!a z`TdWD-y`@V#hESv#|}U?OCCF5*rxYtR7CI96)-7Y{HkDIrC;zKd00@vHC#hqhO-{; zO5T6$XQSCgeZ=t2czVO=;Wx0hZVPZpwp*)`&N*q@6`rFxUypj|@_s1G};zFYEO;Zg;Q~gtE?^&tbM|wZ* zw7HNQ`t&HBf~j2Tb%=9_ZgI8j(&HD4`qeJkW(>(DM9$A!nt2sCwj+erN|_Rie&?Z5EjE0BPMmnw6aL#E&NL-rww zH-l3|W1;T7uR71tEXwTbGZD0W^Q}((k_rgH<>-usu95dxft#f6v9}jHv79n-lz0c|dY=89zbm z2^!r>8;>}D!+idyFxOlNXqHWdpVhZfo0k_KB#y)vOR}pd(Qx+ofU0v?Jhj#~Vh z+Cc$m5`!1THR=oLW#Y@8Z=CxM<;BMa1i~`hce?)v&6!CDMYD8!?>s>myJ$^|d|X-S zoiM>Jrmg`#-K*VD7-E0@pG{{))68ouT97@5$%#cfy)|$5R)v1T6!#mbJ(|XC{$UA3$QUmHiA~6a&p!n$5rGVoW=6vV2G!`lS zmapapUrahl`XXP32x#4ocgq~QNAWNCmrtf|?|mbgF>+!^q1%8*GA-MXJ1K*3KCTl{ zcJGzpAu*iyg=V((sp68)Kbb+Rz2yFNBYA|?ni^M;x@~BZ#AoW4N))1{HM4meHeQeb zEU&*@wm7R8@WD_7wawd4cxh#TFjBG?Z!GVx{YXhGvE8Yz2MwIo+dkXcgF&t27s7E< zdGMzT+@(W!dZZAy=4#7dMH0_;B$wFn6WGU^y&15A2tEqEe4dk~!Fy&ye(&(`+?j5m zo1uM@%g%}EHy~-eKxsEx*?8;(!>V6UG$qw6A7YY-Qz4!D&i8aS1|T56I9)!e^rs6Z z1Z1zCGYR4$WU`gpWtMmV*g8JCL=s>lhoHmq-v^iwh={*rf>Nm(c3ly`ePquLGGS{F z#qQ%wY-+)MGy6b)ct6|djII2e+iu9^{2OZm(c33-?tDLPvK$g$rU`GLx%NVIbLYm) z%qQ7HZ(y-4L!Vw5E0g$JOS>>aDyOTeLHu~8bGzn==}$#%nvml~!%P3JP<040i|_I2 z2{h<@V4yhd2*`Yj==U>Fr_Je*XBwVwl;3P=fp6ha#Rj%S_;XzTsbdh*?L{CW*Sscr zf%Gnqk?blV<@Cu)@O9oZ4br~KjR6y0$E++`KhiV+oay}{k>}gWHh^bC``ebs7ewWj zB4Bb7ZQ=b<#2#>8tz#?66BM*4xDXi?S)mcsJ1FojSwfe;_-f|Xe}o=e1o9{icM*=-8ByYd8Ap!((HnmCF!OVDi=%VTsG5DB!CkRuC6Z;5x?ho|F-B$xVh&UlK{l>#Gm*w7$l7 z?+|gbt)SolRuptYQD_{6Nn$?ZJeZKZ{@K9RIf1_;|K{lvLLQWPN6$g{MmXsr(44Qq zDYgl$K**Pw5zyhj_Xz`)OfychD|vm|g|!lBX9O?!Fw}X&m}s<5G?#<}`xrXYtfD6x z0+Uy6tgHEIV}QKT#DoHt-%v#}Od<*X>Y#C=hHy-%OFZKMX!ih0|Z#}QFP!`7kusO0_b;1Dr zN+>HVe)I$;Yv>@>>y}!$ucHBCCx~`Ks^atr>|xuD6<2_#u~XzbzLhj%IC0l^D6Pu? zF|oeD_1#Td?^-6q{&P17*;rR=7`kRF{JU%q5^Wr(#Mch{v9|+>lfqvP-}fBlQvyZe zfZEpBL&%uG&zr^>FtKF7xeUx}WhncztC$J|sfktAsC7LMz+ssJ#5xzDdzlqeg5-7| zUpj=dh-__u$ayq3qg0cQ$t%eWAVud3GWG;ksSevH5q`1%_434|TvDNi>Su9-*_0ub z^C|*8?WkKq5zhO0e~dKQ^S0^7fq0M#ThH1*HWWa zsM*4mx{DcZ6akm^v6T?}P2DUhVF2L5~85!ISwFw z5UewMsy=nNX-sQS{12t1p;vP2!oYHVDP?55T{hEeL?otnp)-)v@XpNgw4)-;9;wV_XZ@r zKBvEuDJ>30ke(xRgQIKDI0 zJ0c0Sk4-HxG!yk_I&0E@e^7^%*k4338u=3@y)IYA3Puh0P|B=mg-!)Eo@;l9U_v{v zYFHd=Q3WVk3nqjuLcRTjjNBxq232%`KO!JLev-1b<+9S_xM?5GJzN3Gyp*AP&FZB2 zSoAkuAjy}XtJW3|I5JNZfGBTa1piqjHk%9YeSr>0U5w`Ni0G75-KOcAw3EuMxhSjI z9oX)eobSL4T*Yt}>_S>HIyh;gpz*x=<<%yDhsd#DAoos|BvsLbLGDEjZhM=7NgrfM zD;M_C18KM=(x+|==5f0I{DX!v%P{)uiY!Le6t#TU%TqE(0H0K$fQm(E1PIPWmsLs=tbw{d>^+ z%uhQa;!!6vRco~GlYGnQP##NA8G?EfnsqmO!-Nd=h;<(jx1g&8g=^RXAB{CLinN&E zVwr>>K_9&XzFB#esSShwsIuYtmKI08gQBF?fMN_&Zd#a!e?Nb(+NsB&$ir`xAaS=y zr(~<@tFm6(96K7`&}yJ_O}yUnD1oRT(lww!omzf-?@#C`>IlTnOs$teY*NyH-;wnz za?otOM>A*iCxe}jJ8@LO)KtHi+`~6gp>Y0kj^Y6OXP}BpnQ!oZqf#)&EA&IPXIEjk z?YiS7Ol4NCUis#ROeK&IvRP?P4AQsJ{qW2tci_^N|9Z1oeytqXHg7IW+0Yt)Btccu z$I|%R=QwX=QPQ;&5ZA}i#a|rxO85Ar)WSLEbhcy-W0Y>Rzn}{Z@395hirF(--{n4` zwkO!4Z0)AeqIr;ZV+MQBvAXLi|5LG}O|1zax9q}L+!y-~q+-fv6N&04N=HVN?O@tP z*AwJv8nErHGe5<9%sV{&r2u3_77t>=v$KB%%iRy=QCPkrXegfvA6cYQM=~?GVG9&5wGkjN zF+GaYO@#n~H;6Vv#|1@e>4YvwA9jjqP*N*|{uh!XH3;;k+~hOx z88FF11x=x&A>~s~XQ8?PMU#Xyby4_Q<-B6DNYIUn%Z48puCjh|SQRNBz)+ORJn-&h z+onS|@5jlg8d=4+7G+PEmkXxXgG^iQxJQK?7L@M`HlR_8J&C{%`U*|)|2rU?u{^lq ztLSS|zVpRZ6jOG6X>y6xdM^!Gqmh=1VHt8i!DP*3S(;pHX+~blDDPtC-44}CXI{i! z{>h~#aE#wHKdopX?q~gbB72Uv^X50qhb_z^b7l@{^#?YRc{)-2On4zT@+hy|&s`|R zUC-eu=-OA;NOx4)m*)V+1E8hZZu*>J@vms<$AByWjLJ4?k59In%cXg)x?cTU+Bu)) zpT&jtWgE9bZ6ZFN#A0*#`%+H*rb&wA9Ykjikr@@2qy*+B#mJxA%p{2Q;^YUvXQh=^ z<4C;NN1H4FXHJ*3|M%p({`%vY6Zho(3oO-Y?&;f=WPK?l zLy{NLu@g1>4T*grG=FvX!Us?i3|BCX$&k+*>FnBIe>(w@B`<2u3RX$uW~o$$G5?w8 zsH2lEkuua&m5mvwf-i)or1MFV!N^hkxb}7j0{7PY)T8lZq#WzN{GIGEaw!~_w#-}L zUyg$|%>Z}emc@3y>b`Lg=fgvg#-pyw^yFVVVtm!QxVnrPpnZ|=_P$Xe!Rr38N1wUIkIaq_TMF0>Y@(T^ck^=sh@>HQ{4+jC~zv0PcQyG ze};`@*&q>InaF!FFcS`k8?^8z83ZfAG%%u3yZW;+3jr^xIAdnDpC@@IP2hHhpP> z)$3BSok#gB_7wTMw5mE`-Ns!%Ie*sUPOZIKac)IXM2g@N5tEhLma8EXI@DgSRfSyg zKCzF2x*k*FXH3bqaGnvG224M(#bn(#E|_YX5hAUh2kwhblN^2^m9=*TS&|&VoDVJ2 zk9vi9sDa!kzb)YV#CBDvXR2ICx%Xq6uBeVau?6z6&#Iis!(yT;RKoZxd|OZ^6Xjsq>C4?6r*y z4sMYkS~yxF`a2!LByk5JpkDS+>khMs>(nD-|0mhi*_%J_J%{;bGHBPK~^1&oGJA)_6mNvar}CY1XkA6GPTQAS*aTyK`#TN=W{acci0 z;4}!IwRSu9S1uYLtuPBlE5&H(%Rm>)lm)T>xDZbs#DWCtzd~x?Y2f51)&wf%L0yWN zX@Qn9>oVBagbD^GXWdwhgphG<;ooB>%+Fc5$|6tUC0#`P+~TW0Gw!?_1u1x`!jwX@w~^sq>koWaZ z?XE7=@e-L~yA8{eTaclACJzEm3I*TRbpfX?os5|pGlfphBr3y<$RBdnaQk zKiTA;RX-O>=7)K)IdkbcF8;!YW6Iz~|`+NpKUMXA1S)%SI6a&lYM~t2O;6 zTJzx0X|xU6mb8cVJ6TaZ!8W23Pm{Pt&x#Nx=SFr({Ig0|!9JEM$08%eOf{`-?!M0U z{o6Q0ka^j=pD*b`-LpL;@2OGwP~36nLhvEUacOegSeli|L0V1Zk)!;&$IDiK+JLUk zOa+_8kRGyvoNyp0Ujvd*RD2?UNT$u~6p!2L6Rca_Crh-)%5moeSnC`^?K0}IszAR$ z&^Q$+a0&`kmR7A>n$|scUefwy}W~Jn`#g6%kJlX^Lm`(SdW_ed%q6?ORa>h|1eePc>i$Lq#0;f8#Y zt(Fx@#aN!tz=o?T0>=#+Ocwb_7ytZs`1Gs*>~}5Yv;DI-$__q#YPZVwpKL9z)bvzy z97Sdbj^WcgX z2j~*eO+I)YGC-NnXg)5Jr&W4Eb>v|=RS_1Faxd^)?fx#ol1!A3BwC1A)B`cEo@EVU zHCg+n>py=%b~2BfS?ecQ&(Yb~TIkg^N#L(SWLn)**x~?LDyr+EN8;(>mI7DN*(=y* z!j!Vu0Ks;DAOk0344dXaqDFZo_xM{dv1oV~Znrlde8vaW}P5xafnD4 zRa9b7;gQP)V@FR*mal49t#LGFz|xAwL~uN&7k2+kk2dC51%Xf4)B;87JuvD^c&%3J zt6o@XmjmxlZ1l=qvgHKAP^cWSbj_hodvpN)^gg^WCBTVcG(?;{o3$wCG5QG&etmuj z%H?J)7;@ANFp!~sJEY*5jB`Y;UFGwtOVJY_p<2C0zfcX3W6k$vEx1OT@sHW8tVkih5J`#D{cYQbqI~L6MBrJlU zU7jfKyj8pVdN%R#cQ*R&k^BEc#nd0sO`I2<-gqm(QB3EY#r3-h-@OLE>l3=({{X zsS03jja^f0EX*EE{cU3r#`MZL7v>I1c=mLgCBao3?GYARP&0S2Ujq=IfhtD!a;c<~ zbezp9X0IpoJ?Ms*Xn$hDD!C20Se?2eZ9&V-9Cd6s)%|FPrhKno`4+Yu$|>88s|Eqve&-I3g>;c|ZPxaxUi$#iXg( zf75o(@Y_3$GVzt{Qvu~2h3b>OzYdy-%3z1R=5}pC2H~Vg=?veSZcjcoV;cAka<21@!BS%0MpXNdybMYW8^Vm zT^*y5?XjbSL+cy8ujN{t;F9ArgE?|8{Y$nx_f&QO3F&mY#Vt;`k^F|%!uWS`rk>QV zCJ5v^4Eq|v!+Qup11B*&3xZ<8sSq*e)?PS_E&E_>My`+h5Anj#bWogG)oDBnMO z){lNZvh?`Ge4zn@%MGu2t|;~FSHCVT_fWQoip$C)jfkCc9?90PbpQ>xgTyJLyh>^1 zx^I?C*gvDH5OnjSVK!L?YAl(&J{|7wyk4@jPnN2|lhdTC6Gds@I8zfRgU^lV0XD3A zF;1;fsQq8!GH<*-v!XOZ3fz?c)<*fW+1*5Sv|xKg)#H)Y?{UYtQ1&QkUnpVu9eY?l zGLO9kv&j?ZgoX2&MyOd<(hEfO0?ObKlvxqC+^*VSpCh&LRWJ+5lW#4b7_w*o``$RI ze*g{eKL+Y==DwBh(fd+*Oi|;I0RsXoZl{uBv$1vPA8-2cs%n}?u{t9NMO3RCZ(jY( z<-Rxei*3F%sKv<`G&N} zBZTXczuOf73BSPRYXX4xC$E%Sk!&BXb_xCdar2BB8(E6r15$v?C1 zXjBgCJaAL@x*CY_YFQK-zVR>a$Ej}55Gcwy7%HRa#i2`W0G0BAN%$44YCXBy+~;VH zNTBHGPyf@Ee!PMevtzXRLwVYcEpl;*D=&V@@s# z(tU#(8%vuJI+$4F(z%a=RGUXz9;azy!4^H9$8AB|;*S>_)T-eY z&nD`LdZ7lKb%y-x{SozSq^Bw|zo*&5l_?V!qXEBnt|fZG&l|YnDxyB}$K2ph{LK!n zmsA>#P7w4>*=xs$J#Mr&Z8o`O+o(|D%QL{9zH=K^P z$iD=TFg23Az%%O)ns&5yrS4==> z_9~26rIGJLb7s2UoOtW_$+ez~`OCaIOr!dN@oIlZx}@> zLQYbG5JYx`fj4`NOxa5XCD4101$&X-P`)gY_0uE^J%L5?Ui-p@wV2U-y2}Gt+Ze#( z_v`UC507?PP=p4*frp>`lhDoo^;|63>>Y%E2)QQVp>_c~_DT8PSA*WKuozyUe9;P> z%jpx!`PrI+BZ|Q4Q^xOgX-RG6_wMhiH(p*U{}u6e+veI-VE1sd`yM& zpBMQSA$h;e2he?NqR!HatYq?m@iq(Br(JgXxg!K@@zsnI-+N$BD(hOX@CH9c7_-(J zXt6Y<$%vIP%9lj0OhsMgC#Zhz0tN;wga-9?D{}?A%0N-ZCQ3{NCQO& zNWSu$>Qj@cg%lXuXfY*?j~k6#IT&bbom>ZIc2wf#xlona8H(Eoa@k_oMW(Ya|aA7O^v_ zY>cW%d`$uvpIKhuG3q63BM=#|EmV|9;Bxbz8Y z8e9+&I^pjWdAJXBc!dn-_o+gOxtBg(BA)U0$vpSOiYuHYMxV=hldu3t__q9aC2~8R z@U1`L5QrT)$okF!BchB!PZRd5ca^SL>o*Xdp=<-opJ}5gHURlH#Wu-HuOM;(uS7(c z7Y}-zMGg2#!ur5cg#7*n1QjjK`XBe5FeJ8YjW}H(NzG?J#OYm2jRh{+ASaw;2Nl(; zkNtKy{1gCa?tE$A@WuA)(MPMGl_H4NV>_KdMedV~6w--#n2yzp3tShccWjua$H{eT zo3r~6iE;q72oo`(C7chvYa-#8Idk1Ms+@!Ww8cCgtxNC%(N69pzg*=r6IRs`%Z>Zr z12tz}?tnP2o@fh^|KBI?_zQBwWm2t(8DRS*(~vG0O`%Z%waiqolBJl+$}03G$G5XwCjoNCQ;r}4NiaFRbp`tTKpt)1^YQS z!N{?yR<6Mfv5~2_w*Om|c0&~8*E4zY>~c<*7SBIYR|+$xOP12Vb3`{wa`jLKeb(7L zWTw|VaANEPx4l^6)DuDNu%e2of9PD^-q%c#E^@8fD6mUxJdC&3$v#5*OXra&>#Gu# zr@i2jYvxfA{66BMXF#l31UL9z{3i52mu8YjDy-b=e_epo@u!`%PLf6D`R=J=*G$w0 zjJ4j^J<&J<%bn{tK1?P*>LIk5xjmQyVV@i_BQ~i1*g3DVyTTz-^y-Z}Ny7C}b-&zl z;+EA1{?je}B^1^*C@5-Fy7%7wWJ5kC+8Wl@7Qp^xc^f>ibT}fCf6S+@t`CeYjGppR6lnagLOCrfZXYX88V?0b&zK{aylFDe8ek1=~A>qGo ztA_-9lz7o5!4R0652miu&=^H27W-Q~&uhJ=>GnB+HlEHr#Qgbwcj?xeBL?O4QSKM; zR7T%qPl4?+tp|0_HB(yip@_%)?+3+pr4RB(PdB@HYEC6a!_s+YFbGg6Is;@JfnmIs z@uS0BaW@&<%tQs9UfWhxiZ_KwiP2b=_pD2op}z<8y$8mCKz;98sZhui2pS5z4M%wM zgd%UFc)j^T(YN_A-U6Y5w}phgMMAN+Ma8_uLnUtGB)z3VrEkl~ddr2%-%(KPbHj02 zJGVgZRZugkAT_*tqXr$XiPvh>rt9e9k2D^oAJfC@HyY3ljqt}Cjp-()_!Et0baM;5 zWuq0{+6HgiXh*j{i9gkNn(p9;Kht=Y?&OSjX>_H#x#K+=J?UQFc%MdJ`Z+(me`5eW zFbE&q7(zcEioei!ksfvlAKn;2kBq`cH^$IoFXQ7H)M`1er7bx7OGY)G&C{GG>JUdJ@0&xzS@kQ01w$HXKrkaEZCfA15PH zz-Q)68=#tZgV`l)HHrn%dB>^1_tfdFI1aNb585$^={dP+*cm?z)?l)GFqZCHW9>0c zOieDP^s>Tg8tWp9OzWAhx^g2CIXGf)WDJl1`7|6hjfhVDK=!C4SX+{tq400Ms58@$ zq7w)J4_pg3GAh93+s=oZD4D;qOWV%lzgrk)u3mE6&xE_>k7mEbUfC)1|1gpx0M&Z2 z=_)P=lx_v#)|lF!c^16DUe9JWRMG^Am$s4G$Q!U_imh%jTN?cA@}6 zcgX?}VI7*UWG2`vjUw~4=z1Ly@p&u#WRrNJQ*^H9jI8{krWUwh;V?=@exeRW)!`}|??p6Ae4?=*+vH6fsiFpze>U?j{o;A*|L!s6v(yf|L zfm|1|AA+6NhBMBBL$Qmaa48kLE{?AS--tbUAuY2haz}H3fo9|R{-^twBiUs@bq{!WApAPr}zgp z=%1tnSO2D35^W{ zvCp#4R|i~9K$u+VA$Ws&W+j>hPs}Q4{71Y~>y^shEGW~%zUy)gC)TfP+|M}s638A+ zh-vpEi0qo2A&y+oDDttWwG=Gpu=PxAiQU6KsZa>JB1-|{f?0Sh1rAKod_c-knj+;fmW15MbWG6TjV!=jt2mIWe5oHwaFbHx&ta1vwA- zt3Fj_oQzi9vAP7A_s1^X?t~Iych;?O^Xv{QfZk=nPF-^SnFZ}PMk9o+HS#Z8$G&e& zbM}_tc;Lc=IAA;bfa{d&z>s@z&BL;;bonrAFh%<)1~Xs!1=z!8;D>qBBm30MB#r*0 z2WiGZk1qJm;L?14VH@6MWI`MjJB4zc(qj7P7;093nVWdoi8JJnISVAkoSoE4Z;K6p zyf28!tl093I*+enrXAFv}9@&{s5m~}N$5gdr$dHxvenLK{f4RZaaL06$C?u=KG z4*M#e1PN=FUE0SDr(~KJmaJu^BR_oh>VHRQv{OKt()dV!0na%ybjnpZi( z6U|z7ChXXN*EizoO z1|q4)-3xPdE$%ekTYX;SpOEOWw-4|LmS943__kUhSMAW;scNeK+-s%5umBOcFneV9 zkH2Ko9MsMkn-sXoX$s+`>MN%i8}gKUeQLGfC9R%SErQ*fWFumXxurB?Fk0)|%)f2D zu4s@>h!=;SXqeKbN; zCkM@CR8jA0z1v`&JZ!j9ck5T4wQRs+4uo}N>WH&evOolb03+vQA6V-j@!yf}=1^ps zv%aOVaC|q*QrVv$s~f}92Tv4^lOc&`vxNUuj~ujkxGR6lTp=HnU-(GR&BWNgQ*S-k zIDE*;-UtTLncajP?^$1hP1vmJT$X4EUg?f7 zIUkQVsdRb@I<$#bH?blBT8ofZ$hZfcKjiBp^WcIOryN0=irZ{Y78=jHs-0y3WtE9A z(qaf%CPeijk|mLwMEGOkMfe?khUiK!rk+BLvg&)MYsDNX3sG7SfyJ^Pi>khRu+&8k z>&(2oA=|wFv<2-uz;DvrXqXX-N?N~!Z z=FP+CxDqRQW-aqv+c`9}=mD8td8_YV!sc1fJ|UkN~95F5O+;hsG<-LN=3+B zHQ|2-RpuTnsB2^@d{Ti6^vY=;!@;#?M4+p9e!CgaRoOw7YUqp`Xrd4t%8mCkxeynU zcpjl3w-V$zq||f$?f(KmuriOkOMUN1i4lL8qA&JME@m?O7xq|nCgyfCS2mfngG`5N z5tZ)5XK0>i7)#$kX&bo0`VMp!#;%Wzix0)RL~a}sHleT?OF;K?@rXkZJCz0l;8>}3qQ>_D_lUT>3OzpK;wY@@M^pQ9~`KU!>;`VdzdAdeq>HoU=#SM=1 zjV)o=Zhv3MIR0gc)*guYs+e?Myw962Ofl{ z;N|Aa=Snnw)ipJPL}BOf;XAjFCXx z@xz4cCU%61B6ljVmX$k3-M?>qg=35YRu^=OW^gJjY-@_6D}#89%r@0CbeGDh?yfh)K(o_tARd^ z`VRMl@}L%Xvk&gvvB-XR?kDapbFvijfI{FN*gFphGJsJB!Hy z*f#_nISl}=Zpq6SV-e8)IMeb|PYt*F_{Z6Q<^Ivk^Cb{=3YZSbm$k3+r(S4`0Q*D_ z^Sk14G(MOhQ0zTQ!&)plq3mKy;y($$&bkbzk!jd1d+k`b>IS@DN7l>v!X?i(GrcUPl73wTn-w8vaX5Q~^K!z)arjCCmN%A**Ee%aR|w zK%b7b9V)x2O4kRoaTL$XzE|oB$I*^gAl1Kr{yjR4{8@QV0W?zE;IMV_vkvxwsDwx9 zikRSyDd71Z)le!hD1K!fpHim#7^3icI&78?z)MsW{QxgKwfy=vxeQPX;b@R21fRxn)R>be^D%prhx=9TUvi{hn4wsB@Z zx}xmov0bu3fvRB+MDj0S(X8@2CovMGW%4kQMzT>4UBuRnZ4lP_te_TaQ!-^ssSyC80uZRX-Wh zoYbOB@*krhq>L|6=ToY(t8pxIRq8a?GkkR@CL?1AFW95j(_U_2m(gO4iVYa`?gP9) zh^=)1fg0Ae4$>JFXOk+v!!k`gh4BrDUuqPZ|8Wyn3H17Lb+Q^_i4 z-01`{qBDFy-a&*O-dQZ-0+b~gr-5DxFtxtyFy(L>OwIIV7C`!_FixwvG~~r=W<2O< z_;=#$nOzy-2ETog^w}Lkz!LK!m+1tZ_=7qLCNEAD&4U>)G5MZAk@~nDZ5C6J``gNj z&2q>BBK+MMfuRys9U|+P=Cg&JCRjMq@0ac0H=*T8-~41i0-+JbY}=i5(~QhG#G>iF z%=tf>{|1D7L4XwhD}Z!wSqrblKt3f*;64o-K`sjut{l7zLD)RknN?;K9$nTQmR z{=QGHA5_@-XGFi6q?+q=YO^q5ihM4|AW~;|uwdMDfZ=HjWdV@aRdeQ}K}W~bU*e@_ zk+o0qX?5ZWUXlfri0tHRs^-xLH}0!9DF{bq)7(R)z9!$#81}m_^NHdC5o40B3j_}F zHrUL^4rlEnyT7Ceil#mN4}!-UKok<$`Se;r(!$J1Se`G(%9oJ8c0z609OJZ zWFnrp4}nUZj^D$S2R-9A4>5GH87oOhyVB|7+js4%%Mk$X&)|~n3xH87iU_9H8`DB3Q4M`t$mjfLaFIbJ@!sUF8} zjDxQ^+`$G5bLA;hl#kf$9>4-hgfdR)iPk;(X7gxUd&8b^ z{;OB8Nd6CQ#OdetJk>3_m1`a(^jn_aHf2|vY#2`prtw-BOKwkzJfiyH4G~-{*H%&9 ze|U%Z>-f(NnHeq@9fs>`D`}$QA@U=_Ho)L}ufj;qv}-3CJaz=$k-7`yM^o!z0Hu|= zg{K}(9I41SwB{%}Z9PTmR*yR&JI6Wfee+xQ$iFVf7*0-{d$}BT(>Edw<^0U8*F-aB zUHn5k1RBPMSGu-aXfmpF-~4MJ;i-ipJ4yXu=cT(J9`c1X?x9zlm0E=>RVzLQ-o>-` z6*-!|qTXr(GyQCk07M}0J}o0gDQhhS{Qr*5JRHjQd*IJA&unHfgPE~2_I=HoWM3j0 z%APHfoh+jbgLs*VuTqpYB(J=Pva77UQoYHNCR<2l9a4=o^K+^9~xR9HhlJR}d_;c+uOQ@$q-rG;GQgr>5e#O5{A+Yz%i~VUnI?%fRduQYEKDv%_ z7pS6$`1H51iyD z*+u!JH?9H1fZ6OOUJ;D{tGRekN(MWi@ui<|6)!>2B;^8KJ_2vK@*K2~H+he#sWQ_C_IQpw-?*0zG+9w+pYA-B8E7(UJ9zQ! zz#LxOfpq3*J#m1&QAm1YPBT>&FpVj^t77?-q{r@6>Hly11j*f0WL>2d@A;Hu&qk~C zKNxo=1)IXxRsM&MeM(AT@2d2lACDuQGu>QQIZdGFbqfS)v0a1=43yuWbpPsPa@X|G zQ_|tjBPvSN@lzykf#8g3fkLrTKZ;>ACDogvIl@MtqNk$RD8V;=6S$#yfeF1Qj{2cs zlWe$%?#c0Kw82GD6x{qZ* z%*d4-GBH7}(4~zV6DS`oV~rb8Hq9qTA0;nTgA0xIu)0x^b4HoyDZgDqtjk&OGxzvw z%9~(kbutzl$ zxlqwlMlz$Q$CWoOK0rf{-x7=7M&?B5OW7V<@uMj<5z*#*6e5TiC+N9HDc9V$ROWp- z#{V+#I@qy<2W_KO=1~g`HT^FaPSv?DKM(I#BiA{FhB5}{tDdsYqda0{6g@GjluPPk zmg;t^SFhVY#)mf0)NenQeK>bz?kP9wy6nlwr*n~ZFuBtb1rqs3M8nTW6u~2Np}9}L z%9xjgx_6jqJZSTk4_{ID1MbLyj8{NfUbJuIix4^7vB?6@GR;l6L|8+X3ucU} zSoO~(xtZ4=ZG4%lF46IpyRiIrqmSsZ>RhOGhC*;%7+?Q0ikj;cmDI%uIDMSm}rAMhN=#$@GA@nAwUZ3I4^RMB5b zN;ITM{+A`VHJf0bmL)D_m%!prohh!(-x%B;WJ65)DRnW`G2S(Zj#QXF1()Ap5`Rkm zbc*@7ro80!n|v6y6-$t#>J ztoE`-8twyTLIA4#prq)vlSYpXX~jdzj$g=5iR%bdR7vee+qRjst?*j(fgaDB1tYJI z;is~@<=gz=G%VdiPKECFZ z(H$!FY%EfoN~qSrMq-R}t$m_STTpH{9S$2u9kjbmO$r7y1U~6f0bym1>ID^(x?mM3 zKP*z`I3{>s2Ph*$1%Ug3J__2)y1~ABpCrVEXyj+nK{1ia&UTtXq4g0sP&nE%g&zaE z_bP?xtVasyyX3K%UAYiL0frryt;P(;Af)9yV5%+njkjph#o} zctrW%35#b|On9!CORoINbPLh6OY5Sez;L__?mC!zYl3lhP4zT;bH^KDxA1(>JveH;$aAkxz%U;j(p4&1QxdAZ$PB`V? zvIkQ!vmJM5v)a->e7EjYW;p8CNwr(`J!=(M4(?C@T8P?WktI4Q;;#%p2yrCe zXHT#>QalNC!dBhJfwoR41jaY@DJ1;w)^3|T8V%fJ9Rc(6MHP9| z7Ani_{lLpp7aAfT`6eT5tPYmSE?c-?eHh&B&XE}Xb~io849{GYc{o3-)p&v2g&JRH z_S6rM^W~L!nWVf#HOr%E5DVH^#xVgmK*zxl=#Y zPIljLVm**quy%Mnd1@LOKkUp2a(?6z|zcT27--58M6KUp{XB6`(u^^6B{`9xGWLZ`zUu<^}?G*;-7gJSg zN*{R8o=Hm&#^ly}d1FP^c!qCN$aizLng}`{*4#IblMUG0$AMx9gDLmTQzZ72XpQfP z#V^o>fB(Yysk@204wfykvRjrmzAz5l#jdWy{-&t-(>&JQx&DrLbLEv9ROgkkg=yw8 zyA(k-BI^|c;*wB=sOn3HbR*l?3XvAo<&vAIWW@AEJF<<-@9@V0+Qe`DnT=psvH;fc z)8=$L4(KL)eDv=(xDNk`_vkShAq}yL*%IFrxU6oldz>DNtZ9;y)tDA_eXbsA0(8y% zy~;BdAj%sqG`k_OGtYxI5vP|wuJI~j5@!zVyq}gQWTTpRS(@CvyiIcNQ_4jLg)iO5 zcwuo!tRUUov|!|tM2G5Sn>xmddE7IabG0+Is1{|1T>~2yl4Z907mtpl=~f6?!nEMo z_3+D|6BKN6trkVha#FtI(B~&vYPO}ECe5*I(}Sf_Hc=e7?U@XxRd;)K&L%fhv*|C1 z7X|2KX*i!7j^!Zq*B<^llHW{zw)^liEW$|=jy@q9zPw%*;esM)&dKl2jzn`ON=G?} zsZzG$u2AS~>6r8Gtin>-#ME69)Jx~j-ah+*y4154%C}no4vPEMa7Hti^@FXE#%RMCeE0&+;;b+!P^kr6-D;s0Z z8cE)u@46uSGK}fFZTvhg+v?_g0bpq-*s0ZoZq*r54-xmJx-^k^0h*e1hCWcdD%O+v z3GGWoJFORMX4i|mCgsRpO?aJ6a=bY{m=K&)KtH3y;OaHed>4Lt3LIk!w``1{RoyB2 z8e$XVk^(Vi41cyTgS(xYWC-V((V>4)X10IQ_5diZ?X?y&Ib$C6T=o~wxza~@+~tlp zk*1!D0*^dmHatU2R=nM{xm=Q+b4H==U|ZF7AAe3^Vq3qF#hsNC_mJ+tu6#u@*}}Fr ztT)eJ8dcR6%FmKlZnSwWQLLD#_79WGuC!y@32xApM{DQsIRI)S z{iE7%I9%gDPV2>^00G@nu$O#jTh|Y5+Tqv4y!plRi_S0WGuqrW6fsfN>J_IQEMnY7 z4#XaKbd;i|T&j{rdzY^ADq(c3*y)N}-~z+=%(FYiw^NDrEl#IJPx1AOd`zz=th58b z>);MXe$k?;3MsJF=Y$oyB%{;ZF`c_vjJpc}e7TfJA&(~ac|nJ@rRSF)F)Dr}IMI?V z&AX7y#QDP(dM4fq!|Ez{H3bz>5}&ikn>Qs=4+cA_`hgAehOk(hNEBN@dT`doj_`5n zp)7lr-`i<4y)UE;=14_au@~E6JHKZ|?&_q?n=!x@>F+?J#Js~`g>B^enBWGC|8h1m z^BDrRr+(mr!}6qF{2SWI=s{aVM_}K^&&(mZXAKO+WbQ0}%xLULH_Owt#$8$yRVeLc zj)+eSn|i&`F|g8d9kj{g-f;xU|9Z6ZVb8A?z%w zz059T?UT#R9eH`(aC>k5wpS?Y`2~kRkw!!9+Sh3*wHHW{f`-=3w8aXv3p{=3?LW9j z3Qr%niqy~^mFv{s#zZP=^xdP!p@pPQn;6c<2k;3&>+;YV%|s$eseO0hrQiD1Wf{RS zi~)_3H2~RL5eRVnvunF@X6jUrfOFpV7?kpEq%c$fr^TDAxvT4A6!xzGQ8*6ON@X23 z6uA;#ccQ6MDWY@Kj@yi4UttWYJ)+_EH+Qal9);p*W@GTO|6F&_0bYJ5wAceB>+M(i45Vk~O`o z1H0C^Iop|;x!Z#6HOEFSO-16-$6h8skyX_!tN5=o8aK+nj$^UQucc?4uK3m*u`H+rUAwZX5N>%&LbonHiNt%n`LjOWwm{ zxE&LjVIV<5FoQ%rfVBOi=!9&?MFF-@tSQ+QZv-_i$ODNHs5-bHqMq@?To%ndYdp$L zl3E-9loLi=>V07*r+n*lhNyPSCNN_!U6$qy%H%9)d(N^(D{ajiKa1mwkj`1l*@k%w zufY}#X60o6y=2v?+gA(vQ1sF10YX$?gI9X?MBh(g0X9CD4W_#F2|exfrx~bc(600` z9-oMay?=0OM*btCi@p^(+cGyYh%L8M0o>z5L_kJGmmAN`h{m6oSx}UvzXdd86ruce zPhH6|md@hxe_HuPGQWa#j)uqbuEb6+7hM|+`LH}_-Ki3y%|GwVAs#RWJE?EyoTJLh5ilN4McNk z1-m(0H1H?QH2PoHg5T-$CYnd%{=*q|?D9!@(m{WzjARpd1R-sCPz3(v9qw6f;oOek zc>3R4nWpTnq!7e?&9{<$R`pQ$%q>FW$%|*UTRIm7sGZ8&Z@G2SfxKr5z0eoinSXr~%JFLR#9pavz&AVI+j0WE=o0xl;ME#)qtQZ|I zYr;Cbn%Yd@Z+`-6E#lt2=iiF!(-64Sy`GfS#d<;P*hgja^0L;x@l@xW+E_pG=6M^H zA=#_Xa}c(6BS#XwFa0l;fQ^HMIlsMXoI~Gb*(;l-Jf03|M1V zoViI(FHZ3AfrC>V+Pe`fxkVK7C#NiQC{usj&cr>AQ7_XffJu<^v=(@=P$R8Rod8X# z3b*1&C+CNjkMd=NT$Vl*!f8PusSi-|REfoV3C6^*TQ*3J*EFp8FWF|TL|#zg!blWP zTi+{Yv3&j(2XMdhhaOQ6v0jDW@VD_^BZrUU-PaFVTGx&_SP8ohf3vY%I0%kbX!T^w zUu+glIE=oeUATK?FnZ0oOEjHmG~oSZ$CH5eO^f?V`hDc}dlq`)GAFJiLrJdF6XjWX z?Os5(@3R(|)i0&5Ckn%Wlz47lccKI$YEm2{`H7%y07{&vqkBM4-@wpl&sbZ-6wP~9teyN=7pRI4UdR4QQ`#vCoh}}qMzbLN2zOS0jdCde~KY~xK_iaUG1oLY8?P% z@Wf@z<>VFo6n9jWRs7XbQ(Zi4Tu*rIx*a)2yO{jU;<$CpTYCq`-$xJ1rf3noJ+1cK zEuEbX9X9JsO$!F__{$;}wEzJ48|3E^Bvp+MnjA8X`Cx8gxoc(Z;bkkKo9o+s%q6DM z#-7G@bkgzxlzn8h`QDoao(c*+jqeD<0WO(;y%8%hrBt;jH#ml&Cg*6MPNcFcTvkVYaFH4JGv35)7^4ZC?AKY)dlO-`c_Ya9LeW4yz2YYE+mi@YymKv2?#zdF-&##2-1SiXE(CAEK4C)YK~7FrHEXr1QhO zGI(GxS&wK)6yuSMiIPSX3Aq~a*K4kaHRd#9&mYaCbegAjkj_xR>uNkHG122ENHT;m zsxVMv!;{ePkXPLQkiMdJOjaVzDk;^u(aqnIxndZq!M6vNB55iHCZBB*EyTrnks<`f zac50y!9w_oJBQj#LLLktS$l99IzHie?OzkxV`Gf)r}+h>Cah{Jgp2{9_4XygjG zQNFZNZBnbw(@OD_2bWJ=+n}hE1g!(`2L3<8RaJ^$o;>02tweC`zzQGMaIu-p2Tq4x z_Q!!wNt(^XjdJt~S|U}Dw+Qm(LlP7V9@bq=#fV6dT~VZxNtDWVWnJxE;sLaw)+HZV z`9E^1cSYo`wSABWR?UPC>PYb1loZ94FIxC)ILV(maF#Bml?QLS@N5;+Ehg>rNBlZo z0+(B@F|MV46}B~AIwa1+NeJo}E6W^cx_Tpxe66ltx}(^^sp@xIl-HLgc|RDBY-_A{ zNtY&SKaPcAL;{6WMY}vKLy!+u>g1&Ng(Oc9f+j{#wIsq1Q1FUP+38acm0hMnltC2YCFI{ouH`;eYQw8gv%5Wiz#k@ja0$oRCU zG9SmE$Ib>q1@_Kxc(z%VS-5Q^( zHP>p2YQUbn9-U6GPZ89pwof3NC;qatQ)HMHCvGVkyFp1m>!79Cw7xwcZg}=H3Mu`p Qb8Hco1OT05k^Ex+2TjN;rvLx| diff --git a/palettec.map b/palettec.map index 7a3ea9f6..ccb4c94f 100755 --- a/palettec.map +++ b/palettec.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:33 +Created on: 15/10/03 12:14:30 Executable Image: palettec.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 10c6:0000 0000edf0 +DGROUP 1134:0000 0000f060 @@ -32,26 +32,29 @@ wcpu_TEXT CODE AUTO 0000:50b0 00000058 16_ca_TEXT CODE AUTO 0000:5520 000003fd kitten_TEXT CODE AUTO 0000:5920 0000080a 16_hc_TEXT CODE AUTO 0000:6130 0000158b -_TEXT CODE AUTO 0000:76c0 00007158 -modex16_TEXT CODE AUTO 0e82:0000 00002180 -16text_TEXT CODE AUTO 0e82:2180 0000010d -16_in13_DATA FAR_DATA AUTO 10ab:0000 000001a4 -FAR_DATA FAR_DATA AUTO 10c5:0004 00000000 -_NULL BEGDATA DGROUP 10c6:0000 00000020 -_AFTERNULL BEGDATA DGROUP 10c8:0000 00000002 -CONST DATA DGROUP 10c8:0002 00000076 -CONST2 DATA DGROUP 10cf:0008 00000102 -_DATA DATA DGROUP 10e0:0000 00000550 -XIB DATA DGROUP 1135:0000 00000000 -XI DATA DGROUP 1135:0000 0000002a -XIE DATA DGROUP 1137:000a 00000000 -YIB DATA DGROUP 1137:000a 00000000 -YI DATA DGROUP 1137:000a 00000018 -YIE DATA DGROUP 1139:0002 00000000 -STRINGS DATA DGROUP 1139:0002 00000000 -DATA DATA DGROUP 1139:0002 00000000 -_BSS BSS DGROUP 113a:0000 00000bed -STACK STACK DGROUP 11f9:0000 0000dac0 +timer_TEXT CODE AUTO 0000:76c0 0000020f +_TEXT CODE AUTO 0000:78d0 0000762f +modex16_TEXT CODE AUTO 0ef0:0000 00002180 +16text_TEXT CODE AUTO 0ef0:2180 0000010d +16_in13_DATA FAR_DATA AUTO 1119:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1133:0004 00000000 +_NULL BEGDATA DGROUP 1134:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1136:0000 00000002 +CONST DATA DGROUP 1136:0002 00000076 +CONST2 DATA DGROUP 113d:0008 00000102 +_DATA DATA DGROUP 114e:0000 000005a5 +XIB DATA DGROUP 11a8:0006 00000000 +XI DATA DGROUP 11a8:0006 00000036 +XIE DATA DGROUP 11ab:000c 00000000 +YIB DATA DGROUP 11ab:000c 00000000 +YI DATA DGROUP 11ab:000c 00000018 +YIE DATA DGROUP 11ad:0004 00000000 +STRINGS DATA DGROUP 11ad:0004 00000000 +DATA DATA DGROUP 11ad:0004 00000000 +_emu_init_start EMU DGROUP 11ad:0004 00000000 +_emu_init_end EMU DGROUP 11ad:0004 00000000 +_BSS BSS DGROUP 11ae:0000 00000df2 +STACK STACK DGROUP 128e:0000 0000dac0 +----------------+ @@ -90,7 +93,7 @@ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) 0000:1c7a* IN_KeyDown_ 0000:1cc2+ IN_ClearKey_ 0000:1d3a* IN_qb_ -10c6:074e+ _inpu +1134:07ae+ _inpu Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:2784+ MML_CheckForEMS_ 0000:27e0+ MML_SetupEMS_ @@ -115,11 +118,11 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:462c+ MM_TotalFree_ 0000:46c2* MM_Report_ 0000:507a* MM_BombOnError_ -10c6:08b0+ _beforesort -10c6:08b4+ _aftersort -10c6:08b8+ _XMSaddr +1134:0910+ _beforesort +1134:0914+ _aftersort +1134:0918+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:50b0* detectcpu_ +0000:50b0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) 0000:511e* wait_ 0000:516a* filesize_ @@ -135,9 +138,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:57f0* CAL_OptimizeNodes_ 0000:58a0* CA_Startup_ 0000:58f0* CA_Shutdown_ -10c6:08c0* _finishcachebox -10c6:08c4* _drawcachebox -10c6:08c8* _updatecachebox +1134:0920* _finishcachebox +1134:0924* _drawcachebox +1134:0928* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:595c KITTENGETS 0000:59bc* kittenopen_ @@ -148,7 +151,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5f14+ get_line_ 0000:5f86+ db_fetch_ 0000:601c+ db_insert_ -10c6:0494+ __kitten_catalog +1134:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:62dc+ LargestFreeBlock_ 0000:636a+ _coreleft_ @@ -164,422 +167,453 @@ Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:75dc+ heapstat0_ 0000:763e+ HC_OpenDebug_ 0000:768e* HC_CloseDebug_ +Module: timer.o(/dos/z/16/src/lib/timer.c) +0000:76c0* start_timer_ +0000:77c6* elapsed_timer_ +0000:7818* ticktock_ +0000:7884* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0000:76c5 __STK -0000:76e5 __STKOVERFLOW_ +0000:78d5 __STK +0000:78f5 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0e82:01b8* VGAmodeX_ -0e82:0260 modexEnter_ -0e82:02fc modexLeave_ -0e82:0314* modexDefaultPage_ -0e82:036e* modexNextPage_ -0e82:042a* modexNextPageFlexibleSize_ -0e82:04ce* modexShowPage_ -0e82:05ca* modexPanPage_ -0e82:061a* modexSelectPlane_ -0e82:063e+ modexClearRegion_ -0e82:0756* oldDrawBmp_ -0e82:08bc* CDrawBmp_ -0e82:0a20* modexDrawBmp_ -0e82:0a86+ modexDrawBmpRegion_ -0e82:0bf0* modexDrawPlanarBuf_ -0e82:0c0e* modexDrawSprite_ -0e82:0c74+ modexDrawSpriteRegion_ -0e82:0dec* modexCopyPageRegion_ -0e82:0f56* modexFadeOn_ -0e82:0f86* modexFadeOff_ -0e82:0fb4* modexFlashOn_ -0e82:0fe2* modexFlashOff_ -0e82:10b2 modexPalSave_ -0e82:1108 modexNewPal_ -0e82:1158* modexLoadPalFile_ -0e82:123a modexSavePalFile_ -0e82:12b2* modexPalBlack_ -0e82:12dc* modexPalWhite_ -0e82:1306+ modexPalUpdate_ -0e82:1876+ modexPalUpdate1_ -0e82:18f0* modexPalUpdate0_ -0e82:193c+ chkcolor_ -0e82:1c54+ modexputPixel_ -0e82:1ce2* modexgetPixel_ -0e82:1d68* modexhlin_ -0e82:1dd4* modexprint_ -0e82:1f6e* modexprintbig_ -0e82:20ea* cls_ -0e82:2158+ modexWaitBorder_ -10c6:04b4+ _VGA +0ef0:01b8* VGAmodeX_ +0ef0:0260 modexEnter_ +0ef0:02fc modexLeave_ +0ef0:0314* modexDefaultPage_ +0ef0:036e* modexNextPage_ +0ef0:042a* modexNextPageFlexibleSize_ +0ef0:04ce* modexShowPage_ +0ef0:05ca* modexPanPage_ +0ef0:061a* modexSelectPlane_ +0ef0:063e+ modexClearRegion_ +0ef0:0756* oldDrawBmp_ +0ef0:08bc* CDrawBmp_ +0ef0:0a20* modexDrawBmp_ +0ef0:0a86+ modexDrawBmpRegion_ +0ef0:0bf0* modexDrawPlanarBuf_ +0ef0:0c0e* modexDrawSprite_ +0ef0:0c74+ modexDrawSpriteRegion_ +0ef0:0dec* modexCopyPageRegion_ +0ef0:0f56* modexFadeOn_ +0ef0:0f86* modexFadeOff_ +0ef0:0fb4* modexFlashOn_ +0ef0:0fe2* modexFlashOff_ +0ef0:10b2 modexPalSave_ +0ef0:1108 modexNewPal_ +0ef0:1158* modexLoadPalFile_ +0ef0:123a modexSavePalFile_ +0ef0:12b2* modexPalBlack_ +0ef0:12dc* modexPalWhite_ +0ef0:1306+ modexPalUpdate_ +0ef0:1876+ modexPalUpdate1_ +0ef0:18f0* modexPalUpdate0_ +0ef0:193c+ chkcolor_ +0ef0:1c54+ modexputPixel_ +0ef0:1ce2* modexgetPixel_ +0ef0:1d68* modexhlin_ +0ef0:1dd4* modexprint_ +0ef0:1f6e* modexprintbig_ +0ef0:20ea* cls_ +0ef0:2158+ modexWaitBorder_ +1134:04c4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -10c6:0000* __nullarea -10c6:04dc* __ovlflag -10c6:04dd* __intno -10c6:04de* __ovlvec -0000:7702 _cstart_ -0000:77d5* _Not_Enough_Memory_ -0000:7907 __exit_ -0000:7926 __do_exit_with_msg__ -0000:7983 __GETDS +1134:0000* __nullarea +1134:04ec* __ovlflag +1134:04ed* __intno +1134:04ee* __ovlvec +0000:7912 _cstart_ +0000:79e5* _Not_Enough_Memory_ +0000:7b17 __exit_ +0000:7b36 __do_exit_with_msg__ +0000:7b93 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0000:798e _big_code_ -0000:798e* CodeModelMismatch +0000:7b9e _big_code_ +0000:7b9e* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0000:7995 __PIA -0000:798e* __PIS +0000:7ba5 __PIA +0000:7b9e* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0000:79ac+ int86x_ -0000:7b2f int86_ +0000:7bbc+ int86x_ +0000:7d3f int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0000:7b5c __I4M -0000:7b5c __U4M +0000:7d6c __I4M +0000:7d6c __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0000:7b74 _dos_getvect_ +0000:7d84 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0000:7b7f _dos_setvect_ +0000:7d8f _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:7b8c __I4D -0000:7bdb __U4D +0000:7d9c __I4D +0000:7deb __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -10c6:11d4 __argv -10c6:11d8 ___argv -10c6:11dc __argc -10c6:11de ___argc +1134:1234 __argv +1134:1238 ___argv +1134:123c __argc +1134:123e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0000:7c5f printf_ +0000:7e6f printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0000:7c8e* _heapgrow_ -0000:7c8e _fheapgrow_ -0000:7c8f _nheapgrow_ +0000:7e9e* _heapgrow_ +0000:7e9e _fheapgrow_ +0000:7e9f _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0000:7d07 _memavl_ +0000:7f17 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0000:7d4c _nmalloc_ -10c6:04e2 ___nheapbeg -10c6:04e4 ___MiniHeapRover -10c6:04e6 ___LargestSizeB4MiniHeapRover +0000:7f5c _nmalloc_ +1134:04f2 ___nheapbeg +1134:04f4 ___MiniHeapRover +1134:04f6 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0000:7e26 _fmalloc_ -0000:7e26 malloc_ -10c6:04e8 ___fheap -10c6:04ea ___fheapRover -10c6:04ec ___LargestSizeB4Rover +0000:8036 _fmalloc_ +0000:8036 malloc_ +1134:04f8 ___fheap +1134:04fa ___fheapRover +1134:04fc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0000:7f9b _ffree_ -0000:7f9b free_ +0000:81ab _ffree_ +0000:81ab free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0000:8008 _nfree_ -10c6:11e0+ ___MiniHeapFreeRover +0000:8218 _nfree_ +1134:1240+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0000:8103+ _null_exit_rtn_ -0000:8103+ __null_int23_exit_ -0000:8104 exit_ -0000:8125+ _exit_ -10c6:04ee+ ___int23_exit -10c6:04f2+ ___FPE_handler_exit +0000:8313+ _null_exit_rtn_ +0000:8313+ __null_int23_exit_ +0000:8314 exit_ +0000:8335+ _exit_ +1134:04fe+ ___int23_exit +1134:0502 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0000:8142 __PTC +0000:8352 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:8175 ultoa_ -0000:8233* ltoa_ +0000:8385 ultoa_ +0000:8443* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0000:83b9 write_ +0000:85c9 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0000:85e5+ __open_flags_ -0000:88a6+ _fsopen_ -0000:890d fopen_ -0000:8a30* freopen_ +0000:87f5+ __open_flags_ +0000:8ab6+ _fsopen_ +0000:8b1d fopen_ +0000:8c40* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0000:8aba fprintf_ +0000:8cca fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0000:8ae9 __doclose_ -0000:8c33 __shutdown_stream_ -0000:8c4d fclose_ -10c6:11e2+ ___RmTmpFileFn +0000:8cf9 __doclose_ +0000:8e43 __shutdown_stream_ +0000:8e5d fclose_ +1134:1242+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0000:8cb8+ __ibm_bios_get_ticks_ -0000:8d2f clock_ +0000:8ec8+ __ibm_bios_get_ticks_ +0000:8f3f clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0000:8d56 kbhit_ +0000:8f66 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0000:8d6d ftell_ +0000:8f7d ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0000:8f53 fseek_ +0000:9163 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -10c6:0098 __IsTable +1134:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0000:914b tolower_ +0000:935b tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0000:9159 unlink_ +0000:9369 unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0000:9389 open_ -0000:93c0 sopen_ +0000:9599 open_ +0000:95d0 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0000:93ed close_ +0000:95fd close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0000:93f2 filelength_ +0000:9602 filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0000:9450 sprintf_ +0000:9660 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0000:947f getenv_ +0000:968f getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:956a __PTS +0000:977a __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0000:959b read_ +0000:97ab read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0000:96d4* __clib_strdup_ -0000:96d4 strdup_ +0000:98e4* __clib_strdup_ +0000:98e4 strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0000:973b halloc_ -0000:9820 hfree_ +0000:994b halloc_ +0000:9a30 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0000:9827 _heapwalk_ -0000:9827 _fheapwalk_ +0000:9a37 _heapwalk_ +0000:9a37 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0000:983c+ __NHeapWalk_ -0000:9935 _nheapwalk_ +0000:9a4c+ __NHeapWalk_ +0000:9b45 _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0000:9945 stackavail_ +0000:9b55 stackavail_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) +1134:050e __8087 +1134:050f __real87 +1134:0510 __dos87emucall +1134:0512 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0000:9953* __exit_with_msg_ -0000:9958 __fatal_runtime_error_ +0000:9b64* __exit_with_msg_ +0000:9b69 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -10c6:04fe __curbrk -10c6:0506 __STACKLOW -10c6:0508 __STACKTOP -10c6:050a __cbyte -10c6:050c __child -10c6:050e __no87 -10c6:051b ___FPE_handler -10c6:0500 __psp -10c6:050f __get_ovl_stack -10c6:0513 __restore_ovl_stack -10c6:0517 __close_ovl_file -10c6:051f __LpCmdLine -10c6:0523 __LpPgmName -10c6:0502 __osmajor -10c6:0503 __osminor -10c6:0504 __osmode -10c6:0505 __HShift +1134:0514 __curbrk +1134:051c __STACKLOW +1134:051e __STACKTOP +1134:0520 __cbyte +1134:0522 __child +1134:0524 __no87 +1134:0531 ___FPE_handler +1134:0516 __psp +1134:0525 __get_ovl_stack +1134:0529 __restore_ovl_stack +1134:052d __close_ovl_file +1134:0535 __LpCmdLine +1134:0539 __LpPgmName +1134:0518 __osmajor +1134:0519 __osminor +1134:051a __osmode +1134:051b __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:9976+ __fill_buffer_ -0000:9bc5 fgetc_ +0000:9b88+ __fill_buffer_ +0000:9dd7 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -0000:9d0c fwrite_ +0000:9f1e fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -0000:a085 rand_ -0000:a0c7* srand_ +0000:a297 rand_ +0000:a2d9* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0e82:21f6* textInit_ -10c6:11ea _romFonts +0ef0:21f6* textInit_ +1134:124a _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:a0ea __CMain +0000:a2fc __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:a150 __InitRtns -0000:a150* __FInitRtns -0000:a1ab __FiniRtns -0000:a1ab* __FFiniRtns +0000:a362 __InitRtns +0000:a362* __FInitRtns +0000:a3bd __FiniRtns +0000:a3bd* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -10c6:052c ___uselfn +1134:0542 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:a210 __DOSseg__ +0000:a422 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:a211 intr_ +0000:a423 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:a225 segread_ +0000:a437 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0000:a28b __Init_Argv_ -0000:a2ee+ _getargv_ -0000:a61e __Fini_Argv_ +0000:a49d __Init_Argv_ +0000:a500+ _getargv_ +0000:a830 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -10c6:052e ___iob -10c6:1206 ___OpenStreams -10c6:120a ___ClosedStreams +1134:0544 ___iob +1134:1266 ___ClosedStreams +1134:126a ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:a662 __fprtf_ +0000:a874 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:a83a __LastFree_ -0000:a8b4 __ExpandDGROUP_ +0000:aa4c __LastFree_ +0000:aac6 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:a9c5 __nmemneed_ +0000:abd7 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0000:a9d0 __MemAllocator -0000:aa9a __MemFree +0000:abe0 __MemAllocator +0000:acaa __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0000:abf7 __GrowSeg_ +0000:ae07 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0000:ad6d __AllocSeg_ +0000:af7d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0000:ae5c __fmemneed_ +0000:b06c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -10c6:0022 ___Alphabet +1134:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:ae5f __lseek_ +0000:b06f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:ae99* __dosretax -0000:ae9e* __dosret0 -0000:aea5 __set_errno_dos_ -0000:af0d* __set_errno_dos_reterr_ +0000:b0a9* __dosretax +0000:b0ae* __dosret0 +0000:b0b5 __set_errno_dos_ +0000:b11d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:af18* __get_errno_ptr_ -10c6:120e _errno +0000:b128* __get_errno_ptr_ +1134:126e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:af1f __GetIOMode_ -0000:af54 __SetIOMode_nogrow_ -10c6:06aa ___NFiles -10c6:06ac ___init_mode -10c6:06d4 ___io_mode +0000:b12f __GetIOMode_ +0000:b164 __SetIOMode_nogrow_ +1134:06c0 ___NFiles +1134:06c2 ___init_mode +1134:06ea ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:af89* __set_commode_ -10c6:06d8 __commode +0000:b199* __set_commode_ +1134:06ee __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -10c6:06da __fmode +1134:06f0 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:af95 __chktty_ +0000:b1a5 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:aff4 __freefp_ -0000:b095 __purgefp_ +0000:b204 __freefp_ +0000:b2a5 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:b0d6 __allocfp_ +0000:b2e6 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:b286 __close_ +0000:b496 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:b2bc __flush_ +0000:b4cc __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0000:b505 fflush_ +0000:b715 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:b51a tell_ +0000:b72a tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:b52b lseek_ +0000:b73b lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:b55c __doserror_ -0000:b56a __doserror1_ +0000:b76c __doserror_ +0000:b77a __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:b576 _dos_open_ +0000:b786 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:b59c isatty_ +0000:b7ac isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:b5b8* __get_doserrno_ptr_ -10c6:1210 __doserrno +0000:b7c8* __get_doserrno_ptr_ +1134:1270 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -10c6:06dc ___umaskval +1134:06f2 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:b5bf _dos_creat_ -0000:b5e3* _dos_creatnew_ +0000:b7cf _dos_creat_ +0000:b7f3* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:b604+ __grow_iomode_ -0000:b6eb+ __shrink_iomode_ -0000:b72c __SetIOMode_ +0000:b814+ __grow_iomode_ +0000:b8fb+ __shrink_iomode_ +0000:b93c __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0000:b7ec vsprintf_ +0000:b9fc vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -10c6:1214 ___env_mask -10c6:1218 _environ -10c6:121c* __wenviron +1134:1274 ___env_mask +1134:1278 _environ +1134:127c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0000:b82e _mbterm_ +0000:ba3e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0000:b881 _mbsnextc_ +0000:ba91 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0000:b8dc _mbctoupper_ +0000:baec _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0000:b8ff _mbsinc_ +0000:bb0f _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:b951 __ChkTTYIOMode_ -0000:b9bf* __IOMode_ +0000:bb61 __ChkTTYIOMode_ +0000:bbcf* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0000:ba91 __HeapWalk_ +0000:bca1 __HeapWalk_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) +0000:bee1* _fpreset_ +0000:bf0c __init_8087_ +0000:bf3f+ __default_sigfpe_handler_ +0000:bf94 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:bcb7 __EnterWVIDEO_ -10c6:06de+ ___WD_Present +0000:c004 __EnterWVIDEO_ +1134:0712+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:bcdb __flushall_ -0000:bd6f flushall_ +0000:c028 __flushall_ +0000:c0bc flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:bd75 getche_ +0000:c0c2 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:bd8f __ioalloc_ +0000:c0dc __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:bf1d __qread_ +0000:c26a __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:bf3d __qwrite_ +0000:c28a __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:bf9d fputc_ +0000:c2ea fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -10c6:1220 ____Argv -10c6:1224 ____Argc +1134:1280 ____Argv +1134:1284 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -10c6:06e0 __amblksiz +1134:0714 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -10c6:06f0 __Start_XI -10c6:071a __End_XI -10c6:071a __Start_YI -10c6:0732 __End_YI +1134:0746 __Start_XI +1134:077c __End_XI +1134:077c __Start_YI +1134:0794 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:c172 _DoINTR_ +0000:c4c0 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -10c6:1226 ___historical_splitparms +1134:1286 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:c4eb __InitFiles_ +0000:c839 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:c71f* fcloseall_ -0000:c726 __full_io_exit_ +0000:ca6d* fcloseall_ +0000:ca74 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:c730 __prtf_ +0000:ca7e __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:d8a0* _heapenable_ -10c6:06e2 ___heap_enabled +0000:dbe0* _heapenable_ +1134:0716 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:d8b1* sbrk_ -0000:d8c1 __brk_ +0000:dbf1* sbrk_ +0000:dc01 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d929 fsync_ +0000:dc69 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d92e* _frealloc_ -0000:d92e realloc_ +0000:dc6e* _frealloc_ +0000:dc6e realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:da28 __setenvp_ -0000:dbb4 __freeenvp_ +0000:dd68 __setenvp_ +0000:def4 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -10c6:06e4 ___IsDBCS +1134:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:dc12* _ismbblead_ -10c6:122c ___MBCSIsTable +0000:df52* _ismbblead_ +1134:128c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:dc6c __mbinit_ -10c6:06e6 ___MBCodePage +0000:dfac __mbinit_ +1134:071a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:dd47 _mbdtoupper_ +0000:e087 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:dd55 toupper_ +0000:e095 toupper_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) +1134:071c __8087cw +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) +1134:071e ___Save8087 +1134:0722 ___Rest8087 +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) +0000:e0a4 __GrabFP87_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) +0000:e0d6* __init_8087_emu +0000:e0dc __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:dd63 wctomb_ +0000:e139 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:ddae+ utoa_ -0000:de4f itoa_ +0000:e184+ utoa_ +0000:e225 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:de99 strupr_ +0000:e26f strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -10c6:06e8 ___EFG_printf -10c6:06ec* ___EFG_scanf +1134:0726 ___EFG_printf +1134:072a* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:dee1 ulltoa_ -0000:e01e* lltoa_ +0000:e2b7 ulltoa_ +0000:e3f4* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e08f* _dos_close_ -0000:e09d _dos_commit_ +0000:e465* _dos_close_ +0000:e473 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e0ac* _msize_ -0000:e0c7 _fmsize_ +0000:e482* _msize_ +0000:e49d _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e0d8 __HeapManager_expand_ -0000:e5e1 _nexpand_ +0000:e4ae __HeapManager_expand_ +0000:e9b7 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e634 _fexpand_ -0000:e634* _expand_ +0000:ea0a _fexpand_ +0000:ea0a* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e669 clearenv_ +0000:ea3f clearenv_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) +0000:eb74 __Init_FPE_handler_ +0000:ebad __Fini_FPE_handler_ +0000:ebe4* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:e79a _bfree_ +0000:ee76 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:e7bf _bexpand_ +0000:ee9b _bexpand_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +1134:0744 ___FPE_int +--------------------+ @@ -595,6 +629,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001fa50 (129616.) -Entry point address: 0000:7702 +Memory size: 000203a0 (132000.) +Entry point address: 0000:7912 Link time: 00:00.00 diff --git a/pcxtest.exe b/pcxtest.exe index 12efb89c2ceba91abde497d3d2b2ac86ff573f07..f70ed9623ead59f609e78560fdbb1bd988260b2c 100755 GIT binary patch literal 48076 zcmb4s4M0>?+W(yoW)N{CBm7LUwJ{_O`p}3EE%8!YA!?$1IOb;dZ6z~MyNcsZ7MBaN zRBv0Z?{1mfW^G%!)vh0#Ay*iYt^$@K7`ke9FPDsv21K0u|2^l<09O0H@4q}SbMCq4 zJm)#j^PK1F9PYg5bCZiRnPzj(I&__nt!(DF*UTK3$Ql3HUf{S#r*T{lN@6O<-Eu9* z`L5%*|3>)-%6^mrY>$`O<&ZsfRM zqx>G_O_XcWIBwoe9Jk$`X`pjPY0eu0#0_ z#e5gXWuQEPBA~RQL@ngFWE37{CCW`v&Y_L?ZO-So5h&FtpDg0It5CmYF~@y+H^-IS z!*MU8{0XHN<<=)S?q4V^D>-iClN|TR(;OE-xubyN-bH!R!*Nm($DKhL^$f>NL%Hu+ z;N#aE_wjEqH#aoqi{bDW66|C!_JQ8vBBadY10xIetZaqpl= zDBURW?*cCX#f$Pj$`#S* z2W1?dy@=miQFfp#H#>(ujPe6MrwqYbq6~>e|0u^$EWfV=+_wq9@kr1s%1o43x8nQXvF<2ID6>%BLcQ&Bv`4uDFkFukH377a@{dH0+k;Y% zat3AeM9d8(9VG|lev~&+K0%RC4xpSv8F2;2eLacereDc%cRR5blkpto6v}rfhi*)4rNSf zMcKY>iClT%=Xmz(zn1f#mo?&3*82|SmqLZee<9`{!uPvg-)7=cYxA~saH%bM+h&={ z8uQBeqj+L2FVFuxwQ_qrmnYP11I758=5IP>5))3F@U*WSRcNsN8E)qr96iHZ)ziPg z`{MO@{+9|o_cv9K|H35NlR5%?5ORR;+Sr0J?WkihhXHB0ywu9FhCB=^&d4iw9i_21 z9&xpAbSNqR^}lsN!^d-gU#QEw7(jH-< z3SWD)aB|}jzJ25LuO>LQaaM$O~(W5NoWe$(@LdCIdHkXI*K76<7 zg>8;)*Rt;g^u2sVkL#Yh?_F|d-C%Oy$`YDPb?JKw#wG6=PeA*d7I!zL+io%!MVHm5 z+nP*n^MpFbJ=<eq&zXUiQFaiE|4dT(#oyCyOR>>?L1TmYH)~G55NUhsqOE zPSs)YK?=ThYk7X0=!z9{9SK5yUwMAtHm`a94(HIa{E$O=Z)}Coz64;^sU_Upryg9H zxM-RCfhV6xoPEvg zBFe<8W+x>sc=lJz3Ld;V@wSx@OiN5nyYa@U*QTUgJ8jC8DT%oY@3cpV@i&MkQJY~F4>q(J|2w@|H<~%mOwnQH$|{rhrZ=n_283-J zYAM?XtZg-wRf^3GCyoC-mYpFT9b9V`n=MVwP1l?KmB~#X|5BbSjeRuB1De^?;o72tC66XxFcp$^F zYO#O()m(D$Q_dt>hb1OvVumButv25{!!y~t+M4N^=#x#_xXi~_c*cm?=2$ey^u(2B zTVoS5R(kB~zScv&nka7u_nxO0KRr9%<9Yo4v!~bJEPgLcUt}(`-E9_+iq&u4Vor1( zvIu}|d)FnOWke+(xVG}_yS}X1z{IF+S43@|Z7y@nF>lVnuiMO3Q%e4S_BrV~{y8S7Baj;Y9_xiPqmOK%UCt9|fIr_Yq_2|u-1nL}? z_r{gxkFTswaGLQT@4Ctz!)fe{v5P;xL!KBWeyOgGQ(w`A%6a+1Zh<7y(Q)8Ri|g(> zVXx4M@6YJ?%+1eV#K?P$SR54MOdK}6zt|HteuZZ~$ zfkBP>z(9SQQIFA352+Ms-)__cYSh0vP~TzH18UT-HR?saQ?QGCPik$-DLkHJ+8GPr z`>4f|NQ*vd(dBpbVj2QXL*#>0bs7R=sq3D7(O3eu0?|TEIp0H)^wF^u3FY}c^YubV z!x*4QSsX%ZG`4cxN4i^lM>vb*Yv9Bqea4ZVG$N8DAWab1-t9WYL|jV7IMt0UH7}r zFwg;)daJI!I6dZm*MtA`-*!w_m$dw2+v9Mz;mm%EF;-7%2(XWc{0$SCBXNZIL)r51^6Blhh0JF zCTag&ilDJwPgW#El=px z3&GBMVJ}$Op=?eBRR?{0hzYY4A>1?gH&fT~W*0}&&=^>GtS>A>sDf5vrvp7K{7J11 zwKGx62eIH1q@G<|WM-o!v9?ug8AVqx@(A5zDZ^;&Dv^(NLU;^3i>7DapQjnqkbxYS zCYY8N!~%})O>Kb+%3!aJBd{DwXhH>jU?fl#jhUNNi;g!;?lIf0FkVfFjU&vsM*uB5 zy(abR(6))3b}Dw3rl7e7S&ojfluHxAt-AJ6cvYf>00gqoD&&UBT|HucsH}nHz=R9J zZm?@in6LLiN>@6R?ZB%9H41EN1qYAwyF&BD{NB9Maae?uZ?FbJk1{;O+KBmmLX);T zKQ)-|P+rts147Q4Cmd#kT|ND%gApU93&>)xa4%&G!^ksBY3L=~3FokTIe}}@1w1Gd zE8Mo~aYCzBBl5jOKL+)@MQxjdc8g~i>cfb-`(6p;&b~X8ZPp6yRDkbmas>F0b7*xT zXu1z;b-jP7*#N?!l%n@RASiQti8d|1^hyjl|SeAXU99!XID`ViA%Z`8|TGkF7`- z7=kT|Ln%8C))~Aepy3{&Q~65>OL!=}Br|{#;_X+?RfxF^C;4K2&!QSThVnjSnuQh1 z1I&71SLp=oXeqjKe;>V0J!xC|_aU25Bjks$r&C|IoeOh_LGp}tZ#YNzrd6?HWIMpJ zs3sF2Wh_l^U242lnq%6M=#JfDb4PFE60yp6Ck}4)ZBGSh4go`t2nikDE6+QW!#xb| zskPyy-^s?@-9w8Ju3`wcI)%H;VpK|{_td0JZW07VIY)bWM;X)}jP*NuquDo;^As9{ zMb*l)toLT2fmjc%mL&>R5De~NKu&c=NtFLczz(v(Tu4gL8##CbAo?=7;wgjcuQ7TO z?UBt74~ct{5-Zfskh+?6LNbm)V`9(*b4*tE9oQ-`8ekQzP}ulhsKQW!po8G;Bi&d# zCbNWIpd4hMr93z>JZ=EZ(dLtGwkE<%HD9MEjxeNuL_HuCGqpFUx_|8T-Gn<#z+;C9 zk5g~d@hdR^?}s{7r)9^2A9g4gE?_02x9R#)7ctL(l$>LX2N65me~g%lh#qiF?cS;D zf>j{x6BWwkM!Qmb$|-GUKRKBPkyDm(E=X%+EP0f;5N~}GG@y>kG;!{sOgDIs3q%=< z&_9RWL3$||Wx_hY&g{NQr@={^>L+kQUqop!BQf~f%;Z(F^-LIK21X>!f9e#GD@hoVMb}u4^j*LC*2V=hef+;1WM7bies>*~ zMC+mlfcBr`p^m9-p|DYsbW72{d9X$R*tQ3CmT|PX;0TN z7^=kFP*@@f=P}Z)W8Ie++Y`o*NCn~-EGW#2HM-V@p$yLi32pbNb-m7^?$N0&4&}Z$ z!^4vf&D`U_%izH}^m$97)>+Q?g>_l20UmzS1`4}-j)*v6H;B1&f%!3=|iFc4ucY#qZBwXegkvrQpO zxsHuLXu*_;MvswAVK_tyba@)mp^O|0dvDO_KXe*4qV>uY=*&%!;FAu3Qi4{}>~@;a zmI$x7PbfSss5<4nqCTCnbzMD08*p zjTd0eoDuRnU>%6A9_$C;5s9mYO~Ci1+gF_xs#2=Fr$gAu%tBSQ>x7tFPkaEIZa4HU zwmDeTUqfOm(1U>sP>9Gkiuv`TD@6OlWXuNN$+oRG@x4iWpL?j^byRen4%!pl!bLMb z|9u$miEfy5y>Mv{#>-d6Q;}~yB$J1~}RcMmrn&_;&E`T?jg)_C673iTV9tIfe| zY;hJ!YZgcmE7xBy5ebTabF@7}E zg}FX!!90dZQYa$~0eGMNj^-Y;o7|U){I2d5l>)yD!~9=aUrGCJVAWs(G2QG+*5}W$ zewH$JWEl5MHO2mahWOXm{~75pHgG8aK7)1MD;x?&kr3DgA+U?Y70H1;Wv&{b@RU&e zMVafkP`r;eFj|~4*9olu8Ky(>jY2+A7wb|etjA1$2kzKQ?ydYY{SRENBqR#kVgH^1 z-Qe+2A^&s1)gibP5SR!ar=9XKC}Zh-sL)1S&G%Io8tl|376+Nh;OBdOo}KzI?nsOa zb^?@Sw!pDeR)t#A8StQpfyfQkhc!t8mqA*9&56M+>YL!|&qdc!h@_{;Vc}|HoDsqC z?r#mZT8tPEbrCEqjD&N;?>Z&AT4|T`yN-*lFT~;y z^vNJ#xoo6RL&mVP+O>y-`&E9|3DLEWz4@GhLbN(_7MK_YrUrPIu$FNUNvVz9g_Uak z1=tU;Erhd4g%EwW%KiY4A&7QxWpv_d6uy!>4qJH1Xm6y;o50ANXCT z#ljeUST$IfY_S?%;!2?um+)1zZ}L^77k81)ySp8HZAd9!an&%-jd&Frocm6{Yd-`P z^)fut3keCl&Qv<%ZQtOxyT*8~GT;dF_Ji$=rqyv!y<*`2%2GNzG3mEdhi*)hDJKpk z6YU@aO8G9xl@cqkkMoFKFAEWm)?kal== zoF^)s?=2WY0UJ_TB+{D<*G!i3r3M~_wKB*6Qg&+uW5fUw?=Xww0Ows}(+;n-d$yym zqK}E)!`_{jjn*)qy_3HK^bw8yH=1CD(1%`IO|+LOsCy~?vN;oK>3*;bP~Cq_621dYw@FhErn zjjkY2qYX#c{IH>M;Q|~Hx9Zx>P$sa!*&WLLqrq!k!fvA0_6qD7$zP>chG1Gldy_?z|YSm@|s0*WD16)tEYH;6T7EB>}Jc*RMxcttT*+&*AY zI!vTpu+?QwBjstM4ar!cH|3Of@_|VyL`6^_A#D~6JjJ$V>~b^NIFVg$7zD(SuvcwH z+(5^W|EwL;)JdYchr#s%?Vu0Z!CaGxLaN)Y7!RJz6LW)z7LfOn#S3PQf&2_H!|NA= z`wM(S^8*c{8=)6{SRKmAODYDMC~tkk=1b}(w91gK4|*mT&)^Fujnc4>py7k_yC__~ zU>>6^ar`NV=s4}zq&NaLvz7U3K0NMFnv@C_wp~E+R6!yUZlk5TR~oO6oS=0rVAUj+ za?DO~B;f>lbQGGPg;SqEO%RBuaFwuoypr(sXDLxTZBas~WbeB3DNzLp-kD}kluxp0 z9XI8eRt1GtxQw*#)`e1{+#}1g%@hQTR=j$R)vN`|niW6Hx(Q5Rl0Fr-+t71~tn4^d z(ttSN&J^lhS$96;$GyYukMy1qQ@er6QzvOwKEtbN!PwH2EiCckRV{Q zTEF~dz-B|%NWd0tefy4pEe4NSNC;VkHePMX@W?hXag{jV)l>&B- z-q?cJ`m|W9FKZgU+9CY)glXnT)2V1WY|v}_>H;>0^& zK3=FQtKUAH^H<{4^5PTzrd>^zvu_FYTdfCsCJoORQfRi?Ilix?ey7e2e7{iESk6O# zdif;r9)en!s}XTvFuNx*kUf_&cmlS$=G!L*Z1do$zK5*R^Ha3bFg%s3ll{$aPBp)I zwfW5yD6=={{$_91Ettm`Zx*KGjzZf5QwHYb-mQI)qet%?esLk2SRt z0OWnH*%syBmOW=KaO2x!MHTZ-?Zb9@7JZFL2BeX7_q7|YrLw&g2@CtiHk@L-V94#6 zWlhA>0kCwIvi4M1PC%f~EUUy;K-++|tUmmFDSIx=Wat1kkV_U8I#vp*g@k&>(|Ki0 zEL>IVepuLx7+u=lRZ9`4I|gx?6XQE)E3j<0acR4Yekm@D7MIx48VctLh-$})c2wRe zER0UttIvr=P;b@ULaS-N0EV)PYt6!NVYOMzu?pE%!D9tT9y6jXKFN&D5`0Uek_0x~ z8{(4q)JAPfT74l*R&l8{?X2ewV(x`8)J{93-{}yG%;;KNYZX>owZBJZf_SCS09fnU zEOxICVs9v?8Dg>ObJw6iK@MR@f zIZtfrVYr~!^zN-2h}{PGcILzR?%za@A`BFJbz!>zxM6BQf?Cw^CSL%KM{|J%UPfY` zO6MlC)tMG3usDUlR;w>C{NQ)%5zP9Gi`xn{wC|u|3P9tJE2J7fdOS}F9iv;;x2*pA zR%dGyg&wDcbQ_;$O>1)B zh5)zVLX11L)_8Bm;P-N23itST3kRJb3v$bgbF38R%&{^b{X#1O8Nyv7gu{BaL$oIK zpmwU2bAn2;i3qY|%K0vFTv;Q=BG9^M2<6M;#{O-frb{>*ufFy!{p5ff0HgK88DSAGROUF)DU;*ZY8sMyE_`x$o@4L7-;q1q^5_&LSU`Q_K zgBX@3$TG7K|BH+t66{;8)rcw|J9t{Sfd%RUIV6j7Yzg=gO`l@vhXcUnAnPxXGlCw( z(~nVc@TUfH#>8<^r*$DG0ThpXunDQPm}@!0RIXkFpWhra=m3LJ7`ruKg_=h(!G5`n z&H8LALe~8=hJ1kLbz5eeUX&e96X+v}a!U>Tz^RQ)-hwRBZGD!)nd0n!2#1$x4}grbaoRtH>3tS)dXGh`rI3D)WpkfJ+ofe0J>L-{ zpwF~(t1f}|Sw+2lfmm4;X!lyyAl=btDT*=LB17PL0pGO_?J{b4ipB+v|60e;CUt=> z)N8+`sj=ppt~E!+ag#c>T7{~EZSasfSZ@8Q;3q7u1?+KKQSiB3c{nd%vU1&FMIK5s^%=Q3ED{g&I< zR@O>837J%$-<6PZYW^f2Y*-k7Jwri9v=5z40s@S1Ig(7$ZKJG^c#};*zSrWu88sxf z($MbSDQK4xr-2{ zc#$k-;%FX12bA?bhtkGY?_FbQ`+@%mMj4V1eg)tGcUsb<4D3qm^Q)Mv+v44y=v~pX z7I^|@kHx#s!V(7X0l@Y^RD1WC0W3ln-hE!7K6VzSY;iw|pJq30Z|F!lHU%E!E^W59 zP>?>(q6vp>p`n~M4X6m$k%uh&z|Lux^*?~KKTF9?)+`bGtO`4SxFhWo?W)M<^^L0! zVY)D3XvSDDnsk>xI$y>`hFB1k>mzc9HVi3urn>&&4q0Q3;2Dln+{%Hv*ao zT&{_TN{4qEz)Ws4IQ<-oh&B`vBt2{>X5nrId~i}G;uX6`#wY021L2Rn`JWv%BIIN! zBQRfm1Ch2vS!u3tKTYaV*uQWc`lZbyw>RvgAX8Ym6|L+-H^s(3gZM#m01Odkqgh(0 zIiijhcp~mI0_IVmBB!u~0_K~V98Ph3vjYM1CWl}}z`WTZ+-Hu=hy)))eQGUQiV4jQ zikLK;D%=yR$K!>jvSx9HIM<9enCHgR{ub7cquHVDWr}V845JOo#Y>wo0(hBrTrA}Y zZhedA9BikR=CW33QacY>kflZOF}JCrz@j=#+a_^Hp|Q+zD7nqB(~!nOi4aEYJJYmt zgfY*ta94$dL)%AiP!{|(DV0FLqSE7Vz5f-i_ji{f?!?yR?2(9;3VjArg`E9BFQ7ki zgmwdACU!$;aGfl-&Y?&~dqQe)FZ_O(hAo0tm(NP^gCp~XySa%^r!6YSwwy`>n#a0FqE+-3j(7YyP9IpMMGS6XJpBD&B6 zMj#ZyQ$is|WwP-c=@)MJdP6{kQ9m5@gbell(6$kfx(W0>j5kUki6(q6Nj-Pebk8DAYn&`vIw4D|A=>ZG=Uv<&M6lTMW{Z~S(c(6 zBFwYiN#@jE>_x0I-XP~(L>O$by6u6eS)4jt$Nptjqsfg04Yb1v2ML(VNgk4&ESsY@l4ufupyXTbq;03l@+dt zE&z41ycp&*Yjuk}ak>ySU07&tXl&Sr=CMrh8GaVBl$$1P&^n1WVMB&@oYdg@#NbT>wq-_B1vPfMx(o*y#ysI6Q51fC7$QSgpiv#Yy3)+d6QcZAo`C&~vMXS@u z^O*A`t@g#Mdw7ql4G9T>GFK2=00JkVB={W#xZhDKW`87&&Ce zKV$}Vk{dFKMHgY#^&$`fC)Ea`Bj#394txQ5L^+&?llVUE@1Uw*G+ z3vJI&Ab$A^#vdSQ00%iu^5$*;28TALoani;8sSa*2I1fk$vu{$Erkk(m5>6_jbs*< ztqUlJg~(kxk>DI>pw(Cg#J{QkW;Qy5n-&6Vz+}nDF8~fnQsA8>$|zBo32lGkNb-~U zk;dve?G#1=#h^dzUR5Oem175uRz&QCG&kbshA4p~fk@2fCav5Y$Y z$a)|bZkBTJ3Ih96AXeM9V17Pncxn@8Xn504IA9QDrPUNgi}9w;w5pRysQO%9tF#-?(e>x11f+1pd4B0qE@h&9n8gCo(k<~RinJnM*dvIzf=m1;v zFmUtg_$^*{{D)@YY$}YtkHN!X=ABYaGz#W7bm|)L^T@OwSRbTV8bk-$Bs~iLT*< zQ{(+emn`=B4#*brGsn!luMW^U;_*znZ#q{&4l65^>=>vxKgvgYn!QI2Y(e`h&r&1O zk7TIS+8R40!%_S#oaZ&Cfp$tV&?C{E>l#?Lg%QT3;dIh4FQ-1M#3*OF-Qx&Dk#?T! zy_FZu@P~S~F@fhPy?_su@;%Ny7}!~2$2SZd5j{#>J;^&KjZN8pP(LY_`UzZi7{}G? z)T3x;?sH!q9<8pItlAqRYQ7OPuf*4ZTrs4w4BlZMsVDq7#VF)9>;NE0UEA}2*FKV^ z(Y+vsa0XqLk~waD?OK~Betk<}9L%R!@8@0^$Yk|hKHkW&-+r!wDJu{)Oc}{i-aZHZ z9;Cf&d&vw^j!2r?0|eh^E6udKLEt!t(*9 z0mApG6DctxED>V2S_J9fNqBsmLroHza9qPlOk|z3(hLp2)%BzN&K5&R2TMW z8?+=?s)z=$7Zvjl6|0n;d(C8k7#VCGT?KoIQ#oxn;gRGIv`cAQ6sJtpapppqtgFqP z{l^l1jbJ$Wbpm`N?0f!;z4*}#1&ZH~3?;bogxp>zJzmfYc9>??9Zs~66$KZc2|Lk3 z85#o4F?5yMGp>YEU))tfYGgUTzpODu7m9mymqYs(auCX0`xAhX2Aon^0F;!|&W~e2 zUCK4sVdrEY-XPm#uDJ~6Z%}6wkrs>$R%v)x; zVb(NnU`b8tCAu~S0{?_jvvoKq_8=V>5f<5yz(ms^%e_6JtYN;06NCGaeUyON%tv6A zf+Wu?wrDhB`b|5{xFSxDaP7} zI6BH2lt0*f#V5Gp>o4l|1dgFBa5u8vj{oQ0e8s1@Vyq9c>3%xeDebtg`1FqfKCQJ8 zVz9>RFR)p5|9F;Ru7r0Tem3k58BdzG+9WmHB=0tb50nNw(i3c&3fZ7HUM zLJj;6(b}#(*hzeLvvbc#__4)BA$00QpoV$Wemm&UOqPnM!}-@!rE461vUSlr0dfdnJx4)V)u1qo+vG9E6NlyOJFf{fcd z^T=5*i`LJghT!>;}5P%^^ut(!F+&HlFxaSVM zdz0OBdH++dc3hjQEk~|HVRXi?-FM^s(-`2TA6S~&Ea<;`4SyD3VloLnI`sLd=gdWI z*WGFLnzV+(wv0vY%QA93^%zEI_1+m})+FtQ4BqqEMa}WRs%;Lpu_kJ-(^E@i!7#8_ zTaWW3CD_5C%ph?G2ePu^;V+HKnCiYf;}@Ppr}qx4iD2yspG=vtmzru{(SvC1Yn-== zrH@vpce(X~_9a}x4regiYW3`+YJioI;;}hPvd3!MsSo7(80v!1>_3)TnO5)qRyfN; z8oR%qrF|a>)L9XvBbNZ81?P3o6mmR}4KqrRNF??7YA8FRD}j8)Cr!JxV=!Eq`%j9r z9G7-(?ZvHYSnFeG-I8{$c)!r2eIDjIGq%MY*m#TK1u7d^v8S+SyRKhX+4+8V!)>|3 z0YsW6tq-obJ7S(OLDGxyrv`{0u|2b~rMZG(zV$yJ79a;uhrfzhb>V8Iy9T>U2>U|m za6!r~8Lqu9bPR5Q{HGe~H@C)~qP-9Xk@7zBgD@(E+@AHdt7lcaqJ3*)boV0g6n0KB z6UnZ`b_BD&ko-q+qVhT+@`@p`Hb2x_e80k!FCW7gb6-Y(eXEO6ArIRDW@Xh_2%HIC`EskPP= z6+w?2@($q$psKEB zGBF=BnC8x5GfDG2Sz}GnXjP^ zJZ4XYeXF1CA!^F+gRc|x19f+;Mg8);ADWXZ$PVF(6MTG-_~PiLLV!5qOQUJJeQj2G zVNY4ZTpubcY2A+q`;0V0XmBKx5&U&u(S;<=A!sc#l(D6H;?^?M7`D>x&Wv#0h@#3g#eci=n6y zgd+vBoH~7qa|fB#g$HW9p799adt6szNQE2opN7z``DyPP!kq)P!r?86=%y6L3L3uU@t0B(5Y z0QC!zmdWZz7mASmALCmM$!V?09-D|SVzwhJeOVYFOIfr#oOwy}n}W8SXEI?7mp2f; z#uC0fm-&j@kfUS(D9vZ{wH8BZ20$yl-KfuiMM93{FJ^{-=oAE;%i*#>IK zr-hL~FJxVwQ%3eec;F+PgvzlVfCc8E1jY`f@;nR*NHaqxh~|nAplXZe)akm~Wl~R@ zG71nrJ;-G|1(N!XR7NaJ6y=PLKng;P)3-XB^Tbgd`BQ9GuxhlG!xI2SJN7v!ek`XC zDY^lo-*69Bw}4XG$#+Gzm__NX}9wSCV{#LCR`t>^JR`;g57|+-Wv_R1+QuTmd0;x1R z3T6?Zl6a1>)e__jg~YX#Gh)RFZktft1;x%7X@Tb&#%5O=$P@32QA&(KM$n2PRQyH= zDHz4DWMkBqLh6+!wc4cKW`bm}s4u{^8bj1bc{HmyiUX@3B3KI=7{O>DmeBxgh<47s zK)k~t?A>rPMH&?0jxfs*!zjZ(W41c>ar8HsoPWYuCwF0vghmpSC3dWE9H-uOfr+a- zFQ_BWXFTE#W;_JgV$UO_3M?3S{0w)w9Le_|(-Dv#Z?&p*7m9E;{6fa!f|!g2fcn#r z+R}@vX?n&39w*j*1e{Ai%q)1M2$Nv}wZvSS6GHL@>`UPSg!BdgVCK|=eT6S& zBNb#R`CU?mk)PJ0UUC8Bm-44e=p3=X$(MDXm2<15uG1-c=?mZCnu?TD5K?flFw!IY z#-aS}Q-tnN9r`iBP&yXJUyfqX-JxPat)RS;f9y5Blc!hr4OkG0B z0wgDJuMhd>BQ!kqQ;H;rxo40Z(4L2V6Z827zC$AQ#r>q7rTli-0I8dC(vg@O#JBoE zz)B=`S)Z@CEoMzOCK!#xWADrqw}w`FcAVOKo(Z5!jLBf(yO_eM?f7|!HvWro9jSvj zkuYRYcs8o(3h7tDwDuq`j_U0SpQZfDA(&0pkezbbFOu&=t%1_Dkwg>z7omWp@8Wae<_ zqKU(85SH!85#pPy_;CoijVqhYXdKKQlSsEEG1?@QP%_g|mgn`t&T`im^V!8x<$Rk% znNQi>IN5SS$iclPr-Yn%y4WNKnzJJ0j0*Bc07OmBSa=m$xz&YeTAD-q(!-$r6~+kV zEBcnYmfVRn;>8ur%V(olqxy?@&J4 z5tb}dv7L%@A%LhQ$)H055)+Ma%Eo2$s-WoeCXQBk1aQ@&^-o#soZ$v_{P>F8^59^=F%1VpWNmTEok$a`1KNrTR47x-nF_| z*KpEuWSu14Syt=ctz6A57>{9r&;R~i&ItI!=91e>D2fr*#{MoR`gb{w-{FR&oH*p# zPFPZBTLAw^lV0RN%wn!pTx1iAV#Kwv;*-(-oH#_rC)CNDB%37JEPY-f$yP~@l4P4C z+a)<#l7~ogj3f`0B#)8g zv64Jak}sF!@sd12k`pC)q9k7-$-j`~Ns{U}tFM^l$&!4PBqvGo6iH5&@uB+r%PJV~A>$@3+7fh6B0$qOYp zUy>I|@?uH8TaxdQ5dlepizJD#`Cj^4}!+eM$a6l0TH>t&;qAN&ZNZKbGWAB>5kb z{7*^VCdu0+d50wLl;nR&@~4u#OOij6%zn0|FlKhP%E0U~Aa+@S;lH4xI-%9csN&ZffJ0$sgN$!;7 zvy$8;$v;SPP?EbPxkr-ENpi0wpO@r5NxmS-AxYLH`N{&zAJ0jD#A9dVQ{<+gO$k;Z zA;EeNJo0r5$j5^;6cPq4sk%5Gq0tuiFk~GML3H65r5uNYnMfqQkJPtYkWUOX;$|j3 z%CqCdalV>_Kz1)&_}QKG1D6H{vU^}=LXc*Md|HB#-60^~S?Hkj*rl#}C4mw)cd`zU zJfwZHfPLJAp)1|-P+^zisxDI#)Y^30wYa25w9|!66nmmg9h`3$s(e)zB!H(?6-1?0 zBE;ZOcIavKtFBIMgvmV8S2aF;uM4ai&hjFhN z@B#pa__D4whj6J(CDY`wbC&a=vPK;4z{7U*iLKb*WWmLB=_mzsOERF=f{;aZ$n z?9q#=(xk#&NfPYt@|;jwQ_NGuOw5 zaAp9)*JQU8hXPSXdNl>EVoib-P9I&zX%Iap7Lt7Lam+`Zr>C7Q4Ck_9(Kd!LnATD7 zx~BSyL*tMgmTaW>pch;j(Sd_e9No%NV+Tz|6vO5TwQWEwo?jEu^F+fP#n)=c_ACfE75Sep<&Z4NNa_COP;Q+ACc=tv}#8w zO++|6@LCjI-%Ksrv+=WM^C&AE z7MLc3aPZu4w);p0RJa9tWNKN8{wZsQS2wleq}46fF;?mzW#9|}OYt;P;xNCoz^dUm z#8!MClt?=sNtc80qLN&#pvD(Mzg? z@yIadOa-@lv!h4Jl4NEG+V|MtCIeH>9$*~B?nV$L8K`D>2gGta!Y4_Ty$## z36})8Qgu%}oy`rE&`qG28x9SEnsH$l{F@n2iV^?8xL_KijhWM$!=!mt9@ekC@CbbF zdl7a@*;{t7gm3bK8o5}eb3H{>y?+4mZ%D-Yl1fE+)Zl$k(=kT61A@<>22C*0w{dkz0S?$`aGjGUsl%q5nb@Dw6+loxi0{Ci^V7BT-XIC~uTwp zW^pgQqwNGJOhu6KppEg&@^|1Y$r$gC`VVHGX_ z>4jrH?D)n#Q12TqUF6S`$u>u4?v8FGH=RZN<2zbpx@4#ii>ci3J|qnB<@u2I5k{@_Hz#Ai;X}J{|MPzM8b|jC0p@b-gMSiY0HkKb z*LENO_}!6pNsNGLk7JZP_HT^CSc3l+#sqH;FoAO6J@)ixnIKC!_TEKI zuwTT*3?!j&mU;kPhk!6-ap7=1PE->g1Xquw^Rvt=(MJi}@r)UIG`jr_{LtEsgG{Z& z4pCDb%5UDQ(CQgUdz~(8sLuH4a9K^p$Dj=#J?tuBNI0w!v5l`i76-f5^>T@8rtG@k z%A7pw_2OT22TYu20=zuo+^q&3d_MX;$R&h$T0i3wwFYekJw|c`hz{|ezcRT;xhui& zrL633gRhNx=wEyXJEV>aBs+1Z7T;c;-;PWK+yz00yqm~W#$E4ZDzD@=w$_T8VKe_m z#|6v8uuCKZWM6v>9SHG6m9Oa4zR80D2)oi;v2n>_gv~#<7!@ncOBN#qTc3&3EFAK# z>F|>m5MXS?lCQ<7G37!?s4LI!5cd9uk%f+duC$l&Mt8GP`ybvpCu-V0{vYtnY4SAY@MAn-oeEwY5F>ii_?@HTn7?dyn#6FE6mGS2+%Ka9i=oAdo{re z8_sKe{auD#okv2O)jd(xUiWNM??Kw2h3@3%42dhd5Nc>Bp@u8PsZ8cqj+++I7Ff z;KU1*_HVAZCf<_f)JFvucq<5t$agL}SkL#jd=4N|5C^dTS$UE>lDQhbmJOL8JJWgF zYKyvq1Dt?wPchKkF6>6e>i~=fY(PVy4I_QB<>#P7%D1v{3&Sm4jD^l^bfOL*M0?U{ zor>rE1m?&3dm`9s?9(WjOj&63g7kjlN+Je#JS`qhH?cPe+jh)}i0fVLD=`3=ck9%p92 zF)`L8x<&<8Ty`Sb8sWm0ztHR%dlD0m6Z5U<`CSnv&hOH5yJ&+(_@dqtev__{#(Xxh zH|h2sbiUJqtV_CR2R3Rk7=rQx2H{C8JcBo${0kBXaOn>+NfBKN2=%7?o(%)&GPV@l z2jEcV{KdFy`HYcZ58=&sCgp=O5Uo!N&x#~^TGg8ALRB(7r;UHmhz`Z{7px531X%T9 z3dS33QDEHgTo}_M|KY7LeX|GHeHnrr%BOEJ3=%;*2@RO(_sB#WJT=VgRra>d_b>`# z*bU=o4SPa30s^b>W*36)9-%5iWWHj=98xas(7@H~x0vu~C-|`@16Ue>oe4MWe?J2| zQcDWyWZ;EA)4V`eBs~~lELB?h`p<^efc%UJ7mtqnzwiu!&eC{H$XPNH1j>_$UVZbA z8se@H);n&juCXVFyN@<#WBs3*)pNZsWGNagoNYKcO@F&-soHH?S8P)(z(t&S7s74^ zNa1(p8NJQ$g{-TQ`C_Bpk;_ypt0(PLEE~FUdoSImztxh2BvKQKz;4(_P8lCeg3BCg zUln1pB>zYJeQq=1pftZ1^C=k#h*mG??|nJ9di>7i+)sL^k^Z-SH~pwz^Xl9C`;FmN zCqPH(@7Dy_|F{0;UDRJ`zU}AcgE`>**JehQ(1UT(2pM;bTyb0h8;LSB^2|VP@(dY1v3c3~GzrhSWCMO(~j%PuqIwQV^*Shxtq zG+aQeF=j=~ict?Gt!PpH=A(N zIb9G%df{nk)GVo)PAbWp!k9&USYK?U453eqdeRs7Gkp=4Y}J##xDU#rz<(a(4|pF$5$Y|-H17$cGp5D<}S+6!;{X>06+gym~~(jLc+ z3fcW)>`6JludI>gg0@YgGOb+EmBcjQz53+@6AnDEvyk7s!DboYTjAiOM4MYp2v-n( zkkVwQ^h%t6G}_R11wAOb#=gurJO9@=!Z3%cS0c9$_x~8Jp^6xi(->#1Q5&>--LV*8 z-9zSM$JR|gc5HB)d;7;BBp}n?1k?fk*=(GE-2y3bd|(j=0%3z7euP1MNqjsQ>#fLlJcpg3<_>e}y)@;R{3Ha&0W_=~he!ekt z^sE8|$$>C!oNBjpH_;^k2(Q7|X%+KZf%$H1TQ4F4O*<=_KJGtZBO8ajG>ab!QWTJg zEaueOs2G@Vq)wCWw}`c)T>=SN(3=PMk1|KU+)xh)MV+I)N) zImy!bHt5vPSyC!%o-fo>N}ey$Q%;rpi*%ScOfy^RTiJu#>~V;k&KccB8*-h{;p5w* z=P7X1q;8L!-2MH0#l$V%zMM;LOyB*|D$7gZnyoQ6?SB6FD(mydgWpG`q`*frd$x4< zwUyIL?5RQF2uM=fsM@)1zENN6NflvK{euQRQ&#WeTkZZPFEZjT*K^Nc*^FE_J-5}N z%=;7DleB-Uhmd$|C@453QU<*O7t1=7)IS+I!3pCSB&Y@VAO8vQ@Dol0#kX0Ar*Ztu z#J7rk>nsR4w&7yC`7@W!pD89yADvx<-Ly z7=PrTE1g%UGn~M~2xn^e-jsB{Yc2Lbq=R(oxk$+GWU5Fw+qZ~~;ViY^#P{Nc%-k-m zMQt{Fi+j^N7H0_8Uv`py^{ts{7}Fq?DQ*o?V@pTS8jM^1k3zC{lc=}mvU=iN_)`an zMHwE3wA7Bs@l+(QWCIPEefnznz&o7jQLAySazA-0|NigDyrSJ>ab6zJ^g^3(RxfNV zJAxa_kww${z3Rn*`k#CB+z;x5eI5j|>ofng3m*9sefVoK#rwI$RX|B&=Jm6XBUFQF z>>52Q!0+G^5fM+VEYJUkz~kRG@JoRI*S~iC$jXJq%IxcM{tL7ZKb)VJ$E<0tV&mdG z@8>ucU`FWHys^bazq!SW?^(he01M|+yB3~Xd{3ks*FP{KI{VQu5i`ErwU0dqEUnSp zj~b~FTR*vvE-$Rl9P7t4r|N8)pVhAFLWO5Irui6eC?34@fSs&vlWPTR^f-DD64~z@8hiv`>s0ZZbubSmd#?=+S}fX_h>l%5MA#@SWp0xDqc9@~YP} zreVeDhI}Q+f93V8YFN?Skbl%yqiZMCB2H~b`W0qXYKL15Fp(F}IKS}eh6=b&=!O%P z2QxSL!UitUJsr!5IZnl0%5@vW+zy>TbJEsuyrJ<#uWx0Cbx6K4gg@=8p*+WEF!mF= zx>8ry5jEf~aPY95Qx|a>636?;42H+-ru~1=nDC{C$Gncc9gK{L<4o-XV|HT9qeJ*+ zHfB4GY1eT~+jzyH{BcJG4OrvNJxzbd0?w^+zLjp@5nQdtuKwj~Os3#(xIl8^L=Wzv zpif838gUMdwLc-aP8e<9>2G_2rLn-qr8}JI@8JkJu*A_hJotyGv9jhhTKnd*kwQ1_ zBV88=%@o!m;mDdR%z&c|4zwjWa}f45BHrTINjuWN2|1JluQCe6OUpdJ7*zky{`!^fk?8DFK<8DT;WBP^ zAJNXLzva|oc3uII2WA<+@G4CO-6wi8uJX)7Pvnby+USMxLg{e8pYpB0QaHdSaNIKl z9D>7NOK}r_{bkaNvQmZr}~KXa2wgoJH?{<5|zlvPvuzahw6aI!ebG6AS&-pw~hy z4e)MV^@o6UQESFInM3L$I@a-3P0qN>{jL_sxZC}yw!8mzP{)w|-k)*Xk#lWP_tPIZ zGP=j);?z$K(H`YZ#gi1gSEm0Mo{bs(SE-;6Z= zSp4mmXxzD%+ZU}JDj{l2(TNzDe6XnR(BFum>$;yb;f;(30mvKxl3*I-n_u=y1%XZX z_Tsu^_VM-l+DG@`@9<&Y7wmgnariSxdtCUdIG~!oeyXW*a2ih&aa$mY0cbSn zx;T{L8G?CP)e9l*MxUOzW?cU%69r}w;CuVOXvNVU$A@#YgW5uE2>_mo3Fhf|(~MXS z2F|RvuNk&IhU->MO(eD$MkA}xXGK3t|BGfwxBT>n?UdX9%h&}{^rV2PxC4KY;X1v1 z4wfY1+zG?*3kCx=6>^TnV=L)^IDpv0O5(nB)f~AU_b6ga2uXb0bUK$(GncH_!83 z*kg_-!D+(Qcp27sDO=-Cb#&tj^2G~DcpJ2k%B$#y7BX$nLS`xJUSgFwI)fUEi3{)I)!Tk{H5b0bWQehvQ*Fzh~|5J#mHSpmbHy@J78dv zy#V)r2W^6g(Nv-b-XsKSW>-ZzTy4VbRpopYdO1aZ=Tf<)8$+?A(r>-EsINZ~Rq!I) z3CW(u-_CW8W^L& zSnGfptd28nvv3+5<^yBd`^UmSl&iEBgMr_Si{!~}U?;zEr@AwMlXpnXMb0F^PIU9Q z^Upii;$?GyTWO%rk181aFwnjK6Ow{Mdhkb=K7$4Mubr3v2TUV(^JQEPG|=}Vqi=GA zFnDpooWVPj4LJZW?u`MsK!9;A;ki(G{wd%dGh2*k4qU5PA8$y2etQaa*00q9gg}C^ zQR;yZxr==5SIk>b)==((viZRULGqqli!1b6JP9bn5jv;8D~A}it3!R4gSzHBZ*qU_ z!TxnFjnlBTrm1;hx2HXzTbiBWm4+ zj3}|)ZQFRHkkc&qv9X&sBOQ3SZ;xZiG<`Z=(x<=oOdVxj&<5 z-B${&cI&)~j$ymcR(1^EeOkVnaKRRp&{G(m&|PrX?$I5?rAeK`U8iM_djA7quRjnb zA5?E&Oh)^z`ezX2*98a}5HFwg6lV(gDo~>z&paEX0!4;ZpY1CaihFw+P+y7Q%PsEh zZm@L^`{o9|bRJENQ5`XE#eLS@;BH*(`zA(xGREzJ7TGEH$pv`q+NKY< z1C7oGb@e3a<`sczA>4+bWsimh8&h4}dyRTCcR=HBQ{sg|ZO;~h;Jz{aFKkf<+QOA7 z7zZ%LsvDZ26drxPo~p1$o{#Jb_k}k^_Js#b(E@@fH#AlA%BR)&BIHv}k3RXAF?H7P zhJY_{zUu7V%lT86FTmie5tGi}>=P$7++0nEh^tYAx+v^Yp~#LIr-j8#82w;a{%q+K zJgZg@JLSWsCGHnu+2HbU#If*SvHPT}fjo5Ts#%<2a@jeq3VY261_z}tuF*%TUn~Xg zKPC9>^!W>hBw>C3t|8SIHm&M-0esSzHccTU^kzzw)3Rv=Q*%!clXvqkB?} zZ9R!bY1iw9ZUOCqum<^N2zjE}#Aw>mQqnbnOJ}$)W`(G*#zZ_*bGNGs!0_Wu(Q<|( zTF!WsyYP8tyBrKI<%?l4B21-lZWLosrafOyAy9o6kr4#|zHtbt;b{tthnX8J$@d{| z8HnH>=@={yhLro><~by?8+dbw@3(9{<7h~l9U<%(!md(;8dB$@n2FHKJ45Px(v_e> zq4H;m9ZK+Nm1skRr#>8ri3VlDY4U>e5wb{^kRb#P{XYqmO(UR;zSo#uoeaG?)c)%) zFt*%38Pi6EQZSx2bbm5IdweVf786G?8;Xzm4O0*9)%|<{bSmM|aP_k4$C6XuqskTVvsOsG(fFEd^s(h$cBBooh zpuC_~UKJ{u1UPzU6XIy(%5bnBBf}!z5WO;)X-1M#u2Z;udKFxsuA`{c1!2=+QE3a4 z1LJ|49{eO8@@i$h>iW_Nks{X#<|Rp|T^GPVvc{IC=n$r^E3R3YsLr47^OBJS0-RH+ z6}k)%&HMBMoIjU2x<~5~>e+M+wN$~(VmwTBx35) zq(B6q#{e3_o=Gu!o1Ot$8-WVn7l;|^J4pmZa+xWTA`xe+%VC=nCB)Qi9UD`-ZGjej z7^9pZ1R4YN&PL(5_Knx0g0GdI2<6&unvcVRQHz6FVrp%-K4Kj`KcTU3hz#YsX?1~1 zzX@ED8)f&j+T#hw@^7`pCe#-WomMT^Pph3)hsGVp_u1#3K6ZSmd0Ln37tfBY%^&^{ zK)=NqQEzYW9@+KiRwH)Lv+$#I?A!bf5zj;2UiVqCdZfGH8{e1o4eh{V@{#FvmQ}}v zrEtx(Bw1Fb)yX|bZInApO#;SvA=H9W-$$s)z+I(M;&WL)nZ@!$S#ztRd7-$5dU7Z zKn`%NO4|Hxg5O~}5b3u;`>pMZL$t>uk>L?x*mKTl6o*>$K8N@*nebx)ejQZiEu)B+VTvm=jnX+X z4azv4A7w(qA6H`;1uh(%17?;Xw3CJ0^kZfPo}%^wz;SPl9~`x?C$MW-4GTm+rj4^Y!jP=CI5^8Wl%GXIJaV_ARP95PWdCsE=Qg8YXDIZrbG zj1mts$hSD;91oO4y{1{fr7WG_}q6z=MNdebDQ zU`hgb4q|x8mIo;fE~PfYs9Q2;vzax^b%E?dWQQFL-AU4YHI8oQlb9U|36|~l@h*!M zYh1SEQOE_Z^-0J)L(iCdO9Tkx$+Okq`Fkx-V%?9dlXIWyd1P5=F^T^3uoz zbB=9%jRV7QSh~+;d02L&N|v$o_AtVn);PvWOCxIzln4nC1<0B0vOFL;jIv`UK^SH8 zMrmnyjRSMw1SOo1&DroNDmyY=mL$m$D?1V?IaU|pviwZ4Opz_~sI^nn#fBQpumpX< zO*&J~R6Rm(#h>-ARUt!wBGS#0d4sbjGQS*tg7v%W0D()bC8x}Ew`94SCZw{dn9XOt zUN_WO?&j+iAkC7cna|k;#k5{INOp~-nHcN1U;ySe$#NTq`HulG8*40Z=#K~hvLze# zotjgB*gh2Tbq@x;13o*DUqCbo*|HJ97J-*@b^r|Q-~lrf9-^_V2xcgU`9OoAj>}N+ z8>-XCpOxy%GIxOWOjb1D2l?6&BVgXufrqnnrsyKB=wv@JTIx+ERpHa5h<*zN35q7? z=$@{FI;%mmPr|+`b@(7Xge`E~aiXVMifVPf13Zc-#q9(q976V&RiY}##Mi;xfVuip z?ia{ni2|DVys#(eWC2D=ZUbkc)|lce$Qfl&Hok+%I>+G(44$ml{EmKyC|kfn&ABME z56!)bwn`tf)=pu*4R2*Jjd*im#$+Ya2~I6gd%qCFhAFpoo>v@wHkBtGIES}78o;g^Nof1S=Owa_0dA!idD1kOg9?0|H%S%mu zS0n7!5nE~|De$uLShcs(nZ0al>XOI6oj~RilOLH;#F8VXc6xVd2jEHf{3^8*e$tUP zbt@zJ5fTN<4MB-24~wq)-6v&3vrfLfMdzwIpy(<_3e19?{ZXD(E5ak&D+FEp-mfYT ziEyJLB2)X`;gz3@{=GXZzYycQ{P-=;^T7F4yR&bU?Q%ufFoKajg^6X=RpQ1aGF}`6 zkF$lMe1BPH7bDVv8s0V*%dV^tcv9}5RTE5SeY6!OL)AZ4>SP4jo@U}R?P(#N6LFcq zIO?kC0Iky#S0x3&McRF`4BTMc7oe@0s0;Q)I!Vk>8VpurQpF_TeOzHV(1*gunnCy+ zfip{zz_mXC&7Lk2X@h+RVRd}1TiM;{R!CWk%XmHk1SwqN$$WWI;7;%%u?^!iZX{mq zU-6bC*>ow8$3XZDINeDXG5T8KtH?NmB>inD*+s2~J0X}NcF5rfqtk={y3~FTwLjQ& zXf}})lxgAf8FxF{zS>@%ik6R}mNTb(q4xij*N+xK(l|+;i*~!)G4^AgaJN&#r0z+9 z$&lCKHiOVz)Z%N~wHAZAg40H*s`&}EXfXC!+}EKu3+o|bQL^`{w{49YXCHafUEp*#oOG^&W{>Dacz&mPc@Y8lq0PGB8c zE#R+1izg-2%0MO<*_}|DK17Uj(g>qdV@Bw`TX|P>gXXxb177u~loF^Qbi`E+g;GdY zX(s);sj^X&4z}IZ49evSc#xRD?b65Xdq?^)p-bxhcug;(tXK9V_V)0XR_T>P46N%77SEVgi|O5i&rUK)cto| z(M7SYnYWmY43y3MrNne`RMq0zok45a5wlFGaQ|grxzXR1+aKe%YNq7sqiV;rJZkxEa&3AICtiY;PtULnnys!XAcWD6F(H95)4V zZ1^sYeKx{z6X6(&o0#;946T?!I6jA+94bA~RRoUxK^!*)aoh|X69|NKgzz80F}8pK zINprfzl&oWhDuf*;h0#g(r2V`$8=cX*BL5#Y;H`aoS4!4g*pT1$IkK-mMUFQXT(>7 z6S^e+EViB{FjrTiHKQQ%8N=5Lor@x?1=Nho63e%*E@{zaemr9Tv$EF7eT&qMrb7Qc)DFH= zksg?AGN3}f=Jo77S~3kTcT8>Ae!|)I6I#e@%aM2aRcTkNDj#T8<@Y;P+2v8+aC$}O z5z!NncPXmaE$?bm#SRtQlU7c#5t6R1GEx43GU{rY*Gbwda1&AKy zrJVBA_^L!GVeg=j0yGTTilWhe0&l->v>z(C(P;marT+NQzM0kX??(G#{`ZgeLEK_# zvJz+D$BuRxEA#(;v_Jfw(Vi7*$Bjq(7WV%CWwhT}gkY!o1P6wG=8U0Ad?@&5^**YT~Y!F1G0rb!o8h;k@ z*xf3c{O(rWNjgo;RFI}!K4A;-zvbI#u}1O5O7C&>ajHtUg^izV1|6!`9m2*z_~S>s z$w0qxip${|QgPUKf{hbA{9Ewc%n$!?P>tvIMB?v-JyAIP>7d;c%@2Q1>AZ6|LXjxi z4eaQrHLRWW9avU4?>`kQqJ;K}!=ikW4*uH_2((^ywW#cbe`6s|{7Y}J1^LE!@RPB! zwofZR6Zfj1iTI&4G`Nn47Ms2av{O<=B3!}->{lNHUl#gJm5D-E z{rne|=dcA1prZ(0{0@A49dZCTGYG7$QiA?sA>8A7TDCFX9()zV2pz`j1d3l4Q7L786S`+qLq5lY8Y zJQ#5o^dv5on7bevF(|j>GO7~>P$grEdUh7hDC(JO7mw)Ynu_}bF~1V<3{KIPk~v?e zVD9$)junk4f*?qPYM3zI@SV_lPj)D0vVt@|^N?}5@+hnc{PA6twM0RK;0`(w2DwC3 z?r(Cag{4p^E74QU2<#Rjp9xD9KoYcqvJe1SAWrjEz)NmTpok#w(lHD%y9*gA#z`cN zd^-zHvcPRID2LF;0RUPnjc8>&TB#X~gBb6S7InHBa6hBi9H6iMB%u5&2F0O*b1dn1 zQ|yHO&pb_fJ-Ao6v2%bZKLePbfTeVcS%sNm#fhh>?t4&oR7D@^Hs!*58zY<%@@zpE zySCt%!g=`n&BzZgade+V*z{Q2U376I-xSdHw3j0ucYG~0is7NgpcHIIDVJ$O=pl2e z(+m>zT;8fp?Bmi&Fi?^5=r+XT8gN!D-oSfE;SfRlUnbhW=;_^{ZHM#rn|m}Q-lf`m z_6Zb05XZM_ham~s25sDix)8g;pp()LIDwOj|MKmsgmgZOBij% zz2ri`SuH~TLHR*4O1+@J@P>Bi*Eg}*jWG(lzp zmz#R&I5IsS%7lo8D0A^9s-{t^##tR9L8-9`_g|EUlejbjHKBQiFx3Q4l08HFdk(T* zM3Bet^!(aJv>b;F?|B-^8+v1wPLNid9i?wF~EBzUktErP(d)F-Jk3>D`} zunuNtV7;D}^@&&wDAD>HuS3;)Prxlwq(Hv+X`WHTJ1C~?G>ZqC^;xWa*b+^UIUq2hlz*z8@Pl-zjp@Qk+!0lvOb_qY2H@s z)Vf2-xCSH{D&D0P`&>F!Y;>r3_ps*K^R?#b2`3o|rjCL&{{|=C4Tl|_KME&P(+A?D z0|W5uYoU7gUqys5T9FfYl5?(DFdEc5Hqv3hM?W^6g|AWI?{2MugYdU%!;SE_hKl|X zsDP*Cbpuf`<*K2=$#(Btq{IBeBiOkzXSBBOfeP1l2F^V>znwH{Zue-Y8xp6?2^Rx^0!fRia&{1dCZuH^!Wh$=j&i`&R-aO&L@G~ z!~G`>HN&w(u+FoX6X5jO%jf%xdr<+dsI#IFLs)z=mc5zH-=MflpxT1x3+LuOin8d8 zk6rQ@3=sl!4x;3Mp(0vJ!krUX-HFv18(#;mqbLL}VeGM3mXzS-2uFYuGK(O|F=z2y5Kf}^8vJR%F=vfs1VUAP15X(+ZegAhFzpLP z?`JSb>LgRW%VC&R-KZK=C_h0G5abX!c@|Ow)k{5aN{{!AP;7HE9JU{Qac8oD<6k4@!d6$-d_{j zTaj&!auKPN?GT+tbcVt6tih=lsq=K6s3F9_)5x0d;#VcC0l(s!8{l^;;n%yG5XoCGu`gLeJ0W@J-=4hIu z=+QIcJkzS?nEiGgo8fe3bx>D73Bhv=eX$NaqI_bF(Kaq!saL+SaAG6`(1P* zQY*&^GCEC{MaIc{@tSuzQ)NzK(xv+>d|gBi;6(F%EkbKOg2n5>!vtCNcb&$_1Wm-b z%<;8gzJm;&qnuewdlJ9;1v0ydLDtjH*{O#kD%V-j)KznPvrdN#R%A(#jSWXp{d>(@ ztAedzbSmSE^VSruW!RVXxC^2w(6%D)Ua+*OHFn@~hZeQ7VFK;@y)EHNJffwmCX%%z z*o+`Iog@+9K{(<-SZos^^l6qX+Ha48dSxknq z=bL-M4#u_s&lRFjn5miH|LPAgFg6Ha=eG$bo4qBy(ygmZ|DQO)4*u0tX7wHMi zPt$M)A{Y{PiXC=Gurblta}e3u_g8$y3cf!=y2gLKD(~|2%Fj3Ta>5t%&l+L|n4vc_ zv{a9qIat8aw)wDMItN$(LuE5X$zr$6PXIrZUuXA zQ6A>u$cy%UeD$8U-ow9tywyK4<5uvI8Pz{B3Pz?aG%`c9k!g98Ad+X$MwQ0MI%^Q7AJQ=G*X?S$4%6#a|65F>9f-DILliwU z3)Z6~HId(M1-_LKzWq1Aw_mVtdRLTD)u|@n9Fko;!A{_P4~C%QlqatF6gZ`1gQ`1? z(I|B)gnLtmb&iI7%wC{9r-M##3_+5F<*=)z*Leim6Z!vSaR`oKFN(q-`14=W24ONA zgsT31;P=bQIb(wf$Vx2T6=5Xwp;b=80k-30SeVQevRExiSz;+iWGlikCQ)GWVaRh1 z!Z2wd?X0mXf*frkGv+Aq%aVg4J=>MwFY6VJ^V2WnAE<4SpOKahZ41cx7aDVOvbPyG z<>VF`H{icD*SH}qCp|mEICE`Yo-uFTR;FK3FyT7gjgZQ~=8Vm``P-Nd$J#9G=CnLx zdYU!uKZOB&o@Y`S@q!HroWM`_6_K_KX?cTcG=Q_Qxg6hk)NaF%tSVky?RD^-4as2Ny`bKHlbUisnYyv<d_w>Rl;CM{2IdGh zfjLUWzi5X95zeH-6VMLQp@4OcY#|{o&e&$^IwEJH?_k1U|62j9kW4EAHjR$9p}4|q z7dR4N2u6GH*%jreh6MK{cczlLbGtdp!4+5}ursH_kVsCFE}1(?8Qh@!VMRIOw4pUX z;1wVcG3*fA7Wdy3n8htrXK6S*iore-qvYx^H2f4+BTNh>73iLza zn=W~8v(+)W`vixCv?CoO5%)EG3b?_NaQD7MB2L78h15_c+o4;ikKnttP)G`>c_}7h zFzwf>xbCt!D6%j_iNrZQ>z)f<27ndGJ7Jg98#Es0=DCO%SW2voMRh zAHI8<1DP$%Di*aJT8^8#WcFw*r`7S;)d|#~>|9q~0^ihCMLkuv^oetkMx0?&he64} z8(8Dva$|iIw~1Lj`Fh(g;4!11Aau8AlFgpJMVK{@ENAnMvk`sAeYX>*N_ZR8iQZCgWXA=Rl$t@x5KV)XA#mH#R5mmo-8|s-&yO>mjW{zX zG0c%g-O>dOznd++bDi7IMO7>=ha>p{@57UEOpg`u`6leiOhrUEF zgU@h1%=^FrO>lS$3Z)LbU@=bVeqy@%5;7g3n=0hW?8^+-%+T~mWjh5V4Is(2=)`ZO zG7$sliW_Hwbpza9(#rIc8Dqx+*fFZ6tEe{r`GLLkqnFfS*>M6bY+Xm#zAzZuC$N!| z>WQXN$7k~tV0_k+Aa{B+VzqOxX2Vk1-U%M7hc(xPS#?2v5M_UxLP)_Hqnj9^=?g(3 z>(N$d!z}7xdMa4W5F!F)Z7|?~`-TVUiuVeXQ9IyF9M3sKg*f z{znS2E4wM~p5{56Q~?N?aI6R{+EU8Nc_7?5@Vhi2Qf1LwR;0*w4=rE>id%r~LJhY? zsJQ=-a|}juU4~@86yEA#q7A9E0(DSOZtOO&4ks_Rq_+O+w>Bg10Df*s4&o;^DY;^- zz&%)or(lmGwG}oc4a#{lTWuIxY^Tc2Jc~PDZjo#Bmv4rxd6NXia)`8`a7%0rwXW1Byij+YIvO_*!L&8oFNu z9;cdc`m+ni5j`Uel#7pRV;@Y8;&Y_-I_RkVqtUXzap)uZSnPkWk>YDo_X8h6SOiVi zjGSEC`VB^nRsxL`BA-Zk)mUK7x2?0<@-qqqQ+93|DZ<{9mz$MiH5SOQ{Qvq{v{MkO@Si>%+IRO4JZD3rll})k z+V9sQZ_>{h^7Gi%;qQ$2a+GlM*P|oHd^OB4GzzylJ$(&6WF>y_=4a}{ z@mmj_N^IKgEvj8m`P?6W?z(X0m0q9YPmj$%`WwTW|Le6|zIxp>W~i-rm(5=L@T31+ zHM?e2g8b^U%U^!MSQ?hR=J1_1luVx@Ra-gq3!ba+Y2T@;J6WpE7>lWH h;P1>`G-r+DX3*awDfk;6UrfKvv+#FR?CYD`fAZMMlOkLr2Ptb5PddM2>@KXsCB2rJ*QW*;~U1 zhhsK$PRB|*WWBzh$M^o>e%yb-^|-I=dOGX~ zK?i;`5I|T<_A>zN?&{`ATha#d;-|6f<2eO8xdROfAWYN;{QmP7d4vzSBg=eIuUhe! z=>-hJ<`;(NxM13qhYetmLI1K#!y5cH%NiW1>Qb*YEtPgKFVFLXK^xF03UZ+jHfRF; z;`vOs((m9+hWH{_HcC5Rn4-}5zN)zdw1PKW={EXeHN!Y8KYq8MS*%-5D2;*CTTk9UW zl!$X|*L*Xx-sC-+A-V}b*eeIF8%gaofHK?2n^gknQvQQUDEc>pNCKH>G$W6dti8=j zc@2_?@4`sStlh^go6Xz+Ndv5SHqK5<@CmI5@r!s+Dh|jC-3ss_?)dsU4T3;WAXl6T z9yIHU+mzAjlB=nI>%9pu%@C%L1XOPA5VBQ<43Qti?)o&?LYl!=V?QD8c!dxkT;Wta zDK<2M7oDI8I&4L=w1j|W$grKk{Tlv{iu&INC3oY{RgtjY0FDuWVK`&Om+79nn7!Qw zD#Wen{h?gX{noLU_yYP;vwzVb2gnAUiXlZQc;VARfapjCJ3TusEx^D?!Hp87a~e1w zNm7Wr6h{U~k-7>l(XnLS`RJ%P#jWU=OR>OB1r0k*K*9Y|a8Mjco2PIrDnuDDFgbEW z=b%1M|Df&x(7uAR$H|ilESWg1$SBA9DBZK9$fF@Gkh37?=y42gIhtZuryv4sRSJLBUgc4MIj#H-HHKxv6}qK+0v+K20mx9B zKaUTzJ?A|?RikgnQ+s42&dXLab_PD1Lu0-3<#x3}TDpVHOlc+D9>(J1Xc1hFeLalNJPM#7(|-W_w4Qx9)g1Javb_qY zG`%D=5s;7P1oEdCzj<^cF9?-IJU{)7(fvpyRY7eWN@w3~4AgElhih$pKG^o>ITLLc z%_rHQ1g|ecYI4u)>7aBku+@$x>*9D^z0sczRWUkk&tsOTN z154ef&*o1`M9~K#(TmaV=*;F;2(b`6MeppL7R;T}Ye6={{I%IL^fie~iiZ$KfAs~O zM2##RJSKuZyq0UQK9z0~A?zr*F7AkvI3@)?;n5>d8E_&I#?229E-M2MZ(OKgq_Dsa@IJVG;R0L;{|4U!ed>v`hj)*17VpN zsB{*Jn-_Lm?%0JICw>pl@h!LQbb(T|QZw6oU+2E|T=(YWCJUfVf}owWVGxHgDfe}6 zG6!oCJw5sj`fBtg>XW$)z4yR!;6qL&$O2dd61Lz_kV?31XQai-avZ!D8IHIRv}ABE za=giZvl=MiM^N}(H^K#_&aiujivigqp1C|{zkRqJa0U_|&M*pqY-aMvabg1Y2xhHR zJ(n(QWG9CJqpz>Txzo4zs|{rf<}s0H11e9SmwiX)8Pnsp2puc%>7~OGVhqPjv}`6_ zEYVXUxjP;$2dA`i%NuG8M(iap$Hw_1M*Je{<+%B4TtiM)eu9S_lhom*PV^&Sr^^n@ zr;T!a0AA(@MM%g@RX$5xbgCuJL^qWR!Vh{K*$4$M3u`VZB4KZ+3zS{CX>w!Y&2 zGLNM+AVm0O{FvrJe7p><8^x*@F^}atq1uKD+8s>bqotnsM+Wpc0U&cS1r>qWs#DcW zH-D`nxkow54KmjX2lz?bP38_zae?i*+gv2qBm%Wn-&}TaEq7|$>f)Zm(-(OyDP+q` zfIq-|KP0ZyJebnf>kczm0k|VpYu4P}{EwSoC1MVn;ll(YOPa6XcGUN|&kdF+>=pe`y9$Z*F1vhF$Q+ZK z#Q|mli}|sdEK%@2FHG7ru|aJ83(ESV^4vG-2gu-g_wY&=y9@BpPq5ML0prOs1=%s4 zIOXY;NIQB4vAER&Xa-C+K+I-XVhTHa_l(v%oF%;(%eCkhh6t4=2mqKm+-U)6o>@_L_ZH-gEYkwTRUB=3|)4bY` zqeg}7%X^_Pkc1A@za%gR3K$er$xDZGOfAJRI2kGF$9VB(YLxtseSt;(&F`6*wq~|2 zcPaSjjH`%(ex>)+Tbo}9!(2W#K$;P!$`j?9%R{h$4`4@qpnePwZp`fVn6r`^+l=XvBz;aJV> z@36?J38{e*qw~4Bek-eYF-qjXo@Us8c)^(A?JYCC(nyfGcO%fYm1+JLdH@jMzu*m%spC;3eUHrAf z`ICW=EA`4bid6*}R_s&T1*$sX3uhI{hQ5}$QM=s6dAq3ZjMJGmVeaP^#th-l)Ru2n zQl@N)tSKlx<(nv`mB~-9U*QB*1OL#T55AO!ohEZmXUX4{F;&_ytCOdDT`4@jfy=n5 zlRKKbV+y;0amK?xF0h~Mca)A_M;J>9Z#CZfR}|5vYbSD_8nPd3R9XBD2ykvvSsS(rZ ze>Ngv*e?R)ID#t_$e4}_#Gx0*qZCY-LKszF;g&z#AOD&UVrMl3fmqu_NC}!|giy7JA+TIk+D!Mqn85ShY0KEOn zyPghTme_1lc=1!RptMBhUAK8Q{P^7&Nib-=946rS$>32vEhP288HsqkW08f3U3`aF zzmPhGv);U&4EhC*JO|Fr^0gmv(*Cs4u_V(KQD{L{3&D(CPI)p|eg3AQw+)rQINbu6xY!1`*xk|T4Vd2=a z>gG8}^m_p{Dd&R_$lRfSyk-n)Jq6D2U>W}8)mp$U8i-{6YCP*D=sp-_70}MxQQ?XE z%RQunJPtn~P<_K%djP--d8?Xt)dwe_xf?(O1Sn<-bvnli6T-wJ1e1?j{FSMwTEp~b z@SkBCoS$*$Nz#+XtdgWDQgpsLW2+voSZnIDIFm#UX#b2s#I-%;J@%8TYfSE7^hqSO zPsQ$A!QUN@A#ltj^c_b7a78^w`&R_)s(l5Ih5Vht=nCS%4P!EPMt(R+*~pgWP?;We zx`Td&zn9i#X=GB!-B_^|k`lkx`TW1plEuH9z@YzP*kS_F!lNC#xjdO>%u#u^&EFdZ z3GRCGd{+oKZ~Q^kpy*T-*{c~bm~=}Z`+UJ66I9*bjT8L1VuAljblvXOkHjk>Uxznr z<-H@Z^&3u=%Tb#G&6@lY?0lC0gLl|~z(PjW;B_W8*A(p9i`b zQN64oca(3+jbAYrZXlpFJ7mf9?eG|~R9cE3t{^1A%>Aw}<sA>)0 z(<1jSZ-#!VzD&dW2q*-c;hGB4B7Rtk(z*}P{)j3H-<$ta&?dSf==_%dPy}8=%OZuZ z?eBVsGVF`0{?H+&QM<}#Gff`MZZcCZM(cOtqjc|ci@y2O@G~1*a+so6_R^)sb>s)u zSIO^_2K^p23Y6Z`5_byHDAkkKDH&n#YwRlJ<4UdAT8!+}*{!n%Oyy3Hx5-MezZtSV zw!cGvvxB<0Ujy&1>k$7c{$=Ps5;D5hE?*ET!_>blUCqwtVfalH>r6%hYE-qtKQ5}r z2x3{RA#k_m1&{G=ZPv8xB04}|w~GGn{?2cOgc?c62yYt%k`RTI zaV~&MN*F@JodFv+<}eJ-3-U%n{K^o2XE9TYYP?z#zi-mh8xLJ}=pH{A0LgnG?pA-t zBLBGcoYIijFlYZyxk&$?$AL@?#-=f@okBVnqg~S)&@7}BKLAEfqJLdpr=A*j6U89) z4g7V`!;K#Z|6j)XTjU? z64aB!KhuV#IWq6|g>^nnz4}koEvXCM<}UfpxL%;)LK6gmxM}Zo&P=2^GSj)2*VtoI zDp95y9ricGWqGiHx-Tt3CA$zKxP& zo2=#vzE)j5x=onI<0TXb!BN0UGt4envJesFwXh=nvkxvNyzm-!uchU$;RdqnQSXdR z{P#DgFRXd^0J81s{Rn}thQNxXT{$&;(M`2Rd&m?Bm37IUne|+`E@>#0suI5h1$>Nq z!79koU0`Kk3s;bR{Z!l$p7#57iEgxZE&Y+pX= zS(hc6ubU7@m!O}T_#PoV>#RD-Hy`<>YM{sDig07h%AXlN0nSg}e?ppuxWVgpJ#W6KPa4`|^kz=m z{n3mUfPoqDh7Iz|1)n21H3Em%BT%1CIW3-Kvfxas;PIUog8pg+Nv{*nFa8Ka`{KJN zXx`N4|nk8W!zN1G>3s|mXCuI1iYY!a+6<@2w zTk3!BwD*^rl1ufS0_CA~a29M*WXx^^*(5wz`78?&R5m#+SOMa1MYF*a z49_jT>+V#9)Wm<02)pjPzY6cpU;X98x{!TQk5x$*y|`pw!7@0fq}Vz}wVQM`q_*E_ zSLf!bo81xf^b#9IJ3U?9087)hYfcz$xaccx@&+KFK|vGI0$Fx>Ozqs>LMD)sGjn2$ z#~tF($VQm=ax9K+yG*g-tuTu^!*HCE5NNPjyMczPQ$ zGqb0yU&|1xm*0AH_^Gi1-g)iZb9R~6YT$E}XdcSVJM75bo=$ez(c)km(huc%cI_GU zfk0QCwaTT+5F|uuRciE1+mvR#!4BFmp%R@q$L5dh2)eMuy?e^vfj#wn4@{mXSzz z<`sNgf68{e^@1G;L%2F)!Fv8;*JI%TjoqvI0;g#^zxG*0Kji3^V68ii{X?tIiY+7H zT&(F~ZjAFBgh~XfKYnIoz9L|Id3qbGD|_=4XvVEUN$&6s7aa^wT!&5HeycZZEv=u2 zOsrBqW#0QUBz7%{Anb1ki`M!kRB&d{wEU;O$B}){89bD(&WM`eLxSZI1L{+4+>MjE zVh^Q=fZTU11G|WQRvJtQ`U-)`y{Ixi&t{^Lpu{@&_-@Dajs?W?k)g^pmV~^md$9QX zA}wENkc3Dl-Vd&{qNoPQZ!5Xxz9KjSa%}M*t@>~@X-X0nK9)Tkg>2UU=g&TCo}X~ywz-_C?eo<*xL4K3b4hTvq<_@P**ZE$c0@rpBC|K=DcodpHB16vDmsvc+GcK0*#hg@MGESjdYD1cd;6dbh{Z9?3 zViuounl1)Lv(zPHkpjCwtvKJ+13HERRHNmA!LzoR%cBbLYFzw^Fl?T`Sd=@7@;EOP zCO4;RIyvK9$7Ku4W&wCKjjqUgklxk^#t7qJbQ~zi@OL-Ok$gA{f>N8U-!u1 z(U=b28t|A{wKevZ{*;#=uQhX^&C}+6&s+VrWnI~*qwdWdNme`$s!!jDYNG-!AGioF z&tvwT4yW)5v@V!1YU{zkJ?B5mCp5H%pAAa-1m^ZN=RoZ6ZFj#@>wjD2G6T-gop@>A zC6R5SZRurm> z&FMO#Y5x$*anQzCz@$ClIKuwvkJsPV|yMJHP>B5v3eUG`a@P zR6gmVdc8iaUyweP46$Kdu!oQ+7p~u0w~fqez91=ZHav>bHlJQS8|fv=f0esXVKOvm zy9Y1=VJ3elC8wr6TG8s<@e#o3<4OX=C*1pZax#qjhTw42SAY1$%c1VX_Dr5BGC?A2 z1v18i)!_by`$Txu4Ssd}`v*Llph$^=5;T@Y%{s$Xj^iQc>*)TFMwE2uW^gS(u?i}7 z;yS%QQp%B;;16@CxqCp?Kpk8d#hGT)ALa7q}R zqI%1-vDaA_L5CpI2@e;!*gFS{FJbXu>zMfaatAC_VX$$Tt=(-CW}FKCswR^){1qJ! zaa)rC|7i}Z0FPIl0h}6<{P)1T&f^rWot|IQLFhNxgKrSiabpo&4u6kr#}2JSn9`2Z zJDSar?E;!29ba5C6Xo_K|8*#-yX`0K0g-+3uACzcoXsB=J;N%W%M68a0!;UYwet zl-7i7vf$`yrc-C@6bL6^Dn6=nwOmATAHexne1d95{J^e4kl zc$99gqD8azR-B7Y>o}PI0Ic&q)xUT@{DPTpjT!^0zeeM}6K0Z+fh*?^z29oQZ)}|2 z?yP~XHPn$Ct-+)@7l}WBy;#iZdz4t_;i^Q@I$fPOq0t>+0@K`Li|KeuOXjIwvxkMl z{DU@XeEfcf-<#bC&ICH<3#6eN8aJB{AXN`f^xCI|ah?Zu0G#rD2-pveTR0b|-(o%t zvY3a2S;!38#q_%vbee3~9XipoBEQ;#R-AUJIch2w(^IGTF{6Wb?<5b&@b*ZH-LEn6 znG-lNHaA+`YZ#C{34{GXhzFwhFsU#sI2^~fDlFL|3X>cXtQxONQH1ehl?Ee0)9%vUDzh%WR+J^G&N9pYLSW`RFX~9RDbHg+pZ_kD6bC*6Fw1waL z`0Xf{n#Sng5_*OJIN+2rqT!u}U4#7*gN`t_wqtHZSUpjIay zs}rAq);go3IGsfoRLz*)mK01&SD0<#YyXc~(`H`z`i{@%IgJg7=!6 z3Qal_ynAtrzs(Ui2R@M523FT)ggN?`Nx~9-tAlyb22iq1tk6!KiTcy?QSF4CJliHd z83jlJA1dCOjQ6Q5Jgz0s7#?S5<9}W%ePpn1Bt>ByV=|R`Z&@=Aw0V#sbjADcFKoOE zWo@no4Tg7D%6@O$=W_&y^g5iU6|OZcaH0-a9kZ8$yfl5Mj^ zc>lcbUCdjMMDld7&}zM-ri%F_y@UT(xn^1l^^*d1K6EQhN4*~6N*$m=-|LT}z^A|v z%1azmeSkV6fU$00=Zm@kUxJV!NB7vv2MVTQfWVX|^cW8-%hM;-`% z4e9!37;-i`D-du$R`8gY^^Mcm}R(&t^cRYxMk+s{X}Y- zJoQlPag@Tr6(r>&pSzc$v!07vTPyRZVn( z&Z|arZNi4|t;nYK?K2*~psX%cpW)im+IRgxxvg;6AASG$(rK*yyxgpsei$E^8I{Xl zOIOPpez&D`dE$hoK*kB()u6Hw1_l(! z${<{VwQ1g+cn^kAKVhH${+RN|l03kK>WwQi4`C|g({+LZDK=QD49ejHAZ4Sq{*p`) z{5@?x(CRT{q`|G!g4-t-v5$0^vYd@i|!Vv4ArH~4^C)bUZW@>XvnvZCr;U50ugR)oL?vOvZ_EP#f6Gz2*^aC z%H!`-{}xTqHE|J{6NKXUNTDYnkjev7uL&nsg+|P%R}*trUe@@NV|K*t4!0`)$SCD& zp5CRql@RC?F%k5fYEx!drB-U#ZH$d~iHVzvpX(FBZ8R zVtph=5QuHPorVHpgH6-d>iy4wPx=ib_!XO5alU!u_OofdbWdJe>r#UI0t5BT>fn{I zmVD{291p-Wsqag7ho|v$jTHiifrR@UN>xxT2pEK2`L8E0mCxB*bjAN=1?6=^%hLa4_NNJ z>>Wwb+o(UL&0{c~@HI2D#q+`66G>yw`Yb$`sA7ZHFdF5$K_V5-=M%oz1zu&llu5RH zIUEH#XyzS&+&y6cKCGHA?3CF*1XBw!bhtXUjG76Y=n4pn_HOPKT?$is6%g*UnHfb7 zSnu)MxTD}W(Dgcy@vO01!dT@W0|{H}Jy$mOz=4vb>E&P6TCrV|Er7Wz=cv&8_wyIO zYZ{TA6PnFF+&2j&b1?Y#eLQc3s#Dr^v-;nSFZ9kuub0SZJn>FJ_|SSmorKf1p+ASBZJjD2Bjeda@twAi<;_WZu_KlIt;HSNk&hJDp32;@)&IPDD6o1eCAhu^k2odq zMU0%?qg)D0%V)#C+$hMAIHP`HH}h@zqE~}NRZN=c`wryx3wDS-@tES_;TIdnF>sax z7IacP$h3C!aVLJ}G6G{D+x}pbugq*gsczxDcLTShU>`8gfz%kE|Min&_=&tBG-Z4Eq=8ZyO1srB+Hri?_%B ze&nP8DQ|wNzRfN?JxZU@8R(Buso)6a_SL;%mAKRCEWY-MVQ^wW2fGfzVhL%=j#t48@(jW^~b5Pazv2tsV%m=ZIC_m+k67 zp2R4e_}T3+Y^iC`54&A_h<>pdE8GYL9D`#g4&JRFeANA;cXs z!Zuk*=U$HPceSq7M73;W{sV$yUpf#zw7RO6^j0nTS_klY=$d_pVY%tc!$0SgfY(EBK}^@f3KfR;SeV5sZDYjZ@kQ znaut)#4ts)!6_aGx7@{0KTzo%M-|yxaw;J~jkg?fUM^f9{7ZfMkLauYUa;YMK4ifA zd{Y1ChwRp&+m~k+W29(vU+(!FI`Q@C=K>5`Qk-Aev`mO8p5-T;s@b|~aB*l2l$V$N z+AvKhhSHc=Et~6)?xca<8+tb|J`;&-2B9t1e#*6!d6y<1w+{;a@l(1$Ii4jX7bf%l zb?ha+$>02+&C`3tXB8K!>Gt31yabm&5!V#wuAqKS;$#ng)|IU9k#EMF5zn+L`D8a2 zcI$5Ahl4X`N(CdoZF9a`{cTYC#?jpSz|jW0hnm?O#VbH)3#J-6?Kt|)_IEuV+$m&f zHT-R|cEl9`#u2 z+D2R-E$J_}UGa0)cz0*RyM49}K#;A?z=uwitXCDyUslC5_6(IBtni0@xz{)KXi>MI z$8(t#;O@-W-YBE$rH=(oNea=bOPG>V4%7K;kB|O}TOwVTbCBk$#a~i4%CZT|dDfiV z5q+ZPsa+rd%Y6rbPsx>bJQ=T{|!^M5RHgL}J-sbp#2Lg6~1cjlG` zS5^k1;nPq;-7I9D_?7e>7xrxS7-5_@^rGgwex!U>$;rTkX;7+-k2T&XM;3cT($G;0 z?wvc}nz}j&I8U3^40C^vQBygQHwND5k23~i6hMqHonrZM7WbFftRAjeU*X_vmeHnp z9K|I{z^hI{Y2HUy=vd2cq`bq+*V$CP@igR{o`c7_T zJ7~`VufV=1C0jhw*YM4=|W;n0{<6&HSc^DnN~>6C*3H@ZKH+g{%_=0eke9?pq0>=dZjkMouE zafKV!rPMQWtj%H!2b?u|@{D2hEW})wa=u^wvdDku1V5@)$~-CXS+u)ng+5-}4D=T~ z(YlT1noOx}zN%c@bAq8b=YjN^SoBhIKsAE4cH%gTws>ahaiFzweYo?QLc20dUC=8E z)tNIVZypw>0+^qSb2mRmamCFxSs76dup)S<*2835PAKTyHh1M-g7~-JR$-JNAD6mB z-a=8Va!Q{)1?CRN8#j27cf`z{DMO(JmDx77<^Z>oYiLfOylM53 zh8q=@wJS4V=;(*CRnSE7``wu*TCznx`}Zczx)}avFT4umz|D2YvgYN=7ot^8!oWwn zOHTdP>`Ohso%tU1Gr@QWxXEGl&lCW+3lkB zZ0l`^V@-J9!0lY^vAze!omVwwmQ4Po>FD+l=E7O{_|#8~uiOt+aQk7Ero&mO1d**= ziGnVp(#AQ>Lv8D?_BV%*InzI9PEl2Em!uFu880>260YavdmpUzAU%hYPSNERYk>Ad z%DA97lHJbf84llPv2p~(6P>dKJ#Q#g88`O&dpSpY>vON-sJeMIE)>ERe@rsX`coo` zmNu71_G&_h)!%eP9@f%p@4P?tDE{t`_)WsYm`|NyI%gCG}Bps8N)M<+K4HHKAr>DrV-FV(KPxV8^vL&BJoR zZ~Ui5{EFw+wxgf1G)!MK?7iQoHAh*X`)xr$V~c9Vv0GIaj`DqYwf6#N_{LcX5ZqWQ zAZuKHe`Mr@|D-kLb2o&i+rFercI;0wp+sBT$}xMQbbo}CaA5{wKt@R0empxtq%&V! z?^M7I&~V!O-_u;z_)cxL9}dGZNQF|SlZAGOuZF;}qn6xn+(RAVYx>w`pu6A>eC7k^ z*zRie>$}K4(Ms;#K8$m4*BzGgE>N4IL`|#1d&tz+ptR7~NeGT4TqG*)Ejjx(6$%~} zMjVkvfvY-QvHt1j&8xeTojmc%0ialt>28{Y>DW$~fV3{}dFpkBJZ<>LHfLJIwUoyl zJ8&W3XPRZ+;n5SYQ%y9{Ho48oAx|~Ytj~~}Gdus>^Sf?E2=pXo=`?uGW_~+o0pLq| z9m(mJ&g_7%2*#ttvL_a=9jA%P*h|8WJP^C~2*uqLhdvR#CyXP#`yXs5eNd=0GWwG4 z|F~4$|60%VAW>^w67*5iUa6xW5$?7&4G2p6N+-hM<&yq4>EMuG;c4b)U-sf)(U|%Z9Ah?|BNoVvCezIGDbc0L zl(aYDWO!Fx9GbiIz3?>%qN>S*mblg(?qwBnQ@K@C^J@Qdn@YE;&mVF+qn zz=5-AzF&b${2!*sS-Zmt2lQN$uXDF^x%``ROIfrz-RJmyTxNTX;UU2}zBl`ft7Yv9 zI|`hcJuOuypJ9^LDkDI%;1Zz4dApYoC@W7(Pd2H7^+XgZIF}vPMx|)H7exTw?R-~T z<_^qjRVk})8Bi1ndiWHIST%gfZ90QACK2(Cqd|&14e1$&l7KjW^U&Ckgezy2aaF&( zuJ_Jge>V^aK@54W-RW%mU>5$5Jt47eekkKBdv1&T`jNSA$i^DGZO2l`llR<)e>4Hh zXN=$&;Z-;Of;o%e1EQ7J%15R0W85ZJcgYKIgl)Yr3{%_MtVO|zEJ8*Ru;-qrmyJ># zHxsM>{dN>tu+5+D?e(j&@u(}H7?h99Ae*!U8zIlYW&9-fbMfr{t*60{xw2AiwoHDp z4uQX>&G6|{(6$1vzzX~wc2U|eHCg(X3xyw-loW+4_$@KTV=r(1w#0JyfqDHQGQ zA%g7Q!#J6=(RbIzFTp6~r2gd}&cZ-@%aO)Ay@}`AJ`p~;k3DPoB@o*))H$+%p-jpSuCb@yBxV2IoQ2nsdh9acJ`< zceD31ldSDAVcmDc5Q>`djxZP`f75!L5@m}JKbGU&?Gk~Hq=%V{KfcSMLefTeEjNUCCrgv|rgz^wl}Gz`3-apsS^ddY@_VN%t_~8FTS4 z?bSV_rGNSYr}K-2_PA*|GCmogswDzyoZR_0U{Sxp@aigRsOEqY`!TcqayB@n^5J`z z{1n1Z7%v2{t{d2$F-U*KNnhFG+aiuv@5>^pWd39OzsHrr3)TK|{P#1|QMZ1aIiTbT zSUhqGYHnX~!(Lo+(Kl9Rl?hOfc=!K`63Gxa`0F?1@14x$qT}jT7!- zajXe(CFhR2kED(mL*uo>yVKqAh?q93k5eicfwv7U9GgJ4Oo9J#xr>eHSpxzS#)geE zI7v}c5bbgv;yE?bjLD>8vTt2q$Kdqg8`8}w9wDNi&TM7osYX9T=MHUm8|Sy>`3jbU zW^@&LY5#0cwKSWY$MR*)UmS0&PD3nqFE!@{d~p*^3}q$%nhbM8aO)9TU+K0f%M8ME zTPpR{;xk8+jLp(4*ZLciSI+b}4&nW?k{ja>Xy7exhnen#eh$hDP2bH)@^=~-q*yrg zVRVNhne<2F4=*txi3?#m@@3KvADv&Ksin*J;OZ@5@Wx|$;`Up4nVt=Jp}c5_2ZOy_ zJVR*S`&GR7DmaXm_eVn9B{h~9(a^htkgQl&vR{kJdBBw25uW8};@)kx)P z(pZ{KicRV%c8HxNJHQp@@COtlxhQg;=z5D5&&pk%~)FRqlJPTA`-n(jv9+M=DROkb;SrF9n@Sb2(aVF06@f zlSh;X(hj!OK#zp-H`)N{q5Ek-@nlryK6qxK7+(wtXKl(+BfL~R7A)jaCs&GR|FPh0 zkjQyd?IfM6RPAf}-zFJS1yxSF!}#If3G!Hwb91psV+;;|gH8hJox@JK{rT-XY;BOc zTs-Ym(EV#G4%)J(++pYG2^sNe7#s@)!5$c6Xv9Celq&B(h;To^{si0#7LTgaU=SH3 z#ur9(CBG6_PhEcSBM;R15e(r$IzN0`{s@B*afP&6wDz00PWn@{@t4RWZ5D0)BW{y+igx#i`y_y;8V7wK zIrBhHEr>5e+gP?#T*p`Z8Fa+~)2R5Z`G4S_C5FG)~si-4{F;bkyG>5@nJU1?KXad>5@*oD2D z%BKgl?-8=Q=>jdy=R6a55N@q|QPgE&?=I0Pu|Q$}2{2Q3+-w5X zT>gZk4z*}@a7Wxr$&xO15@af$&&Vu!pMutCzhr$_VPE=sw%0G2(@QZfswbD3P&t(b zGpL3yb*RRLdACBd@M=YWUF%leosQe1@h!EQmCXn89Lp1#32&f2GK77FGgP7aZd#hJ z#8EOf5Pr=PnU`F@ziHCDOgTlxn2L6CM zeAiXBb~5a%*irmXx%%tkIqlgs0xmD)n`}-*-s?D>JoeR}NOG2W*){C47K(g+;J*)d z1z)j-7a^rNwuiqX=CYSKWpBaCE?nyL(@$?EjCSCG0f+xr(L}$!@;ci5Fx*K1M71~m z+s{LHX8*qHnV7uQ@ay!F_?v4r=A^0!qpGaG!d;FLCkt`i50#q#UGWOw;-`Jg?>_{=D`W)7|EcQn*{M=|%lE z;UNfDrtEpfmz6SmIBToSghP#h?K4^4)S17N1p*!JRRFG?0A;>cYceezZ~Rm%@mkNi zTOUBJIqj<%4@TL7Cnds+LH$EmSLt-A- z#JM#!59Y=Dbkhl~&b#`3xua#-y*_u0CWb)G@&ViRd5}XRYet*KMlM@_=%ZzADLD^g zK}>I%Tt4la=5FI5bpCf$!PXm)Ho^AXlBr~oKd-^rdVV1}GS)h$vRj*SJJhmeLvBxW zzb-hnNR?k35S6=+7W$JrC<+srkGO5nM{D0k8?7b_^I4U)@BK2qG7-Za)Xmkls{B|vo7hPb2l85<&rnO6=1(%M1hQVB5FyiGO|gDMdJJpNiHTQcu-UKU-=ac*f9 zOZ`R#8}Tt)l{m+#YWkNK+|1e#kGFaVuR#8`uXCZIAh^XN4C#u$J~mQxt!DUT-SLXD z;V07cS)u2QZG5#ZgWtDv?-0w#Of*(Hxaew!eBj|5WN6{&ZFqQ#s1l>z|1+me7c}=l zj4{e+k1{yazjmme(syW|`-w5k=$#Qh1ni3TGq!Ty+wA47(?5M&xg5dwT-UUsS*pI2 zIyw~9nsZh3op2O8cS?WDMi>}+r8JV^;w13KAp_W2+0^Cj-s6*h5Dyo2D@UxXT;iz$0S=JAM#<_mk}UvDux{U37!-n%ZkPFPhkVslE2DQ z5K98m)NwUNB`NuC~VV8#%p|J>ID%y!p_-GB}$eG(ekk@;!4*w<;{?(T# zC0VtL+bbw07WiEiy9e)|MM?0yWx@vp4(-=%tydJnu0Pskuf8nERGBdkY>8q*J&< z;`go80$}@t=g?Sf@P9l+s7H>jx8K6^7#$}T#zki_z4e1a%7KTSQWoH+yH) zDDrk;km{eK!vOH*rSjL=+E(4DK5po?9O}x|wbd7dei@#N+9OcQi;0gCHbdAo@Y)-m z-D%a0Ey7Zs=Mw*IYl0LNv7W(%i30zq2p(Nj$(5G8fo|$jooF3C_cP}!Q z*ygtVbO{3qcx^!niv7L$?BhQ`aY<1S?CI!wz^XSaQ#1cU8v>m}MJ$CS(c$q2^Y|9n zdq*P&Ga`lhu3XZ2M>@X3y3znU^IBy``pFt<3@i^;jfCm0jruo!Bx5!&S3cg$!F;y|En}_ZZerq zQu*@V#A5;w?OKJH8}Dr<{19T%^qzw`E7jc1(r5HeEA}iH!Ar3+ZaxM};l70B>M{=8 zE@sDt9TTOwXzQ^9hM&2)6bVXcwE5^>YuQeUSBUI(c$O8vgV&5lh)R>RJ{QuB&#vhl zDijiZbl*VE{?YTBgZe3Wr-l6`&dxp)BNhQvBrOHl#8d6cmy4pq1Y8<_LP_E`qth5?6G&n`p;-S4Q$5> zyJnboNp<}V(P}LN!ANAx=$7B}p$BzD#5?cMXUQ2D?dGX>ce3+RvtbDRs9&`peWUnN zP@(%^?o%V|mhkWrLS5?DX){1Qq{sH#1ck3N@9B>$)Bm~exX<+&KZSAMyD9EZ#cTbR z6m72TEji-JTJceLB=$wxy1W4Ni}Z$y9jW`)pHSayl*>7QH}EjH^=#kSk>RtCF{muI zzXT|v!gIrQ%I5cz_8-D~&D&@g8jBJ?y#aEFRLuPP@kwr(`+w?PwKCFLT^4R#c)moy zdE>v5lo_ibD9r?-enY9e zmzR=Ur9YtE<~p6wK6nGu-dmofwkt`OP*W)J2Ff#RD4i5FL^J0%Bxov_54dBI>65!< zW2=meE-3G`_U|y+0PBz`Wz}Z|D%|Vh^l@5(hUMPo-Am4yU;a_=ylIZWhAi zhMm3BaY)jU_4#@H-haLSdB6X8uh;wa94|FxoMn#t_vg|oo7yq&1-|l8o5y)N$y*ut zL4stBd0?Rtb8+;0y}c)QocYot#`mOLu&8JGk#VIG9KqI;AhJm4FS>WZWD}8Mb zoM4jh$^adMgS5avDN2(DX47g)u#srT(;G%yXHF(sqL#(5of@SJRoVHgtJ6e8f%b-+8JlMC^Vs4tk`OBWkE{K z$o1zQ`z0057E`sg#((v9ch|b&TFlEGU*IS-jjlI7X_t4BDn4#>$7vRhVdrh^j%J!kIRvw zu_HG_=DhNC-M(y37UqiWmX;=gEch;f32$!+z=M5|!EfxzwS`1v1d7n+&T67nP)I=g z$v6}<*#R;h3mIY>UwyBAX7@Ww;=#DJCip_;=M!qrKof*F%nCO#Eb<@AjlQdN`&JY< z2l_9Fc9ol;+^Q7)6`{t#XK0oG5vnS+O(EPT!_5yUGAFMVAocJ^qOtf>r-jtU6Js0B zTq>JP?BN_)PMoyDD$}CFr5lc9k?*1Lej9=o>Z4iT9trXc%mv!cDPP~?tGiRVJH>Mr zaJc@8Wv7P*RW2e1!}DsP%9VWZSDDN!dS}~B{=>ynIRtU|`VcsMw~OW+z-{G^vs2^oBzOw1-v&sBshp_|RN-U6kl#{h;d{ z5>$MGrDpOucx+*4%8=j`?RJA_xASR>YZ>D;sg8vmVhZb79e>3pCWW6>vn?;>bjZML zDgZW9@=~&x#}AWBBZY%mbp~@}+MMo6az^Ne_H&RdZY%cXT1UE33CEYDf{j7KV2W$9 zm>UL58NOFiwF&WbmxbQ*1cL%_8BL}QjD}-O_P|-Q zDZHs(%$?^co+tt|7x@m5>w9P{)t!?*h`)Jo+m^up+k+WP#cUdQ`!`}IAz3`ph z=B-e}cVs>#TuOeJh&7tg711f=*H%Ck0Clh(*(NNXXaP?TrIfpgzB*(_MGwq|Nl&%T zB=rEa#O0JH^=r~OE@5MqYI;NTUGwh5AL=T=quTs-zn8Dv0BdB_W%{j0S1yrNIc5fT zofP0onUD6ZcKMEk#-ChCYx;?LG7$t!uLVOAszEBcYNbsw z_7IPW&TtGpX^#!44CkVYDfQ^kJHzkqY?waYnQ@%r+So*fPND$Cddu5Qt+}B+@q^~8 z#$%|VnHun%?*56(T)yyl??Y?xgdyEAjVWZ90z>VLh~ArfazRT{`Ad0E+?HFImjtV;>KE}`jhDDJQ3*(vy9@du}G?H~-q6)K){*Fse@ zgSRk2q_UN3?+zWrENk zpOaIX^DXUQ_y-$vGM8TKS37MJvK8V6K(<`_2-21rqAVlPLz4{cH`*T%&EDaH1cc)` z`paZ4J?3EBDu`c;nm?~co}?}RSxeLnjRot)7g4W2xP3R!g~+oe-bJzG(wg)%OeoS~ zZf%g?%$e@}*2cd;VSv?N({{D3c`)I?bxz>{ZAd#_0^2n)AJ;3(f!DI!*`%V8sy z)#VDYn+mM>aCrYdaXJ(92s$x;pIgZ%un?qz=yvnbN>jI2k`V~VWqOz2@xD~RhDZ0B zH)ox7rX44*jtrl2 z*eO8;Q}~)Q<5ss*d(m890Bsv`IjvXQkxu?dYhOklR^D@_N0Fhk4t-xYPMRSC95Ye4 zwc3;RuwquDr~9H_gAp4=5}d|o1x5W8)RJd=x0}KEguZQR$-Q=a@?)_?=N=6Gqa=#L zU11Jirj4g%|CR^VvR)IeZIyupnqeQp!2=ma-jp=MDFQcGy87a;uyeIY6s(T*9J~jmqIhK1Vd_u&Xw+9|>YMROAH`U6T^##8>w6q*?f8VI zp0o=PV|b0cd8rUW1_DUD`$;$iQa9FT2&Gu?=O3w?(r zL(GVXV=@mo9%Efx_+kOkTUp=KGl=z61+ipSN z&nj7loEo~?WIx;If z2Tv~U=-3G-FKXd{@6h`;XBrpRwH(^kkmz~yxdjr zCLT!g(3Q*CT|=OLUIP=(xl`RV5!Gq?QHj~M5>eM`l1SCm?t*{qjUNr@5*1DKPVj## z^myiGxAWg5Q3E(i0J;wM8)Qw$mbqd%4coPZ25litMJ#P(2WpS;>ZZQ2g(!hU1gM+L z#Pn^#9l7{ZQ!u5?R@K=EtoFLcpt8eaI~r_ROeJAE49iy}SevP$dt503*ETcaZQpXf z5GMe43iEu{_ZjZ9&@<&YB=`LN4cG0n(ud1eUI;#0-Q~G)LFeyzwm7_8>P8GJA1@{m zW+HsB#tUwMl9sowG9*qLgz~1M-+IKgu65@Y=DxvTL2|KTy|6%}m|hevKAOj<)l$_3 z8sF2&(P15UOIuI+W4wq6Kb@fzzTghDs=0hXiutcn*ax?v=_IQzOC7YVXMs!2WWO{rSZPGT@)$ArE8V1A|9N^uVln- z^&8Wja+PiN3eWz-h`o5)M@hsh^B3mN&5KhIi6gb_g}!eefE?!QuOT`zz#7MI8?U#o z#pt_5^vTy(6!k>HcZ<5zc8WUWo!Oj$)^lB`T!l7>HSr0YMnyqSJ#65Csgi&&dP{gi zcwLwpxVx2R@t2!CjTXfO=|JpQwoX8xec7b56HyMl@`b%C61ISawG}_2Mx_vd!M_N~ zrt^=}qhzxX#H|`p+#!=d)5YuTaYz9tl7n;gfchp`)I;I_9uos0__dg1+AN#>7oYXf410vn-CrX-Fwd-l+vhd*+ICv z*_diocYy(Xh5Fz7v$GJk#6Sk+Lf0x3H3&T2n2b3igBvHLFbwd)l#|}G#f!#P?D?la zq-Ps5A2b$bsQo7E0}b2ES<_A1EI`(H=8@JM1qEmwM8dT8!zHJ)2yE$eu^pBij_IWe z&FRyecjjR`z<(K1#&;W0&y*v0DRaJMDZvNS83u5}&*IMhb$D{L_N_5wH{tN0X)+{@ z6v9Z^pRDMG*ZsvoL{DXEBMD15Xu?4dlv3Ak%|Bs*`wUilPMrNUF}cP3sSPv;l?$bc zgNOGzXE(_Z&X`e=BOZBh(#5!xOnz~H;9mo{Zyfg%g85tTh zo?@b3ceNVYn@U=m1YDhY*hy81AQ>xIGlLlY(+%kjp!4+!4Uq)Je8K_KXsEbTo9 zXNMoXWdrs-^%njkf9K>1xK5r?@PkKyn(GBRj0XEXi8z6MRAU5z%QS5QJEQldhH2A| zBR^u1Ru|F39G52dHfejabCc3e*iZDXGjiKvv+N-bVw3E{omO26P@{|S+3mW)E7q2h zdZ!CP(1wKRq>tdyNuBA;Z!OJun+Zi3S!`n*4Z1j^wf(HYoXL!!0dS{f)SZl=8J;$B z0PA_;h&mi3X&_c#5ZgLXpD1$@8;>>t6Xf<1mR?zSFQ}h)a+ZLI9_9M8a z7=#9961!f?C#Aw(_5pT!EE9;Np?i#JY~ot_hotrD^pOShRu8F<@FrrS$@9_Y6a%C=%554?q+6 z*lKH&`T%wRXu%FPvHxo6J&U^t|%E5)$F zrE^b!=gI+Nl53MI)%Tv7+;eRZxe{$6kUpL>XUHdo8_lr)cn&E~H@!#2M#qcZm0Uk0Ul`)P%+Yi?D>yS>|IL|la8zHr zC79SwSeee0=x3`Ca=XDi@{OY*n~@QLC6}D>s7Z+XAQ?~LG~TwL6q`;NqwPxHo$6r; z+ybV5#Af&4G90=lw-3=s3R0YxJf+G=8xx|g41Tx_;(3O!ajp&=1f0HPq?wLH9dM%i zuNxPCc{z4ZtM%g4-bB*W1ZV&$%b$xsrt*Pu6Vc~xGlSePm5NhR75CCjU&k6~O+_*Y zc|ZJUy7%W!6(mz`X-KO7U_k3r7`N+=)CI3dkm$T`~{8u-|;Wqu>r&E;Jzo+mDN!+hhYg>)3pU+ZbZD z9oY8YL;40L2E93&)sq(Lnfa2w2O)>vLq?8=L58%-)``sTt>(JzRD#n#kR}9?63@=P ziJvibBF#9uCQjW%yY8-`*U^8s$QtM>b7qc@b?M{t3rW9lwE9ifC@k4?IIE2Z|0{SY zX}HtvWDpb%t%)~Qebp)1xxRq~4WJ(}!Qt+>YNqZUM3p3@&sS8srjZL*3#2%5ZHt`9 z6dxwH>t1w#^SQCKk&=WHY(w59ZARushgO)IqdJNJhdkWf|Y8>&cqC;ERZx@|U*p z;8-6O&tyxYXZ-zR`HA!R(xOJBe4Rj_RCk~7moJIQ)mNrt6^VV*N#6|5wI!U4a`}UD zF6GPtq|CGXP&frh&UQUt+hJrg#3XV&pPihWg}1798=J5eo{qF_*R5 z0%S3**c%ZdSFyU0u^a4au*?`%?(M0y#Re>Z2uAOnw9b#N{XSVMkH_A=NiR^+#K zupkm#GDy+!#t~@>YMQ`T6ME$E1Rb)%Z&jxNnm$C8l-p7CPcP68TvDYP|A9 zmqdTev<==)hjT~5AL~$!zc7K4=(nCW$9w6(b|lVWL#y%Ri6e=AiRmzWl+ON+1Uqv? zPDeD`!VVa#S}T6VHvb*1DiMEQ`|Qn8iJk9>5gzz&PBWeo?d6G0QZ59wU_zV|L3VTk z%?L#)IHR+7K zSxq3PV~>V)vAR}pXhgbHZ;1*rY(FWRZ&*tUu^Azt@q)Y@s1dq}JRbxaA1hl69$l-Q zfK<{rqtx|Lzg&*gKs?jn+w<0Sp9o%#=DsL94Zs$mWfx2l@MFB1+QmxZyCCgQ%{kTu z!PUYm7=?SfTd;dt@Nf-Mpr9s?B{2x4{#%e(V-WzWuto7fKS$#}HwUJxIPt4pcCiRL zgR4jB5=sPu;LLT(cH)y2`X}PRWu|T|cP->eI2LRaEP>2;@LXZKYt5t5aicJRRK#xP z=Qs4Ngj(%>XrUj{C@Ak>Az2qKtv@XNOH(gF_g#>m#dGO*vqCG+p z7d-f}@B8-xsiBqFkS0(E=p@nu#CT2bHKRaK7}JSC2qn;q7!0cT5Fe5t-zpkT^a%+A zh>>CbWdC4aFl)i739XK(z)j+{Py`Q=`Hc)ZkWu4ysQY1{H<|2xHpm~@sA*hBj0EY= zvM3VofMUfZZ%ELzyd*#x{s@IO>lX^TF9iiFi6Dj(cao^QqL=d*j2ufecfUa5@LY5!ds{Kdj`E&cwrG$vt1ViH%5@O; zM(UN^)wN;!%FLD_W05Rwkn+x0iE%cAYHT&rwrE&YH_79fQGbf7r$OFtHQ;o{xMJ$B zj|ggF2-DowTm|CH&;b<=G~3oiN07>-?syUCGEW3IckFeECW?LcLv0U766Y9$6C(OsM(}{#80Sr>%v2*uVxV z1)ZVhS&&n1$EVzosO2w;d_x>00NOPd(OoKnmLmdO*KW-uUV9llY@U=SbBvRG|W`TO8cy z3?r?=DjlYFFu6`;!hu0WuUU#+)dS%2(TwE| z);a5x>PR>%#uG`4NXasii0W@^R};5J%iAS(8LN(M*sua>(@jQ~p{>;VH;zs_0sxJ=}7@87T*S1_CcbT1bU@=n%hGHf{n&JCBrPQBo zrG4vHsLdy?C1RX`nG|)`gJ8yTcU$&no31x7i^{NR3I^ndv+kcVzXS_2Pfy?2GTYW*bK1lf|9`xw6Y{@GrKUFMk5fjnP_^jb zf7e-GU_rCoLkn9hi3mkfFw^0u+vJ0Pu=1|U%0>H#3>hHpx_rqr`wo~@l~EM%v5#L_ zHu+e2xy$+9xyZ{_XEb(-V6z;cR5p7I2)|)YjCM=`1QTl_LL+d%A>+7d3pZZWEMq4#~yA3AqX|R;M z+l8W-yhqAMv-wL!Co}MsA`nR^LSVb-00K!`g$BSf#sn}oXYN8>bfr*iPjIVsX*Bv& z7L<4;q7!Ob&=Z7Z4s00Dh>gZ|Qesq$2!|bJl^3iDCxV*IOB#r_>~wW=thB zWBs3U_P+gHXv>z~EAcwYaOOS&0W08?&SWnN=TH}_?I^oxW-FfT#Jf6E&i*vpEok%cOqOY*4M51^6nhP&e_gNTf>oP= z&%{R9#`7hRgA`*ch5vxnyNvG%M8cKHGk$A@cFZ2}oQ}AwXir`4jf`^wX~^)fgbILE zpG3rEh9JbCYPDT9&6pY81p4cwtVx*At08|5Np*IF5#0|sd0ztoH6Isr{D@q{qa}vi z^;Eh!;`+?;%Kb#oD;s}SzHT8>l9xRF=2Aa1doBp}Gr2TVt}iUl?Gnx|ny-4In`RP# zX;Ko=!1KaZ*aGj$H=&wL2KCU3o;-{(3=efgJ;S+uH9~bK{L;Ur=cn+0<+MHj>QMr~ zNh$dW9t70vXqubkLc>dS%nD<>cKg-=i|aS6UwhXwf*i$#OgTD6Li*i^Q#Wv*TV$)v zchmIFeE81{>mx8aM3ede3*B4xxy1cz2l5g~Am75WoF1YvBYK|e7&ff4q=1q&g)mWC zDAxeetWgi!xgXC8AfWHKBtd?&i$-lP+!|hQeDvzH!KRAcwUipx#!4M|2vv%$LjW^~QGc`zK*=_LJV9c$9by3ApSl^3F`Y1X6pg=u5 z%By3Ze9;NG#)cfSTTeUpEEW@*eLb^J$`7|41zgKIy~B1>)(va7VBEgUW*_V>*gl*7 z9hwo9!h5|1r6w>4yJb7n5t^GNWW%O@;&&Hu?VQ*W``IP5SGPD_&xUP7ZwEB~8_9fS z#pGxRHmfpIgqVTP6`?L2o$>1`VtjhEWJ;TX&S~MH5$Q|^xTP^YTI#kR+b@r=&>yRQ zzE`p{2QTmQmt>I=W0qC{YCU`~ytqBA}SdK%O(m3Mj2XT>VFyLGS zvpInT@ffB=aORjy3{!(?x_!Reb^kIWzlO)R^04)8#K2N6;tMc{%j)gCQ`78x z&LmuHKg{Ht&=pPB=g9VB*o>*mpa zw~qbfPz@)_gm>C64qS@WUL4!ky=}nigfs=6+sz*=r*m6L5}%4&{1(s)269{$l?>C~ zyat@4${SfIxC7mx&~aF%l`o|$%AIu~eNf2#GYNXVd(A9?YeT?qaUErTxEoV>tMC(w z^!r*X!7}+grzG)yTO*zXGT-A^i`c(nv3XhZx~{qq_+Eg+xKtp&z1<|W7 zC1o*~_OVRvrEj7&BAIMv5u>l$j>x!4J$tNQA+9Ln%xe#UyS9`T&5@s}T5&xRQGTki)-AoCOLA z)N}FRO@W`FN?s~Uxv!7WPTN!xrMKj2W?LVqblfOPbz8|V$%v#JJ~hzH&ZKE$%F?^H z_a|l8!L0%km2oIlRGu{2Y6pBg$E!HTYFv>}#2bDUF7$8IC?E(#Di}}@Ga!xwa)cp+ zY_Q&2c4>QqG z9H6Uh9B`*!ok=vHLv`X`B=)jvrz$*L`I)=G0bfMOtuC#Qi7*eX;L&hr5Z^bLAHz_b z9OKWSq4Una4lQQ@|E^e&>(eH`wUlgd^G^;5@8H36tTwXk|$d_wM{xC_F^kV(2yy3<-g{{P{WSSJFv6bc@nNT(pU-_w#?b0|3- z`ts1Ggue3!YZs4s)Q6ifck!`OH}Fqb)mTWC>!B}FV4TC!uiHAxoT{xfIGdg~EJjy( zdW|y%n#trmiwMK9X?eq6{QjdI`^AnEi&) zgx_BP0W+@f1^;dAL6WODZApMHS9oznciow*Au*d ztBk$&f1Od97GymIK6%3c0_Hy)G1N&pt zf88-c?owel4M2CH7k)ZqjfMDMXK_MxhBr(rSgobA2N@_g9J;Y9q1=29t8iTTSc>@{ zjO2i+eeVLF`&Sj*_$SOl^I2B!nJGEj$Gl^?67GL_I@v6f7^{g&Ks zSw~$n9j8a~4}Eh^0!P4hA@N+?6V3|5IO7N{h=#Oc_qHsuK?`j3LElcUZJX+p0(|tw z=y{|S-g&nm;GJvYl(4FUN3(K z782pQR+X2kR)KC*^YfN#Ej0Kr-+!=XOIl;u~@tV;CUN?5=$1|@Ak~i zefw*B{rwj?eZk05g$uiv@^iZbuG|z3#Y4JEhxdIphU~T&=}(vz%(r~*$@%fE#1G9v zF^p`dE;&SZerFyFciuVEqvTj(L1kH($7Z@hXpf4H*B>tAWePSLRIN*0;Tv91#|zLp}R1cOj1F z{lxff`M7zZOddYg?+)OwH`H= zqUv9OnP2EVi4lI2ay2GlgA>O8RST1SzkTIUa+*c}`RY$BMEWok>O_~?mPcAhk^>%T zGpBM?LAk2hm@ISl&bX&{AXWH5%)H;Va7bKU_~ItiJ>9M+qbL5T;@JixMdiPsOa&@9bq0+Iz?4ZxG=t^B z3v4k3gG@VNy$(YBTRU2*?U`vUu10k$K+b;ZDj>Nc)-;}(^Q33br)zs&DIIQPY*I{t z&lqR#Mc8F}2pv4yy&VYbw1B|S)VV{5p@d0gM$#*Ja9Z>>Sv6BN%bZ?dHrfKP%(f40 zS7j2^ zs(|}80j{Yk=#X_~YW@}xv(<973YxhRaM2Yn33@w;)e8pKEpaDq-!+i68d-hSTM8bx znUG7OM%?6DB%h5&+!x3i3Qpf{?@R>OSTn(wOAndrQM9lhx~^rPoHJ3_+d9h%WZqPkh0e3HyMG))HX%miMdf;{9Rl&VT zq8~Jf9D)GcgS`U1iRvCpp`k=^3ta=(g>b>-W@&h;q7deWgYB`0KRL*~SV=rEQ5K~C z)5X=HGPjH4sas?G3ng7en!1W}c-~Ayc4{e#1kmxcxI2*Hkg~$dAV%HV8iVW2v8Z-A_u{eHukkgTGL0zf6lKW$-Qq0o9 zq7Olw1+L`ATM(tNZ?1Fo)TD$$;<23{I4#Po2|=pe>*>qq&+)DM=HiaejXUQiiX{&! zli2N1Z2g=+8XyUPYbXFH#?;}@)*W8^fiwj*qZX-qUK$>LundCtCQ`7+Zbc#bHz^nS zO|y7)dSFX9`Mc*z*)L;AxlL^57gAA(COn<(M(hUW6tD&9h1|gnye6S+Q3pLcnI6y+ zoM8o^Wx+Jg_`!;In6X82Cw`SxWq{HJR@++5nZhAvxy5ePICBJcud=;agaOZ!%O!%R z1t%P?s$uI`DU4x zL4hkJX1nbOVvw1btvb9Qg#7nvhoegM7-K7%QNCKBHXM`}&+WS;9H!;k?kJhYut|EE z#?JGxZ94wI&}&BI99t6C6JDl^n?JZ%^U@>;xHJgc31qZ;(Se8^`yr-BHm0MMPR4E{yM`LK zSd^dd!!ae`UE4xyZ-T0e(dcOg5fO8mis8RBE;zY9f%Gh2;`GBaE<&(;Ne=ZmC^la0 z&%$2Os_`A{83%TfEs_Q5fh~iyY%hgJzD07{FtJIp6g53k?tzv)UFt@ZXbo*aj+*sqk~U~+sQ}~^0e%}S)fT(UXA{BEsm40@$n?ho zXG1azkGHF{=6?5S9J$SjW=zD%Z_o`5AEn8<(CIl-k5n&MvK&@O*JR?UhYosC`Pc{}tA&i#H6W>wnOYxG;o z*G{cR$ghON@_KpBX;_fK=`#J|O4AE5DRa+_b{fPB zyxG0%{r%-^v0w=+Xgyc zKjnF3P0sapw~SJ-&B(umY)X4gK2>$tUZ9BAys>akO1q=bf}yY#FWyp=on@kiqiw*9 z`9dW70F*bR9QH(=>Jo^Cz6;%;S_A%1du_y4zTtY11kSV6Dx2I6!ZJ!&=aJ1F!Yn~1 zNo^}hlf@7JP34;RFe?cO@FoP;VT6N3>Ru&#iH$*2B z^#pnj&EV<`xywd}fFLXe(9<$m!k1vgGLSR~QXzP&pLpaQ<>?)2Y1Tm87MGWoNKUIz z+!(wFg2u$Lj$V3q`ngNTheBiHpdiR6e?D&5RZm12LtX$HelrjRv5P!GiXc#6piSgv zAw-t}*#{@?=sv~75c-DkC-Qm=rD?gD?^u@~P?Yc>i*b&TjP09kAC z{l-oCuK>NAxqk(Rv{<0r(xCSa(~Gyqgi%nZ2~c>fDQOAxEe2ud*jm)cU2WUa0gr}6a(-}VF(y5eYRi*Nv?UqcT2D+0A_U( zM9W=}0hq4_NJ>0#{|UJahzLs-a0=%I-Ogzilm^?x8E|oPVp-~WV2r!~3H(9lI=27` z;JJ(C#bkp;AmSX-^g9ON7l=a$hLs7M+ZqVvCFr36J1Gfu9J7$vG?Bekt=_zcO%qVy zwLK%oBkl#rA2GlJVV?`agc>g&9N~$p~LlYz;%wz)B+!E%8#jiKsc+i{rYfy=%z~)UM*Vlf~u2 zEL*!nGpxhWjC7v=V;9Ct5DKFUE96=m&h z99M$!CdvmW)6+R_>Fpf%&|MtYfim@OjywKyj4L-_)wY9YtnfcmmU94Ft$ zaj)FZaa&N{MLCAD=t++I3`JYXasODwanC-@aYs=e%;&hBDC;~Nces$_LMS&p!*N+C zPdv+U3BTsJeZRrnP%fb8C?nT!+(eW@l&jWq+-wvt%660hN&||9l2ycU#VB8(j9kxg z<56x#xf5j)U`_cg$8C6yT=YdG$50&qMO^olYE<*jY_{sGnRhP4j-=gzHF&`=}nKXdNlu$M_sN-Pd)Su_tesdmrr_R`J)d% z;>IhU7^9-_(Pi#OjPF8)P|-80axDJ6Gkw+q;j8UD=g3lanC8!&=k&GSSXOYtp-d<# zFFoMnmBj6dTv@?qKE5RpZGQWYGXAsD27Kb*btvIB0(#2ZK7Qbic+TG_)NVd&5);mu z@U*=QwPnuFc09xFdW}o)H_pSmRZ~7kkK!$O{y*h-?r*Fb{kciBC$|OoAjb)O$EM>b zlTJ7maR7k;$V;mztG<-aV840xnBLNWx5M8uw z0u=X@^?`_<=K(27+wZ5~$-CT!3U{YNsVgq`wMGkPHXY+zH%<9+jAJ{f6r;bvmHYO^ zMjo{pkK*W2mLhO?q!%cT?K8PNe18_-ZF)hAWBX+G{ZaZ}wxY{*|9uZEo?Qzz4W2S6Xz5x zU%ldqRfS_Y_L46v%gnj0m~rh#1Ld))XKO(WAPrxuwaghOx?;s#M}pw&DRcI0_nPPJ z96zws8FDD^j4T&g7Xz4DwV0dp)bf>y3zxbdTJ>b&%$sJWq@+zroab3N@!m(DT%MT! zY`%N>lamtjR;_kFx@u*9;>=Y~J-eESH1UR+$%*ry{ngU^Onx*_K}v-1HjrgQ)z|RRDZ_!-(%So($T?Wv)E*5Oxk>l z*;_|ebhv85;dfj#TFlG*y?Z+hNOyzp+BM+dI_l}Tb2fDp3g0}|T;v)gjVg}+Ux zc>C=+AEnA;lU|=&`29Ce zx4%jJPMEULTxz?|ES?anUcb|vm~_M<0J0q&SMAA+N;x#S;=XusEP%>80}&pXdmcrJ+tQx4qy z(F}Pk9;aD$m^u2qi1p~r8VBkel=s^8=8vwgN=P!}LEg<3I|tL)nIji{bdNkXO#D)9 zEvNob7b@mDg}nkvrW2#Uj~3T`wZeX(9p9hP@tK?FT*%0Kgjf_S@FAhue@wIr2L%3H zT8+@S%S=80-Xuu=%Cwr&rXRVX-(ktqtQQyky@4liw2K8;M zK8@;|(rQHK5cE&|w2OS4Lm6%=7pk#Zt~k-^cMXAXE3FspWv+7q9~1$rvm5ex6lCu? zzsmto2|^)C=yE70x$~m=p={I3 z@flbboE>R3WxT?6woN(+#~EZ|QRLe)Y`-)YJT6XgnKuO8`KT4z(~e`u>Jm`pP)0)n z@*#{lU+5-?Z@so0UmB}i?O1&fuP{|8=o0z!qN^1z@jYTrjK4{6byK&6W2*|fMYmOZ zBdsE>f#C&`G_69M@}POkFU^`?yH$Oj;eEmAa-mYFM$bHuoTc0{hG<&7U*FK+xr)_X zKZb$fw(K515Hu2=qrjgnwedH20GwU@6y%Zj}aY1F1R{`+@7?GJ=k#hZUJM0R)EzS zk9EUw_f^zKMN&pq$l@8|-%FR^A8dg8pfA+x%QLruUAMY|<)wa11!llEZdQugV$ z+B`OVz;?`j7_KcG;42Dp?o`#qWx74lzM?M9eZ4jh!gCxw@Z6;>%}ntO^A&Y- zo=o&-3Gm$}PP1$20lv$`VOJ2^O2M@b<>||ePfM)NRk*V@=(iR1 zYYljRh8FN+-CZ5fR7_lwcd$v@bw$PakoGpc;b9YPT%W~RIkU$~uVN*E?J%lh#DZ9{ z$Uzu#b``rqK-n&^Wt-3qV6v1mJ;eGV-{T$MGr(TLhf>d?Hh*;HYEVkNDXk*N_h94M zZszb|26&{K^L}PdJ3b%0mM3)U1z=~rpc}00P_`t3s)N3L#DvgF!aak3r|CN0?BGZm z8Urhj_k?8*RnSW8a-fF=KdQB%b~`Es zMaLT^_lWJ+8LuY9#t~-RLx7fDUX%J&X!}@BI~zMgQ_x(4EJsIK%GHVBR$coryeiQ` z00LQP7IH&nt}f9TDy=6uFy^wb7wj4n=IaBH(iIM62k*Y zJ*BRYwgY{LR`Fi5pATV$F{>nDA=IfPG2w(GX>+q9NuW8#Lw{>_2-#+Jsm^Ae)<8^c z1ya>}Bm4p8AQmCn;p`GzJ#0lfzz}Rv97^dWuulIq0S$Kv?aG@WEa8#xl1v3kh`0ZE zv0Th$IB|;3u7%Zh4CQ^;Gy^M^2blGOj*>Ch(NcBgmp$}4^`vd>-G^*Kwcre4Pp7`_ zz8K~ZgX9_Q-g=SnO{-$Z$aa8bQB5X5%2=A-y4rZFB*(Nh(H*O%m=ki&3c+-m~MTapNE; z$~fA~+e)GKV65NK8%@6HoTtDbEUH$XWxY2E^~8E;wKP$vgkW$F0&=P{i=+I<0(OuM z=0Z|}-pIil0MR#%E1J;1{wAX*(H`0S@Q}DCIk8-A520vJblyE+lQ6{YOtIY1JNHW;SJ1B9F&hQX!to#u@ zGJ!C|i*#fu$MU39KC$wZfg!*$CWkWF$vY z22C8wqu+zx7;WwZ#u>pW*NiFm74>lLQM6P>N6H=FGn|Tctou!420%*#w!_b^H=YIU z(e8!B(2=nReQ)gd{r5~Tv+{jMxG#thhoW)ier!H2$nt(NroMyb*SkOV8|~l5+n8;% zd(bXusTj_GTp#_3gX{}Z(C@3ol4u?D0MPz>G}JM*B@{NQly_*0Y8Uo9l&In5LL*xD zBUS8&p&xHg%F2=D?i53cFzxAP21BKo8wyJ#;Sxr=Yoz-sV|&8*5vf4@f(38EcS_l7Au0A!hg0LRaUCgUs|8 z$zX=TLKuj!7q*VUiP~4;*V(3!rQFQM@3&yeSfj_trZ5$w8>!PEx|ygHl%u-gp7l%z44t2J1j{bzwgMk4Rh=|T&Km{I^qM^bbFz9vCYAv{u&ZnfgTK8fI>vRL3GxMt`O}H<1rh2C)>8p z#CIq2J??>i*9p;eE@)453s=ni(syCJC%R$Mb#u^9`r+kE8&}s{_}db{D>l4xn1Mri_`7m67VMH zX6gnxdhan=L0$KaP)CKRD!@0HI8>U{DD0_ZykXr2ZGX&+U$(Rg@-w1t*OR&a#vRpn zY<|ovCOiS@cNJ94?uMRMJFyBva`x{{hCOl@|@&vxW zl=tGm3b(oQ^zZS@+IhyZe-VDv*M_-1YyMn@Nm3{y3;}qb{g&n)w42=5i2Ux(6%_)% z8^ioxSzk&2wr|y70x{j}de-Mpv3`~^a%dR$Of|*+f1dc)*#8;nFg9=~|2dC!-Y*;p zMv)NM4I!|b#1+YbeWk8yq2R1g^m(c4lu&elHZWS8QrBs$|9PfE@(qHMsEc(e6x3m+ zzXNydC-;`~eD4ESGYN@;R@lGiK{t4OLU4X2xY`7l0s<4kv8ms&efk;eLZ3QqOgOz4@GhLbN)6 z0hkyBrUrOdv6gWVNoft81r=)DW!Mj}Erbin1rUO!0$68bzQGC-wW%KgY4A&7QxTl- z`yCOS@F^W3O?+@~@8#6{dw$nBu^>htR0S3$TdbOwxDqJE#e600n|vkd#T}&c?rjBM z8&b+wR5{3V8(xJ5=br6%9fY8wUIs^cAt8a+nM!B8?d$(`#|Y021{`7DUf#-RS{((| zD;5qQ#Btg&={Hn|ZcLLYCk|yA+Cc`C@EwpV#a3V=gWrv%`#PkKju>)e-;zUCXcP{B z(wPnx)e19G0?|TQfC0TR{pgxFPgDlqoj-uWG^Dafq&FF^nJncC4Lk~KrJn($?9~Xy zhyf(tV-`mN&U;6uA6;kn>_A_I9}&BUy*n=%tzkZU$A1gxBO3c}G{Fj?552aEXfLfo zTMvzdCS{-k@+>?y^+YcNE{rqtCm_1X7^4?V!AhKcFSDl|%2n(sQ{c#&2n(fnW($yg zdnk;1wWXYG=nY#^i}5l~S~C#AZAEC~4b- zJ%;OzE&XLXX`3&!u+ThR;p^5tw-Q68M)W?oOxSx4B|RQKRrec!n)Cc;gTD4lCdO$SAHZpK zs*eo{DQ7@`T!jV$+JskT8lnb|lSveh_m{D^(0lmBKUcXrFn(@mqj15{Zb+zfE6>7& zbEm>(TSe}i7~vuiG%~l%099EyyqrLdHXLE|!iL7>%Wy>8rE7OUnZO2TcPPIc4qodJ z_7b(WZcN?_|L^d_G(c1Ge&G^6=7xkO;es-dMuW;^45e*kQ${b!+n0rl@SdxUp?;CL zc!~++4oNT~aUvfJ?~#K=;;w_=-H5~yEeef*ViYJGxP3Lj-=t^9LPrl1Pz;%>a6t>X zL997j@wb%zD^8ll9~EQ8?R^%d!$jHzTV3WfQl2*2kc<_&Q_p(G9~zfRR0IVQ(q?|& zQ*3L-E;pTx6WQekK|l-$d)21K^>qyS&)P9fog}Jz5L_?N4tk&+%rTiLkh*>HXz*m7 zm>Wd2fV`J1UNB<>QK&HRo>S`dE;v~Us5-rRfcrE z-!s8@246U7l!ko-4IiA}LE-cHa~Wlcqt7}-$2rGl#SyTXt;|>R+9`+9sFbr{?R<)- z3KEHM8!goX(rA6?7_DPIt0u9OV@?u>5>B8;N1+K?B%A%SiineJC}`J+v&_OhLeC#j96a&03(e zN%6z18^Z)9=~H354Lujj%FeUJ^@s!RN~PYF^_Mb#*gNe0Nbi|Z?$Nj3W6F=Be&z5u zQOYn~JC8wgPf8P_Z#o%-8{LvuR#!X*N-82U9 zgujfZFAT5VtQ!%fFx7gqQo+vAn~o!*J}K7f%R)Mg+YaHcCrmO&nodO1LH%AkP#ds0 ztY;Dfwm3HI5NjJg$K$hhEP_>E>u8~}v~I^>&R>C7%Zg6>8+SKaF1#VsZL=Qk8aFs| zK!Mq6=lGuDx?MWg_x*BdLm3bK>7_HodkAV_u13Ux!R#K(K=xeC;0f5~m>(D$u+4?1 z`hK!XFHO+S!SGaWOz}6pKGFR8jpo-=q0HW@`RO86;;eTbpYGx1@tu{1&~J8 z-PdZkmP+?iBrNP3+jy4of+4r3mo^el2f)%<%DS^*IRSw_y|e;b0c``;(z@{TCG5E{ zouLERKweT<=vXPJ782?hPv@03vT#+6`w?M3Vsz>IS1&=F?j*!zPK@uOE#I=k#-;Bq z{JFRwT3l>PuP>M@AgUcF+EF=MSP-4OU!N6=px)~Hgl5x00Ssjo*O`UE!Wy%fV->Qk zg2xJwJZ3~&e3BWPCHR&`B?)Y}H^jy9X${)e^tu9=tl|=D`UTHx#M}#FsGW91pY0F} z&FETOXBF01wGSdQLA+9^2ds5$7JD}Yv9}g-zVqgw)#UzOj2B!X@9B_m!E_iFf@h^= zs1TpA7#lF_%8ZeO#a3aR8EPgC0jQx_SgwJoo_@qL%@RebMO4N@dSRVSBqw`FpA(x= zXv>ez$cct&niGwxjGP$y7$fXwP#9BEU*m*$!dLTZi~2N|QD`oF71PAmSMZ9s#33}! zz_7GE&Wc4~f{f!Xp)$QOe;o9%5T<>;waMv(Z1D$Y5h_sx2}C$$Rz#Tqp?1y z^T{Y@2xZNyPZ+ObH9ivC@MR@fIZtfbQMjPk^d6|~i`@qJR_4R`_CG|AA`BFJRY9u& zxM6BQf?CwkCSL%KM{~XfUPfY`ilohEYf^e3-;yK*wpo3F!H2)yfMC`hE^Zst(4NDJ zDFBT>u7GO%=FLHO6~W`@fe9Q@G2&S2&ymvLLs-ILk_5 z&KxWA(J!zfkRjYVL^!HvJ49=87iuS3xg=0YHW5K~Oc~!HjzV^oMy_yI2MwWoamv`g z4b*f97Xo%&htoWe4f&(K9ZJ*HH%POH=md~N@Xs@xThw}P+9`^jkK!`0ljU20IiVUj zs~&v#Jkk4ZE>5`c(OrZd%oiAv%lIIMr3tcpEX4mJ6K-XJxT+}&T$VmXjBMWRyS`Fq}hA@?@ z+ra17Ck;BlU=+q~4p^b)QB1H`E@QL4kcNOS9K<8`;cSX=fml%A6eusb}Yn^TCFN@z*sFbVU2mg=8SW z2$v(tB*Qk$3W+z~6y&=t?mJLJVk;f(9+-f3sX_jd)ja?Y6X8^gr&crEBg^1|baj;0 zrPcTwDbr|p0|K@UYb|Q5$yd~&qh&tA!rh6$bF9fgY>NX1g$GX17*kZ$wPFtZ0x=W; z3d{nf(TZ&Mni%TD1m4=&Ft#r3_*O5H#Y`N{LuiAt-s4bO*y_D)ENw6FAHpa@^1-hF zJm5}G9+!z-iG6+PaQ0^@ zxha|@VxLuF=MQ(JeXQLO`MjZF%@Ir&CJfCO3r3U9Qtr3`2->HP(V4zt# zP8u*04PnRNUzy864tP7#H}gofr&wzY_Hex*8lN3XG<2F6ur;Z!y%~z}Fp6Lr{m3p- zetQ{B`{}tDhCC|a5RmfTapsMHW&)RMBBIjaodz(I+YC-Wha#d4MFdF?8;DuBn*bl2 zl!&}KnoQ;Hu~nn-LSt!@I8~fuMjOm?(>ecf){mpf zq3vgiZSM@D4a&t!n=t}-nRZ+(i+009e2PQms554hgn zUV^w2TbB#RB3df+8Aug!_5;0u{@5|v4TR~~4WYrcv)np|A{p%osYTuJ`(YZwbmU}R zqErK98bB5?e<0Pj`y0_t$U5QJ(%cskgWPOnE)XCIV&gb1WsPBf5 z81GOL=zAD%lt2N+%C#K+Hh9dtH}3E`OEui( zF8prx9py5PddwNp63Q@%=mWMqMl8&+4BUv6gaL;!`EiJ*9m;EZxqA`5GlFLH`A{Qa z9Y(`+5eXqI0fRhZSdY8e6L^LBE)QZKAu$v5D}SS3F%xTu@PI>GUA!>@Sz+B%@e*n#6ncy@0 zEMzG+j@ziU6K%qV4DUE;!3_=T%$_)5e_8`tWL+n;F)77l({97ZXXUh0y;5sf-aKHO z)^OJshPSkh5i5UAbW)z&8(%Qb6(Sw2SdvKX@4ldI0PeC#T{zNG_yxSHZIln}JWu2c z`q|9^ci#r>L`!KVxV!wYrjtZ#l9A^z>kC@#D_8gEK35A85(1^JAhrMmPC!ZUI|^{c zQ|BFE5a!BykL4o%9XX}MBo|@ikRAW98PrK`$Yd5>gjv^(Km?pr8;OpXTTMCiIph)L za2`$Od$bQgRVNMU?Fx|>l%k+PObHg+z8^vS(&vmnK+*sXa+>7LeE}QGrJ1m zP5VaS@Bqm@lAN=Ms3NF#Lo>; z0!adqz&c|ie0-Qjrx@OsVX$Hub^L|(KrY-Y)f9AqEqWBVd3p3!uRH#Ivv45|M&C!^;V|<~s3IB#^BX#K zHTZdGdKat@QY;Ok18tI?1(^^^EY~jd?Kv!ql0|*ObTGJqRtZGVn=5*`p2N;1$R(Vd&?S+<1{ z#--tO(lD>3J}bqjqzt>q5r!iD64`qzubAQYb!=k-&r^B}9 zQEKZ*-X+o4lb{ zWc6J;+Q_lraj~2!D-bnI8Oc)Kx(NR6r@d@{(F{_KNSc~M1mB*OX4>5#aK;a${moFV z4PElBLyW4(H=n==HLYR`Q|$WG5U5{S%ARk58jIRS#}pk(@u6}WA5xnXk1VB>T!9io zu9R^KoYQVI+vu6ZP_N2~9d+{w=-{Mt!efCR7Lqm!fJp5O38POqAPAv(!q|t+afo(c z$U=IA6-Lwz!ZAWu-y9@*VX4ztU*_|`#vOTjh&{yvV2Sp@0u8F+lg4>U<=!g2Ly zFp>4rN;5P7SH}#0S zPUW<{gh!G;&@QFzQJgYS$C(RdyskF2_a005HG<*f*9q_quKrkVd*K)vKV>?OR`kH z{S4Y?R|)AM-OthX^t6t14EL7shoRz)K<_@QwJNh}aZd@~urnoA$da1Wt8{Gy1paBGX4_y;>|r`CA}q8a zfr+L;mV0YLY5hDACk789`zQgknTNnC1xcP)Y|&`M^qW?i%Xv&i78;$Q_U7XTR=>>6EDaP7}I66w}l|S2jMW?x)OncQ>%!PW|`Z zd_`xuBCHRx>3%%gS?!ds=-dwhKBu)1Vz9;=F0)y7{&1FIu7r0Tem3k5kcaUFRBS<)Nlle&gxXgR<=V#vSnM=-^=~Fxt_CdY(PQS%{r@DeO=B)j>5J7qG z^hZ2P;X)J(f&dITf?XP)>BfPbCp`Dy-P`S+YkQx1wNu(0Z5eVM3ZgTA?YV#n{22%ph?G2ePu^;V+5Goanwb^JkvK zB=0>|6T#XQKAAFgKQ-09qzBR3S2%AKOCPOC-euOy+81yMJCcIgR;%X#RRgTdRF5sG zID4eFgZeEZ-fgxq_O*}8QOP|K%EsqI&ujhT5w7COd!Vt*)YQd zi9}M5ubQ$WIugiNe8#j_I|;*;x&Nd{%TehU*In7VnzcTO*2mK?79AA2w9mp^XU4X; z6B}<4yg;Qx%l8#@?a=j`E85@ftiL-~ID|;kxDCOz_eIPzCP=z5{=@+BBerKYwlqgD z%(vd>g979LYV%hzt1euvbXH?`31MF-87xS-#e=n1g|_|;kpEOo{pMENQ?(buAX46k zGYF$n$nDxtvt~w>E84d%Mt3g+PhsaIGm-2{Y)3Hb3&^i0I)jYDn5^|xLtY`7z&(le zqn++_b>XSMsS{?Zs|(Vi+By;MKTvHve=U%M@V@rCF%0KkfY;M>Ku~Q(52(#p7qj+u z@^-;4XMv+G#`%vOK|>lAsc}rNO{=k{B45=iwB_J{MHMIvBBoda0I5%r2w7C#oHzuJM2nTz3Pktc$HX9?=L&wMs*`}S*ZoYD? zREIK=T7zo{Eu4CH4?#@uUITbhiPKFXt2()7K8+mAu||8Y^R6423R^lSIwi-Ek`tGb zGbAM^J|!n1C1==%iXw|CC$2YDEE-wsbD2FA_O0&ON7Ur(fv*$v19f+uMg8KEADWXZ z$PVF(V|;v&_~OLXLV!5q3!`arL04)093LtxXx)zq2aGgBXmHj1CF~7L_kk+A z0e3ycLY-~83!6R*U=P*;q-Ls*UJBFV2odgl=zg#jLC41+Q6Mvhk%HBcBxVnTrjvjY zk1_-$4#kmFl05<#!THK(sX0!(Lm~MkO~W2->^eD=GYR)V4|FN~0tKJPFHljd@xq7z z-x9-RF3Z0$GcP|Sb5{O@%$aU@PhtYu*bMh&u(S;<=A!scvq6R zHl*h2+HKkl))yrTh-3WZ70f}@7DG`Z2uBKLCF%4j&K+b{7apkbdgh~m?+IOvAr)@K ze;Y!(>Bqfq40jIH3P-mlqMH&JGZ0ARK*F=M3L1^r%?s~JJBJL+7++Bv5>I?Z=gBGi z6d^)<5YdE3UzsKV;GnR#>v zAd>#S!mzbB(X473sWW$Ft*w>J3))UaNY zapsEE4;3y8<0#R+uy-AuJ)o8)W*ex*Ned%^UdXzsZ(^d!=#=wW#%J%dYH?63MBO{sf<{dD9RZff)s?9B;T57 z&J#y<jF5l?;S3rEpD8s+ z&?GnwBRNYMUqSK>1}Uqpw%@)h3i9NtigM;rgyW=3`4i2^yJkclSzHXw%F4KMHe;Ha z@=Yh3u|plGV?1Lk&;o_4N!0^-38a$jD40crO5!=jR*R7@6cX1_&WIH!xNSmF2NXMF zr1_qk7@OT_AWwWSMkzK18A2C=RT?k62$Nv}wb)#e z6GHL@>`UP?g!D!LVCK}rJq0gj=D7XPDlO_wm(}ua#+$ze8T5Y_<|m?u7WKIh^CrM| zpgs%uXk`iSDM57uy@5r;fMsyckMS=yBNb!``CU>6k)PJ0UUeDcm+5<9!Du8Nd#9(mHMG*RXl!hpf$>Qg!>JSBSwHe&Ukm!OeHS=DowND2(# z)Xq!2>q2v)bpd13!YHo{EGHEL^bZvSJL(W<08d_;KM&f&_PnrnY2i+|ohggfxzN{o zL*6{$KvjVa1e|&n=b*+d5$}namcyZoMh>?@Sau*sh;Ovw#}VWv7UYis zi0Yh?@G3NOYYNb`B!~8;M?m{4j1kIK^ek~Lo{co(X|s^#MrRoKMx66tzP3g)%@Eic zgJe*sH&s)`Y>MxQ*`y(e+0bl)Vs=-Vvjy7>vcDFH%BC-QPHfq9yog(@PS&-Xv;_np zrTmFSHntld5f($RG~m#kP%C`rP(IulmMjyoor-fHfT$(Opd$hj6OEQ=Cq~+(s5A9` zJyI5AZ;uxo%0rQMiRwt*SW)=%4~0Fnb0+vtZu)Xm`6ilmZ8%MK^CLLHwZc4&Lx%GD z?u*ZOkkE>?I*`KnH(*;~zW7YQ_6Q=r_t#=S81Z?zgv*CgD)Nq>IR^2~hbC8iWVvmH z?zv!ri9OKbdll^ePFqcJS-`9HtQ83KRU z9CCXJg)zdq*x%(u|1QVzJKT_z6Ng;eF^g+$^Wh(9)C(PmS8?xen65Rl;p*d?2_aqlKe|a zUMk7IlH`XZd6^_Hm*f?a{IDcHBFT?R@?(pOxfaOY(0dd5t8mmEzm;U4BtIv~8zlL8Nq#|+ zizQi*9h${3l6%S(0ColKhS&|5K9R zmE`v%`F%;=CdnU2@`sZAktBaC$^Vk%e@pUqN!}sJJ0*FSB!42wpGxv>N!}yL6_UJH zk}D-ylH`4oTqViXk{poa{gPZG$p<94R+2xHWLc6AO7bB|u9M_?Np6tj!;<{DB!3~v zMxm#N|Mh>^4F5ANU|!) zEt0HBa;qeNBgy9_`CCbDljQFtxm}VkNOFfHe=o^FN$!;7E=j&9$=#BCNs@ac`LZO3 zBw3f_>+>ytxhVYwkCP&wA~ywXPOu6I3D*1Jk*}RkJ|3i@kT5u&ri-Hy8a?hFgskHM zh%TI@l;dzP6N$tRlKOTh@`<5F+`+_0S$3Q_%2%Bb$nJ&dn9M_cm7_C;u0ChAm?n>%vy2awHsEjv9=4)S zY{mXY3ofS1K*^_T>gX<}(qy&|*WkormtI(zE*0!fmSA_6<%H533qN2%U&`(=5Nt$h zed~~YeHZY(gn^3d$JT^Qjp+f;93LOTnE?o2ligAj3Pc&{)fBjjH3?QYeRLhCL2$J# zB>DbRn2$PFPrpzQ&Sk}-Z3<&By)FM$P4yLpMj<;a#Ypi%FSs(I4F{t*x|OBc7(5El zX&dJP8@ML}P6a+@^o#z+lD!|{3b`?>kHy|!VQAuEz^US9#63=XgONzip^`%8`9%kLriaUgWjh!C=;q0Yw zvWIbOM|2Fc?jB*S|Bt`2@4T+|77-h{Rw-iHB~BS;O+gYaA-Mh&9jEJtrxJb6`G79b zL7+LcqJIh>9&W)p@S!c)_}R5(m=z8SOp`&_e{MM2eXJZR+Q3tjD|L|CcZPtacp52jm|uEe^F6xau2@Rdiz|iE$S~$i`M>aHO)*LcUnazvJLOJ{#vbZY|%mjt*{bx%B<%?%aPO`wc*1nbVrWq%mtEauuI`%~rgTo7 z!ftsI4kKl?#O&Wr3-f=W#@Yiuxn|J8}<59&H_ey30#i*ecdr+@2`P>qz8^Fto~Hv zB&SyEKh}AYxlGAEtY#i<;XMfVI_)r%5_EPFMs|t)xeE7)8<%DdFSJ_kAm?u+^o#Sq z?`bC}|B49_cy1ZFmAv|`!+XYr*l#0=2z63YZ?JhD;SLAhtNC|v*Y10z>lW z&I8FIDi3pjp8AR$F&+mAyfxQ)Y)}Tw8*rOOQG0m6{Qg~RnYQB8ahTr-r; z&oZw>4<&5JGiK=0==L}8Lvtq%GBp!BL``%kzj>!zt79bXO>$X7wZ=z>%W5({25tE0 zVOI%5!eNbwZG5e4yq z7X%&hZX{Fr_)2CfujDp0*NB>7Gyg`%waUb>izNeOUuz5<2=PRft?1Ui&VvC6yV6{~ zY4IY2%|Ejk6)Vk)7a;{(pN`WkxQoAn4nKJT0meowc`{CoDVIY+ZJD!8*#BQf7TWr{ z(q6(FolQ#3e|hJksA&g`Bgc?hhk?$xbwlv8ZOt%b((YHXb#~HtCl{xr>+3ZxPE&Sr zZAftO2I90YF)w2wK)=Lwl+sM>)d(+aIIs29w;6VI9tmw$_gIm)X7F8Wql0$%-pTX8 z+miV%qRh28Bn>^9E;e-;-|6E9HOzYRBD^Ib&)$Yq5ueH%V)dX^j>HXeIux&aa0#@c@jyfcQq19!FI9>PVH@Z|yf zkf#3$sh1$*`X+|^FSio0^H#yxp26GJSk#>y-~@d8ih$-;VJ|XX`(QL+0~!i!80q7! zKLs69zLiZ|8E)xfEOc(86LkO~+LJ-+R5bTTFhAbg6Twz(pG3)I$^xSor1u+F5;3^r zY4LEniM>JCwqs62TyJY%in%!L8UY?FQI^?AYP~=JBu8*m&iFwN1rf9<9arAk-qs?dzT$pc6eEs zNm=C9*c!#5IOB*Lis=lI{-#0qI5Pu|iLoZrH7dB`vK`UZ2p1lIlV;D@lbCpv=(J`y zJ0eW%?9g*NXoE)hqRtY2ldh1)d^WQ;>GmFUzRQBFOS)(WHfj+Vg7O3U;Ylnwk2hAm ziNpb1`h!eTM3(|Woypm?u@7CwmV)~L9LlUWjk}i58wvIh-h6wq6P$r)eR6nKB+=6= z*G>^CQ|LKu{QX9BD5f{DGISGQ<@>1^ufIisal>Tm89{gpUvKQ$lB0q4KAFsg(e zjFU#lxMSps;|kbFl!1|F269uTGWq)b>v#jm@i%Q0rm8zGn)nVic!{YY>J2zWas@#v z0cuSm5eu8De%@n9B>QD`?BySEcBhN(xBBDj{|l?BcHCXne+2BgcxUPBGzg=fiRzxq zyKyq@8{{h5V%Au8n<1}l%kaU%MJT5JGGdJ*R*YD2!^7z-v=v6kV14WJ$V;RBemza9aPMCeY88@BN1yQ6Io`XislA7tHlDs*LS=5L1#Rkd{`q-!^ zeeodE7jel}9qEe)pezdfXF>k37s;@$v*?P9mNq(2hNDs56^Ga1{8L}AZQ%@Rc3Nu5 zX2Jjg5viuV@a`YC#!g6BzUfEpaonhY-7m(Tl<@~j8)zBb%1{+8z*45 zKuVnITg1LVSU-p#We{K0+fy%SEE;$5)hi)hq5cAS4-LCs_Dl@Xp-;YfBgVV#{avp; z51$WwNW)-jHsj6&{B+;6p#o+<-!N_XjC=&ifiP{9YPWPY(j@>0uff=97M;z&d?&W8 z-y;G|J1d($?muB88;86!iysP76p)B4=Cqoq7?^ORPLuApkhP;-0ts2roBQ{X!JZ*H z9?yku8)_NaAIXsa;ZbI|E%hr}@TYgkNtVI4K&O7rl3G#ye1V=?{CuIFdbZ48sKdlz zn%NTH$}Zeyk3-~i&gfp+kZXlDAKw~1SAnA@ZAaYr&hO?aCT`J=Wn4-_#@-iKTV4#; zY>T;l@AIctTc1A_{4Odr6+W7oGo||`S4=6krv-&$AW3bLYUeun27R3;O@vYPFBFY1@kb6iGI)hL!wEc$aK4)FPR-yu)?p7sI!L>o zi-i1kriz5KeGAzbNhS8%`EJ~hncJZqSDVbz}u2CqSoMA z<07f?m*EdJH#~Ba5c{JJpK=^*{CKx$o8GJst$I>!y9O z8y@-7J@{)fMF+XW)j&zZv|DB%N2nUp*gbqkfZxd_A|jqvQRe)Yz~kR`@JoRI9JD-?S*t`x%Y}m=U@)Z#wRx-`t`__b+A+fCclY-Ep2< zbbq89*S|0#I{U#e5i`Ebb$~qwEX~o}4;rZvTR)|TE-$Q`Hqwu2PSn{n_tdQJK!s;8 zro5-dgJTe%P2;SqPkC1~_o(NQzW`Q`f)fHMcB$B2;Y{dK^O3uM;Q!~G!dA;Kd&*oD zKQ%wVU(weqMfAx{5dl5G?{kdp{#7#USN{n65wxh^T>`OFF2tT5#!<-p zEczK)4xksejDqN(0_!dIsQ`TMaOg7tc7q@ae{KxK8MX6P5=vC-}lfF3~*&%ZWKo#9hj@8^zoB51NnKs3tLupx@D@0D(5{IKISq;9y<-N$V|LR1 z-)~I#(!*ok%-#-0#>8=^*1j>@G3JQ@d=ne9mBzH|IHqm9;!yswvz!L3_U4|WzheRC zRvF(+x94}_)*>yU6{%@wA?Q3eOvVw^b$`x=pO z4t0TlvIEd7PwIE-4G194#I1B_K{|^wrkBGZr5f%t;*vOf2|1*5-LUS?B zE!W~D91*w{M+=&ee7zEf3Ub}Uk#3+it81Scl7V@YfRDMBZo|3d>TazpT|~Cga$8V=6l) zhWvll^FCKsk}wrPm84Q6N|MKxT(8DfiX5zd&>|P@iqkaT{D~mL1XsYN@LIn|%Hcm5 zTv}oW+%teJi&{=6)Nthh`bPdw?{gd(d%EvIma_3r#+2+xC0^e5Kw01ShTAi*?*Y!D z_rCG0XL@M`7K%8|fL|S^`7k-km+dmcgO_}e_4t~NFbJYns0qMq+aCI?@9dSKlX8}xOL?Ze;U!@e)r_qpQm zXOQ-}@Ko`?zN>3GwOSPllBR%c&3Xh#g!shS!|Y%z>RR-wm=ewO@! zW=OaE^oH$}U;M$?1yc3ofT^erf05y4y=)eiB;wo&!|*c(12z?Mj>TguX@fX`*uzTV zzI4?bxgGd_TjKT~EOC}1zx01vVsg-xMV9yhw!}|iiStn1!}U!jOIiC8TVlAeCTfAK#9Q>mXeZZ7k=|aEhR>^>zx&;#f9(LuD?? z{>NEZXPdGU_XD>TOU2Bi9+XUUEo;z=CF=eq7Ue(mfer*bW`ZYwenMGp`&|6!m|K>6 zKB3H2ITr^s4_=PuyM0B-T}PI+jdMF-V3NH6_kSC0f{4*npageLjb-m03jU8n*-cReSLmd&ftfE?)~486dcfn zKf1IB7UU!M7%Fp~ z1@1AkMTq9WwTkueh6L!hXHjSUO3gvDG2tEjY8MmU#;-OUYm&SL zonGzJaMP_u(>IN#e>0lCZqg1DP6klwk#T9krY~)kCQ5Mq(uI+6eFwG~f8*x;Cdk^& z)%e-5c^`hZZ?448Hgz%Cp8KMqdebDy(AAWm!h!En6qaEEb^tUZp#_fv!$k|jh0@8N zXOmW*i7p3!UEj8DTH89cE5!bc@g!f??q@hps&ON3@`Aki^G4e~y7e_{lstBlF#3~c zCY9QDKl9P`sBnzgnfk0e);{L`2No@^HC}X2%9}TO0dA=^48~2>Jhu)e;wIld{3TGl zGs!=C_cN2kgioH4$1Wao|Dsy7b$pr`wf=Hul-TOFZ8}!KX%_t0)XAHX4m{Ym&#`!t zJ_Rr7Q$Bg7mNGA#3rE|KHcXo`S>Ie|!@qPf7fN!p_iMZNP~*?)aS&fDirE2{s>Jos zJD%sXyMmRFNXo06mKCftqwcqyc1y4_+8wPtY1;7|r;QI*#-Vxxr~QBBeSKV%RoeeO z+yjGPBZ+BQn`1xoCF@zJEj3bE-NxK~QoEzv$gE`n9_PTJ5$u)!id@oT}-*e#Z&`kI zY)W5=KBc$#jvb@Bua_qFT<<<1d)52yk9zI?D0!cH>q0WxcQ^eKL4MuHAtT3&r@Upk zLXis47{)Qr2AKenam6RQ%Y?E^ea&dET<{l^UFvPN>B}yC-E5EYXUWIQya{4iUxH|l zRu&M;^fZeTIi*}2<@cIl`G^>*_Z0JvabHwq0e21QsY%; zyhrhz@-%x|7W%)6SD%dcc%emh+;eOm9)nv9Ay25q)vT_ZDBZ9uR43@|2wL`VRJb#B zWtXndXl4)U{4GkdFr@E!LO8pB%)kqK?A}hjG8yv#rdVBb87bf)&yolE&s&>v#?Y|#_X+~5}{ zHs4T3hlsmHgt{o~QlZF>6{m&8Od0(^RMAZ7I6SM?jX3TH(h~Ow32btCIN}8Ouh?5X>jS|-Dg>C`iKv;u(HH7qd!KSYsldsioIli_GxjP0@0$N3@)AsCWLe%yu~(T*@EMVnmoL;M^$Q zs7!sfibA0J&!HeHK>n6tq=u&{FdkrTuq6Ncyk{VS`=rCLI2cyte~Xup$Zp_`VgBE+ z{fwg_ZDzFa#4vW1BG8aJAH__BUfxMk=aVjm6$+I&x1}SJQ}WCQuj!D243veAxtMc)dY;ME!V#m70vV}DZ|nwb!=c{ zPV>;re$_U2<`vZ*qa0Fwy9MwA?NgNZ)f&WfD-)FG)SAmeb*lhJ?`%OFidn7)`!Oae z`gPGKlbL1=DdoC^Tkolb>(kW~wYoU!9#~Y`qvX&yz@`sBiHE#WS);l?cR{4cb%J?K z(h2uj@Q-W>6&X5&sS75xDHGJWbNxOtl0aB zT(ZlyMG2+$8z++Yo{^B=#Ov+x)u6>R)l4F$&QA_w#7JV4AoFar;LQSp~;fVH)*Q3I(l^+OI z+HYEqBf?RO!$sm7>|=js8$CCrrDT{4<-4hkq4U2AotIl=&(ww^DTj;3+7nWmN`_CZ zlbfbCOl?Hxj^O*$Gfy8rve+^;CboC|%AKq*N_B;hYN{7EL z>K5@l+~f0{66+E@#b5b9r*CKn9+nT@(`a3BL|6>hOpDTGWoo0`htw9ir@|~?j^{%y zC__Fd1{2l9hQw2eje~x5XD0S$CVrTi*p!)gY#<#4U9BU;ZupQySZ3F80Zt_!^zV}Q z%O^irkgALpR!C V1!c!#YZ`2+Nkh{O5?Q#QWoY@g4C2+l=^H1hgRy#l!N6sXHE>KQ7XMm0PY!XdN!9l^Tenu4kCEGL@?&Jpm8{1Yhin`bx@hNjv`)$IjPb-O6SZqDmU};s1p+YqL6!jMwoOjn-KXiSflV+E}L6dzQcaiR9yg+$YuZX-yo?`X?M6#GE$n^wT-|s!e zB`@icuQa0?*Lm8|*l%jH6)*(A;8W548LM7?sEoY%*u~Fh>mU9u$StMCDQzE7t@sG8f4w86Pnp-Jts+M-2 zWLZv$JPP>@yz~Q-WfmoVN;!2}&Q+3SDJ6oLi~05c91LxXRkr54txF}uud=Qp_PShkZgq@vTW#3mvbB&(>d7*PxW&G=b-uspe7mqP zHp|W;@*aqCuwU1GPHXD0+nOyq7f9AvN{*Em$D~;D?c?g5n1%z=y>9D6vNKb%-bil` zAk1mK^G0cLO#R++AtkyPCG*_Y`z5DIc21`pCfTxHTCA^kVhtRngrl-04?aa@XRh0t zCOH#iXDTHp=%U@$A4%59vULvicCxzASdSH!Vko{&XU?BuKzVJ@we{9J`F=%~Hp$w?*X+D9+OIrI zUcI%A80)xTAkQt5^%kDz{|w5rzTOIl{)hk|Tk~MwsX6tB?L#Tw_h8UF;j;tf1w@mO zt?QB5D)4$v4ax&Mc;p!l57F3GlxH~4^PZN61};OvZ@A8od`fDn%zXl^XR@LJKgi#O z7y)xG4?diwlcncyMJM}-(bA=KQWZWyis&~{kf1nnj_wWa)7gxgeG>4h)a{4#5VpWc zM~I$kFKy8I_wp#B6t@$ca0uC7REeq_liUb%1Lo>axlbUAB?@Tb_rac^hXoiVxec6& z+TzPDqGYU5S^qX7>l}eAFnF?l?6>qgLfIlO)SOFm`_bLY=&ST0>+NLb+i)z4X~eq= zGbS6EPH<|0`ujC$s3WrJhL@{rj`s?2nYtMeA{9S>uMucOs)7x-^h(0oTgErJWF%!9b_oG{wm4E9om zzS7!SZf>n6?b!KGR{4)32Oi+;ZV}!f;|S<7JXuv?4!B!jw~p9S(@BAs)yJA0OK0|y z&6$fH0e1q0%gq54Miq+=n!D)T`6rN{bk8qSKj9}GX;U^ck{=;au-y=psOEs^ZrX87 zHn!>HTibQ++P#XdI#FO2?Cg*7YqeS*(^W0#x^{h0vtNW86%mEHc3ofdsTkO`t>!Z^ zIT*lid5#y(uex0QqwE)|qef63>0_8!)?OxVTq@(mLGUGM zC4iH18||8KIvbQGf2|kiJINid$<#VDPp&*M;M(}1kk1ad#V57u0yklq@YX-pRc%E(f5^(s!a5J z6!n}r<%{(HhrE6C2$IH$@@(|m(}lSo^SGyrI)*SZp-GU};WmTNUDV?%TeTj8x`NY2 zsHz1BwCFJKEbi;jn}zidu_#$DAx-a~UUm%arBa#OzY+lEeY_EU++!#Bl6^oNuJtDv zn2gFVS!;A~^+0(Jx@pu9)6=nUg`Yiu9nCVROFe)(v|7MlhZavpq?e&wFtU4~G`*h~ z=cExvr^d9%dyn#t=mE`fK?k@Rjw|I*LFi7Z9S)_CU_~zdx~`^0l=gMr(FV%pB6yHk zz+KXZUAqzkSkUE70lcP{v9^o5?y0F2(F}xdtQo}3+Ct~047d9NUU^!voVk%lWNkq; zP5Ho9l}RHfyFoHlgE)d;LX}@`(av^)Z4X%dq_>0lDdF{EQ5k5hl1l?^t&+}R0qRRH zKd>tbJ1bCAFP2lg{j{Jtys^ENpJ{E5CB_6XsS%8idyKuAw@I3bn9`mX-ibQyy?Os} zTpu6`j2ZOUK@$QqGbW+_$7VLtF&;2wgPx9%7t#|EdPn=AZ!H_ZVN<4>J08OH@SS-X zd(ykJAAj3+3Q-U@V!`i>igL9>(_5*S<0Y|+wMVqV%^A`v=Cng(8Z`Ha2%}Ob+Vuy` zy(6lHSHbAn+o@udyP^VfDz?LooV!Dd+%2TJW_sp8%M z0>3~i)2M+Cwp<)8cLFZ=6!W>bt=<_UnOh-Am8|X3$Fu{mXKBx0D&ao(z2KkIM3Z*; zmnFahxW~4?qi3?+>aKDVZ&GQgVbYOKlIz0;0-(qtB-<7DY4FVn(Ve>RG9vq8HaRNaw@QOPvol^R&QQt%ec&K2~fV7C{8;7&zk1b zsnml%LVFJ$m?#T`Or!{iR9qm}s{Q7^eseFxdx*~DyB)Sm+?xozTn}p9;~*RYiK<|G z&bLO@Rw$i;A8q1LHo*-W0$tOdwzLm|@pF!FoCC}0FpOOe4&#_1F!m0CamT-aagf6} zhG2Y}VBCgfIRImTS9Y`!jG+_6u&|fG7z!&L492Zt7#qI{W51nX+)6Np;wBcoB10=? z2#ikylS8Ekx{82tAPnQyFpS#(W6A;{9Rd71Ut7Wt$>#hKV(8)HtWMb+i=#=l#)#%t$_f!SmJyaI5O<(rL2wt_X&{>* zF!TOigaGN!0@ztt$sU;WNj>~--!Ao{tI&Us^n+t6(gTytMl{Ixyn($(Po~1obch_j^{Rh z`0GJ6p57UQzh`&G;_#<~c4r(v{Jj-(PU8qgqUbQPqo4M$cGh=eTj9L_Sgei}x~dO| z@-aI2Z$%)`CfVJtvJ?LG`8e^*K&r#?F$vl{J9u)56&~Zl&CBUX`0=O>Sgei4A8b-PSY;2LP&YeI}!zV`V4E z5K=uTxAa1;mQ4x*jy1_aIMj^+WqO|D{{oH?D#lbl5Pb*qB+i#xf)I@um759})d>Tr z@-d}-+e)UD_RV&P2Mx2$W&MI!RD=91pJJ#WbG{zI((C^%s~S-RL6C;kFk!smKdOzM z>`+dw3)A?_L&k-gL$D?YBnN96h=K;e9d;rNa*3$iU*%H|E1*zTZlIPC*eyan6O^g} zBxnV#LjYufIL(`pU-D=IMFfGD4r7YhUC3BHRU&ERTkGH?3)~i?vL9m{ltJsI3B624 zFExX45aZp_f*yA>?q?L61N7A&1k``gs5n({jwQnmik-0Mm8VIs2lomOFb9b8lgRS} zu#|4Hs4!EkKK(SceHYq}t?ozL<^p(cV}vt8p3RG5*A^U8I1gXhi1P3fNB2pDO;51j zK^I5zO#y9BM-}36CpSQ&7#?bjO7TY2a)A&+ADL5~U^&swh0WT+J|Z0h0~IL`Z$Uh+ zL1)FnwS0sW4iU8f1)}|{p56i4_IlobOP>bBJ5)#CZh;~Q;`mk_FeD+{p!HkO7GgIb z0>$R4aAO%3WA%Svpvr@5tF(d6QkLiY|2{BNC)X?@7b~bOjh0XGA&}9srG*nVN@xSoqCkK! z+F`_fH!p**Fr~WUBd}lsp@0atheGG!R7v#xDT+|>C2}F)suLmqpz!GM3BdCjQq-a^c;r_A9*KEhRDbT z@fst41*S!VNB(yzKhPyM^0(GgXD|kg27}lbDS?q!^88^)X(KOLfAz?xM+)(gFJ329eJNid9i?wBe2C3vtuA%eiRHl?Z4jMbgx*atInuwPHehE(hZ)M$H#x1kz* zN8uJJMj+q&w9csG-4s)Hs?`h4`gN>-K#3Iw4YVlnoBrKG%q|t3_~3z92<-=jBdqz5 zkf`scS3o8=V&P%^hR!1~;F|__q#fv{YzS#fn)el$TDLzP*MKx*^*-9M&tzlA#zne! z7wev*Nb8<~V3Lz!?k;}pU%e#8y%zi)qpH6LDBx*%^%3^XPk!jK!t0VfpbsJtYJ6TgUqCip$ynRuih^}oC_y&2WG(>DC;lP zJpmQT-^9`>{v=}MF=HOm=R@8T;{Mn)Bd>Y6-+<&srG8{XE+dPFe0ZyNzYHpzH z5*ol2bw(^=2#Zf9us1XL8&r25R9pCb;oRJZQ5T)@35yFR*#j^aOVV6 zw_$fCBsYTVC<>wTn0suN)#Z3O5_&&bSjC9m9(hSSsgm~m{4DIG7-RL7r&%@149ZE4 zIm>2)a1wo2;75vuAdc*=lr3-gqKWnUutK7m0}51Hy+h{CGsMbn@{`3aJO zAcrW)i;x#_bdh7KDrGB?ejF z0B5I(`J5BY!TMXp8Y&ePlDE{Lu`+lst< z!P2I|)Q!s>dep_H3AFFG_Jk|(h}K|z4C_g_8)0rbNhZc?y@&#Z={W}<#h!DpNnX(R ziw4I%Qg<{QD9_dvMY|5^lMw|W7PFoNR%COX(_d=LuRDQ0cIQoh{3NKS| zZ(jJFf`47V<0HNkdH%MXMqJi;qn^lFEQZQw+b)3}4732x6{1j*tC`;~{~ZjBjRM$t zFAz`qUAmcrR;eYgkAQzh7KcBOH#7bsJ%PDd8q7chL*h=c!)^AZ(3=@vVZhBCEZ{i%y{vy=Nb9zrhO7VKvW22# zv0LUx$UmH4XpGe4p@Amr$-<}y%W$8(7fiEv+f?`VcJ+=ny1L75MGc)35k^BVMVsf% zw!9_HZ4i}QbYdFGq-`1jG912c2;GCnh- z24+UV%ydR(W|%fJ!8aK20stc{MgjwKG_r8$9Q|cwc#aTF4eT14qgPf&<_I>bG)J}> zLm>U22I+uqd+Sw@UcK^PLK^)*v;{k&=%HJ%9wn)X{B8%}twi7*xCXoff@8xwqKu}F zw*uyn?BWS_0`Gb;1>MKJNo~i$DJ2_J-3iP_g-apWo4akZG~i?P0_^$Sbb@0F(j;t$ z?d_Lb2cbPt^bZz?;4pAeEG8jP^r|)qlh`EG4&Z@TmQ-=Z1{08#TYIacNa#bmoP+}m zKg&!};2G0!3Pg>>eMwxw z)+f;z6hIbkGaoR5Re?7bmjmyh1i@OXQ2EDlMoHi`XyBA`*U_H_o%cq|S0Ute3r_$M(|jq5X^F;-w=QSBzT&XgEayqFiWZaCt*ks;Y=z#9{nI43RvgJRubak zjBTT#BXTCj4kirn-!edjWLnX%X>@iD#}#I)z<~fmFv7*BmQ|q{65NyAnQG?F?Pe+a zmSK~?&YTWIA~{LAWa%MgaHI0OWmSmNhTZ^x7Xd)TutRKH+<%v06}MBH6?%9SgMB1O z`=lq{=V-J}b^?d8k-_~3xy6KA7iGyZj6>l_m%O*x8yVewl;?!BBO5c3^d)=>c)*hI zTzY{-oQV4hsi91^LpRYF!FOw?kQ7k!Qq06)+M_ke_hl01&0wLs0LbXE7Vwb{?pgL0 z@sK_O4kLLUJP_L9fCK=lgi1>*#OfF<%;N5Y@1C|$ZacGzMQi();-)THyc)}CWiqfj zWi=|>R#%n7H+5}kU#&fR!fd1wXV~0rRC4eJ)_Azw*dE4hVn$z)!Tu9?%qT97+$~yV zi?@FPR?W|rvUSJVi2ft~TZvPZ@D`}a7Z-z?WGuN^%Ku;HTY|uR{=1FU*0;##bsA#0 zrcpOA=Y`0|+I>E!ig6F0T2|$=s1O6`d=B`BMF&9N&QtBt=tEI(@MxD~v@{yoc@{Ng z=8!T(>riC~+;%jT4UI=P2eAF)qfBQb$pT6YYh*#MbXJ4!Ml0ZZ0sO%*lTmf_WN0I5 zcywSytxH+JNcdO?0_qfkrx#=+mbSSu1hk0ji}}-#qZbNqO(8JGAUXpM2WJPYGMHC+ z$;MK0v>BD#mH>WO9^?WAGVj(jt#aSGf3HINrj z=pfxxAy;N!7Pw}Hrbi~j6p%E4B-f%7znRHI44^BnT?p0QS`85H9`CAP-q_oA}7@oO{0#l=E;Egj74GY^k~Ft=U&Z5WHQ_d z9;=si*NjzlR(=3=f1N=vR?sj^)S(fR9b;LC@42} z8(58#7bvN7;QFnt$lCy)o6^JZNk~htzER*FEcF?{aiq4wmZVWRV_~}uQwzjO8Y?5Y z#!9_~x8f37xYY)8*;fGYX1F(9$`C`2%#Hvrsv{MA(E%j-DOXPKhA@ zxP?=o$>6{T=;G6fyIE)w-fYI34j^2MG6-lFIM9NOS2EkeS5XJ8B865F!1Kg=e9;Vy z8j{x>P4Y@|i*b+ek*B2tXTj}QWI}?x?hCB$FBY@9X(A9Ouo;{HRDBFn1Q{AwC0RJL z!n!}&+M_Rag25^0EM<3^rT`U7o+&IB7FQ=-U$Hl+ew47IRz9;rf*ebF84@t_1-3X} zFe;fK*VvG+^7_*2xv`u zb?eodC;|5ukPV7e1=|er=i~-uks7&QgdU}qaQd?g$3X)l43u+^YI7e>j^%5l;i}xR zdq$&Yf8n_g8WMp2U?au%r0zRDg0KLZt~vPy_BCrw8m$BxD?&bz@~WxWR%BmovlrzQ z3+B9nEK-EMtFT~QzRgr@FDxu5vN3g8yvfeV7LtA-n5amj_@n)PHRd|QtYJS+=(zsvk)MweZuoL^%$P4m z7>CE=HfNyk5%{JugUb$mz6v74!!3N*_lt zXs}nk```Q+-iIc>4|6uJ&MCC5E66uZPD+|G7%;j7q4B2z`@e1EiGLRa`mYm4;XlD& z{Kgy%E=<42>LP=s~W*#%#UbfxtXn5%1f2^2Uzam9``PWNde9lx6mHya)+wG{CKBcO* zbLba5SK-sOO;xwCRGrC$rKU60oA5V!*LeJ${w%Fo)6{A7_e2K%>VI5DzjJ5c@2HMP H1>rvdrkJ>) literal 29815 zcmW)n^4f!Q9@ue z7`QP?#DUc3`M#d>!+D)Q;KcpD&UM>+#ej@KU=RdU%?|*lF#j$7KP3M@+aMdk$67!n z>X!72T1rkI91hB3z;W=rw*5b4dzzFmeq7YAn{O(ySS&&YDclSL(akAt{ccX4ay(Ed z*ToZw8KxEdvG_)iYZM6c9t8RaSUI`Lk|Jc?0XxfMvP!{dP!x)n3kV7z1!#pvTm+&* zF8cavUJe9eL6-wA5Hv$}2^TMfkmSqAfw&mX#UN4$Z#=aPoVX|1AvXtkWp3j}1%5Wz zg0N=HMgZ*U?BbrbtPbQxPh**0+4(@u$241}Y=dka2={4*Ye{xa;qA*@+fOq&!(7qz zuN6|M2l+JHuQd0uHQt;j4d8u{&IhN4^|$b;6$`LZos-)1irBGSy2%e5_XEBe)FN(< zuYso1=F}*BvQ_0UccUN($YW6Zi+_M=tHO(5sTuh^q1+YsPmLcr^dgY3ljUl3=ZQ&#K?h;nx~nWK|nJk*zf*>8twr(?H~Py zm?-gQMA$C?NAtzd9I(PGRQEm1{$2wG;?mT7B**=rwf~hwK6RPylhe-vvOtcP3E{FH z64wKP2%@Z&mX(?sprbGALJrqB3tS`;WTUP|kpKcwQ`RXWlEk?f5gsMC9dY?;B=A)B zkd-PR>w5KkKomipBYQGDPyx^}GBniC)#hmHY90n1$U3;4IU_qI8Kp)Hx2q4=3?dLu z1hzneKn@XO7~Dz(*{V)flsM>7seW}o9K?g(ZJZlwr*HD50iC>*@9WA`X>K0n{T%6| z5a{$1zPuqC{kxC}ghLw{S^_62Q_KuSKxFeN8Wx^&(5BXBJQT?zq1_-+28HwJRZAqa zacGl6-@(abDE$B+S?IP-LK(`%M10__0EPx+6-olk6d=o`4S?B_ra)LQS)67K!9>XlB;vBIVXa&y z;bdeA@Dn&l>w!~Dwm`4QJMctu(<@usG2~MoAa9cPo2u_o2ch8SE>6?BOAd}hWR=ID zRObCgKlN4AzM{886z#9`Gu?jl4_ytj76xvc^J?F0BKtZA{~EdpgTK3{-s7%Hvd| z1gY6o>K0aph_*N#X=~yI;!PwxYiiEm{P$R${X%i9JHXt6vpco@4fX!WwB+?s z+DD~t@WujUZcrG@mU8m{nY81S842h__v@E7mNoax(Fb;!JN^)@w%-^yRwAN=}e)S4@Gr+QUSDj$RJn)E$8baC!I z)qonk9cH_#0bg!EDilkzLwib5J%rp-5-xW~7fGKZv&$qZbkc`KECx<;6T{A_5v7ya zd2BsaCMssdO`4F=p+d&n4q!`rkIIYyEH5Bqn9LW*r&KMINfc};jZ(PxT|=Pm$Jh^X z;DGN=XpXp5)#XL@E!oZ53tB8BRX75{QUXHXwgq^Ur0=yzEsRshzG@QgNct;^Zm6HO5?K>Bt#Hf9p@heL5VpshxL_@;W1ui3jxCXr->0Hs;-oJqiSu2i;5Ah~xFF^}b{Qfj*% z&>zFqm6p`HM$%^af;c85G78hbtrVgvZlVZ?l25P$#!S?JI_@2B6Pscv4{_%%hmB+# zq58M!F{SG{lQQO4p6);Q%B^o9nGI$Dt>GqtQN31Cqb+W33ANdTsxbv}aqBKu-l*S2lO{d+thgWT-n*xAQ^CpN6yB5nF3*A%W#&uFx z=~&CRZbJ7F&&)$?FRgd}^eV!P(TMu0YT6xHJ>J3`ah?I&_{TgQo+xh)QUd%0^?Nt| zyx#ZT(g@I49!34k(B$1IqwGBT2ONhI`13&KGO8ESfr|MDW%th^ zyx8E=DPcNACgJBngPi8p4~%ddb48QAOgrIB5|C}LoD6t(2Oieai^=CP=gvKV6qm7% z3#GjDK(b}_ZCqcwpvN&EdCJR=CEN#1okaEZ{}amvn=Oz+hGm8SG* znaO*g;3YD*!(g|&ujp{x4byJaxc~5h)l80GXwyG+Q70#A^?oZxp5#Y|i9ROsb`I?r z&r|;`k|7yK(Pk1zrrd5m3DGV2<}$md`s~hkb5r9owVg>0()&D*Na`UpBe!#Ll~==P zp#heUv3>h>uTln9dyp8?#O&CMxPCOx4-%X{tB@_1-|N{9?FpJg6&q>tScHMqdv`0dU= zPd^7+0rxqxZI2#g%q7YNaHd6dgVQ^$7n|8K_I%n~0MmcZto0 zCYH!#ZV3X;*0c(7Q-fjC#~&`!+3@Upx0QtQZm(PlIbu#{N(=y>mGFP%cQN zAKmiHSjX}D97b=EBNH#jex!Cj&po>RahI|I|)^wCE*JUaBc?&w+?|cbE1o(mx zdG+QD&u(pcL~Cr()ibV@QWIxz%y&{MZQ018<{{-<4iH(5BkTV-bj-C4_zcZW@7Fs{ z?G)S;Poi;4NWB78Jp^Z%ueEa&YdOLU=_jCDZYOO=DepsC0l?oQ{toAwP!VoU)i({` z=^z`|K_*Vd2n%QOb|#$qJ1JRp9fL_N;ATKCO1W~zMX96p=HlckF>0ZKIiZIXNkK>5 zHDZA>4|EXMJNEaFF{_Bz+5PDeeMpRAy3zN)yez$Uz{$d3)}c1QnNm?!KJ2^q&0l!* zul7s_FB=CQP3g2c7l4z>Y#F0VhN%QmUCZGflWJQ;;rRPHk-mg^`3AL%e-|RI7dC-G zVwdNcLPHViBYRpol5|YKiGNJXzxf8Pv05@*wl=sl2_nJpwI6 z{oV9G-H5B@VXKk%!nb2R109Ao6=jqt#2@vWlPh!KJoLldNg{bu8IMNaV_AMQ(SG7h z_9kuqB&lB7Adj_l0D5p%@Vj(L?m~SZ7hEjjB*Pb<(_ew;^(Q=zV}{>WJ!GaWA#o&) z@6e=Bng5Md>h8s^6B0!o1CRTYg?J3L2!nd4#QIxHm$(qx<~1bIl=_`ek{B|Pa}Jju zqi3vlpG?2H>|;FRdVEQiz2Sw}%NMZh@2Hh}_va*k>Ca1hLC?=mKV=8@+TOUf#I9-@ zjZ=4WDVYBvEQw}Z!)VK0j{1{YopH8a;K5e#%g=G5653wFz6k8GI^MLO?Pe#s4UhcM z739-=@FyQ5h~;HFa39f^=nyfjN__Zt1EF9FQ_{9S!hp61Dt=L|;lK=`3Bk)-

zDYV`oMGV^3)d^GR1gRUX+WQz!AtOI@>}q#V77uDtyQeyYzj1#ZbU=bfV%uf%k4T1T z$MIA%?KlW-Nx3PbVP7vLq0m1ADu%qsDdHfwyH?dLv|F7xEtRq8i`ZjO|2gdb#>IGv zf`{XGKp-iuTQea7P4ZmO@Z_DX=+CT6pur*tZE6NF zHPHt~-+Q8=D<$3RGrkbpM>H4jd!}W177_A;9zy`@Pnp1nKTrL_Fc{g!#`d@53k~XC zty*-HJpCgW8HQeJ-k>;!x(FhWqB@$IB;HS=(tQ%I{vM#dM>@~5e(AlgnzD}iCU%7l z%Fy`%{*A?it*ztn0bSL$V+yghse`*g%chg>CzL)^+0NE^&Bu%^pVHIts=k|=Wn1=@ zy4qtqYBT#{y?Jnnn??&2;*BRt=a>6vEprEIBR+KiR{O?DJLJv`+7I%2UZ8!Eve$Ve zV`oz4tnC4+(7|&3$h!yuN)VX7BSJY7@~d@7oHZ+Xz%TrHa{RHNYW#V)zbodwL_JT# z%q0i{amrfl!Xp8C2hpK-x3R~vSj4$jDQtM(5P%zLrwxNj!}zES>R|onWHx1}J8|q7 zmt{P}%XDbWxJ|JhR~i<>d&}u&3isDU$kw+Ta|qbhcW58o6E@U;;;+70diqIcmJ<$R z1=y}p&U@Pl30!RX=jHenp1#A&G+MLe^-y}cwxbX(At8dZ)iD58=`gu!(G!SzkA+p9 zUwsfE>VgMsprs{FZwJ}s+#7Bg{bK_4l{gPi``Fg`u#V@OIIx;y zH~Ohoc6#vU<#ScHgXIDZ+gF~tduIyTX&OgSMV?c~>wfUhswjnV&DVV`8a}vhhE4%M zJUriEDZj=Zi8kpCt9-Y0G~k>j2#LVHVw9^?yEqGn6sEq3eGD{8=V~-u{S(f`!}_)R zm`_z4_w(k<`?uYi>j(E~9lfhoe^jFpux@blw4TgL{skf{v*u{s2I{kl{j)^|5zeSK zA4`16d&?)^^i56dV$DyqhD3M!xaaUl%6*slS||1S4(R)@9h)MX$$#3H^IvtB3Dy*K zXp9*49V0rE>}!#qzg)M;=N(t1n`!?DGVM!~+Tv zV_|{dMfHc?DyG_*M=rT8dt|}1c^HZ<{!Q=YS<(Pv&I^$rVN3l;&w>3}hc8Rmc1qT0 zs>50(?;DLL;HJoMVAwndlRL@GBPDn$Umcn^$4?s2G0|Qu7eHh_)YD+X0KG?RcHmi*wS(ZS8)9 zfclX}f_eGOhO*j-{e=vmJ8?#7beBEIN+U^Nnt7*KBJJ2hT+JO*Z@o~^IKQB|XOLc_ zPnzKaiGj__$p!QGZ>QjA-keR@nF-%lpVX%DP04JRJa{f4E8*~Ny<&*z@n)?e8)b`f zc@-kLzb~9MbfUV@QupVL`AMd~%1^&T8rzl2?t#b@u^6!t|M^K(Z=GHAgP1XN>>87s zSk-Zf!@lpRX|uwt*vDEoo3a=OE>s^u5rIn%{I{rK4j5b0QLGtN9%Ly^yJIwzA=$pb zTlGYk+sJwzcBZMaP>J;Ve}3&)eq{df(48CWPgZ+0PW11y`#8d z)%n;jirP9Su5H)0yE5k&6r8!HP(oas-8+ zXwa2@aLY*;!=Y)As5^KqriJ*uTxcvq!BM*Rmri8!y6tOzt9qE)cfP9peyOrwqHdB0 zYBLhxThw~mI2RI>Ci78Xa-O}ZY=(Z4g9oI4U}@OJuh`kl-zP=(NbG+JB*tg)0cNJ7*K|ta)Ts}rl8IVboceZ`4;E*iM6#m(& zodG9A$Q)$#O{MIjqd3A8bBN|aS|@_NeoR;`lE-vvHXFfSSxY&PV39;}OWa~$C?;hI z=z&ds?scv_R06>XwHv?M!V9u@@HnP2~ z$ZNtC?e?W~D(O;|vuCIPuhxDJb2!&F-2B$}e169;mxN}LT;5xGp55#lWeZ+BLw zdLi$`a;dQwd166B=kC0YO;Yd*&f#Ls`$%bQZ0IqVKb^lZJ;Zquxl zqMvZ3i`Fpat^AQY==(!hlqmKH%1z@12Ly)ZYY`$;=kIcxC!=Vy~1Z#~u zUn!mnhg_Vb`api5LW9pw*J|S1p+Z^}Mq}h}rcn5AFXFhzhIVpEaFEKjBgQz!PY`8n zhXPkVfJO16FQC0Q`_5;48DLJ zH@yuLko4Z(Gh#BL6eF&wGKRi|p`*E7Bs;)=LRQPcW9|OH(MF`!190al2AOTua!!{C z{T{80_%J;%%6`Yr4oyN~i#=%-oWU-`xCcZkmm&T@o?4FIkHmQue=R({d(a*|$ zv&4a0d4e{nQw4Lv`d;M0XJIe41MDgMbX%LQWwXw;1=zjr+9YJS|1$MwedMKTbcXa+ zb}u6qGJER3_yf)tFZQibHtJ>Il)s8qj!XUK9a+mT=xhbyLU@?MBX#M$&wkJ0qWSVj z&bzp|L9wZfsf60MvUPFmF~G<#+Btrz$r;7UG;p9?L_%`MN5e<3+U6v3;X&mkKeK{|<;uRF5MX*7s5Ksvxm&|mfHq(?AA$%X}9k6^DC#rvqG3vTi@V`^t( zyT*&VjpAXd+d{<};$EWqO4g>ZN|;Z^X3ccYaOm!X&GUl|zic*Q=+NH3fs2A%sIwTx%HE02Yi(Rc&!X!fE~&Ikp@2Q82@W7Oc6ZSGusOTfb*ANcW>yu z`cnt(|LwIP6Ek|!bSaE!4S%G(m*jlBCk^Q$Nx5~9u?GV7pwZ?u{T(P+<3NM4XF(b4 zP){@T$O#Ei-Mt&Cz0dxl({?ko@q5b&c0E;p?3m%fHkAEAr)?KmicMs>2jG=-gJ5@TS#?nBZlW$936-Qvoc)8 z)&|+BlYyGW3%U@v)@8-@O0vV?$dbAoR){&}+121yOJ9kRE8fmS90mXLKmF$I3_}g0 zTG=VVzm~VZJ;P?G4t8VogoIm9S!i=c=Dol0h6>tSaN8XEn-3n;Nam|O(C3L^&ZF5? z?K52mPzmD(;WfH(zv+@CD8X0G3zS^{Sr$~$fATT(7}I_4Q=Gcu=Alx3i#-kfd0DcM z;@N!fmDxZg_UrGrY=1B}g=alo6w{y?7Mgq8+=g~C7r^s}Gujk2S7>(JrJ}E4zgJYi z9Gz7t$&$~n&WP`bmdaGSZRzgua4t!T>?5ujlm$uRrPmD?wX{aBs6i`_i~eH6#Qx#L zT3Jk;QPKmtY7{6@m%PB|X}W~G#@B=Pq2DsN|{^H(^1`1gIn24b* zY-MX$&U<&NoI-|9KkglE(0$cpxy{%7w&K0yI}m&;;XI#ly`3sY(S+K;9apCMB$4uY zTb2^Moy@I5ggDPrKK^$^NGR|Kcn0zc7p?MnAEnA?z>@lrDwEr5b4(vhM;LXW6@L$q^Y@1T$;yd5x5z=>UUE&C8RM$;-` z?B{A!$M4Q6(@naOT`U>E^9`l?J5OMccc$Mk;F^EwS1*K=>x;FgAO$sAoE#=W zA))B|o%L`O_tBn^1nz>{gOdFy5org0u(*YyNCGo;A0p(hXmSJNTnaH`zM10A?e4oT z7)g{uxo6t%S=F~F&u)Avtrt|+Yl*qjd$ypg|#EPhgmI>d%_^wz^Oo(MrdGd$aHw3)vv^vk2o=qx> zo04pJSYi&pMcHk-R4h~!O{0pmi==GqN>R<;ik4Pb@k0%)8HNyo;-LQ2`X}MHh|70-1*x=Of|>N$_DAi=qd`xvhpEW4b0?8nL`3*4@9~;r zSg#Le8u&Yf(6|H)e%KEE`#!I_}6Q*l%h zwCA*@ZwzuaZJhtd*YTD^#+`n?ic&~~lc;*py630_&HjUJ>cQWKCkDP30Y?6wuu|SY z1}ab9Q^U`RY(=?-;)?>raHlqAUXgiCrcEMS?+n@>VrN$l!DR}V*beMeu062Lo~GIE z+&p6;B^I0lP)yArRr6-@p$0DfHQC^I&*24Qa$F69d-+_08V!m4{7*A;@4Wv1Q{vcV942mW4l66HT(T7C>gg_mB<+9dsIk#!3UynJ;Hj7-EdxD!khvm|8VORJeYp+%t3!0=+0CkUB?g3J7U*S?fkAJ^anwALDX! zV2yz~(SlhIU)k{XkICiq7?u}ck&G3KX+vH>vb8LE8U>usOQ~G<8My#HcNB44ZjFxf z9?BgvNbaS&S8-a6V_Xesmr&*by5cPg;teb}ATPeQuUp$ace=gCJPijC_e%AXQp)%3 zhon39c^YNldtyyKI9y_UA9c%OZJqp+RYevP10!%@-n zB;$s{UT?}xo{^^?(U4JTL9|4|dgi6hh@$Vp{z%bBAsTw?kBk?qFa9tgjGlem=f2z~ zM7Vw%x-T;6v<_HYDUZwk_y;CTSx2waW^^Te zMr*vQ#y3Kp-iuy7s_fybXWy|^PxajhJhyp9R^j8fH(Ipm7u_O-ivMV-$k^Ts&T}P? znle>i`V4(--SNsoV6NY{!v+5R^7`v_LH*8g)fq4L+rgMQ2t4wDhtoDJT-^1sI^ot= zYUdvhcVq+)zVDviVBdzfi2e1DB|S6mIhgV?M#4F?TAY!&rDhzMpSD4(MWpfqXqf(y5?z5 zc7tn3qR#U{2I$Fx{x_@#F3!xTC+6(q2$v0`4#t0Ajxw00wk7rygRuCb8v_dx(LZzw z-Re!2^~a2EuZP?_ZHx)VJ=P&lo_LC|#yOhL^@mzKadInkiFDrkk3RW0|CS^f& zuS;Ap5RvUd@TmBK@!Gr9(Ka{&-VwJOypYv?<``T)O!L2pr_zC5N z-VR`V@Op{&aph?1{rh_)^)zBXWoK#BFn_V_#L?+Ctn3H1F80rKm4%Cg|M5~dm^jtc zk;T(Q>q>;Qs&$I(NMhveEnDqROJ;mXW+KRf)ArFgh}i*#@`*z2P?RI7N%IG4Hav*S(wx1Q*y-QTzvLs(7-9G$ zg;ErQmZ`~auG+dLdWE?TvdzsJ*GtZ7AfJmZafbS!JJUeTdY%omFL*qYX4@8NJ?UJ` zxSu8ybpQ(f`Aa-sA$p2WIz;lvo5-tN6Ti8?n56Uw&&n-SQ?0+(dGM}$#;?oGrK5gL z;G}fFXo}YN$j~wV!Wrg8pRMLX?%Z$uq&wqZ%uD>f!}?+Vw?Y0pOLhMfOC9hWq%&D^ z=|FG`rW!hJH}d`X?|KPvC!d-5(D&uOl+MGKme2ccx@A0JhW#DX9T3g{MY`U3SJJC! zYc&+2^4Xe~Ykk~g40R;tJ#XvTj}YnI^1Fes@_7_VSc{J+132QtT==%Tqqo_0vZ~M* zXG3_XH)J4x^CS70OMMUyjBV{9ksv+?UL;?#_ny2TTpXR;o5tB6zZBqpDy& zP6^Z4Ggzuy?gRV!pl`BdQ8B;AePznm)q%FNSxV7L84Z{eseh+U>%k}+SJjmz5q2&j-@;|^T|Fele zY%;ZwKP@omVy$xf=Kqi~+)GA&bt}u3Dc`%vT!vF*Yf)$+8B*f0Mp-NyWts>c4pP{*s?(&g9FG2-N2= zdAVi_5_XXLzQFo779T@STzvi87rtsjrQQJ1(Q`opHOzd^3{KUO(Y8b7opWHLj} zh{Q~T#*!>8GW9#Nr3^Fm_}bMx13x;;tn~v9dDAs}L+nvX63hG6$1v@&lIea~kbKCZ zh74zny(H8>i|eCnV`hu>n@ys~PMzT%L|OTQdCl~b5qrcNGNEtO#pm@;05v(fFKj0{ zQ{31G@yv3>5yH2sqwWvBDP+dGl7{WY=Fw9!Kh(w3kNlWetdd$R#*21-56js98MKw- z-_(sgc0ny@NLt6)=}*G zF0xbFDJW02gkGmr`MCq{F;V9fJz`>g2`%4PQtzXHYO_oY4IKk9(ImgUDB~;e{K7k4 zrBKOdL0(2y&&+S4E5m^g`5&MCM040i%3H5rE+*TpVkyq6OQeqsz?4jJ~h64|hkck6% z@JFy(mZejx3A>Z6XR>TdZuQE9=iaC)RX7iuLO+pOhQ`9HA7;3;bY+cy>5V@-%k$XU zx^*oZZlXbANtq~I@>V=E3O-ivXY$5%$VEX9~J$efIR_S5Gdy%_ul zOFrru(#N$CP13Y8VSn2St&(~WG=n@yD?zX3^uRP$RP1{m$`pA&KuaZ+1P4#)yP4JN z0AhN|pDl&%8YdnT%ob>I?j22bTsYS_c4#-+eS7D|z&Y`5n6`Mc$mexCBoNk}@4MQf zbm^p0mDh>X&`(|O)HSSRytF6YmZ4mIZu^ZN`=NPX$HpmEyink2gq(njQnL5n)+ zo^bA;4+xVu9XQ}0Sal%9jHwdH>lbz$zePEk9PxXa?d;R3-l%Ltl0=>;*0(q14ir8# z%yYt#{heKPB=o&DRukyXZ@(AwiFI->zWU7~GEz{DoqPae>F&8&%D=y>PL^MxBulte zO4f9s)Ub6B9G;&bSRgEF@Gc2j1Ln89W{9!~>-_J*D{{P8-6do1j!@;yhT` zFLJF49wT0Ux3_s^aC2=|&Pm$l9Q&uOrZa*&|Bxkz*jr{EewH$cVL ziD+c%x?W}te3hrGigdPksh%UlPv;=a;$Gg;Qm{s%QzFZ_^NJk3}2$5nGR*1P@Q7(W^Rw1hAt zSdYXFS%K1Abx0ywOVF|!Xg_`}Vy@w^n!19DnGRWwPwR;UStty?e70!%{;17%80*j! z;Vk6J7_^lT>VGD7)`JUq)ziJp=PZSY`Q#Tm!!gJv^>9DP z3yTo$uY{Qk%n#f5p1)ut#oC-w8S6sLJjdwp7)NMZzR;2%;@%vyV2HAlAnruwPLG!k z$K`kb-k)TyY+e72WpOtE+G6NR@?cEl!jf;MWCFY#CVxuuMBuhhE96G@mqyiuy8NEsE6K>AR>M zu6Jz>aU6 z`lL4(gM*Y4Sw08;Dyd3So`+F)fd7DgfM)xu%QSY`>5Ku2ImPpt;;FmjEs&bSv-BSF zH#oyMA%SrD<<5B_{o*8^>Cwck%knQX&o*;wlh`?5a2ox)ffT;rPvYB~IhUi^O*i1I z-1lU`IIQtSF5Z=V*5z2@MYZ|}>X}QGyFoWzP8{_@Ho>_>=C^ja?rK@0J~eC@++*J3 zF3;CNc17;yIP?2}iQjfzU5oqu|*bjacB?yYHvDR7mZ>-*IO zE`3fvVQF&01w zhyAX~`1B*PU7J1wO6P4V!w(hEXm}c#7NN#1Z*f{hX1{*`)HwidhCn($(LWEsAOv^; z0*OZvxC+qRcnpE30LzQV5%>!D1@M9dp#otMyeL7eKwJVZNsua#mch#s*n+EZ_;^A> zL1GdNyVoT(hD*&@z)4h1=MUjjgV82n}@$n$S=5Y6Mu_vyWma%{x0EO!TksL zLc+s>N00GO2t@_OCHPXp(}J>R_~(T3f)^F|mxNaZm9Oz{2vr5uZ}IO4H3hYG_;5!Lj1>HUPUP52NhmZJv!l#0P&-gEd!GfV-{0QM|!RQ!% zoWLlUn8Z&JrVD0f@pFXvf`xDR?}Q%(KNs=82+RW35}r+1E?8N`uMyS@esAFa5H<_` za`0P(?Sg+h_+7$Y!TtdrNK}e~KB^aeB<-opkM=0 z5=A6;rHw@*7u`%Duob2Mu6~I&FImAq;;6MFsvYd%a#vfD6Ha7?!X-RhPdXrHqqVO( z@yH$^Zk7lwjY=*{I&qzeXF#PD!;PV6Z`q?Yg8KK%RTZyY`k>KTxqYv!V`L?|MYS^g zaIW2K86)N`sMqU1r_5g#R(~Ze*fhrm2QN#Ll}_twJ?z zdf^n?Fvc1V1E#`om76&0a0$S!WaUlM{L~kgGZ6nL8m*)~SW}p0X|%r{^@PnztG1Fv zs7G|neN*^bc6$xf>5|M~lM8YE zK~O@&s1N?WgWW5gAwiR1x6((v-s=O6c^SvLk zCoL$Y>3q_3aah?q|2wrst#@FP`J|(vkNIFAO_@XaFH3RlK0W@fd9H0_`xDnmaEPLP zar1KNG8Q?y`|2&V_o(*qoy(n%60mjhE#W&u3wa$cnpN1(-I&dSUWRY$Zs;=<)cg&0 z)Q0A%=Q1iQPDZ<0zC}H7>772N-DXW0Y?3UUub_V|wT9Pimm1Bp!oUamQ^K+ae<$*- zI>KB5oVIfvv{_m6SZ#4*Ow?xnMpU^BL@4Az9-*QRdo=Zj=0a!BjAVuO-m@KV8x)a= z_T9;a92^l4J+*RkZq#e*KRW#s!Bmqlex2>Uv>mka zT6+TjQS)}weFLYwadtmEYjA7MR2PgGl8P^i@zoq;kD&55kH=n4Z`Bo74=@qii-Ex` zvykAMD9GfOH$#%pT=b31r&PPC<+XJzfWj7CK1~xc`x8KY}o0PJ@{>v z4dA!B1t%{35e7Qfek=gMm5xulOqJL9>N>|y$T?WJXdk|FKE?SH7}8LvFxm>j=TV-- zAwU*#NqLc!&u@I=r6L2clcCu4y#y&T!UqG%%Ha9#y|C;4vD0P2!|5)InVA{>j$GFk zuLh!59JD}!x5Pd-!1P0V)grrysT$D;oe$Iz^Q)El?9@h#XoEAp;%nt-ocJQhKXQ;C z&BayXegbmMpa17J^5(T6=I< za5+r3#E-f5DnI`zp9b(s4kkBo+5HnJ70<7^Fs7Z3B2nOej!4dEg2);BQZt;@85cp! z(e1;FD!{=`8Hx!Lr4F5Gd@;F_wy zz!&RNKFKEjKHTCD!~QT3NK%;E>QyF}%lL=5|2j4V#QoQo_bqz1RkN~hjvXwGa(aAi z?Io)7LpBFi(kAk9VqB#B7rc=xxNyiNY;OGu zVBVVn*T`$L7(!Dl2A1RbG~v3r(_GAbjT8NqseDy^S2JF{Kh?~>HLJ5V$^6i9MC@NY z`=iYhp~2db83$Z4|1^9(LG)~kM<3G~sMw8Z61Hr<0;I6%2sV@3J5^%^p!A}%W*mYr zCavJ}TrY_sAQ z)XshLSup4e$GqO)BrK84hGpb*(_C(>Fr!XF(z4-NH0IFgVHbYh&O?S?@bT+A@mH)^912?`m@QO}>yyOL0>-F7;*6dYW*d_5XrJ!W412t0tx%`f+gTRn%MBD+%6 zyNu1ACpmw77v^*FZeiw&|73ZlT{(*GPb(6x*AtB1dG-Rfs`Z+a`+m zG{a=znR9k&&|^-QqeUn4tNF`G_)y!|y8g4xIIgSZcjoRv-&Jr>A`gJj}y=B_UbE4FA5!tWY zKpE}?*^sOpgJ6aIhD@qk9sx{o)n40KmKF*d5oMyG79B=Kn@Xe_a&GaiIXg%+- zlL%EiO#`Fu{r>yo2b%iziDI8kXw5A`UDKo-?#{tc1*`m$RAL!3!D!p=y01I6nY^vo z;qe-M<6GS0f7&%DN6WA{f!X1qA1dI{e@svMHY9b>Larhbi-)$tYk-q2CKvRyPhN#5 zxcDbJ$xRw4CrnDU!0&c%@8dqtKx$#~a+9_YSOax}{Rd|)7Gf%jrsEziV`Ngwzp1;9Tn?BmKdvj-t&fKrf z{6UvHfJnY>GT|TGQ=@-9_;MX}NT-V*j?1TQ|Fb%rRTg5{qo>fvB8Cj4Hj;%W3#zaZ`|^@gFO{=-SR0EpIJpjp6ffYOPpsVkZCNp+4(iH|qX zWGmuaW*o7uR7KhRVa1uq@lqbQ?Sj-!6~0r}8*CyuhYf9|0;(sLaKpR*mCAf3E=`~Z zPpf_`P>Mu+ViMnvq*w}iv@D(jL6(9NJ>8Um?vZ3$@Rb8?1AcKGpLNTokT>huD?Q~} zHI{4s;;Qmzl@-aG0w}Hgf`zW#Gv4X>UEw^KpkIJEGi0Ql1-1{{xC7DfT`}X{WT&2qUJ2NEJ(!~f)L?r26wr}T;>DBxv*aT!-nPiLjlVaF&}u(`{k#0 zU^+~#y(g0lGS#v(wZP=q5_P_z{QCI#;_<;L&lwc-C|iprqU@Z#rp6e=MDXdGJVf3& z&6uZ;Dhy=?mdIe$^PY9ed>{9beE5ZHp&+kQnZp)*!=*x6km2&VWpQGJl4oo(IYXLx zVYtW{rKn%?7-+_?B|V~3iRf~Vfd}j>hOUFWo-%kZKbkD8hqe}WWssc zs%>e{V7MB`+E%in08st$Q|jimn-+dK4_AYGePwbcP^aW2ZmD8z7~mv*0-BPvryKc3 z<_LxOyj}i&6`JR*!g>pm2ZR_m%Qq@1nzA`u0(UM<*jOT%*T*VR5JFuLq()bn__pgT zGQr>gOh9X2l+iF1H~nY{5XkQ|dl+xtyVIcOJb zOaDvvZ$F3?`Y=c{c-vZhePIlT;;Z@$bP=LCrBzln45PW4dwN=L>D0rUc~fq4sJGAO zJJu82p^fKNCwboL82Z-|41P9b8<`}&VI9o$UCUK{s9@t4i4^&V7hO?`? zC*aU#D?3RH=Wxv;dbHGE&L^gQH9TK0_Tgi5?hNA4PfCq$&d^dEpOsq@x?i9SO19nV zo5aVmWAW7^VmqG<0C==^bimBk0ex*he;I*So6|Z_lksR<-HRXq^@+ZJnrSKC!7nV->miAfhK$@OJak(4->`JFOJ`YT{^$(gQMnV0iD-rzyCy@0wn&&;}eK8mE}IkjaJq|STtuJX<~p_zYwAcvIy%ndK> zc{psqStk8i?z>)+SpJ2M9192O5fRW-U_cX?deW@yg!Sq2iaEi=ZCiouF@DVZCk=#{ zF>ISID=M48Q+^YHD-Eb9G#GGV#^#^qLNKZ)#cIh&_?zSu5i&FbJ6iCVxhUCt$Jwq7 zF$B}KpAe4jVVU|Sg}ha(TEC#UV0GUjZQ*ned|L_QNmD{fjw+3EB>P9+DGXGiPHw;F zOr`cl#Z!~fA)l=@GHm5?JPqBC$xlPSs50G~h7+f9gj3z>vOOG&yAJqZ5?zv=8w3ey zFgmrs2KlaRikF$8DhefG&rbSMXQZzkF#0tL-6TYeLR`hONcs`H_P?!20?5w?j`|iP zTcN8Y(1!jF&{vNAaaIgFepb4J)^R)arG`TqIO7jJ zKh3Zs34$zzT`9Y5aI?mP5J{JdwZRAK`39K6OV`-jeyFV$^oD(DkDrTW;b?fT@9B7Z zXv|CCNc}crNM9b%?jBR~;usTi>Fk#3lrgOEw3o^AgmSn{ysF;ZFLtKnh5>ikXN$cA z2LeiJ08N4SsrU2-n%x@4J>z|_D7n4AWwN34F z(K!Yt2c<_V#;6zR{NUM8-vnRt_RDvU_>hw>ECq57v2_n7Y-NW=&;7&St12*{Zx52H z7`^%~q<6=H_aCDBx4PUX<4G{*xDTI?T56zT?o;?5^fyP*NKWd%{5!Y($ScP38T`Vh zGo+uYYjgFIHd-LIA5gTe@>@wj!26h?>aof*=-#V5qtk>_h_)3CAc?w=zZ z>g{O|8^j?|nSZr*!=Jm1C3nZ(olBm3c8*)OH#y9WnVcUY1Hs6ZXw9I$qB%Jy&mhqJ zntcbFohrc$BJ+b~YR-cSGzK$xrJOa-{W~0_A09DuC?H`dQJCL9iiY8p69jK!3BAeU z8_8yj5rzw+*}F!0bn*t{eR_}1c_cc& zM`X^GKbvogN;=A$-)n+`=;(%`=z|LZ9na>M9E$hOg>M?TO5*1iH}7sj9_@t|p+9vJ z=21>JiZJQj{Te?vRhzl#Cs~%pw(`Viibd&#ODJye4aEm|Td+k(ivQ|{xSYwLrJNFc zy(6ABpD*vQaCwLl`I`1%m#+!&4##|2z0B-_BrGyh3|hRn6waXWu}UGT^?1Opn>WAV zk_|k3%Levl4W%q)W8K*Q=Fmn*B`^t{lAMMFNNn7CU%Y)$ztWe*dD`vA+=-BxXFVpJ zUDh255F(Ar8qsN5vG|m8jV8S$DIV3}m`tmT+HRVS#-ZFf0I+hp44yZh( zdH@@9D$(?+ua{K%Df>Lox|91g59dBmu@{mJK$Ap218_hu(c9n?m{8?uUvR>w$n)>C zhk_$jwuX2lD*1vlZPVbd?cVCnHXpo329G<)2_kIDT(54osNYuH7~@3%qaAHI#Vkjva5yf224TLaHGm8!mOIQlm9k+)Y;81EDWDs-Rf zK0Z~(iyXm>@&u+e-iG+h&Rj-zLgbbXn_8~W6A>(46Cc#3t4n*1#pk8nQ=6ro9b9C0 z@XSFsx=_6xZI>2?V9*H1W<}nJ%o+tS54)DPlDC{sd$qNukbA~Z#coY{5@!H)XyG^l zfHx`>?$Z0hK5CRjF?k1TJJ41XS*KY7M}Pz3Dpb*>c$LXKB%!WF8qX%S+U$CT=LjX{ z>gMd;|3!zSQg5y501OVJ<2&v*vIEJ85axYKH}s@|N`dG*X_Z{wYBQbN?#}&jifr%n zR}ra)u!s{RomHhpsyw|HB>HcY*EU z`ub(7r-n3i$}dl+FJqEJz`0jB^%+g~Y&x-_M3YB^f0#r6uk&7sQd>x$yOl#G&iz! zAvItghz14IPG^h#b-tfO|I(zrmAXH@Hy)X-9NCQ786WLMO#a0~IS;|yk<PBOiol{T&#v^OIeNv!h>z3y`>+RHED!Qrb)%M`_ETz{F z3no=#&wxXdL*qje7a8@x*%I9shFXDi!Z7dBawuMi# zT!3cfb%hNaGS9q)3ENV}fEKGTpi5<(!N>0%bggv-{@o-C<00BLzL+wph7tGh(bQHo zXTljf@Nwi3d`24sK)#-;x9>_wP#k82yNtB`MA@Fh4r9-cGq)Mr(=#hduB<$al}S!wRwXyq7JhJ~KFhxu|O0v=Fvb9_(-`uSSDKY#?w#Yhz2Uy;hYJ^8b%%#TAWd;?&39%3TW98m|)@XpX%tgQ|q8UPr3UGm-5l^e*OxhQhl4+ ze=`0l3*%m?Im+4q%axGBf`@fqsQJzzx%^#=x+~F@IFw4Y)nqq}{lXC|aBwx6vOMTZHr#1d22;^9I)h3!;ehFRK7s7Rp zTHz)JhoE%LUs^9nFT2&C7%Tsm_7-dgDi7DlwA<+ZgE^%FmZN;JAj|4|^+>D7P=V^9 zZ%Dfu>4%e|Cqu`+)%lvu2E{<#x-(22J?6K?gkJ1njHW$3X@TdoZ$H)t#zG&A}_kpQhb(bICqTCST<>FRDtLn|K)I zKPK>#=cxpKX@*gPtHzn`Ju8@a?jlUj!q27UE{5mIQ~Ro~t6fEndfs=TI!fvy2L*-u6e7 z9ayn{*lPfs^NDap$6MW&ZO=^fCgNB)PTZNX-2QWY44Qj;VMy_?uV3$Dw4myy-dNl| zPO&R@4DTvJ2=qk7Pu|G-s6FPW$O{G6V3S;Z(Ht$N3V-8n!^!KE9sBqT@dQDGNetiR zkAK&qH(=~fa91MPVO?DNLovS@v#4%2-H%(jJtv1Fy!wF&b0^-Dw$gJE9=Ur2VNW=h(1&CSI#E&Li*b&HN&-ovhubg^Sr?78nI zsiTo|ly&?hW0f<$nbmig*v`t`u)aVU_Vxk?ff!g_ikVPF7mxQclNIR4o@Yx-tHF=6 zE%YGA6rhWNoJtc-0_bCRwC4glQb)=*`^FsvX=kWN4TqPTkz`eB!%NC)NkZhetNF>W zJ<6{m-C1dgbBHoQCy!Z9;$Yrzl;+bL^#Ra9d4m;ow~h;LgUMF9z2qSEVgq@_y=x~7 zkgYdc6yUik8BRJSx2-(5t(gS1^E=#-qyRzR^ol}XL(4<8u(RB@rBf)iNwE)#J|C_R zbPS}sTv|;!L0J7j`C>YECiN&pAQ&(^UoeyGmV0_94b}{%_jsP2=p75J5Y-*h`bXa>J zts#ZdX%uK7v<;`n!{~7Z4|7z2G0(0f=ZM^g$}Qn0gy#$U%ppqi37s#eJ|%s5N3l9L z&V7Cb|0V1{`Bg*iht_ht@;8viF<#_HB91|!Vp+>s)FjDhxG7Y za+^a(Y6(Q3oZ!7&r>WK#`t=jJXw`PIPo3)W!d!fH?QDegw4=yWo}pgNCe#jvC_>lM zLz2m2x+A0?TDnnWRGAhqx?D+b_)32h2Ca$Zk1y3h$9WuRr?5`;LT2qIKl1u1S-nO- z(@l0j>N!Tl)`?*>GrOxfMT*UxUHF%~Q}M2dQHO|yd-|dSx&(%LCH8+XJVYGbW7R!+u@EnwM z6{o%>CvBZkE^l_13RzY10REM%P5A(9$lhQ0}`xG zVku{X*m&dA`C!HeM$Wb(-_I?vl-jVm@nYDE>6;UWqp-(i0^;=*7znX>f!T3eiA|l_ zp1=yP3a@jq(QNByzqquQ(g$I*=Dd$IJuxpW-7q0^A2f3qfjdT|i* z?5uP3y4+qyw%|YhfiH+nM=wpiZ??Ytn?if{A@utLMcQIpWHaCmB**yxn9GE3n0m2j zHqaz8Hq4I@0XV*Gk2IWBla3(-M1s+TxaeR~a70QVwK~zw-9Y-C7~yhMK9VrXu8h^f z=$b_xdWZRwNdEPwgHcnb%q9tOfOrJQ3Bm`UO-|vee5{^dio9?zMcvEJPMu&UJ|<28 z;GnmgwAu+j_W+F#!joLG*z>-fkzrQpD%X62LUH^AcSXOX6t<%nl6N#I32&uVZO*sh z>rL{{vQ-HY7Wr|uGVIOU()dDW1dgvl<7S9qn)m15T*~Lt5hnPGm-8pxa%fd%&QtT~ z`sd@4zT_M@$J|`D`>RI$gn>X}{gshl;y5>6LAw|Mxf1q(e!fz!;58RR+ciTnqYN54@>$Ti74K+jS9HWv~krk@X>vvwsR%Y5eiJq)|J)jOzYnE`Q zdqO*Iy3TF>Gf}bk*rMAk#(C$-6s>*t$ujmf?a0%we1JbUE7nsi0^@MrLE`mlhv(JI z`IP&yIKU?ebFI%*z!X`dwsk+2U=r(q(GwMUF8k!cb^vl9Tc*FHlOgV?m%_|PiCJor zYhkdI;%bPH6KbTJmTgC16`jW6KIXIqu&_3_PaK;dttc$Ix9!&D@e3w@aS#^W8+wATdXn@PZiT95W;*MOU zBA?C>f7ir>2(r8t*i4IwXT;~WumZFDcWa>|3tK{+BRhPoV@NjkVq7q65)*-f_i$5~3KUX3l*gk$Csu{*8swmLtSsX)Yk)OWpuWp-{>a z>;gQ;Rjl;d{hP3C4+J`f3r}9kW=t~kU}v%9E-LIncn@neyL)!YvF2h0T>81Zvu2!= znKBzxungwZjj>ohTVv*QRaq!E;A+^(m_Jp!JUgPW*0pR+Io{ZzVXvKbB;|n;2Z<*} zmQRAW^UXDd3s2Iq!b@%sTC(9v9-_4VxRmR!OBUF>uJTlkf?Ngn8gja7;r55sBXhNV z25PB7Z-M3!)2)rs#?d-RLl12D^Ko%kS}b-#!PH28x&{v1(^^ z$$)ZMAg^LV-QvNV%_q>#@N9d>=smGjBupS)0XA($WP1v0M69@d zs^Lep&#`wc)KxH)j9zl!l6Cv4Gax~UQuDUsgF7h1+;&VqyJzJRKYWTU>f}GQ-^F66 zg24+Vx0B4_d49JFqH{AFT&S6@sr5G(r(pG)bp@?1k12GRa{nr9VOy9pd=<8XxV^Fg zx<8F=n?E@?wud(@S) znS@nc%Ls{0o*2j?7%j2+Gu&vgHahpi^=OAh_vp06x2b(B(R}ox%aUK8TRGOvqs%tQ z5PD+zp6;@I;a8cb#$LvTs+-|q=n|seEGNkp9K8Gx<+z-8=Ioy&T>6!WTtySS$p+Yc z*>?{^+FDjNU8{f(1}0u`Trht=x%E5BE~87hwGZ7Y22Xc5Jrq^Qk;lnZden8(1bK$K zp!V`Kmg~JZ)7Tz;Z)FpW{QU~WzOZO@058y7n<_;j7G_G(-Up|HN-J2^jW2Nt)r;hkqS$KvWv;o0~G*T%#McWCsjZa3wa% zWKoJ5oC!itkc41J3eq-owz#=rwqV@IqMy3oPz89p?Mf$2gYSh)~@}+W%nNjp$8g|cop^Odma_qm4~+&^^FvRh{*6WkGBSw znVGiP3bJzC`eH_IU_qnDNgJQ%3ZtN6)e8=H{GPQ&)Toh!?Q~#wyfbG!br5~$lOyc+ z75W7)foozx^QX`^_8)DvX9azP^8UX3zOB^eEK&h2-Ja3p25@a>(7%&+tf8f;RaYPq z?but+j*2y5OeaU$&*3cB;8AxPRz5)~g7Hqea^t^1JHyZE7yhv}ZZt%y=Fm?XfQXnE?zv=;D8dNjzJaKGu0v}824UNwg0Jtkg zd*U|+PU1s}8rNd_TX>8A)E2!fre6DI1AH%2@km3Vb03c{wT{Tjty0ph#cVxd}n6KV(` z0-H1k120{a2(3W`rvPJADCj84v$BWVts_UnVC}mi10kc-<=tb1TA*)NP_}(n_z{r7 z%nvCnI@Z7+I~zStof=?thws8ZxQC$3ib8aC#K)vVwA^;tSQ!_)wAK(BVGqS5_sBJo z-K%S1z9e7!7oU8%|6u1C zH+!UUg|+>;CX_I>h1I{%VGCvWnbl^Tbi?-$MA1JT?UG`>;inKKG>RfxNH09t=Mj=K zd~EH8`n@Md85HCz_w=@if`ZNpL$#>p4`pDE~#xN*gD?};y!>BS&DnA6oU9u~2YF|dQm!Zm0qb6_XbdoXI=Isp zgOaO1;Oiou@iSKHT;R*DeO`$XRVIjifX`J2o^I+XVUqY2o`JrBF&Yl_?`1>VN9|Y} zP-A&%L%9!v7PCkb3$`q8i_Z?6$At7U{-RI4ur{KotF@Uz^pa-c(q!|r@xzHrUe5yS z^a4%uLO|6EW{mHaAJB$EYwd8>@q}r?*k?PDwVagBNeEB0URYdm#GgNU($(Lh*V-j2 z9Kn((r?ccw&-d_r_TO1i;VQe+!r&b<@-0RxJaP%&{loiC*KslicNiKSkf`Ef=q&D? zXEet5Uw~zX;GT4g+r@;28J>G+uJJn(IZe+6j~WPn;Km_`-f1n;1ilNfYT=Zb^2BGsp7Qu8 z10GwP4zKND#Y84s0ke!VeANvA}p$~a03sd%l9!qGub0%kFkaww2Y8J)V6P- z%*rP~*;pn70{ZysBPC?GBp2TM7Mi?h-B=#zQ)LmKexkl5e&U8n2v5|tyeMl-_wC_; zmAN6uHO2drY*wjjx9+NzkQawwFcJ=P!3!lo$PkScvd$D9-f1d8cDFcja8DkW(Fm`;K3u zfNh|%Y{}Y}?f-n>*@noyC)@qpgM>W`hWZ$Uc?)KI_+E4o0&)L}?94{J6Fv3<&HoVR z?nUVE^3LxwYw&9RoS4(tz_acx!S}e2kh0-4b=`P{cb9!Zrex*)`# z@#^;_5|r#cG%xq&K^46E4HlKL+DAU=mxIXgDL*5dh@kYst9kk|(SPx%E?1@=i&p%u zHN=|wH=@V#MjEuT@_bbEfWP+PLEjVpr_B(tr?|vv$qe7nRN4wZ{P*V>Tz>K5KFg#N zuPYG9Hx?HFSd=X1J*kefRiK2F-esT5Y^)U#XwPBG+a}3+n|Eq1p+u*lyYa00w z6RYX_C^u3ak~wAQhQm2nDz&_ZsNQ>kdp@|%7G2caf&aa>IhEOw+iFY%>leX-%q6fx zVNt6&x1j$1wpPG~%*o>v52BexEM8&iOg`DMDc6VZ?$@p4u+3Ir2Lj8S+2=65V3CtS zQ8UD5Z_Jala`Wn}m}?hWOTdDT^`SNE&vl?Qakl#qsk1r^nogSOIYw92`o(e7pp4@- zF^mt}2jTzyg?JzScUP6+D$6ZBKwFXez@j7bA5niT6oE`D_gIKD-k^A970_79bt64x zmM@ZY(ZT0UDQik|g^MRW<)gFE?*GJsImP9k_G+3gk;{*1ch>;_we8SaSZ;`fwP%R} zVmz7^3yG><_?os+YGNBZvP67x3NrdswTnW#)*wJSjZRQ2KFAx2*s$v0Ps7yRVN?FG zugs2aW<>vl?p1!I<)S0f*P=^|uFF1eN3}(|9M@U0v_@02-^MmVaa#|5+6IeidH_i= z%!1Ai&QY3V|5h%UDHUH{=13guu$`&YgCXuzJ^#Ux5O6x8%f+^G7!|u~Uo<5ip=KNo zuM~^&_A?GoDgI?K%NG%Lh0qWx9!F>if{`L4k=_x0V3ofGB((h7l!7>M62iFNsks(Y6khlf#nJxa zI_ozfciu;8d)L+l)C@_WyH$ro5NVSU-Y=RW+PWq$Puvqv{Qct+a39VSWVj!S(o2Q1 zpX$4m_3z4FL8tX2+M7#-vJ=WOX*NC9NFyY;a;ebz_}Q^u2@uezlZ(Qqgm>}Jc~#og zhS$AcKKDahWM?_BFzd|9sC%IdxA^T?i~nLHR0TYcvyM8ESkHaBe*f}MlqJxD zo~Ld5>G}l0bF_3#C~Ds@kst)wCf;by$6#$5(HB=S|kvrfQaToVIZqOB|hbh?P3p8=}`( zOSJrL9kr4m9tUwQeL=?yk9rnbax3*r9(cwkZnPgjO>)!nwT9;;P`eeIe6?DKI0xyR zs-*|o!{J3Kf`JQ?(R!X8E(+N!t8V{g^9a3bpG?^^^_!A9!$lFeFU)ps^``)5QMNp9 z)dkuG-CIuBi)S13tYTsu-7KTrY>x`8G#7+i3ttfv82t=Uv&taD%9RVD4#Y~UG`Ff* z9fmUFM3_)PUE|jIqL*Hotbd|nWa0#aZeDaU=(P9FhWxULgz5V&&4mP3i1Kd+Vt@hddzB{K2279)Co zRD67S4CPz3z#qhonTN89P@Eg^FxC|9KXcoqOJ>(uWu1B498erEAJ$4}>&8M%+zS-H zJsXz-M``n2jUHI#zYFh?<$6P_xfe~XdaH`^Of5lY(*>U;Ck;e{xPvpd^THcxGIqnP z?As@f7c=Cs=;EIuYa9VNyy2e|n#FuY!)J`=KIL6q0f5fSv2r3jc1Dy3ydBoIj@$9G zP8@m#JrC`(_2(SkAoX)@0mLFIgi4W__$E{F(^x63ojb@y^1nGI&cbw1s$j})iJ}i% z2z~&N3y~2mib-1l$)0?vYnpTBuF}srpGG`KxW8xIshxsO9`uHn3I>huS}~n4y-R5E zU>1x|+|?52FsoiwbUIhDfIG)9Q>g{vRmD#}1;sS2prhNjgkp2PWq=nKz@V~nZtIUt zy{x{Vb5X~Nz!PB!Eit-{$!&ZiKSJOJ&wxZ(^|SPfKQoGoOY*{_a;&wZh&3S{uR1wM=JF9wux7F$hmPI)tvo|#1jTOn8(W1 zJ-7CzWM5Zr#l>=!&1aoZnP#KKVC^B88xn3#A!vVS75u&q%_9s8dl|Jtvjc)rk}p4bE))t zQgo~@DK_KQY79W+3*i;IryTVr67fzaCN`=W9ZlkhCJFdiTQb!^^%EyGG_|yKn7ZCR zzWB)ir(kACXjr&7GwO1*`B5x6F8<2Z_B-n^!2ixQ3=Y5+>G_82mSI^GN$svS$4>h=tz7IQtW$|=}-sECJ0VszF>^Lb)@;sbS2`B;3 zYVoW-!hwIhuYZ)yg+{`LoSK?^dUo}RmAP{OEHRmL@%&2!3`^yXf+Z!x0O-eH5kYvU zFS3%QC`(U4u1XkIY^j>Fs`r@!e;72); z&y6RwI#UPiGr#cD-Qc}DO)vN1?2r4m2|0&QTd!{ESn=~KU@}V!2nemCo+tApLI?!t zF5*3*2~i_nt5baVnxLq?i&%7OfrqAIw*zz$(cC-s(=od2$9Vz(FTq6d8K|l8uwcbj z0Rpc3@T!nxEC?GcdU`KfIaQOKrJA2x%|co2!*HOMJ>(|jHMhzrKA`hVs1~IE`Y=Qy z5foL#@!|xG8@N~$1R{CA+dx2R2&bz!R@EF-W)BTfkiYGn`s-q{l%&D~eo1DLkamIi zsuINh$V5PppOr&s7LE~igMe=ht_X^`3Eezk6~^U5q|kDnO4m&$Ay1LeH5?}!t8o|z z6XrF-Ix2$lI(T*o83K>x2ki!{9=$MH191ov?aCPfpSrLMYA{7Ks$~7zxEn)OIrxrg*KD{R zT{v=L1nh}kzXN0Sgtru7j2)o!Y24X2OuK2 diff --git a/pcxtest2.map b/pcxtest2.map index 5d1f9a23..dc2784bb 100755 --- a/pcxtest2.map +++ b/pcxtest2.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:33 +Created on: 15/10/03 12:14:30 Executable Image: pcxtest2.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0b2a:0000 0000ecc0 +DGROUP 0b27:0000 0000ecc0 @@ -25,29 +25,29 @@ Segment Class Group Address Size ======= ===== ===== ======= ==== pcxtest2_TEXT CODE AUTO 0000:0000 0000021d -_TEXT CODE AUTO 0000:0220 00008591 -modex16_TEXT CODE AUTO 0000:87c0 00002180 -bitmap_TEXT CODE AUTO 0000:a940 000005c9 -planar_TEXT CODE AUTO 0000:af10 00000275 -16text_TEXT CODE AUTO 0000:b190 0000010d -FAR_DATA FAR_DATA AUTO 0b29:000d 00000000 -_NULL BEGDATA DGROUP 0b2a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0b2c:0000 00000002 -CONST DATA DGROUP 0b2c:0002 00000076 -CONST2 DATA DGROUP 0b33:0008 00000132 -_DATA DATA DGROUP 0b47:0000 00000336 -XIB DATA DGROUP 0b7a:0006 00000000 -XI DATA DGROUP 0b7a:0006 0000002a -XIE DATA DGROUP 0b7d:0000 00000000 -YIB DATA DGROUP 0b7d:0000 00000000 -YI DATA DGROUP 0b7d:0000 0000000c -YIE DATA DGROUP 0b7d:000c 00000000 -STRINGS DATA DGROUP 0b7d:000c 00000000 -DATA DATA DGROUP 0b7d:000c 00000000 -_emu_init_start EMU DGROUP 0b7d:000c 00000000 -_emu_init_end EMU DGROUP 0b7d:000c 00000000 -_BSS BSS DGROUP 0b7d:000c 00000cb8 -STACK STACK DGROUP 0c4a:0000 0000dac0 +_TEXT CODE AUTO 0000:0220 00008567 +modex16_TEXT CODE AUTO 0000:8790 00002180 +bitmap_TEXT CODE AUTO 0000:a910 000005c9 +planar_TEXT CODE AUTO 0000:aee0 00000275 +16text_TEXT CODE AUTO 0000:b160 0000010d +FAR_DATA FAR_DATA AUTO 0b26:000d 00000000 +_NULL BEGDATA DGROUP 0b27:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0b29:0000 00000002 +CONST DATA DGROUP 0b29:0002 00000076 +CONST2 DATA DGROUP 0b30:0008 00000132 +_DATA DATA DGROUP 0b44:0000 00000336 +XIB DATA DGROUP 0b77:0006 00000000 +XI DATA DGROUP 0b77:0006 0000002a +XIE DATA DGROUP 0b7a:0000 00000000 +YIB DATA DGROUP 0b7a:0000 00000000 +YI DATA DGROUP 0b7a:0000 0000000c +YIE DATA DGROUP 0b7a:000c 00000000 +STRINGS DATA DGROUP 0b7a:000c 00000000 +DATA DATA DGROUP 0b7a:000c 00000000 +_emu_init_start EMU DGROUP 0b7a:000c 00000000 +_emu_init_end EMU DGROUP 0b7a:000c 00000000 +_BSS BSS DGROUP 0b7a:000c 00000cb8 +STACK STACK DGROUP 0c47:0000 0000dac0 +----------------+ @@ -62,103 +62,103 @@ Address Symbol Module: pcxtest2.o(/dos/z/16/src/pcxtest2.c) 0000:0060 main_ -0b2a:01d4+ _clockw +0b27:01d4+ _clockw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0225 __STK 0000:0245* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:8978* VGAmodeX_ -0000:8a20 modexEnter_ -0000:8abc modexLeave_ -0000:8ad4 modexDefaultPage_ -0000:8b2e* modexNextPage_ -0000:8bea* modexNextPageFlexibleSize_ -0000:8c8e modexShowPage_ -0000:8d8a* modexPanPage_ -0000:8dda* modexSelectPlane_ -0000:8dfe modexClearRegion_ -0000:8f16 oldDrawBmp_ -0000:907c* CDrawBmp_ -0000:91e0 modexDrawBmp_ -0000:9246+ modexDrawBmpRegion_ -0000:93b0* modexDrawPlanarBuf_ -0000:93ce modexDrawSprite_ -0000:9434+ modexDrawSpriteRegion_ -0000:95ac modexCopyPageRegion_ -0000:9716* modexFadeOn_ -0000:9746* modexFadeOff_ -0000:9774* modexFlashOn_ -0000:97a2* modexFlashOff_ -0000:9872+ modexPalSave_ -0000:98c8 modexNewPal_ -0000:9918* modexLoadPalFile_ -0000:99fa* modexSavePalFile_ -0000:9a72* modexPalBlack_ -0000:9a9c* modexPalWhite_ -0000:9ac6+ modexPalUpdate_ -0000:a036 modexPalUpdate1_ -0000:a0b0* modexPalUpdate0_ -0000:a0fc+ chkcolor_ -0000:a414+ modexputPixel_ -0000:a4a2* modexgetPixel_ -0000:a528* modexhlin_ -0000:a594* modexprint_ -0000:a72e* modexprintbig_ -0000:a8aa* cls_ -0000:a918+ modexWaitBorder_ -0b2a:01e4 _VGA +0000:8948* VGAmodeX_ +0000:89f0 modexEnter_ +0000:8a8c modexLeave_ +0000:8aa4 modexDefaultPage_ +0000:8afe* modexNextPage_ +0000:8bba* modexNextPageFlexibleSize_ +0000:8c5e modexShowPage_ +0000:8d5a* modexPanPage_ +0000:8daa* modexSelectPlane_ +0000:8dce modexClearRegion_ +0000:8ee6 oldDrawBmp_ +0000:904c* CDrawBmp_ +0000:91b0 modexDrawBmp_ +0000:9216+ modexDrawBmpRegion_ +0000:9380* modexDrawPlanarBuf_ +0000:939e modexDrawSprite_ +0000:9404+ modexDrawSpriteRegion_ +0000:957c modexCopyPageRegion_ +0000:96e6* modexFadeOn_ +0000:9716* modexFadeOff_ +0000:9744* modexFlashOn_ +0000:9772* modexFlashOff_ +0000:9842+ modexPalSave_ +0000:9898 modexNewPal_ +0000:98e8* modexLoadPalFile_ +0000:99ca* modexSavePalFile_ +0000:9a42* modexPalBlack_ +0000:9a6c* modexPalWhite_ +0000:9a96+ modexPalUpdate_ +0000:a006 modexPalUpdate1_ +0000:a080* modexPalUpdate0_ +0000:a0cc+ chkcolor_ +0000:a3e4+ modexputPixel_ +0000:a472* modexgetPixel_ +0000:a4f8* modexhlin_ +0000:a564* modexprint_ +0000:a6fe* modexprintbig_ +0000:a87a* cls_ +0000:a8e8+ modexWaitBorder_ +0b27:01e4 _VGA Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:ac42 bitmapLoadPcx_ -0000:ad9e* bitmapLoadPcxTiles_ +0000:ac12 bitmapLoadPcx_ +0000:ad6e* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0000:af10 planar_buf_from_bitmap_ -0000:b030+ planar_buf_alloc_ -0000:b12a* planar_buf_free_ +0000:aee0 planar_buf_from_bitmap_ +0000:b000+ planar_buf_alloc_ +0000:b0fa* planar_buf_free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 0000:0262 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:0279 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0b2a:0000* __nullarea -0b2a:0224* __ovlflag -0b2a:0225* __intno -0b2a:0226* __ovlvec +0b27:0000* __nullarea +0b27:0224* __ovlflag +0b27:0225* __intno +0b27:0226* __ovlvec 0000:02a8 _cstart_ 0000:037b* _Not_Enough_Memory_ 0000:04ad __exit_ 0000:04cc __do_exit_with_msg__ 0000:0529 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -0b2a:022a _fltused_ +0b27:022a _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:0534 _big_code_ 0000:0534* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0b2a:022c __8087 -0b2a:022d __real87 -0b2a:022e __dos87emucall -0b2a:0230 __dos87real +0b27:022c __8087 +0b27:022d __real87 +0b27:022e __dos87emucall +0b27:0230 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:0534* __exit_with_msg_ 0000:0539 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0b2a:0232 __curbrk -0b2a:023a __STACKLOW -0b2a:023c __STACKTOP -0b2a:023e __cbyte -0b2a:0240 __child -0b2a:0242 __no87 -0b2a:024f ___FPE_handler -0b2a:0234 __psp -0b2a:0243 __get_ovl_stack -0b2a:0247 __restore_ovl_stack -0b2a:024b __close_ovl_file -0b2a:0253 __LpCmdLine -0b2a:0257 __LpPgmName -0b2a:0236 __osmajor -0b2a:0237 __osminor -0b2a:0238 __osmode -0b2a:0239 __HShift +0b27:0232 __curbrk +0b27:023a __STACKLOW +0b27:023c __STACKTOP +0b27:023e __cbyte +0b27:0240 __child +0b27:0242 __no87 +0b27:024f ___FPE_handler +0b27:0234 __psp +0b27:0243 __get_ovl_stack +0b27:0247 __restore_ovl_stack +0b27:024b __close_ovl_file +0b27:0253 __LpCmdLine +0b27:0257 __LpPgmName +0b27:0236 __osmajor +0b27:0237 __osminor +0b27:0238 __osmode +0b27:0239 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:0558+ int86x_ 0000:06db int86_ @@ -168,16 +168,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:0726 _fmalloc_ 0000:0726 malloc_ -0b2a:025c+ ___fheap -0b2a:025e ___fheapRover -0b2a:0260 ___LargestSizeB4Rover +0b27:025c+ ___fheap +0b27:025e ___fheapRover +0b27:0260 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:089b+ _null_exit_rtn_ 0000:089b+ __null_int23_exit_ 0000:089c exit_ 0000:08bd+ _exit_ -0b2a:0262+ ___int23_exit -0b2a:0266 ___FPE_handler_exit +0b27:0262+ ___int23_exit +0b27:0266 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:08d9+ __open_flags_ 0000:0b9a+ _fsopen_ @@ -190,7 +190,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:1144 __doclose_ 0000:128e __shutdown_stream_ 0000:12a8 fclose_ -0b2a:0ebc+ ___RmTmpFileFn +0b27:0ebc+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:1313 _ffree_ 0000:1313 free_ @@ -203,16 +203,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:175e __I4M 0000:175e __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:b206* textInit_ -0b2a:0ec0 _romFonts +0000:b1d6* textInit_ +0b27:0ec0 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:1776 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:1c0b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0b2a:026e ___iob -0b2a:0ed8 ___OpenStreams -0b2a:0edc ___ClosedStreams +0b27:026e ___iob +0b27:0ed8 ___ClosedStreams +0b27:0edc ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:1e03 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) @@ -225,7 +225,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:2060 __FiniRtns 0000:2060* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0b2a:03d6 ___uselfn +0b27:03d6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:20c4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) @@ -237,7 +237,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:21b0 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:2220 __EnterWVIDEO_ -0b2a:03f6+ ___WD_Present +0b27:03f6+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:2244 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -253,21 +253,21 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:274c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:274f _nmalloc_ -0b2a:03f8 ___nheapbeg -0b2a:03fa ___MiniHeapRover -0b2a:03fc ___LargestSizeB4MiniHeapRover +0b27:03f8 ___nheapbeg +0b27:03fa ___MiniHeapRover +0b27:03fc ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:2829* _heapgrow_ 0000:2829* _fheapgrow_ 0000:282a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:28a2* __set_commode_ -0b2a:03fe __commode +0b27:03fe __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:28ae* __get_errno_ptr_ -0b2a:0ee0 _errno +0b27:0ee0 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0b2a:0400 __fmode +0b27:0400 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:28b5 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -299,7 +299,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:313f __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:3388 _nfree_ -0b2a:0ee2+ ___MiniHeapFreeRover +0b27:0ee2+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:3483 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) @@ -318,96 +318,96 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:3970 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0b2a:0ee4 ____Argv -0b2a:0ee8 ____Argc +0b27:0ee4 ____Argv +0b27:0ee8 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0b2a:0402 __amblksiz +0b27:0402 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0b2a:0506 __Start_XI -0b2a:0530 __End_XI -0b2a:0530 __Start_YI -0b2a:053c __End_YI +0b27:0506 __Start_XI +0b27:0530 __End_XI +0b27:0530 __Start_YI +0b27:053c __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0b2a:0404 ___EFG_printf -0b2a:0408 ___EFG_scanf +0b27:0404 ___EFG_printf +0b27:0408 ___EFG_scanf Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -0000:4af3 _EFG_Format_ +0000:4ae5 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -0000:4cc5 __cnvs2d_ +0000:4cb7 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0b2a:040c __8087cw +0b27:040c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0b2a:040e ___Save8087 -0b2a:0412 ___Rest8087 +0b27:040e ___Save8087 +0b27:0412 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:4ce5 __GrabFP87_ +0000:4cd7 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:4d18* __init_8087_emu -0000:4d1e __x87id +0000:4d0a* __init_8087_emu +0000:4d10 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:4d7c _DoINTR_ +0000:4d6e _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:50f5* _heapenable_ -0b2a:0416 ___heap_enabled +0000:50e7* _heapenable_ +0b27:0416 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:5106 __nmemneed_ +0000:50f8 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:518e __LastFree_ -0000:5208 __ExpandDGROUP_ +0000:5180 __LastFree_ +0000:51fa __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:5319 _dos_open_ +0000:530b _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:533f __GetIOMode_ -0000:5374 __SetIOMode_nogrow_ -0b2a:0418 ___NFiles -0b2a:041a ___init_mode -0b2a:0442 ___io_mode +0000:5331 __GetIOMode_ +0000:5366 __SetIOMode_nogrow_ +0b27:0418 ___NFiles +0b27:041a ___init_mode +0b27:0442 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:53a9 isatty_ +0000:539b isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:53c5* __get_doserrno_ptr_ -0b2a:0eea __doserrno +0000:53b7* __get_doserrno_ptr_ +0b27:0eea __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0b2a:0446 ___umaskval +0b27:0446 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:53cc _dos_creat_ -0000:53f0* _dos_creatnew_ +0000:53be _dos_creat_ +0000:53e2* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:5411+ __grow_iomode_ -0000:54f8+ __shrink_iomode_ -0000:5539 __SetIOMode_ +0000:5403+ __grow_iomode_ +0000:54ea+ __shrink_iomode_ +0000:552b __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:55e0* __dosretax -0000:55e5* __dosret0 -0000:55ec __set_errno_dos_ -0000:5654* __set_errno_dos_reterr_ +0000:55d2* __dosretax +0000:55d7* __dosret0 +0000:55de __set_errno_dos_ +0000:5646* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:565f fsync_ +0000:5651 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:5664 wctomb_ +0000:5656 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:56af+ utoa_ -0000:5750 itoa_ +0000:56a1+ utoa_ +0000:5742 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:579a strupr_ +0000:578c strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0b2a:045c ___IsDBCS +0b27:045c ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:57cf* _ismbblead_ -0b2a:0eee ___MBCSIsTable +0000:57c1* _ismbblead_ +0b27:0eee ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:57eb ulltoa_ -0000:5928* lltoa_ +0000:57dd ulltoa_ +0000:591a* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:5999 ultoa_ -0000:5a57* ltoa_ +0000:598b ultoa_ +0000:5a49* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -0000:5aa5* _SetLD64bit_ -0000:5ab0* _SetLD80bit_ -0000:5abb _LDisDouble_ +0000:5a97* _SetLD64bit_ +0000:5aa2* _SetLD80bit_ +0000:5aad _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -0000:5c20 _LDScale10x_ -0000:64f8 __LDcvt_ +0000:5c12 _LDScale10x_ +0000:64ea __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -418,72 +418,72 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -0000:6cd4* __init_87_emulator +0000:6cc6* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -0000:7505+ __Strtold_ -0000:7744 strtod_ +0000:74da+ __Strtold_ +0000:7719 strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:78ea __Init_FPE_handler_ -0000:7923 __Fini_FPE_handler_ -0000:795a* __FPEHandler +0000:78c0 __Init_FPE_handler_ +0000:78f9 __Fini_FPE_handler_ +0000:7930* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:7bec* sbrk_ -0000:7bfc __brk_ +0000:7bc2* sbrk_ +0000:7bd2 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:7c64 __doserror_ -0000:7c72* __doserror1_ +0000:7c3a __doserror_ +0000:7c48* __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:7c7e* _frealloc_ -0000:7c7e realloc_ +0000:7c54* _frealloc_ +0000:7c54 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:7d5a __ChkTTYIOMode_ -0000:7dc8* __IOMode_ +0000:7d30 __ChkTTYIOMode_ +0000:7d9e* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:7dd8* _dos_close_ -0000:7de6 _dos_commit_ +0000:7dae* _dos_close_ +0000:7dbc _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0b2a:0072 ___Alphabet +0b27:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:7e33 __mbinit_ -0b2a:0502+ ___MBCodePage +0000:7e09 __mbinit_ +0b27:0502+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -0000:7f0e __LDClass_ -0000:7f94* _FLClass_ +0000:7ee4 __LDClass_ +0000:7f6a* _FLClass_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:7fa8 __I4D -0000:7ff7* __U4D +0000:7f7e __I4D +0000:7fcd* __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0b2a:0098 __IsTable +0b27:0098 __IsTable Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -0000:807c __ZBuf2LD +0000:8052 __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -0000:8144* __set_EDOM_ -0000:8150 __set_ERANGE_ -0000:815c* __set_EINVAL_ +0000:811a* __set_EDOM_ +0000:8126 __set_ERANGE_ +0000:8132* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -0b2a:019a* ___f_infinity -0b2a:019e* ___f_posqnan -0b2a:01a2 ___d_infinity -0b2a:01aa ___d_posqnan -0b2a:01b2* ___ld_infinity -0b2a:01ba* ___ld_posqnan +0b27:019a* ___f_infinity +0b27:019e* ___f_posqnan +0b27:01a2 ___d_infinity +0b27:01aa ___d_posqnan +0b27:01b2* ___ld_infinity +0b27:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -0b2a:01c2 __HugeValue +0b27:01c2 __HugeValue Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0b2a:0504 ___FPE_int +0b27:0504 ___FPE_int Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:8176* _msize_ -0000:8191 _fmsize_ +0000:814c* _msize_ +0000:8167 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:81a2 __HeapManager_expand_ -0000:86ab _nexpand_ +0000:8178 __HeapManager_expand_ +0000:8681 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:86fe _fexpand_ -0000:86fe* _expand_ +0000:86d4 _fexpand_ +0000:86d4* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:8733 _bfree_ +0000:8709 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:8758 _bexpand_ +0000:872e _bexpand_ +--------------------+ @@ -501,6 +501,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00019f60 (106336.) +Memory size: 00019f30 (106288.) Entry point address: 0000:02a8 Link time: 00:00.00 diff --git a/scroll.exe b/scroll.exe index 520fe90928834eb3db278262b6d278c0e9423a9a..de4710882971338058e43d3405ed388cdefdab8f 100755 GIT binary patch literal 116628 zcmd3v3w%_?+3?S9Hdn(EQBe_LwUUY`vT_p$S7Gr6hKs=qEC!MV6apr@i&zc82<7A? zTWc%TRIQ5o_V!w|R##$U43Gd;C15dvMMT7164NxKAtsvr{xj$7X2T^w-|zi?pY5OQ zo;_zS&pb2p%*-=$rrq;P(_tksZmnsPH56*StYV*{JYsew+7$i2|9;k$SPSOoT#1*! z1{mF=f1jA&xDtE9WiaAaSK@uJ5LUxeuou3Bk+-=L7k~y^U=JJu%k8ejYv5Lx4{yUa za2y6r;~D6i+`rG;{QYdYEAcn!uEZ)h0!cGmiI>A1SPw75cVL_8N_0RbsBk;!w9IlP z4(9Jnn8N25;9EFr7LmP2IK)Fo^~bv67DW_ zCH^Xgd|F5^gy60?o(F5ZEAbXM4p$~2duWBe=aDbCp(po36I_0zrTcXztokO4KaPuF9<>i23_t-91nd--wgiVWpgF^VEz@Z#CIX}N>^e!tb&vu zAxBtu73DgB-%^nmTy-^J!hP@nyaXRY-+`_~J4}Qt3I8vHT#0elqKnrdo59qb>s^Vj zz*gu#1bu;zU_Ts(7(01}t9dqOm@Dz;!_mEw=;)2E!~pajMH=AOqme1RJI0lGV;Xe| z{sxV3!B|(~Sl9rNI8#u!!DHmra(@HA|Jy%2=+A5)YO@Ho`K*Ps+CN>3OMGvGdW68;Ef@CjVNKzHW z29x3WB1IXfDaxBLzF1Lq!t@eFnFarVLomZfTHrzG^E2XvFQE+vJ)tPyLi*1M13rWf z81dM z!=S;RVHgA5eSQzG!L59M2ll|{PzSNg6{R;^2Wc=J9)LaK^9p1I za~@Qb`{A$f6@=irTt&G7ZieZw5Y|Hw+M!3DqV$DP;D8yh2-dOxWq&G!#r348vGd!K?_{%Mb0n{=0gr>@HA|M7O<`)4KN3?;TfoeS~vp7;evN;Z67kl2@TiFdY`a&)^k!2P_Yx=P(ZLf_uROe};iSK|f$Myaa8~_Yv|Ae}cWx z<55Mq0tQ0{yb5o_A!vjS=$TLc;9gh?KZU1ZC3W2guYeyeo31EBAst-s6ubkULOZ0~ zK{>(C;CJvEltC3Fr7Oyna2=$<^RNq!LMvP_1KonbFbVSDC3p|^z!ftUWfHe7VPJ-$+zxXg2cCr2VF!E*J?1FN z0C*IB2OmHX67Ql+pb%bxE$|gwa5r*<#ZV0I!3Fn_Hy8=G+)H~0epo+OQO=vEC~o*1 zu5xk@JTRX&=RVR612T~_ybD3-fY=4-8(aoA!M`D8Avy#%!JSYIpTWE=+Fp1SHbFJi zKmeM+Or0JCH^MA%!fGgjKfqh?AsmL=Mj&_i2|NS8hfQ!8l#!$fY%mapLI%u-B~T2d z@G|@bHpAcGpD^)8!Ui`y2F36k_+cv?g5IN$4-AGhxDDoj2mT5lz(u1Kr5_B3aWD^- zLLn4GDZC7ShXe3$=zu=7S^eNjNQG-)Fx&tmU^I+_N#KB6VLHr&47dlJumD_eKjc6z zc;R8lhsR(Y6oC)=O+l8h*nzy^6{vvy&;sXAMUHSQtcBme+i=y*^a=25D1+TF;TA=C z0m@)ETzo4sfZ1?Adu61igc~putn{I+Vkw5CF5Cx&e2=0?306@FJAKcGwSx;mR9m zGvFq;2bMq)`~m(3$Dj?a8mcJQz<5{#CGaG?0q;RA1R-V^_rXM143EL@pcW262b?#Y z@L)LH0bY0o{scDa`0kkgefGjWH~@#>2pok5Xo41KgAOpG<8hD#R_F!2VQ7EsN4Oat zfnwMIe%Jzg;2-cU{2NR*bP9&TXt)&?!GrK5{04ppe}Nj<56#d4J+GjhhaoTx7JwIC zfvhX}46neO@D5bMF8C6DfIdGWosb2O!Eaz2n6FY4JIsb$P~k7|5!6G>0NPY|09L_! zunqnOpTn2%Z%9m~&xXM;5pIQtpcsA)FTn5MZK!}<@Flduc|WF}!3dZJ_rb%U!AtNL z_!tg?>1ygO41jB3D2#z=unztJmGBw#8c2PExljooLMyoYQios*#9ofQ1+(D+cn1Ci zRnTHW2f%Em{2>Xf&V*vnzk?5;0g^6Y zoB&tC^)LZ$g?k_i*1|Ke3Cf@qVlSl6gg$T;OoZFvA$Sam;AwaXcEaay$wjnRFcI#8 zhv8@NGQ11>Aig*C4i3P17n3hgE@A8g%iuYvg8k3}voEDDho8cq;1D!Id>?EB_!*SL zc4&b6FQb2jGEk`NR=5EY%zTBbU?2>GiEuk)zysigRj?kOffwNS@E3R=w!#eK}cnfyGZn!9(dtnj0 z0&jpHw!toFhJ*yt10&%McnqF`jqnC+gFSEv{tYpSt zxnrT*W*cg|VTgUW&3?mZ`>@eNhbZnPOS5fRneI%RH*={c+m`9J>CfBTxl0x;%FeZ| zSf01Uy<~Zg9!O{4ZawrHhuk>gdj7f5wmjEn7oTrbqHYp_=r?v=T)aZ2D=XWbz0jSV zWxFZ;PMdqxifpdtB`JxWH$Euui@G4wWMpUg14+saH;zz5;;&!fUbbTJiiHm;dG5?y z_mZ4NHusWc*|~%k{j~99)TJyvSJ8JFH_2e#*?I24%Q9Ce;)4j4&$gw@GqduOl=R%? z_mh5`eS|GgAmgKmzb8|A6+Ol(Vm*+;yVM&f_`QWT2xl$ZMZyX^+os+#XJ6Bnixhj&T z?5uo}HRVqAYk#>?8q3VltQj*i=B%lIRq z>=k@h8pLk_>U~9wPgrv!e^{C>@T~o z$Hwhewf9b>ke>R)X0u|ium*1b@e`#Zm`5+$W#xXqNh$Fbl&(MEN-RChtU6iYds(t# z-{Grx?>=ksu3{qa-)9ZS5fQj38EbK6VZ5!(+F1-Ti~3ayNxjd=n=H8Mc=3Q1Up&=Z zI_{tz7k5yKL{$)u>WQvVz0*iAD?&mps$+9?e1TQXc$W}FKxGq5rETGWR)or~(o?kVO;l|4t$S6Po7&#D=vhN?-uQb&?F`5Rdwk!r?< zaQyPB&$&T!zN|W)S2JEE&GDM^dEY+bSL1!or#0se#1dd@fYv1tDtZE(EPQ4r-34 zRp(w=94PPx)wx@9ZdM(iXpVPPXM<2CN23hAN|xne+A^77B2pa()QlrCx&Y}>9ouD+ zDpkkd$htM~$PG`dt#HQ#uQvOff76_mh2xd{rftp!8VV^U+KkdynZKuH;gY=~VEQp1 z?G=1US9elVO2_?%mdANmb5>~=`2f~$QQ*$0F93SgR@i~$6N8)4bHs{e$PrsSm z`s^aNSMf+#HCn0FRP}ITWS(`!h~a+cN%tGAz$xyxaR1`uM;B!eY^0p7l9sZIv_m7U{!J#)r;6@dNFhn~sd1;eF~#W6xvg+(oT%5K5%=}* zGsK{(q|?|L-F+NYDpH=@G}1rRq~8)T6HdNmo)Jpi=|kyZgkt21G=w7})uN+OGhPb~ z_dljs?K{#*(uv8TVN^Y1#{UBciIV)<3Xd=b$QTv;!=x=6mnE$^K|AkX&j zR~zZ1ebtSkNIG?63V~eFH7h>nA89ejkTxt5flArmDr)g-+C|Y-cm!2AjVc_g-D!^8 zToga#FlBySXPT+WcP&UWyQid?+-Z+jcqVPmQHr;x&$Rk~8@VZ=#MLt2dC%SV&YSkZ zHs@}tDoT0T_uHI%MXikqe%BuC@%`pHrLJo87pA)RH~UR>@5*7s;_M}h7Q2<)?98Q0 zmoL0};Amlx<}A03m7|E2OS0UHPx^7J7+|<~Bum^sAnRKF8F^V+(%D(kJYUu|O!@mY z_veir;8+p$yeB97p%wbrV9FhiBx&L+xg_p;Vcn+tu~LP3dsoJs!g@>kO!a{8v%<6( zcMpFkRO0%6zH{ERomd97>Q1V&QK~4g>S$9lTIop|i}y*{`W&sAw@nmVs&=84A2;Y? zpCjR&P-tjH(`(0TZW&eMd5v3AHOrv*bS<7{mV$A#Xc^7&Y0Vj@O;0FIh{Y?X!t;1% z#CjStqSAPyNTWLabIlRQtuetdCf{e8MSdJ@8XF@T=Rv4)1$)&nJk)A6W3O+Y=J=#|r|L16 zc(9@#}N$-FA6N##Z%W`;Ou{bWVGDs;k!7cT~q?gY!$=X@5yL#V?}A-%Fi6 zIW+y3Wc`=&-O0Kb^OHmg6mPF)sO@tk`)cPDSyI=>rYY|8izb^2tIVnEr&1s6~ z$b4a48zr2glIR7E6m@kxJM%n zi&2o3T1JJurF3c>&Q(sUHvK};=?m*cbN14oCS^sAPu9oRtt*?*HL|t4J(Qq(xX*dI zASn#dbX5s^qqB=rM+QfQ%A6+!7BAJIU#R==uq96pFWBKHai~{Dg;hPK>(Jl7CpuOx2u98a{ z{ODrToC!Y1=QpJm(%{7ek1ExUHWo}Bt>T|rrudx=7=da=qnaNmb{^e^WfcF+n2g%I znBXSG6FbJy>TL;mG4A51@F8!jmeCmUrr1LvuXT`v3(2p??D=L-mHqgdBdsC(@sKx3 zJr?pdsNW&XdP5ULT1|x+wMuYO zNOQDJ=u~pDZ1zm7b~KBuHi>^)M1C=Du{;l}`A3SK2c@}oL}s}qH{Ns67)R5}6wT2b z6-?dTA#aP!e{;y&q-7lL%s&@So&Q5J{|8RUzcp|<32rWQG?CyIrS36%MQ3XDw(mxB zxP4wz-^V;_Mzy0}B%CaieM)7OStw1y4N-Lj)QqDgjzh(}Wnt2b-^Pbgbu_K5cx1T8Qey%xMV;l&y zMRhc&TM+t9wARB#)Q(0mwqnr2a3-Urv{#BNk+y%QBIM72!r5Akic8^^Jb∋+oPa z+@TSQqc!Ah)11w7wCODw2nQ7_-fa!|Em*UU-Z?fDq6##1yl&B)ZDItq=c^i5n;vDA zeLvm8r+QqQqcUgpXPuaSDS@!C>=o(hp|=kF5PIvNFk938-&@I&kePbSSF3&(8gbY} z-a_8Pl%*KhT>4#bd57j~G;EViCxni0yTm^Agx~#LVvnA^#79DO@yvikx_C5*roBri zIL2YgWte2&t!JQ;-o45mBFSAV@&xreF%8hF9+PsWk5j7=&|X8OMH^Ec9|{R;%rFpI zqkf_yifIJ;Keh9++Nw5*t|}7o_cFbhtwwsK75Xu;^d-n}XiPo`vm;d#KQ>P;_oo*vC0IrK1sKjL{(`FT-rgc zzJy-r!pO~G>oRDbpo-+=-Mx*N z+fJ6j#I!3t=`#Q4%lw}gZnnCnjT#kr^as**0cq>$bGD)NWF!=-tEsy~e4XB07NdlQ zO$@|$)V-TF*E2|5?0eG~rzOuOGELNKwD{9pd4tlf&znN@*Kw;MH9DpYx_Tq@A3DY4 z-Jh15*C#E;oeP8DF<#9T|7!~5q`{+7vaF7K~I&{ASi zsWzc)}${0^$# zfYTQJ%k8r0zv&2?JJM|KUBSG|AySt2}>sQPd}Vp zkSA$}=MF-w^NVa`gzAP1RiQ`fR24m1RWu9%Pw#Es=4wlbCh8Dk)+`g~qk^7Lq(PsC z{+v?TJf$cQY326VA5IV!*3Z9~e>kgJBuW&GF)Qv5#+np&l_6S3D{_5@cJ|Cd=nUa} z5khikdTU{RtMX`NaOwAF6@X}ePLiVAf9h<%D6`#4wyod$!|l0{l5P<*#Vxz8Su-+( zeIV<8HS67xGB@l4(eo35ewiCZ8I-u%oN^Y&Fq3Ydwbr=u)`2#x@Q>|Cp|EW%cB&>jf!KWG9_=J;uxVghAWO? ziesqa5VtFjC^O~MQTif+n5W#U+@svB+@;J>Zpq10GL$=&*~%ccV^7#7nh!{eqC6f^!?`hl=^E9Z_X4`KkFUe z^I3gAxu9YF_J$&Qh=cC*v@bn(`}_42^M6UE&Z>X`X2Ru-IrSHN_0;;M^u!jhtljTE zuW;Pb6vbl+acPrnvrTDG>+iZNzCSZg_uhNY-FJN~pQ(;pnqpGw-U|mD=?)yNM5})K z+XDBXt5y#=Op#aB9I0Vg8MaS_%GxWK))7(LD>g1o2|g6^Un0}jIg=Gjc3On-sQ;pQ zTf$mIZB8w+kel`KL|)dz6wxCh)|@TtnOI>iQADh^og6jMij1@5BeLlp;kWcDa<=(u zhlGlLs+iV0ItubT6e{ujkdd|IXqgo`I@ZSrZwk>Mj`s8>Z76wX`+_bP3^N(eIU^z= z!z_uo(_~cN>roXbM1`&K^R#TF@XwZz%b+dov9#W2m%%auqkDywAI z=}7KVtNiCNJbIV)RcQw?(dTGU<4ar}&Ust(aQhhHvNkUss8pSezR#*14R}+D!CyVb zXH0Ot;y07zmZIq`n@qb8u4jz9+r5fm(|WXMdaHh|`Pqy)ZJSNz`i7!cn?gmeHHU__ z3=Q27y7RB=FQ}j9?p0KK<>xWSiay})KVsU=A9#+=EAq93_B0gvT0?vOslHVoQp+1c z^PSHz&B0(!jFlR;Ipain+n76+^QiWUpf|+4TisgS3h$EqWHiayqE2s>D!Z*;qp3H` z(KQUowW;P3LUc6GQX3JTodIm9gVlC9n|T8wEbC(uwiIeCE1<5b5hIG;iHR z=9jUn6-(MTe^56Bc?!H6M>dn|d>oK3#kLH$;wFyy$*nwwG+tl6(_R}UoCy8Er& z;fXD5Pw>W+Ct!56uprnVY#)pM!4Oc>MxJ#vZ_G_GO0zOfIbIv=9XeiH_e-&o*)_RB zqVX$}2u^fD!R8LuWlwdBSLV0j^jj4b;c^6P0(c z7;dHR;EK}PZ{vkMxuo{)-Lv#g*(9}z#hxuPBx5>a)(rmyrR-vw^rHBy8tD~8$HGHe zzYBY6*2sgREz_T4flj1gCQY4;h_)!1&M!d>mOJj5w?+879BlJ6%6WKkL6={6cES*b znR@;V_2fUO<}?SWet-LJ(y0^->RY-%G!>#2Hw%S`DqT^%xJI27dMXQDF3&fMu2JZf zyu_eQEbsKhS7`U3o&>3WK*D687j_${sLpzzRC@=3oDgWG9%kwZfr_er`jm>r96eA} z|Lg+ zNl&qi7g?Pa@da0g$Qedm*YQ%uoLMxaduf8iJVarenZE(0up@@Qim9-};z>3{)DIDT zUf2=qxzsQ+qh}GMky&2Y5yxX+E5;4hPV1F0g!czO6z{8w?_1W>u$+ZGZrCSP@i&&G zoa*w;WxY;y`L?nPPIY-&+4<3z#T0W}ReXBcxK2G)M;e;GBgn52XVup;NcD9 z@~%;<&;q@@o1@CxUSSmXzChl0GFXN(m-LJZR4?Q3l=&=rIp2QzIkk5$?&=T@3o?bq z8UQJrW8|hsxX8+qP5Kg{{zFx)EfSI~Yn#zpGb=!Qosd40D5A<*EKabkL%NDN8${bzuTD*1fxmNI zK`s?^-hufzj*8&9#gLa6Hbu%!Or=KZX|rB#E#Y$0HODi2s;H-*3EAj#4vaWToK4n1 zT~l#Q@$M2wW8geiMw_(h*f0$wC{Wo^_av>r+bxWIsMQ%w>6rbri!-_S(J@++vI)vF z$J=RWP!>LjC&`DSDP85)hQMPTb(;Np`}LK>D~Fp$T*Ck4m}-O}8aYU^rO%qdxY%(} zEP6Vy+i3!6fok z5`Q1V4hCVUL>0MMPLp8~kd|>&4@fwj$Y7!a@Vs}ja-GA|F~LU^y7T`$`f*bJ(xDPN zSx@L8dO>_pK8Z>#rdw3@3dfs0vDHkwhfWpu*~;<|3;kV0Av1H*=&Y+W8pE<=HeM7- zmjM1pnK7n{iY%1BoLmvQP`vLnst_HoJ0`^Fl|H?z64*ob9Yw;$dB|a*QdL5uj?@@K z;jn6l+j>i(qrptoqG~YxF1=Zedg0jHwO&v#k%~c}Vkn?F4wQ{h)XBpL0F!U>jijV= z$So}FgVMqt9kyHLq$<&fi2|DKA%}hYuQI8VujQ*KJ+K`i(*-87-*D^so8gx4$mkN*jaXvahaH0%vW0KN3>Gp2{Tk$~n6U=y(b zW$}GR)~BY?u7*9TWn*aaBp2p4v4gEJ|FGi0n@-M%u)=>9;+0yjRvBe2L|q|9A(PW0 zGb>w8ReR620<@|bVXqXu!;cC6HAqGv<-7ex8%}s>4~g=VCk5d*eowFy=UoDrbxrH+E<%eFs^`M$uM6vQ`n;& zEuNmz6R*<}QESC)G9_bdiP+-9(n~GCE%0wej+V~pbQ%NECioR|xJ{rs*S#SvN@E!Y zi_$QVA_k>s*rcxn);MDmIh8W)1AR<((ol5M_}`bz^p3jGHoO zH}#)%C6rD>xHdG2j4hCjv~JQ(vWJB6CXH^xc#9rn72+>BTy3=m?)#SE)FAOGgHN88 zD6?B?!j(byU*v~NgP!AcU)EWr#rN_yZzD0feJ9CXJCko;6$B~g}oZ(aF}6vmw{ixfwcyzh-pQh4Os zNWnx(p;0%Xo5E^FMnx%DF?@elCkDrOyqOd!-Vc}&h)R{r_A}qOpOtsiJ*C&U@EYL^ zrWefW`>L}|CPz%FYxB(mTQ1$t4u}u|UsRairnoKEz=j`$$?f{yc{f9*QG0T~@HCD3 zNLcUs6=RgqS@$resU5nRf{k%H%Yuw(mZ;K*-wz#8*n_U%5m&<>ae&#s33}nR&W@N^pi^JK{?3_jJ#kS=xTi$X{?!s)byE04e z_skUgUTwMAi^QMohZbgMXXV*CZ#RCBF726OBddG4EqjT3F`H&j9n#{=oUEnU3J=&a zm&(na?rgbzQ-qYq1rhb+X%l6X@_SykJI}Vzlbf5J<6gRor|`%ZQ7O}=&7bU9wqi1y zUq{<+TJBkzmGfh_ZTX7q9NV;Mlkc3CKG;5jEvKHGtZdhk9MYEQX7lU<4-ty3w#r@8 zW-ZHJHg>>LPm&00TIPx=(`Jpf@sGa!)TZ!2_Oca5wpQk{Sv50vIpNvt(`*Zu=XgmG zVqv>#W>!{ic3z$>PcA!m#z0ocoMNcV9Qy~9$b<3D-@$( zGIMj;IlFLqZmvgUQOWbjpk1D&*V+&g8+TVMXCE=ej#^Nn;Q}>^d~s%;Z9z7&Ue2E0 zmAOk0RgRK4YstgeqoaaiM{l0T79Am zS$bJanKsSl9q`cL0T03?ktWagIVW}!GjM`Tt+ zp?At831vHbMH6z$^Q;hAM<%F+wjpQxA2|JVVjU8kn#8=j|4yPaHm+*$Z3 z=*lSeLEG3>k}YE35_!Aq-XY6`I2>F}ce5k<#1du)bd+kND%4jY+C=uORHm#&IQipE5n?xWE>fQqqbi=dkrvG(4VTew%Bq*`zMW>|WL*=|95!a?uw{x1 zMMd)$ELpn5y$ZQx<_WoohGRwU@EzQo%m0CR7 zHl6&?aEVH<*X}%2J}Wy*$OmbOu3;hil#{(Q?*thUd9HgT_zeb+If+;mCM6POca~HhCDQ2h3)DA3kNJ5D0YfbHzG{}o4&$D zkCtbny zQS6?Rdc|wHG_EHc*&y>H`=w6dpR)8$kn?hvi;f-@aWAH0Sh{>sXN3$mDS7lQqS{CQ zs6?8!mCF1`g^s@CRP{Nc+B886qRPca_Y|(cd7Yh)BF^NvGly(v>D`WAD4F4^F8fu! zWRWM?kXDL46Qi+7cj-yHI>By#%Qc5+-@ zyj$H;vhLK)jgtJm~fQ;`VYrGs05d9N_@Xnvu%Q1veKzM0GA6*!O z!@Kk~7>mA3sdtz3U{XkDcXjXkdU=IPFIh@{AQEqfYt9G7Zwg+DPH}3AoRD z*c{d>E_MUl8~LH|My;Q%kC(-@JCqvZtQh|R&Llg*~sx@P3_ z*YUc1($7Xd>AHL>`XZn7Gs&lOlq=Q(&AMvziPl5080c4S`tbU+0->gp8&)Q#OY}A%Z)PJu?OEn>@YVOwqr(6V&G$Lh6QkHVJS*Mm<~j zT^ zqF$GUZ{nwAeZwM@*=k_vh=z#u7JU<_lPCt(oZ??!Ly>Qt6^kX5VJH)gJR)Rw$n>hWUy{^llYv#o3`&uFbX6hptV zZ(yaYPn3zc*-<~n;>pq`Cu?)9Y^hSGBomoBkI$6iwz+J8i4#gycH@N32;;Ro%wpHO zIypI2z1_;WIF1%h2OauKDp3txB4nm$vpR2nN;qQKV-CZB%(`IEnCTI6Ztl8 z8(BR$u7HWPhz~4^s#ykZQRkY2ZPI(9^9%x^Yw7CVuBEzdyf!acd(_ItbKy6Bh3|`^ zsd2w8@K}Bu!-lahv^mMzRI9p2twuIq;bZrO)&Iwdr|34+e`!+_v^ngY!^h}vtU-0H z*J1yGPH(R+e$t|*Hsj1C&jSi?DnOiFt`F-hdwE?bgm`8uCjf>OqimSrR-uO%o}rD! zxlX;)%sxDAtk2n0Se?Sginii?4s|t3piZ5{l3I$w<%o8z=?KLXc9=&OMNO#zfv_us&)L z(~VM%NUuemjT&8^N-?BT4*ZJWym}Euh?Y>%BG1W?I2vLyy41oQ;^(b>Q-z4cpQ+|h zNd84xs84-)vU)0qWJ>XI*d;YO5`9>Ui5{N;acIK`UZ+y}8vk&+aAB-2J;oPZB!)1k zdbCWHm@P*TWWk}H1NYcl27YmA%bIGXqi}CXZC9IKx9q9gv(vt3&7n|F`<~dIG^_Y$ z>ec7kGMxRTLW8;ac#VzXT4ZUv(hojJ=|=E z?o<^K79DcDeLv-C4YYrU#I@;osWe*y3TlqmOG9TLE22KRx*2s*^aNGTCxvHVg80oED8*Au{Oxm` zYp>{^XSSZ$j@=MtpIjpB6SWpaR(BTeMw|BpQ14w*y?0U1MGqz_EFDE>)O0f!)0B#a zAtpG1D%`az5{-gfgV294o}-JGRr~!^_2N{tYHM1mb|)>u6f3^3RQb=giM}uL>5w{^ z4)b7o$jhfBtG_-?Z?HLgW^2N>ks)_d$em#Io5rPU-b3LNm-vap8Pc^288y{R2tR;= zZ5t_`dL$uTyNI9W-IPwg2Zt z{c*w-hShvdF%dOzs#RN=j6sKD=C^9GF;mPqk4|s%#E&|Fu)HRpqYZ@`$R6?*%$^LxEE?j1Xi8jpe3`+*gSU?)z-$qy-g`s8f^GIT#h38Fq*w9*3_-j5(uoCqg}P0+G?7Nqa1mm zaf3RILrso|E*;M*;&cSCQpRModEOt@==rM=?1V_S&{?H~YD6?AQkAGjM!jLrp8m8o zaA9lk&p)77F~L_lf)yS5W$Lvxa5RPv&k%KE@X3zUqRFCvj|sN3cfCzZQ@yP*kC0kK zD=ZLM~2qkeMs&!Z0r3x43%{CcB%+M3Ux z!?S0M|ALKCq*xHEx(>Jr{uRfnRg%!{e}6&iDZUYx-`YY z87+OA6Z7oApm+|>xonPkCZ|n(GCpjNpw#Y(5&h&8P(rZ=UK8E}r6YRFy-@PVr33}* zhI7w4f^lqcv86|> zqO%`P9KO+%x^+C>>Z#hi1ah3DZ_yn_G2nlW;~|B?_1VVtLB$o6tz~Ts_E{|jrp->) zCR^3LNUZK}>{w=B9=l#si|3o`{<_s^*pigw)MU|tqwgXK!ivtQ7yVDzSP~knT}&sI zs?NsbB*c+uX1Gx{+Tn1%rQ@en%co5f_OD9)b;ODMwS}8y+AZXvS%{NORg=y1%t8r_ z#*g+%IJz8NMcw%SIA_yNo3ks&IGR1%#$+^k zDy;tL(PlOFd{m|`#`rVKroQf1ug8mQttM03`tCW{HDkJ_hHY(qY-vr`x9Gh1Sc=xW zAcf8?CioA9?&mu(Z_Z&tnf995MF(^GL`GIX0l+8iQ%;Rdi$s)XqM z=#%IN+3~uL96e5sJoCxUy`5cks9!-!&=&e_3^OYEZ0AS0sV>Bxkm{R~lqz;JYa`|0 z3RBsWtaixZ<9N+mkNRWkF}@Zx0vU)(5u6bUt`+$j<2dSGNl0Xlf%H*MVq;EW4dct6 z-a+pujba423x~*-H91`B=c~nk`xX4RU+!Pv_*c(@s-E)Jm49b4fnmI((H`1!(B6Xg z#IERjw+g9=F`i7hkzz)C-sa%Oj!?hH*&)|;WVJU%_g4}#brnpRoZKvlGHsP*+D1dD zZMYH7EE?`6ZQba9S_5}o{Dg4Q@LVRgoO>=37sV~?zVKZfZVJK|qb2~w8CDHUGyP+n zKFyTIG!q?ccXPBfLoHL|M8msBDW5sMcza2C+CRHLL~Wb1l}8HPgNW(i1S6(@c0U!& zGa7pno0QcLi1V}>y;IMkhC|(w?`zV$ zt?C}%Trq9qY%JVjqEr|Ztlj-coaf6?wYmSGri4T9F6i>ODQ9)tMosiZ*X_ql+GH`-OVSI z)fuE)-j*iwhKim+?Zlyv-KkyorC9#Z*t3j>!)Wz*#7^;3>Gv5T`fzeMBIYN$6BN*6GF_Td`?^v z{7Le}y`wmfM<&qP6*@05ti;}=@fJI}^h0&z$$SkAw8R{gm_7=q|6qBj-0Kii4@ACSV0!v9^WA{xUI^?7eo!Bk`UT(N~N`rwXb;JK%z1BmbPuUtGD{U9#i+trt9i9ym?*SbB6tT z!a7WpA%`U{7Y(-c<)pbeR&8oBDHmse4x)8hnH+!zm@-<E#%= zl_6*nHi><=srvj3js-X=S)Vekc0#hYlB^1Ga-K+3wY_c4HzbN<NKJlDFGt-V&Uri>S(%R0C!1b8EN%0CCh8UX!|BZLOyX$6 zw&+B3o|sFY$W1aS3&+db#4M>E6_Y>4oTG8DV@6B(Ud6Z<58jjR6>CeJ|JWS9cR-pR zZX}PL;p(xI#4VGoYE3$GYes0&ulolvDxWp^gv%ew%cG6KI;V{=p&F07Fv~s2H^y?o zTr(?F@~`}77JmJWVp3I5sQ zC223@O(|%9E_bAtuzo{Wk7JUYb?Ros27eM8d?hycajXdIrQ9^{*n;+#-D3*cH;5%JTWit;NljL-J@V5}v0y(M_Jr92+v z^K73Iu@>h|Dri@A(P)TmXofF$w3n1Wfyk1vM9w;l6mxL2 zIhbV*`po71>G99@L9eTz{qfv|-h~D2>pYp|m)>;t>Qnx}Ip{~-@VfhgMN05ZrF{K4 z$hLg;ITU~SPcgO6Hsj?#JBK1FAASxZEiXC;T`%uAmt+Lb<>uq(P(0;}&qdC=&ZPt| zIhR5yJC_U&8+#7QS~~e0Zhqrjs=?Cn=MeH86VBo07ss4~K3mVF1oO|KZ7JV%4oztJ z#&ap|>~pDBQ_m&MmFIA?K2`{K+%q|PP`({N-5E7fkf%+EqrN@}Z;BC5`LVE!qllzC z(zDOrH6YY8{W<<9jboKYPePS&g$!P%JbPCUWrg_Rcf6ooUNr?{74fV2IrjIQV$)4x zqRnPg_&;xF3%}7JoJJM^-p4OuSK@ZD<5oXot#sh!u0+%O=kJjpM(tS{=Q%v;eec22 zbgpCF1;RHPc53HAEh6a_VX2lb;#Ov(gku2bl5(^fRwSn5wEir=GcaL|lC!p>@2ZHp zfw|j^C@)7{s_9WH7ZcKFaxRU};TLbBP^-H$Q5dwpkmIW@alYH#UHa@26Q8?Fyzd&* zO9bD&J;eYs|6N~2=NPo`JIl(Lpw(3Vha4?`GtV<#cD{SY@xL*%m2fUmZzqm3=8C+( z%3WueelVg>I=AS5N5I`l)G%~+#wofqXn)Qm&tO%@sYX6xmCDCDjk5uxxv0N5v#{l- z&nOk{5k9eCdQ_U0m@2QY zdsg$}JW!AOo%)5`_R8p)AuYpbW4)Jgd;sq``Cw6O@jea)3=f8IfN3re6VEY0O`N68 zJw+@aaV&C?gHz|%Gf~}G<@lH38!L_iV0MkMYDSC5$pm&))|O7W!j(vnB9HnRkSG2m z!WzvwZVYEs>N#YwS-$#ffB1A@M&ssCm#l`5AC5FOG&)(?3p|UYSGPmFjFJsQnQX{$ z+ZJR#khvn8-A`K)p>C@*2r<{4+I8sT-eKT)&sVj(ZS^c%uBp@j*bvL!wH;?h-Gkm;@nZ$4vGuYq4V$Q=Us40MYph2-dHwNE-`c{FwVAarot$2E}A} zpvph&Bsdm6?3JY~;qWh?$05(s-uKE3iI&vnk-oGu{!iCF6HX=+qWml{=bsu~sL zlHYBOx-inTom``+{{ylH56DvH4#*okAWvbV6FWu4i=^(iJ}@waf?Cl+5s1w~lnMn!-l; z(eJwx9V}k`dr-@u-9$E?iOn(ogI-#vRU}2URnmj!D8D&K$Ryc1c1}qC)vGriTxP1W z?y%MWu{T}YaJa*m3bJVHj&xW9e=#w^>)W^S6HDFu{@O_BLbn5iEP9<+<&tHmdzbVt zknMDfIOhPL@xz^3^7h|*bJbGCFOyR(yRgE z1%y@-{J&E!*QFAF1Q5WauG*3MyvlVivOfo*66Y5 zad5zH$F}^2g0a_m#&&&r{Q&)WwLKhy&)F!_Nph>=ud@b5MTO(>3&#@dN@ok*rz0Tx z_L$()yw6CURbeuESXnN-K1sJ6FYKaCib=FzM%yKNDtv+;S+ZF%l|0|t!2%rzIn=JQ9i<2|v zc+8rVwL9`BS2@}hl0~{>r8%OC7qCSdufmQYkBlhn7`577*f4~1QXgewOUv<`W>X_) zx6?R^we;2RinoZ+Pzsluuu*5>M8JuUBo%htvpTl0VPxHw!j4Sw^93~=3pY|4`YkLb6j^vIEhjG}Et6M-%=HW;qPk~-A1N#2svIpzb-pxr zUQ$}F=X}2Ps4G~R%-=-LNvyjg=vC4l@{BFXXe3mQhq3RNX+-@FZ+K0?M>VU1z{EQr zJQGEV>1w#ih?!V}_E4JFV<*7#f~ldE@qCC6ULHzwdBn%$U@zVS;_1c5gkU_z9fBaZ z;P)LI@AgiNXfKJBArHR2?LWwfI46k}^9FeaQJ09ecSxZfT_1}v+o@`LDTLQK+O-fo1Pp`G1)%$oE3Hlv2 zrqhz#`hi+P@9&6YxUKFJJ;sxIWvS9HzL3J;@Wq$-mqR?oN)&E6Yy=VGzOH`Dnzw1| zcp8LPJ?GysJ<=`>`tI1P?$}Fr6n++BqeF`RU}t7|HGp9n6AK6XgkJ{reBIymR7Kk~ z$*kpxcim3MZ}?VL+l0AdsN}t;=%}PCuHGz*rHYSI#T&lGkQcde)~PN5x?SmTEmr#p zOE~vno`qkYH0^`nO2yCGh4H>Ej!BJ#gu8e=)mgaV ziWR9B!h+R$zr@R8+f)k|@Vl4iC#oY}NZI&ON|4=xGL_-d(`O*=xXpGQJJ8ud?y@b; z&J-_(%*^GehU}%h?SQ?-O7!RH>={Af!`#WE*SZ*s<)qcV&8(lKZjjW@YMx z{j!H|KCszdI}-A-$aCS&YeY4AHT} zdEo?r#p#ev}H3#E$`B|G*pQ?RU=HEZ&{&@M{$IsxVopNj=eGc)Ed)g z>h{Q;ZrzPs-6?+c9O9w##nz=p^`g!S6&>&)b=UFQD|Xo{>ps!@suO0eICy5iJyhao z7Cqh_Ax4Aw&E~wmB<58>Y-jTnkv319! zcB{48#YR@@{;b#Ju5(Xx=Iq2OLlU)m<&rZ{WGP8XL}c*mC`Ultd_{{RtF-!AO^`Fl zQ`G~ej}Vxwn&GE~krc1r#WBpZIQt0bBH@rMpI0xBlGF(i?<3;9YAq70wQs50u1m~l zevEz5ob@dvCcW9j+2tjU1|hnBQgjWLysJt7|l>&Q6_OJHcrNe(LTR>W*c4RN@R!p)2_JYz!Et6g1BDJxGo!H{M zW)7Ycvm-2+(?8-+DIXWfA?J;vsn$bq_Yg-!|R! z7F#@;)Zv}N*RRMY>WXU`q<6xcP|)3NTHoM30ku~&+NTbO^;k~fkvx|YJZS|po%&?QR)emR}7c#Y@^7c_)Y3!9-OZ zn~~}BBd0Fu`7yd+ksr>yB{N^KReqvFZe7%u0CVPLdX2wg>?NuIqMt~d{{V|D7@Z^U{S!pYS&3|CMNlaOe>S5#Z_0akK|Z92F6HGflV0 zL~6c_N=SE?VK!C!E6g!$;0rVDXHH*CwD2p|4KJWQ0A6oFguXMXq$8Om^an=Q{*C-taH}5NP>_X}E z3Phv4JND!hE>9$dasLlexLtLKw*}CG;>RXac!+H`C64WpYC#HPaNj#oh94%7!uz9A z*j-g&r73+#1wJ>ou1*)FYYp7~?GtKM@h;!KGt`#nB5GWAVL^AA#?HXv-AsR!tlQKs zUW&_(&$(Yefgg0)xB2?D=3@3Mie6$|VA9u2X_oaZ(0@20erOWi$2DI+L9U*QZU9id z(>Z3Bf0=(ab%0eYDl65Csx03BGr#48s@z?+qUzb1YW(g1NlZ_`;UxP_%+{_a0Z%9#qN>D&wGy8jKS9FCOE8B&bq z@|F(X^(FRaOPL5Q#=~Flg1QxbXa8`9NXq9%dXlqLmeTRq9{*VpN$aAUDlM{huGWRm zS*ux$^|A0Y7p=(uS7yX+X-4d#_)d`9nF{Az&4^D_0>@#iO#exZ-4!{-9X!%S*#9&1rn-e9~Z#dI-K+`^425+@TY zT;V+t&1%z0M|R+XCEhivC`|M;z1V0GXGsu9tFK>^MTQ`@NwA!#uf=}A1cNxCg;P2> zfFvps-a1A!Vu!Z+e;9igfT+s!fBeja8DwxoQ&cp-T_ue)Ftdn@lsHtYNSLEpj@#DS zWm{|E3{+nlhbD`|fr-6m?rxcFxppsi*UPRVM?j^cTuQkpn3|pOn2{Gi9A|!?=RGrk z?SB9N@3t~C?|ILAf1mfce4gh;;2txxs1G)PZqLc!!1K;(7tXWbPpDw_rozERHi~@LbM`JVw92TS@R4BS-2nd-qcJw=F~-ecmbY-cg6l`RyHk|}k?10ru!|4OzKSU~BDq{h@5ac-}1(|}R+ zs<--H#`;94{N!px?IE={qR^m?ZP3L*VX`7f+H98c!5G#I-mnYUD_bDcM<#$G)c%89 zHTi&3>@D0Be_H)5>zya77jOf!5Zi0?O+(O!0bv<@4Pg#%uqhlTjIRUy467_xui5Na z)6p)v%Drr~P{Z}L_jP*OIfLYB=EU}RU|hWI71j?d39Tm$t&Pyfu_-ZD*<(ZpVry7q z1-rk>?%!sOD8R^6+5_lG@=fSBZp|8=eXQuf9i?MJ*+pA4EQ40cu3W`93}zRk%rRVv zkzU?*bk2@3AUlY#5mQaqGfTK}6Tu@!L>tb1{Er>;xpO=2;>z33mG_@h4;3H-9#8e3 zTLGVra&9rjIA8WK|H#w7Oq_r7{N&`+xrqhNH8byj0`Z5nc;T}W^R?*RMF@@l%yWfw zDRtuX`7;uiJV&8~Z%Vvp%_FlCQ`2t0edg?xl-aXxyz$1wyrl~lCO%wL^u%L`Jc}r| zkN%wh`6CntI}bszf4=5v=VOnDqLjk^w1yiQb%h3S&(0UG_ei7MCwr2F_zx{fmGPS` zhND`Fw^=-i)Q>GyVx(CnHE zTRd6+#7IooW{TMKPfMkByJgc(eEi$8X*WLhSZ?`tsd#opf<#GNbFpIP zR_8M_jK3-i=SS`K7~G1eyYSI+J{@6PTQHFS(#J2!FQ`1^?cSj?Y||matJs#XLOkz( zAF!7z;=$wt_aOQzVzTXsFu-0;-$(XaxrUU^Xz-VS&35=l4!64gy;F3}!{#~vO?sX70la9KDqW5`IH zptghvpcWSfj&$C3!j70&5seJGLns5(yVws>P@9CO{7)diA(n~Imr`>w^8ANR z_8U!*5$GCOci6faKR~T#2$n2(5Z{sUNYO@sI%-&%#?+wNg7>r41}_dd$3?(&bX<~q zluq4wO~MTUbqfn&!8+Pjmepaw)EMbi99uYALPHfL7DtOND~*u7zuXbPkkQp;>|Qgg zB3@=QfMwvm!9T)`o8sw0nJW{jGYWAmj@6~s^xFJ--T-YWzGOr3I>5O<*Qa(a0iZ8m z03s7!rNQC>u!&d$w@(7E=-JDzen}0NjJvxDb!zZkI4YAeK0MuMGo4&9mP#cM!>wJbF-q0Zw^^a&o+pay#M4 z!1N?s750EPi3%|>pjf!p8GQ0BWR~@VM^-*|ITjC!iU=6^e;!4^Pp zwPLJkKjvx<;$dlxK%V6h!<&$$T)a@vP-I?pPA(jo@olPPL zMOcd69Fw$hOH7hLeH@EJe@hI)#Q7c$vYw~5(56O=ts39`;r)TlL0g1LO8tVv&t?RM z5kH$0t5S&zG6#mw3Dz)#66GB->mCkG$!!=Cwzty}Fmr&GupJPeWw@{^wZ{FJE*mqJ zk2WJ7U_}DtB7|oCsh?h_lGM$i3ChBq&=^^U@FDRjO0-8?72hScLwn6Sew=9A)wjAv zuMNXG z9eSO0NqOQ@HLVUH2g7XwW#ed9F1{q#_6di3BZw^Q(xGu^Fv*Lqy_Jr7q4<>G`l1rH zF&CXlHpFO)s&t&dd*d&mWn_i24;CpDH(8b7#cI(3;}6^?fZ5X;Avn6|j%mPDvy^VY zTk-g)VExvPWHA>9$1E$KB8Y0dP0bsoj z#0g^pEat?jyh8Oxg@S(~1!%!qtMaRh)m}#vye}{zSPdYaGi(8!ZD0ljXA)BkzPI4O z@wwM^92c6wVi`K3h4GL8ytpgT(ZvL8ipuwe=nC}a z>Wt2)vLL4~HkN(E0hWiiT0{UV2S_D@__9yUMp;Belj0DJSL@XYP` zF(f8_r0*MQ-e{IH2D>n|rLVZg*Mtaj1UnNh%qR{3J5Wr!y6S?q+I(9vXb|2G5>+}a z*bc*5UFSGVK_^FrOMirw{t*R<0F-+qg{7lCTyK*?9xSzN)7R4Vnm{t3^qVgr6QF0y z^d493NayW%l`aNbHPJx?Doc6qJUeSC3j07g0#Oa5+je2RzE3}`V0R!=*Rk=B}TG&(O>Q9JsK1TEmL;+$PI*7$g<2Pe2D*Xi!?)si;8oPkd z1n!F!66Ajh1T97!_yOAhI4{CqkEZZgR^`VL*keRsGc6y>MN`X2M%i$y`Zw(jq0y=wzqML@9h~re;(77% zaFOy%>vz5F?maQBrw#Rp*yF%wm*)tVe#EIym%!;TYJ|=-4e`&lLVt*VhSnNgS@!;x zmuij=q}HHM@1ajymM0+kYlGSbw;Lv^#)&Ra)Bri%0r3E9?rD$!x*gf7O#GgmFRA-0 z5%t$hq=l{|1pxZmbfOSvDBXvV#bFT656=#Qy#RWBZ8{Oo9!lTN(uuOz$Dn*F2k5I9 zjL#cA+J=mwKtPc4FR~yo4y<=<@Bofu0 z$Psd!{Ek{cbcpnJkPaZ(3)BtlYDzlIR?-T%OcdDJ3`7d`Rq!YyJxszJcwF=s!2N<; zYcNF1Y27(i;OyXjoBtb24X^Jz@oRh-`%W76Eythc#wA;Cm8XC!uYItwKEHSoS}c8`4;y^mMMTqp!`=!8L|H@px?bv2s0E9s1sgyraUJj_@m%{m!_b z@UipLJ4!}~`^4Jhmc9$W?z6EQi(CWt@ykC>v|VGo7mjm+?gy*JaDP7$AI6_&A#SNgLGYU z(f4XMeTr@30kQs;7RSm)QkXFAVBc@n$VlR-Qs=@-T*i81bYGI7{(Acy_ek}Vbj`y6=yH5nfRYqM?2m(pE zu0xKHwkOQrk;aa{C-Y3OBqyY<^Fv)%cvrnG0Glc6A{J1($!jT|C(f;86#^ue4JnJ` zZ^trIrr%B{$S>#x^-xIip-RBNnK<>I!d$O~TB`2XTD-|?QFp0XTFDEe1eBR}xo|YD zG6uo0Dm`PNYXgCt%`&80y_S+FEiYRCvVh-ek2;QWzA=}mH{rHWwa&LBC^V@rf^pT< zUc_bb6Uqh>;`oWFxM}fc-d;=N80aKC;s4OCrUwy7OtZB`eruz|V`<<4N?e zC)wIGm5^R@c=~iLosG0JG4zAY^J{7K#nD;>3AV9pXauxB9TgXi5Obnv#!JRJRy^3Ky{+{}_Pr3K3H+vUNr51-w{`@% zV0^U&ATNxjc^aKb1`e+k2(|1vdkCqzEg1hhi_qkYIVaSF7T-#oLg2Q;|S;MGIUvAoV**k{(=z0s7OHg zIIB{{t*d!~yn|C~X1D?q>zz%Eu{Z$!!4*(jS;i~E_do`LTc1Pisiu~TzWJKegt0n| zP}0rbPKbOGsp%%R)FD!CD>i<_y3VzF=jhbhI!9#sZO(B*6Ih2@Be$;eg>S9Os5zhs z>M1Oz9noZBip_~rkA-HziixY!xL!~ z_=(JqVH~k4e?DDJ#77U0&lEiZcfSc)*q=Q$kbGR+3tzl?H1`P8Rzb?Vh#;#)_mf$} z4p|1q6O`5W4N)xjyeQ|>r0s+L0LjW%-&9j_y?JDiuKPexaKH0Ooa?c4b3Aq^b@br? zCP3>1d}R6=MVk?NWv>))>q zw$*@;vqQBKRz6&-?=Joz>2AIXi{{U5_>YxtU4g5E~*BZ-=#72eKZ{QYFUVoUjfMl54B z6z~5{$TZLop20{$k$f1}5|HBPys!^7pGpwg91jesj1Z3=LI+#%*C>s$ly@%h$uz8C zo#uRML#>z>2uGv_p7O;D)%<8pX%r@TIH*BA86CAMF9g*yOSWZmJD&n2U|mf&JNw9h zWnZ@QHa4x@L9}YcrJX0x63o+K{A#>3qk*+(;^B?2Thi(ar#VgOS+7|NuV<+n{{(x+ zYZhVd>lR(V82cB?=<{R@l*&whvTzTTAt5P3{c;J$N%=$2+nH=y9ycj=MI34^ptKi} zwrP2sfFvAztVB=Nr?5R3iS^tHyF<#Uq49~LMHpIvcb;N1)N6M0qu9zD!4{PA-0bS1 zWur{ea$(tIDg10rs3TfnA7E4;PcEGkWeTV{LRDHSo295@g!E&RBSz3 ztN!&mgjx@b#(_&VZR{!X1`Daq2lLFJJQJJLju71?#UKlhLIK_>06p4PbdtihW1(O^ zadxgxJ5m}$(S-+!$O)AdDbdm%oPX9DKmoL?2bc!r=94rcuzYE^5iHb;lTq?6Q`!*( zqTG(MO14smv?3IpmK#bx_YL1+^)vk*^7~F8HZ;`M_v`CO`GRw=3=4!LIc?yxl!g;j z4G|)Ulz18K!h4^DQir$b&nH-m2Aiq5>f^o+ylGX|vZqWlOe-cdI%CNy0#^*ba40m> z%T6$j7~M@pQf7ces`)2C5pf1l9GhOV2}GzpOn)}R!6i!PjHZbc&Fg2_{1f4%7ht2u z#@b9RrJ|UbpJaqfU$M2sV%399Mc2mCqCg~WYA860ujmaT22>D@AWgb8NdbRFt2yUa>w+&FW7|M8hp0_H z533ZEkSfhm?)eJq7s?qd;Y>Zp)13)CBH8ngvbRF#=Lk-H9ln;RKQFKz2NrXAC%$Sx zc@Eb&@F_R}DZHvZO1O*xnuM77w4ANV!#7t`Q(4>!gRg+GU_Hv!tI*)y9aQhcDWuWh z={HxSd|?l**0bwp>;Xz(Vk=e9F=L-_1z*A2bqE&}3)KeJM5{_&&$^6Klr2{Uklg`a zTOjjJt~4@p1-I4^k;pa1|Hj*fn;)knyw2yZ9-%2m5M-0?1;D!S1AqW)9s)?f{^#(! zGr*#Nz=hZJ%;Vr%kxM-Zy$l`0DW?jidcd>NjR_Xwa;?gNStR>tMjYY?Og)xDgYO0% zI28kbCsK$eq*;}HEME$E93wvh4-=VvhVe6#s_X$U50%6`w`trM%m^*u@S=6_?4lsG zMKD$jBw|@c>ohX?(>TnQv&ZX*(nZu=FXlq)7)3rt%)y8lhiz^xl7Sc-AKljiH@;4~ zMFX8<>;!R5WMy1B3wgJ&B8M9P8CE>pZ+?apt5SVq_0$YvPw?GHec%o5gNg3d{cFL@ zgS&GdFfgtjU(nco9i`~eSRCE%18`J~&PJCF{FC^r=k;1aS<1aPqd;}Ox)kguE&`p{ z5?W{$PMw9J2&Iv%5_(|f)Nz9kaM&s9W>l+&@Ztx58ZJovyE;Am9n9rnbQkM`ys9x_ z)M;e`?GNW(UqTQkhPP9*ykU$V4f<9EmuNC8Ko>KC1VnRwY7OGyMuUhzr0__% z7bp_n5xs;XW7*l2KLBmyje&OOKR_GGi&v4A#Btv{GlZH!yN*eyACfhmm=2mP9HBik zhPL-e>S1m82!OPq`J7?q=1nK6O8kf)HgNFNNK1y66&gpsdJvqr){!dv{|X|>QeK)B zYSB<*LIF6$_{ZZmJ{d=3lWEJzBD)xRQ8;kJaJqoZKrTN?J>nek1&}qekrk-b2-u6Q znMY2g#CNU6>f*u1kg7C%GPT1;id3QMPIV25YgQ$j?TouZ@2r~zo7ODGX&oR5);X&h zhalTOGisfmg>oI6g)-a}DXFDGT6-_+|6H=WWcCvF6hiGC8 zP8BS~$C2%JIDZ!R3ak?pv2)Cdf)MTesxi6_&{fAbqaD`F-pL8<) zIvoGcb6iFo9|`CGq+FjPh$V?EHOV0QdFLnYL4f$td(d;M(l8Ap(Cb@6NCvGa7?5qf znC&3wqPMof2+1L-+^lXNTvSHP6mH}Lq9ho+1(=P#=6mjB{Azx?wid9k#$Ze03Zo&V zI&^iN^v;mkM?GGEF&rV(-n*`eM+B%95?GlPQdpvh7P{yZJ$nXcFT&il@n+9JOo`rU zAzi$-1{ysBI>fjexvsN#gwUgW6hwI^0V#qSeY=qZkf`&-fZzyhy_-!Nq6WbfP+9{I z=Ruv+MW&M)M?EDF87{v-FlY!5oI8_?EHXj$D7Bsx+J!!EZ3->`9unwDl#eVNLx*nJ z8hpig9cmZq)mmtI2TSi~rT?>?O2=3*Z88?WFFWY1-FlBa1)M7*Sy(Xfy};Z(F|!)v zBs>7deDHi-Z~`{?)_@!m=SLdFUux7HdO!BXQwpe?LraU88qoEp^%p-TG=OkmhgO0O zL(6V$C+>!AQ&feE2cZF-(vES}O2`a43&b2UW#0lVb!n`sgn7yb8tLa}X@TM)O`#wf zbv3;3KO@3V@a>zQO|7NdS5-=*Psp-i~A-CJE8DxKpSJNl97Cn>VAq z21rLh6Oo)ayA$8Tff+-egCj}6N7#ax4l=*8ErE#Oe*SS`9~84``wCZ~McrUla-%$# zO+|+7CN6DH$-UyzNO7ept-1I<0SK-b(Tv1J!qUhY`+^IiNt62kb2$eT$q4UjVU$p+ z7jun5j!_^Nc)_U$NCbxqhznK})k;f%(XK{uCD>n9lpZw+D~$+10kslUfmVaBH;jXgEbXu}(|~I#142alGJ0XHiKfdD z2riCJFEJHGrsqZ$8wA|3=?J9fM$uQ`xL7N+EwzpReH+ zag|kQ%SN@toGysQ2DT0K0Vh$L)>bqXD{@9#^NF-J1aO+HyC9l3gX{49G)SD58B1Ea z*Qv5HsPNhNcanyztMI7y@g+V~pZ0yfa7D}= z3c5^Xw9gn|N z(KW!}98*jwbaUGkvS>`~T-RCp=_f|!@!k53_|O<31G0ys2LuKyeihXZMh>_2z~Xcs zw?krC0~U5g=ua{byY5kqX>I&Hph%EE2^p-tcf;`a5H(Wp$B8>&jdJEn;UZDVz`LYK_NF5E55QtOi102zE*)za|~$X zd8Aca2dIpo#$63YC@JYuShjSCK?HHTkXi%6PAm?Ng301Sy;Zp`xf((AbD}}FLb7cX zo+G3Ui7+78bo%{ID;r5rZ6=H|RM%r#eP)vEEJOqmxRk;3N$_OK$t-0)%R{dLR(Z;O z!=b4u>W zoCY^U`*oaqu}-&b^@#j!qrmYGu<|x&+Y%$N!tff6 z=nSpK-F_pg#QpID32{^_j%6wryc_C|V5O4sGM1fF2;}v4%%*o@1}7AeyfA;KnDpf9 z;pk_UQUI9@dw?CYFi#w>1|^V4*F20{eh-W-@d5*MNjMK09ISZ`p@jhiF+SQ-zgSc5h~-ETjG zONP|->I}%1B)8xJQp>vvm7Vqoz|gglp6#kG+Q-(g3MN=vHrS`qwg^s{8N_yl{lOP$ zxmKtH2cd0B;2#gsEQU8FX^2`6v9^Wx4t-f8dL{mDIc-7(EQDbeDr1$AGwW7 zg!S|e$V0<&L9GQ|O@nulF3Uab3VKjP?}C{du031D>J01i7`Zm$-Z;G&ky7J6H8qo) z3ete9Mo2Zp7(r#G)=FB~#Tfcfa+WfVwM=NH$+s#`-T|8soxLNmAnP*9BfRZIUbIyl z(lVU{Gzk$@GC9|c*Q7TdWb4};J~i=>&FK3U-}!*AlodkTmv-VC2icf0GO`e-18QTd zlC1-*2U-_?_O=ru7=gQi5hpzqsM48eHfrbt>7i-O+{bDb=K1WTlp>HCRg$Hov6hON z>YJ1tuKUNV?pk!fiTeyk#rSRy;v}@MwyM%QXp-$VHZw41d`Tk%my*osY70xXRx2erv>{_p%~^xG`-S+7(Ksj)O6S< z1p6tZvQiQ22P@&ZMq0~cl3-UkQ+1V&Gtk5~Lc2gWp~A5VqC43m=(wqa9TlJwb0I(< zDYfp?0m$NzG3tO%%xj{}LwcpR=4u-p2oLN!gyO!4wT}gB4my?$zb*_{0NlI*>_eSPgOLWr;ubN}OX$s}A$`Jd7%80v^A0c= zDIt;B5TPqNGew|soX3fe)0W0f1kZLZ-OR(ogB}nu!em{-P|!%D6fPF%L_}oi%>lP# z@TuXhX?wSG|1~Ry@n;SD2Nw~*kha5&c-q*JMq!13Zl*cdh|{i1upb9#7W<0;mlSUN z3ko;>C20#Tg0oWV&m>3BwUvep*`=|ChF;v$lvp zkm5?p4h~&oz~5mzX5xO0n}~8hs)H;L?Oq5LOorL=a+Osnz+u;)>vc%IJh~(0RBETLXLJX1HbvWBYZH!C*!xoeYZscDk2fFJ z;V*1;ke){$fwt#LDm2T1)EWa~g25qmV00~MWqY92TR#KS;h)%)CY6rBYWP_&?fat3 zTEi+}=p_r5dGO1%jD3E&$+UvZFpX_wrm?YsDA;zVm<+(tgG0iqU{_WU($Tsc%vgfbzho5tz!>C9Dq%&qn!h?3DS@V zUx<*R0Q!0&^O_{Fvraq5t;%a-Nm}T@VTa9>h*!)`8Q;*u!Q%D#1-mzEei_I{!bIJz zAq!)DEB`BvV*}fX75qpYXbkN8xUoERw>(*Y-fTt8$UgGO*v-Ta5^3mszqO926kOv* z8NjDJ#N>P-0&5^iSV?Xg8{dve6647Pty+UVgkcM5D5A8$&6(} zCC)=KV`V9?O0=HPV$2aw(h%&|bdHs1b(^OdvZHYd_QTLcLj*REAT(LZGWHx!Kfqcc zeM~rg9^nOq!@ds#ntb}{p22Y=U2i0fC+BO?@FbcmP6WB)EMojGGdGb!f_XDvVM1(( zsaC$OW&9g)&p#T@2g*pNbw)A{0maUMXMLIR{NXp>Lk=5k#2~zYg@MOJ`{VYI!~@(k znc+404>RniOv6O1Eahn+AV}YZTd~(dtxOuOYo0Hzr7?ktf!Hc4NXex32+h!GfliIX zVM6hCABO|!DBNZ+%;GFg<`EIiS!JR+(^s7B2K@%hsp3DC4)|K40ZG>E0X}^^PnAoO`I49-_jsy zjrPF;)J7I_%>)HAhTcIK+r`o3Q3mg4m}0R0_psF~LTNfv&tc6ip;mfSxD~OKux+92 z>^9ExZ7EIe^HpmNo^Jwa-O$<^btE)6o@c@5@D_UQSS>i3m`?Be8f|9)xC=gVY9Otl z*x;M4n}HK=Hbo&Y_+WROIJY7niV=SO| zn8MYFBPTfaX_~aE8fq^xxMtTm_IVEJgn4DqG&rBol&{_{DqGBgeIQGDxQ3OVBiL;e z;$SMpW?NLF#XTqx>_4T%Hioyqt%d?*03YdUOCsEHn`f<&~>0=KsjfGaxNF_cT-{{%XyuaGf%KTN{L3w8Qi7S{)}MfDbdez`gXB)77F%fDG}3c zRlW@6ET+9zWjju3dqQb*1^YvksKuaoPkTE?jTp5Tb21bVs`(te$5g0R$7x2v2>k0`>MPk9}82(CoYbvxxq6zxw6OO16d ztbxxd;d9ac6e9nMuGL;gzTk=xUD=czql0B_j^LOsI_{#@P7f}&)?tOEn~O&3Y-?ti z;Zv5{35L~omJ@1|#|iewJp-n~Y8+wa$`<6n#&WEwvfU&&ZlVRL=>E;tv$kI!uXEg_ z?N?+uB{)t|mMrDizcji@Tl%Rw$0=mV1t1uCCJT;@ro zL6-7+mPfb^437)w4!qC7P9-{?L}mx4mGi4%c{r9Q8q5o}73GQ6@+=DFp^lSa7p*hH z4UXd{+~pr)xb1ADJ!j0NPVD0LTcq3nz6ILVQ8AK^t;oS2Zv&wVplt z2tC*Q)zm=qSGqk+Re$GQm@1s<`ydI!pBnlaf>rak0f^xO5aS|)SKQ73OgKW|?r@Qe zG5{jrP$m5~mZuHZMETi8N#N26W&8Rzrd}CPcL0IzbwuuU4f9PU=TpX|e7~KBlMZcf zM=Z#c9U)}S6$rSj0T@7G0d&+>Mcz(0?1IWYtXns6+1NdZZqZAZ5&<>BKH%|*IyY@6 zUT-k!H;{B;H9Bx9@X}*|YhO2qTQwde_n(X(@wX!UGewOq9;hT_+mD<-@wm<*LJHP& z7~i&NJJZ84&x&}wrdN*)J|#~ctCFncP(PzW)$P7_Ib_!HV-SlW5q$WE^pg}x@6%QZ z6$}4wj8HGd5+Z<*rWjG?G*t5sBbr6({^oC*;gb=?KA`Xb(ztFLtwo3@JxRhS;7yW* z#d?M#L3eQU4?vKH2?7zeS+(X25~as#vA#nw89du)eAI4V2e%inf^zd-hZTo6CXdCi zq9wcn0RS>afXnapijdMpRwHj|G@^6&yTuZP>Eurgtn(qi80}Ct>M`35WD##+I%%0H2UnTLh0< z7+kE%j$m3-;Z3P6xD9f=r#2oANg4nOR$s~`)FL}Q1LH0Om4bI>)T%9T4m z>}WJ#geU7AO8}u7v;ZMMi&xojcMsGEcz6~qg<8|wWiTV62lFhJYCZjWEhyP&kTpP_I=Uf!3+(VO-!cQOu2T(PHf6XgVK%xs~le(WoA! zGg3G*^jZXi1pb$ovXtAl(tZ;%=q23j3Mos2qo($*Yk_N2jIfVFE`Jb2j1g8d8`oWf zjz-4^kK+mX1&}Zq%IKuK~~jT+i^B?mJj7@I6%ryRH}3EnIY$#O$|Ef5xcUwbJa7y^b?*ylJR( z;6^;K#tI~7hG>LGRS)6c9h%YoBX%uz2zw2qMYNffi8SRv>qz>0k^ps^rqR%O~%hB==??VPL)wl)pQ zN>+XctDUu*CIWMSDN^qIv>MH!na8*@<6M?9^HVyOpt2;*r56$79H*0n@fc$81>{_f zImJ3QYq?0cr$OTpieu1e1GX4e7}e1I3FEjZ63DSov!b=tQTGY$1oA~P|A=vX|Hi#XhFRf;^}F>-NLna4gV`F~E99yFYg`HZn^& zs)L^&csh$8{9U#eu#SQJa4IN4{h+~)96LlE`E-1A(VpO-(%zk11&5e_=s~6TYa?jpx$PT z0NT2-$Fk{XaWp2Me~4Y8y%bt-nl4wg_E>hEw={os4FvUF6b6QwfcjYC;@lofG64TX zc3?$-U&H|DCHy}=s-`e9U?r{vf#TsNP$yep(`5YZ-_&FAJry#Nc-w~e4LvbZoQoyF zaMzTNYBjHEyt?sc(7(q;pSMr}DMQ*frf$~MbLd}ML*Xb0B(8_H>p0!MY^wsj9@t?IJC-?k2G3}Oh%w0LPA06esvR+ zK)6-?H69Gk<79$R4# z{IG0@4=geR${#8^OZnylRz^Yv(2P*EcNFyQ4f3(u-jugIsK4R5CW%m}V@ z$VNufO;M#VZ%1glh0Eauhxjk7-JrYKPFT$Y&_|Lsog)qWZoDE~zzc)f72OAHUXUwM zeUqKmB2H_olHbqz6W+>Jp#fMpG?Ely;ghZIeHtMMKl1>XV-Wx_+EsukvM4w2($iYV zr@(lm_4891Rvi?HU3vt7{CSR_TvvP^h-5?%az>CjqlMyuh4#8M1ouPy&-d90!wNH^ zFx-g;K9&`ROPx^|V#LMN;cnU-Jr%Z%6$ZlgX^0X5LqI{ldKt<(Rflybi3z09k#Itw z(O6*!GzY3<@J-fH2#+s9UB$?CzejTej3b3d(v*!#<9nLU>})9Vs;0~OyOt9XeGqpg zq#xV(9?sq#?Ph9(Z*U+$Z5t_Wz`Y};5;WFcuoW(dP7$L0XoKxIZ0fEa;EQp~rmqHsulE-Flf*fm(*Z-VKfTyrJWA+;wu!=b@$bN-|JF9( z$7@u`WE7MjbZ!e<*t#QTiBjnlrej(8m6lGwSsbVq`Uapm1Gym(qn3y@*M?QsQ3*o} z3^z(T-onOfFzTq3BoUWsP7P251RVZ0aD9_lsW2*>!kVGZ5}L+HaybkkQ-ezoTt{r% zaO}1X36Nt#KW?BOw3XZ3S#KgTr>@bR^)_5mBR6!O+|WMj?r2ZeTlh)GXfXCIOE~LB zWF0x|wF8X}rhB9JENd_=j9xvW!IT$$5a09hU2PBVZqM0xp|-MV`zX#^gIB9uC%kRD z5~DBtRcQLe*xEmJRK|#6z0u4e#6r`~AUE{;YGq56?F4vIVCKSSq$XLiHuFCeJd8kkP9`&=78p^(r4EaouaA#!!N)444@cj9otEOf4dvX>m#@OK2-l0$ykl}>b z?C2Gw4-2yh6pW8Ng0zJJ`_E>Ls({S}YL$E`S8ir&MH~i&y`Mp0akh{xNJD_#wwY|O zy%EG!&ts50n41{x>3Z#bthKPKXO=R8)q>s64BJ-l5P(=PYm#z`#St)KN0H_I8ZcXy z()=#9jzEPb0$HunASOUkAtHsDkaQs1VLtS)?~?RDfa$QW>u=w!CYTS}iN;WMK^of^ zsLWb1A_+xjAOU@hzKyyG zDu|P%7WIsKmv|fU-v~y4PY2THrciBqs*S%LlAN}T*kZ21&m>~Nj2wVw2ychc4jK5e zl>P6}IAEs%RI>vOfv~qXG^fua#u(|H8f-1lHQ2t`hd&)qIM@yXVni;|@UwFC)0qvH zyMtAT07^qguky=xNWTWDrox;t4c1QA#d5MER@n|#wg7P9>JK`s>c4;ks&X8R1+WxK zx1J>+{Z%9iQ5*y1W{}lr5OAA8Ot18-Ai|2? zUtw#7jV}(RdyK}qjJlQn3R}zO7(SuG)=_TuO7tH2%r?FpC| zoMfQp*__Qo7um6%MPc)e4ytj8qyaKcDW8l2QmK_fRSU~ZKp;^!$Yt?tY-MwSh+xwP z5os$Hy(s|hi?;X6iV2sn17QS#?=1D@9J(Ufjv8!T2HSCi?S#R0%792z_TCw`!@aha zNIs>?^>Ssi$90r*-RW(|uvJ`71BX!~-lLy_)nYZ6{~F9Y3Ld&y{nG97B{~s-k zyE75yDhxDo2lEcAXFRSh&K0vWWmsyLdIrOmQRK`=b=l5DkLx(+n#Dv*Kh>7NYP0!S z5y#cz!QvRq6jMn6EN-oAR$j-EeS&k%xu)0?>Y0osd`2Sv-$90NxVSWJX`p?=< zsmDF8(?4wRY4r>Z3<$%ztE`uOKkQ{_>B4ISt~c0a1kU$EoD!~7?n$a&Fey$@1A!fzW`}aZl)AIm62BRIB+|V+9UhUV3+}08jHnPPS*`qcGTN0fsXxt z6FdRfb>ZhdXHs;nLOp;Pk?Jl|eR|CLq`l+epCK*_pwJ{ZATd|s7q8LKF4;t_qvs6^ zpk#7q%yO=wIc1z9r7>L3OqR=?aU;JZ+`hZfz9OxCQU7cEF1__%+83qnO6pq~ndDw% zjPw--)tUdjwNbEDI|iEq|M$1piOksAim}*t@XYPNs{8d@l!?^LP$^TpiT=GMNC<(O z@p#eHjDIh#<8(B)nfp;smK)(o3-_cht^z^HBt?vVF;01Ff=)92_Z(=SdKm`txWpi6?(k2!;_U! zZ{loy{CIDnV^aZ@C17hTVagWZg>Oq3Pu2a7L97JdZN$KkEh;_KIrWn{h${0 z#+i7(=nd`po6sR+`Msr8!|_Y;I&5F|-g+ZSpb$@Mqh7cJ(j95Ym_(-epuU4Zp{!a$ zC=!2=y5E=rz$x^}xxjzFffY{G??Nuz5#DPHxRldq3Xb9fyfzCf&ci6R>!dJD}Vk=wHL!ew3CP> zP=;OVQT09$ubYxk3W$R_bS4*t-ez^AIO!??jkc*L)myHuD+T^vlpDK-hK2Lpkz? za$^PqkVH~wHO7}R&>8GMf2P%B_X7lm^#imdpq5`=q08J6W{m(6VuHukOZ(#6(Lw{Q z^)I!o>E^XrRmJ_4&5J!qtf6^t7Y#{bhkZ0X!BS_ z!BJ`h$w0m*u7uWNoQOCE%xZ=8=xql@0nL~|4A#XYF=qleoml)Ei+^MA4`{y}Yf?o{ z97Y7;&Yz>~xU~Qb3E(aPk2z91b#kE;KA$A!Lg4Gc2UhSod|(xw)n15eu$_tGG9E3u zDI>oqIb%W5jT!TKsFR}_a&Q`=>8Jq>1}92M@U!#n)~m~WR=w}6(RW*fZ=5;fY2K6J z#Cj_YW<1MZ&REW0%6QaCCse3C_x|7714IV+s{~{gx;ularvTo)|;jasQ%`no}eJl&jc5@R`NRT!POFi77Bc!>Ug zwq^h8p|&LYj$Iwh3ud}`sNFDApw(}Lb0+&fyIQge>%&tD%4w|6nUshhVvaRLeW|O+ z|Mcr*&pfepX%QT=(~Z9SjlRQ%jHioM zW~?rJq~y`i7$x${2Impc9WoI-*@V?%r-_lUUP$YISs6b7;-QVU(%p|{Ve?Q}oF1j- z3IqyJGmR&R&s*y$xPtYhju`h%1V_qkKt~Jp54V7Tzov^S9<{ik4knIu$)y_q@nE_>g zzt&C&c0z(2fGNp`?&lJ+k1V9~C(f5Q}IiY0t531y~MRv=)j*D4v463AhX}c3MqK zoHt|s5+Pu+!4n^fQp&YT#?Xu+M$Vf7xE8zM5E$kAO~5xz=d08C?$LoV7<{il^%F&_ zk^I985rq&RA0tW)?kgX|)<85{1CSxka{Ll;p;g$o{!X0yc12hi+A_3ZlxeRqy#dZ~ zls9}i|Hsa{@<;ShJD_sn%FUSJ7|wV9RYtBBUGlJNxJoADUh7L6-qY3{Uf+>L91 z+U?$M#M=wT7exCSua@AdhN~INi=r}?pw<5l_&NuWG%J|#h%*UuKL$cN1|}PlJ&k0D zK&{kQM#CHMlSCKS84FweAQPy!1m_zSO&x2h4{(znlA;I zQzqb6zUR1t%4XAAJ?|SJ+OMo0*V-Z~;YftDa?eZQRpbih#P|lTFak7M z>kKCTB4aq!9sj*WpZ%WgI^-sw>Ib$|bOULeLYSC`Hu1|zp#%EBbYz!*7uLtl6G3m-l?((@hy7D~ zLJFgPP+Tme4EPoZ%qa2!Je0_&%_BfJtWX&LZO7H4=nJEk%xMT7f~$k9-5Wo(G?)<7 zk4`&X<5nFD>KAG-#hQUS9-2USV}8+H4fbU0$9mzy4`PnqhyXo+j9myt+d_Pt((y0{ zXm}0HOf7_B?w~CbyVVQe;r6iDuDqq}G9Y`ANH2evOg#sfcSGgB@O?=B26O1OffvtzmT;aDP4OB^rT!$ot2CBkw6(2%}SXER8S7 zpB)q%n@<|r%cQkyD))Q$C^vCS;&GQ#ox}8}S98ZeN3@t!K|)ECur~VD+{jmRt*_?l zD|2I@IZasEXj($L>0pT!%FD$@ahXXhi4xaFi%&;-b7L@735_x*$vR2aOP^OuvQd&F zB-td%W=W2ezZ~e=NyUC7)OC`@LSiL6WCS@(fA7QIeA-`6fx8 zDao@W`DRH@k>pfKo-N6@Nb(#>zEzUvO7d-ze7hv4N%9?%oG!^3lAI~YS&}?YlCvfG zPD#E?lIKfujwCOTWSb=CO7h*3e2*mGE6G2R8?x zUMk6UNnR$&%O&{%NnRnz4@&YwlDtxq9g@6Cl7A}64@>gTB>53ZepHfwF3GDU`7ud; zT#}!VNh7n1yxB>z&9*GTfylKhM$|4NbzCAmnFc}aFkam>PQNq$9=%OyDD$`z6zT|e=EtqljPq^@*gDmkCObFB(Im`*Cly_B>zd0|18OWk>ocd`AtdQD9LY0 z@+L`sTay1O$?r(=yOO+FlK&>jTO|2CNq%3FKak`PCHW&s{#cSfk>pP$`7=r0D#?GB zu|s z`Me}wkmQS!d`XgfCAm+M`z86ZBo9dP6-o9>@>NL=NODk;rxh9gd|CQ49w&vrQA{Ck zhXDXJtD@%^_$U-jJ3aPeOJSzaicllcs8*Fu&YfHgBT(91bTM#A!gciR6lPv0u}kJC zO3S1gZ0j*BANIY5OJD?!EJTQ5FCh55dd@d_1h5_gY1=zDf(GLqqtF3NT@z9!c!gH= z`ync=MV&yrHZk^b!T^%n%8-q>%64wh-g@pPLaZ!(RSV_R;jMvQA1wnW+FWHjgKS_J z$fy67SD`&Q#~2wnro#sprar`D2SW|rF${mipTS}I4kK@|-C=ZI3EKQN^?RWw*>(}3 z2X&k>KL~OO#iU>-xR~a5^%U4de>V9~8f<=LN{~2b`#=bF!Gi_zc0)zK>G3!Wju87K z?D&w>lLYIt@H>ltJ`RT=)G=Xh_19j6+;T#H!a`7Jp)2rOy_d8Dn;yk0v8&@){E%0` zWdunjL3%F;_^`2inY<5mUix0R^yWdh0hs?bG=`9;$X)@vpBGA38;e8ElpeT;!OObC z(6XI4kD;mpYJ2>~YTs`I1sK)mG>&Iz8sgA3ZED2W=i@d$OTQB_J(E{3aORW1NVmVK zp*j#T_`8X`PP~@$OH(rQypVYy0(ME6^Up9vTSIfW)HDHyN zHI&B9*z>XO&Rif?5JG&_1JZp3%kW^k4%dKrJ9d}GZOVz>WQ%5~6w0vT0g0_4;t%f5 z3sglQiC9tkI+?$MfzJHB0BYAUjmQ?1NcfI_E#y71-;!K=&%M)Ta+Xm_I8m^ zdQ8PK9pDzdDW{aF!TIx5ADwWxQwviKw8BA=K<2;C=i%OXlhk&d9QX zcT1fF#86I~v^i-o{m$}kX@ltxnwe8seWF@IW0Gs~J5RPlaIpnu*K9T1zB=f zZE!>Tn!tw6uQuS>hL3f9KumDXsm#W!Y-m5Xq3iO7_L>dt$2WAfZ#YI-^cAavf8q5X z8<6KU^Z=3ULXZ=%Fyx0%iqcr<)`HHlz@XRycZu8?pOGXz9?w|F_uv2+Bw$5=kW|s;QnAK1ZF>|2f#kJX@MNnMg;8dW;!$y80w+oRDN9nJ`?v$v@&ET zsiCp)E6hNQ$KCXB#>5h%@lMhP4kCOWuBcXzf~P}BM=Xvd1?btfViu$(OKJZE@ZS&v zSwKSuSNeL}hqw&kqe?UdBm!nLC}YV~WUVUh5pC~_d7DN1JBY0%I<|-eP?#k)iP9~d zbJNEbM&WjnS{l|lzr{b?lrZOy_%|2f&X7fH7Z3Sn!G9IkfwhWzZt0|J6~-2J(Df;| zw5SKgX0hRx{kH@ualhEl5&>!hF!Q`{5WTd$FF3Xcd7E)22=;eqD#3PFypoSd4!CkM ztN_hYekEwCJwnNV`(F@bVs9TJVqL&S{Ep@pVmo?=Ib0yXf;m7cNvJ_k8gy?I>ww7L zuo4qcA_#Ubo6OxBFrKmJ2Ta(G~tBQuft`7 zRL=p`+-n+^aHpbJc%GdZYS-j-U!fYh-p=>GahqPWmDa4xF4SH{VV+s*%LjNA@3sg zUkHwZos#zjVVaIIU#IV1sd9W#P}!VMb`Hef_<9r~9GgVj2_bh3;3GmVH2kL#B@7NM zLhgiKTRR$2pF0UQ&@QgD7+EWFNsIP4B=yx=4PiGFBbT`V!RCk-00Lw?%mOU6(b0Vv zvRwi|7f{C5-6rM`JXZudpQ0r}4+~IJe-+(reG$G?OGR95ZR5l<8#-OwO5g0Ddb7He z8c2cna9umo9Uc}|LJiVF_?JfEd#iH&Db-xfZXRfEqkl}%7|x9NUoR8!At1suR59qKGfXVbQodS8 z+(Q6&-8~}N3;E#~MXdPG};((}OOtCp!kXGTq!Ev)ZSx=R6&J+#dGh=D~l7e{C z)?42UM9WiV3Gq*s&Z;y$Rl0Q=608Y3x=Q6K=7bdwE??QGy~xkXFNj}?JujZck;iSQ zw{dIR*Kiv=d-d#{S>E`kN@t-TcyVRIisg;SYyJ2ABg?MdKTMr;bE*M;Y=4+lRVhm*iF$QOZvV>FfS%MA;I%nds zw)S)MyM`+pr~#oI@gl8ZE zG|R(DOO|0eqQtZ9HQ4_fz%MOdwNmUW`zE-y?7P6czHU!Lwk7DYG%L-WxQnY}vHHCw z_=2VR1c1)1%}u%Z+54Qiueqv|CyK3?phJ8OvgMZI!zJ|gMZIMtBUK28IAyOW-Y4m7e& z<+eT(t<%2tn()=hZjAu)9()JB*Svo}q1$L9- z5D^Z^2(wHT?w0{t2hJNX&a@Hr?p(vDH+Edteo@uP`2Pf}R`(Nwvr^KUj5(%I^3I5= z)dT7``CwnMSM}8!RxStbIHeU0I&>VC}|t$WSwCwb?vzpm~BeVSC(h=4O?>6!d( zTzoc%klr^(2i0NFbw-LgEV!)BNFiyO(MX`Z!fh#aUotL@2zFIPU6G&=qL&Ecv;B#^ zg#z868_&AfJk|&}2DdFqXmPQ5CBo1hKhWJKey&Rh5ZNZdrzAIyURavL3ekmR+o-4@ z-Y6iojdJoO7mRllI+L}-L^y~DeEF;^%|E0i^{)X0AICqIL9O$EyeSJJ|1m!S1FmpSlA$E5xmV)8#_{Gk^ zI)i@FzbzbwXQc1qD;Vyh?i*mpk0%e7Zb^U=**lg0?BN3GxOhlYdAywJr3(q(;ew}v zi5>eqDqNHF4NL(U#yD8jf^k|06bDabR=&~FH|U5rVH>yLF``X>+u+3q};%4rOD zy<{()R~;GXj+Nv>&cK_T7*b^OB19Ms#n+c8DAKed8)8V<7>&1xu-5Nk=fg)ij$5_+ zK8vQ!hnIk5Kv(jWYzQ)@a7Tcajbw6c>2?H$Y=+d^WTmh_L_Zsx9~bo{@&uj~>O;4G`eUN0sJ`CnRrhy)4Pk49mXKGZ!(T8nXLdd+q@?2I-5iL^gv!6+%Q6}HoG-`+pH$=PE zSk$C9U;CLo`_u!{?I{3J$FEgeLeTvL41n=_Tsb3$mSoP?Z*yu`QILYJhS3!LL|_B} zH}PgOUMpVTCY#8L3W#Zu7G0r&)pJt=gOy>#JbHw1dbJ01zLlp-u5B~n$Uj$km%L?L6C$LC~*}%TF?vj3IpjF$@qT{iP zaUF|SCq_n^&c&Ay9&UB(5#Q#D0~H5ecMc?&twW;!@0H&;Wx87ivWjE9yh$Lr!V^8e zD;`zwDfYB8o)x|6(c;OW+GiSzFIYd*IH7i_`4sg&+Ogi4#kYj&O*9twLPrVJ>!9za zsBd6&eZ`*m&c?$Sz|gTrWCmbBkQ9DRD-^kM01`pM`p0^vfjfAp=C3=r3vf#IuJ#QL zynWc=ZVt2@QEEtF0B*`r1f5|%tLjh$ofi1_QO%KD#{w4~J7H$GO5W{<9+8=SvZ)qu zkyAUe1!OK~As znf@()ZI@V9zZ}P2$;C}pN=r4e)Gl`=dYA8mu)3t|8nQpSaS@WR8g4CNta!DtpE}H< zVm-aINJEzUmcF=4>5G1KU-ZR~mA*(aiPs+ z&elDWIT(u%*-iKRJ^O)tD|-~b#Dzm7s~WyJRky5|y262}12x5p)tvsKh- zbiXTfIgt{0G|J{nxQ-tB8M{S24J*=mZSP1Ro8RZD@o}~#&sj0Mw#Z$JGKv;ci%$Iu zXIlBz<@v$170VX|)2dgMEMhOHUTJ1`70qi)Q0b>+ui!Qj$ZU!ix$6@qvj0|>{&q_L z*6$~=DxCKAOk?n_;qU$`*ZC{!&g+TSzq`CLH+Fet%lC0}2 z?(4v(caPRlK_h-T6 zl)y#Yylf12Hx!7bPi2wtePf|OZ__rn*%Ln>9m?K}0xlxfYagb=B3C${HdCP6FAY*q*M_ZU6OgLZi9TE*Sp(^5j&x|?u)?Qn8JegVP0py zZpqMpZ zuPNBq{fW+n9ETT}{qgv`A$gnz5Jht8zY2&*{tl1fxTtfW6iqeAGKY zhIw8I!yFP+!`!$%x0xH>WQM$PyO(oBAC5F)b1MsH?>`!7zyXM<9)}SEAJsI(E5?Mj zz(NkceDEX3WUX56KDsKWytDDq|MGCHVq_LGh28aS?)L@yTLk(a2=vQ+ZvNk-puhD5 z=-Xfke18OD7xVnaqiRO6Us!OltQ?>ov~n#&9$g?B#r~2_S^Wkh7WW5!#hFs3UUMBj zXU$>qCl(?l)$x#P0N~!EOqXGJ%CRd#m3nt8=vMQ8fz1Cv+fjpMjj{q=A}WP1rxfvUW!_X&yem!4e{7SxhFkv&Cw&71`G}qD?j*tv0VxZ@1WOl2@TV+-4JP?(5>-tJze!SN0~BwK@|=#5eiIF=-V! zj`CH$oGM**X{cMITwK9{1YGX{5c=;!YNOs? z(84}y%j2t!7*0{MDe2q4f>0R6t=jHXLvL5uVFz|>m;)cA>>kb8eZUhR{ zi67wycBtxP4)WQ)1yc4NOR?K5{Et*9qV+c+AuB6=eFExNlZx^Nr$0zUdvM;2A zC2;=pp}L9@1BryQCryP<$S|F%W}GQ-qhJ?06m(0Ht!r6||KD7D6!S0~ydHHPZS!2| z83UZnqZc9`nSbCdnWn`q`ke)|oPkm~<4Ke?>c;*Ndd{KChr|DJ2Th%^4I@B?#d z_>Da8r0@gcUxwaz)SJ1o97M^C)BO*e9psdjleDFQr;jT~+S`MHlH)+#U$>W&W}gP; z1i|A|bsvqmE$@$Y+eX};_s_a_L$3qRbt^g!CjmkNL!dz!i`DlD!;+>DJ;tn!tJM2}cv^ zK3yRe?zEr~qv8+Fz#llPb5tVM&v)>~h*@-G3?1p^h}h8|`e3PaoA`SYs6fY==XePt zIR8PEgTdZ=6&1}sD%&!}eM-uSOQGub-cRK*dCu0HM%4#i#brY`9dI;Ul_E~0-+9uNpqo`cL|>vq>oshduRhcLkGAaBO73r@o$ce<8ze>>4= z4LJ4)+fopQXxKF1F@PY9M1s9cPSh<1(?nF%{T8?bKXW|g@wd<8j1G?>&DbgLYEzx(mxuJ0=Ec6nh#NrAaYm) zBC&tpuWpVFs$PRkQla$>jO!GegYS>P=AdAayNNRkErC8ZI(u8&)5we6CLMvHnr(?YMz^XKZUnC3)L8~D~0Ry={i}V7yb~CTDr6a zW&}5~gnVaxkCQ-pSv#J5k#!I0&|e)|1o_vYzxW{1T>zjH)*Z&_yBgRtvf0|U3VsTY z`%rMJx##>Qu#i2FmTqx@f2k0TMfDd=oV>DPRnC4wz8>>!E12Wmg=j!+}pdu^@m7M8*(vcQv5WSol;pEDP}YmH025>eu@7`#LpOV{8%Fn&j! zC-91a^rZvd!OGpv-)pS{`?kN=U-)SD_xdv*O{6B{qY=;h&4u}`g?0b5ioU9g{!SNt zSr`4Sqi#3toEamL#I&>InlIxwImA)!%N$w`EU}#a-*>=kU);*y<`=i{x9P=A{A~Od>U=R|3V#2gAIVf1vDp;7U?glkzbGf6G`E_aI(vgiz z18q8J*4Fsr-D08w?Gkxk8Hic`&y?i=l&r$VfDo~us0bk6-3z^w`4t%VM}=9oG{z|O zp50FpWSohCu4}BfD-mgwX9hlLGF=Y!YmK9HZ%Sj&>(|xgb*X}RdvW)v`$VGT>=YB@ zq*d;CiG@8kNT^SOUQ8ja@#IN z74fZcT93AAJ>H+zW6!i6JHz*Rv8XcH*;w?MQB+|R?KVitGNx{=f0O^SjT5rWUdD8v z92<=%(zR~_fw7G{Z^uDrhu`d4*EP_!wrfM)u&(9aWaa7hf&bINEky@Y>b~n*{z!M) z*rj?=erw&q9xc>sHL#O#@P98H>u=RN^5PTS-O&5+@;%A=Zn;Z$G3>mpm(Dhhu6_Ow zuU_=q%YNJSuL76svggnV*WX<_%`kCF`sR6t-$U*ZzC^efOqN|NljYUV+dMAD@MFXBKba>P z29^Et*bLe1^0~KQko9a8vss|(tdlp6Gh(Q5^W7Ob8YC`cKF_C|f1aUurHWNku&Cs@ zyrBQNeAJC<$tdZ|sgYmIf6nwlhiY$X=?sxoL^Nc*TT~m#X zcub^^n^qIekTs;IS_QL_qoKs49IjiHQ~b`rvfgE>Mt0fRM)qlr;n>|enpL%op$7Z! z-B`3u1rq``vjtl{gdL#X*+sQzuaoFpwm@)_fRNs8yj!4+AacnKvFH<&sVDeomQv(@ zyBll1%vxO`shH%vquBX>KCZN^8=K5#wm+FJm*mt)uQ7XP`*CH&d$YbMkmBzxwo|wi z(cG~R$a?oTH|VFTCWXOsvk-A8ld`c6{#B2*xxn;EDJT+&8Rn6@7?p~>{VDH%cbAA+ zce7XqISECa@GtJ-q**ih1@`(lB<=F;;DMwJ5qs;#yKL#`@-^Kg#x-~p_kXYN3&(PG zLVFe$T6UMFy`PJ{mR2XeRQA4sy@4aB}i+a*F*0LQyT%t{+A}WCpE!686r3{ z`7Tc5|1Y+GeeS0ji$CXSj3S;wf)6c$V1DKIG}w`tzR3~FB#vf@yO3fxQ@XWQzf0y> z2=PfF#AbyCAw++5Y>4)y>{BeYc|67i%tlUfi>ZP`ObD$?pH>S`8XYFf$h!(F> zt%qr`&8Ed_O^ZEpphf&mYjmCU7A=CU7I$e`60=6g(9~quqR6mW+7tgB)q#3uAN1(I zmAFwpYT{h3NyKJ}3mczV$_6XXZL&0fF%pTyc7L<-_jqc$qLb4nOLNxr(lA+?Q}z2Y zIA2qiqR?ugv&_(aw7e#pEU|x2SG35^lugBFO)vH5(`Qav$mx(UQI;&%Y$^_`wI-Xl zEUqQuOf|LF?|#egk-hYP{nY1vLBdGAz?_3>Alh5lk;8QS480Z0#A`ogw)`BstWZ}O zdlNw0^9zDoeQFi6c8wqZsq}?(IXN#_w3YJgD`m4?;7r|CijWqcvTWF zes$WssT`NPSsC=?M6Zp zsL_T|Vh+~nWA2!yIFtLgGTh~8 zZqv{hey5r0t3{cPf_%UGw|>uZTav7qW83L$X5LDj4kpF=-d-Z-Zzd84paPi=>$hU85xVo$O2 zqS_R{YZ6R9eS0G4ER2dhev2>s24)W%usaoDx%9PgG&?N9w&nhj0F-kT{ zb2adQE~^eF~jOlt)>2OBH_8~kTdc$L??ZQYC5S`a^{MuKUU5h^F+#-gC6z1 z3`+DF(S($o`7CrlTFz|rSaJq9Xl>~=k0oa|5!3vK<;=Q{a^@Ysd!66&4zRt?<8!Zo z5a7%?D}0^}h#D00=~1Ev{Cfyj;d2*L5Mfh{u#qT=>=`L}q+^7iuX`>C-9TM8iyA{& zo2*K5-hr~#b)>9srwDF^vWicS37BtAQL@HeF0+ET_peM*kPLG&k2r3MsBxEL|DDo7 z(gcN({TN9zW{Svcz)# zQBd}0us&r{c!l#9pXXz(s;<={WUYu0af3-RhtMjHRXKn0y^9QCb+bbjH~0A6YvE>A z6umCeXVx}EPE{p|oz;2;gy#9PsNCX+@kd%bKu!}?%IR*FmG|0cCSh)#sNki=Pxsg# zx7;xwpQzW}Y};auBg+)EvFa4{lVby*RZjDre7R$e(XEHYPffJ=$uKXTsFpjmx=t-I zP)8V9{PksBtmTd+cAAygX;I4^T-jO1uo9B>R`hbGMlW~JH?P#sZBilwUK*}Kxc+Dz z@%Pz6EvLWSA>)n6*U&2bzHBXfgyOVZnUc-AqFQD7YtoBwq>Hr*AC|2!p`})qmPoQX zx=`O23wY#$$I?UMk|9%3MM!q`vZkY#!*uk`tx~5*Aid0S+p@=6zr1&AiMzy~Q|WV8 zK}dKG;iDmI=~59v#BqDsCO319u1S#_W2gen7P-lqNs-$g@EmJ?;bo@-5VI>i)VX9Gx(4LWG_d;{zvnqy zrhYqKAZ-aIc@SoW=8o|;wW(K>V3IiGZ|M8Hlz5tgDZ^YkUg73%f}6hs{rRfh2;BVL z#?9Y&I&pk7ZenG5ieUPi-}867=R>TXpB^yqfB1?0=Aoa+m_z6~y$Z~K{zUUJQ-*;3 z@HKvm0Z^G9*oo4+yicjRWu8>~4)^P1J@nLh9k4s=Cko0ogE6nZ4E#!M00jRB{S;U~ zhpdwEhY^%v4pgl%xveM2DwW~)40WHE<{YtwNhA|^Uo(OCLrj4E|69UL00p!r5T#qb zdrRq-oGl?i0|$`F|G8#eB5VBa_sJ1>i{>OJ7vAbmx9DJ=AkQPS*f2dnEDD%zxZVpiA+8H#Gd%}oz?3!4J61phfVSZI?|@OZN7gx& zmLgb;MOq(4JGdyv^44%y?xXCH>V0t0z5B~E9t0yRQmd!#x*Y;J5?-4~LOQp+ zURizbmY2deMXG)2MrR>5t!gdjJVkyQ);g}JMQ z9_#=pMvt{)hTB>}H27U{S+akupjv+_s19`NNe=&0^^-T<+eKTvaS;T+V3ZLnxE~uM zo+Wa4%6kutJCynBn=xvHL9E2V{C&KGFEg(N^PA8wp`7=|NY91Nq&ELh-kpFefPTyO zz1-$>ab?lwp62t(5p6RmYVEd{Ngaao*JFL^BvOgHezHHOfq1dOp==#w`$y28Ha+o$ zJ#Ui6)Al&kHjM~ANXD6AUVV9}2~FJtq>uNFQq*M=rbXQ^j<%`$snOO*@gDc*H1!)8Ntf!hj8~VCefGs{n}tX@ z!@N^J2_{F(35drWMNb5LMOP4Pl?_As9YxI-tM|e;g_@H`cSg;JM@3RIlJ$p%W$qYd z%aC{VdmYJ3ojBFIAy9nSLJ%1Kah%d^5eAD3{PzHqH#- zZ^kH_#-vJ{#%|GX35_)fjn&^`j_e*nzt#DZAQ}7rC|PuH&WX_I0vnxpko>PV3NS5b zEsc>vnAV!;#TV*Hh)R9!lH43i^JGz~%zxgfvA{$rhf?vJ%2rm*%xsy?vc73jeu)1q zwd^Hsmrb;0;o#CxuN6lgBsBX*mZI6DXqv6>NVBz?W;1WpG^`1dU7R`QlgH5vsZcyYU9JwKyV5QFYZm_6NZM(k!S7G%lyg_l5-|Kg6 zn$7etQm|0_$^IJ@FBgW0ucltzF0yJ9lSD&BB^$IAm9$AbGpqn%64v%FRd>`)bLb5n z>00`Dp{iAH_$i%0(e+7&ZXU|A={k_5<^ybb7e}&M4-t$Yl6~THX0b4HBPGRN!bxyR z8Z}FKw%a657dZcyrD<45yf&C$BP4EW5+}R!Qr(i!lhkUFIGjtpB_v)iBwl-q86WO} zMdBx;iNTCRNL+DeD=TDgsZUWi9y9?4Xgq!02N&a3G98-158QQscr zbQt;zm8X}l+?!2Udq}-GyPtn)41aV;h(__q{DXRTucY9FL4!=-@T&nKVvo>2-W&O2 z^pA;|n%ZF^C9)fP0|Sw8e5h7TNt^iz=KIqFtT;mP)fTu;s)HQKuqpog42$BeyqOM2 zKZ5!cK0nHk^N6-0A{Mvx8NkohLpYZ48|H#U)lkMZ^I3VSG!d(=p#m>K5FQPTVM&H4 zHf#rZ!~;d&n-kFwnG7{d22qJZ%u~&SQBq1Iu+mCIBBW=q9&6K>kA%iKx({kY;?&wo z-AG{97^&4s-Jft*#$o9yYHwV)8*BxUF5LQ!k%9-*7s|vn3gbIHZRKYpZEfY@k#-ZE z&bzSMVveOZ@Nmx4qqoci*kNKf2dkd$J*no>Ra%MGT#B|PCz*#v$O!j_b(dSrUlM~v z@~ERVk%Lx`6hhNeB*T1ngzj%|-%d3}LVuYeB?JgScPR(cOb*dwm@;TE{X~T^p9r;O zsW4{lrD18Hy}}4sVZL&G=`?kGhs->3Oos#)mha4%5sVrqJ{gF3rV{^B)oG>DlBgbX zBrzZDJ>+=G`d4~vzp~9Wz@U;O^YCyraw0OhE|AkZWj&2;v3c=y9p})#=Yrcit`|9m zyNhZn!`wDpnnnmpa(Hp^AUixAiSthp{p=6JB@Z9jNG$P6VizAOZa5kMR*8Sm8_q&DeUo7{r!LoB-ZXJ*rzeAuQb5BVr zhbm#upl(3o;Pna;a*{XVC5?}MJU?rgcQ?I8P3)^bg=9ue| zcnu-ji$E7~DOHDcnhUSDARJ!gnBA{0m7ck4RrR{A!|b)=RX6kFVk=PU1K?_T=!pEE}kni@Y=O{+H;PJ_=!19Obz`BHwtp(|55xZ_TeC?rvFRD{2x#sLjv%nJi3f&siR?tFD!K1k=Q5vE&mX zhyEm(k0}DpE12K1x?gZn3~UqNk+^WPKbHKD*IILIB?*K?$C4y|U~!DPPja<@p+*D^ zn;XbufWD$f$dqFJFRjUZu-S{*UB4$sP=)MT0>guv?i5U~xw<1v2?l|F8wt}L*GQcw zgXwGPDdV$&8U@k+x<=)I6x}}pq7vcBdktQ)K=eKQP6V8dMr(fU4}eqec4l6qpc4(w z77LuQCxVmppY#vT8Vyd?e^<+>qQE)-s0QcQ7|zMMO)yCGB7yz(s}T-S;&=yc%q)y&smjP;X$CX-=h;hRAaiq2-E93k0o4&@@EBpnpP*%AX zT?&^QceP@)I**+2L{GgABW7N~drDAi=9T3b?rvX{Xfdx3Vi4{)X*P5qO7rkl78GlR zC@VBk#$z87qHOOBircR02t`r+Gt9rreW3WgO_Yu1K#p)rqY;3~FrQH6>{}vBys8fb zKw~yRm+gTO!J}I43bdY0*U(~FEa6EwHyCYm9ID@Dit3GQS)a))mbrS~RU#npME1xW zSL-YbS`OY)q$mNmG^_6bEnH==JJ?fL(;S$S!P8a*wA#18e1KMbXV6-GWk+afskTh+ z1FeHLv>MDKhm~8DL~7Dx*PzHwm!GK0^T@+*O?Ku;)tk^1SClwVl@qdS6G*1oki_I` zPENBl7zN3XRe)k0Vd+r1qH2F%j)oI9J*R;H01azCH`na{o?|UFvQS_1jOV`%W6i#t z8loGvuOa-_b1ZmDjIu0)ZUXBo(}0z%$pD7~A~YNbNrWHkBO);#m7 zsz#^ayPnz=w#=M!h2o9TmKje?v>^p}im5!qd?iiRVR+d2IX8e!M)<}r(-h?>=7U5Y z1XYT0$b3}aM~i|SVYY;=NFY494_7ChoPO>w1vz#2U zMkVy#KUnAKc(+M1(Jqm@n?hv=QuYXm0-IwcYGqnA#yRnU$IPmH5pRphMgV7+gHl30 zqwMi=3VLd#AWDW5)WBl@`*J;hEE6BqB$*A#;Z+?kpXAjHbJ67jW7|3?uV$Ek3!BX) z^sV)8)O_#UnW^*U=cUeHFl%1wr3+JM&(BTGoi$_ToO$+@Rah08*9>6|BNf`GRCq473QFn<%^pKEexfTR5$!tzqU%DFx z(?V-amywKy2}4e!v883l@zTz^!NRIUEX^)sVaO?(K?)}9q)9k&4!x}p9wm|n^Qela zm)@-RNi>(-n?}jVPV}=HT#RUdmR!TEUKZOTM&325@GcJ=QfW+k^uA8>;W3$zkLB#&oVEkEYq|M@30y}a_opAbTi{H_6Vza*hPM{1k2uBI)F449AFqm;z zZod*l)4OZgal^UfLtQe-+&dk3tHnaa5np4)k=2|HBh2<@tOtHM!8vEJV7^!qa;al4 zrdz_Mt+$%gT0t6kdtzYeG|mbZ)t~EOm9%yJ`rRv`w|rZwW46V$8vv~`R^?Q}YZxqt zldr`)rMh)?jfzb`YXt*+?n*FqBWV67h6=0#vhRkfN?)q64gO)$OReV5%pn zY{BmAB=hM(NVWtasSL9|BXBzjhU>0OD%-F-n*))$NLuf+f^6j_a+Y60DX;T2~eBg^4L(^1iL|X@jM|5t6 zN_@?lss*S^D#5n&UCJuEtF;C7Z5O!}TJzfocDYBmca>y!kiE}6>X2)dSk!#^$oBpH zfgj`{k*KPCp32sm*4e@zw)QXi+~?wuHD;yZ1yu_dp)JqmG`q_A(oVec)x5N|qNbvP zA*v7A$434SRGcEpKHfS&EDh)_?2mNzQil1-0Fmb?+j5w^aV)3F$sa?rxlcxpvVl>` zfc*s@(!+tH1ls$fq5a{i*~jnVWU|H2H8l?a;&1m8YF5^zY;pb+-g(ym#XAwORobip zRqgkWpzbjP1Sm{axSRshC!(?eK>P|OG>T#&XNGYQ0A%$9Stp3j2xE~m@GNV9#&qJ= zG?228-NyWUq<=}y{?-2cN~zJ7;otNJCB8PvA4~{*4aHgwMfOYC{{bsol@(!i=(V8Q zFZ)w}e}0urU=rSrgUS|DSTN4y~pB%m|w2kVv;5jD5E9lHaTL6Wp%g<3ceRN zXwHn)+YzDB#58;^0zRuRwc(SJJqfuBy8{m8m%`(=5zJqaB>t~LEgDue>O>gIgiy;_ z{pB_@-{)#^*Erz{tJR^T0?wGUR2)Q>R~#h5ENccid-rWWQ9?9{D1`d3-x5JoAitxZ z(255j%4pzFtZtfG`}5@LONHw~MP>xhnl;c`RF35T>ZhrwR;b7nDgr!<|DlN{JZkdf z%(B*s;_dbPC3@u9li*oIe+(g{Mc3V|>b^?XEjvEsJHBdIsZ_mFxkYg1;^JY*EFEV% zM0-MlN`hf(&M=2vVv%2h#0T;;Pbo_~qj*D0@S~KK<^C_H`<6Eaea|(5p=L##MEbj0 zYze-KvdhjuNKwP<#7Gnvi`bE@#uByY*-I5Zm^0#oY6bdMSmpRD?`*!9nE8my^j+q{ z;5rV9ISuoH;JO%tQ|2fGW=?RO(8&pGyLO_<>p8jT9wYH#>tA9A9Vt@dT9i8{4~;9)Sa9d`k(bU)O?`NQ z`bg#X5cNGVLc3CLE|@WQRvsFb=wH{Pxg{ElI{ZsCu1x*me**?RHjsB`o=(X*R>|}q zt}-=T=c!BDbzXSkDJr!d&$#o_v@2&$*In-T%B3^=FHF66{@nSwfG*Lv_x=afkJMT7 zW)?*JT$nc_H;)^gD61Ao(R($Y7zAD)zOZ!KBu`O&AEKLlfOWIGm^p`_Jme5}Kq3|p z>%<&OP_nO$UL~Is#G*JBfw{t6iR&3M|Ekiu-IU-*uay;(c|t*Uht9pKv{-aAGPYoq zyH4VStNPUZQ8wGdsmvepMey%js8MF@F^-i;X{6W;hP6J+N+*lXS;U zUOKq;jCKEZHmqH`?h|KvO9S8iH&&6P{$SDZp5ErNm}Dt~iRp{<@}m;-BTGg6AiUW~ zqcFE&)$A6P;Q`R-zNtNkA*nJCFAAy{8v4E(<5{VbPzq9fO>~*eZ?|=2!TO?yzwC4g z2X?oV$-$=|+}R$4+Exr)O8#Al zuF<0Q6+LqJJbinGxpHrXsVq8b*J~stSRSHWz_R+aUJB0;<+_c47U*exc`E8M%T`W2 zDS6oD*oj*K@{lu$qVRIMxt^NYuY;|#tdu2qY?i;E68R_nuZkAml_6zGQ``m6Oz;Aw zEA^C~vj!n=o8ABCQ&s!)h{Y=#@ASLkAh>@bwc?<3jQ#f3{wn5yZ=3G%L;4MRi9oFNxV#E4{3Z?xkrd8M=>W)5kmMqt-4GMO-Q{(eu8F zJJUZ5G|H$5WRzFHH+?P34R&IIx~~bS%f=CEQj)n%y(hcDt+O#d!tfoNWYHlW1Y-C3 zedu|G`MR@$qZAlGv9awoSFrWam{#76*pn^Av*la&b$gw@ck2gLlk)g5;tB#j=zIU* z+8zxM^ol(VJeG$Ed570twXWPrGq&4EhMCz{NgUUuPJ>4km5{S7<1E^e2)K8huWeqE zxl1yLq0Lf@&=;7K3dAnhuCxV4DB8le7pQt6D*NDsO{-#^O{Ts{E4HkSVP-X~d(XK- z+C44=t>G;e%ep?gP15_R9UR|;77ONn-KH3OKxxzJ*JE~9owsQ>$4(lt{Y3n%joizb zqI=Z40u8yPqPCSaS0g7S92~j8U{1~xbFjPiCeWj2=3M4v)r<4^Tm9mKt^_rM;9tz` zT2$j?5)|K9CBdC?nw&ZDzWv-QzOjatO^dt9nb)Odv(p>P$|AYUc%h`+zo9~{?m)E6u&)Q_Z9{Qu)ZBuuh8ybSa5@J8?l4(vJByw$O zxLgKYcAux=a?yJQ@oL@GAdA0`fsKzjD>!-Zd>vH;#TA3C+~xf5`S1ba!_>SUt0Ktl zu6&)hnc_siG9vLLu%)lu44b{BU@?vN;cuR zj<=%|6i#SxNaVi~(uA;Brb&80P|hNEbqec{e$Js=a+-?V`?915edz!=> z<}P~h{lVkz_T%n0f{4ZP^HNfz*pQ5D{RUcBwg4mVsla`%ctP$7ELPwdSo81I9V6F8KR$iTS4hH<40 z<7!|mMbQ2Q;HQ8wEFc2IU!L0;jKz-JC3#b^7TG;x zGg{f^SE;)ML6EIzPut}5FJL{BWkr=JI)$1qvDSRSuw=e;w#H@E&S($gXdQzc@C_3G|#KawfvyWhz>Exa!W4 z5&PW^`^DnOtIvazqDeu?v&d$n9l2`B`<)-a_8)rN{pcLqKK1;6g6%&~7-4u_V~e&r0uIAQ zeeDUT|97XT|6LU7Z;a%ZBhS)sPeod@EhU@YdWxA}_R@L~n;)V-iumm&;#bWxOb4P6 z$q#l;`Hwn!GFO@G=XWNA%G(B&J((B5DssV}*wTo+A zMCu;fp|mQRzDso_!7d)L@6o%5<&5VqKn;-qhbug7WJR4RVF>f@OK&-f;|mJ#A9mS%zvYh+QFX55mEP{HF~iVEjrWI@kP`1G_Tu6(t?KcmUY`jgGS-D zjnlF{3q3ki54B-hT3-BVSbY{K5fqA27oItJA}dY4-FBv!dn`M7H`zJ{#maD!RQBNh zY)WkH!Cr~7Q1=;78d<>VWh%X_I7831ZrP%YH~JI|&y_{hT!_z`BOX zXytZs8vKd_C=If%ct&)THs5Eq>Ddz`8&X-HFQx69X4B|vUYJb~s(mvu_ zJjm3JlfzA0?8qjW-(F_zG>zXOy9QPJ*fqH4%&?99t$ua?3}b3h%4A6rO>NmiLRowS zgJ#At`g@0%x56dIN0%%Qs**jUO3Ea~ZfK3=0y&Uit(vx-a zklB8?RFc^}sU+u9InLq?{FZbKM1dtoZH%mXs(8Q~%Q6cc=-}UypT;!2t?IzqUW@DA zM+?e-nA{*rxo_0(5L&R%v2X`o`6vqPCTtVud@6n|Mp!YMN$J~*HaqeEmiJK^eMe;9 zTHM|;%pPZ`Nrxs>+3$Ln7gtd0jZx%(ONlC9v{_NW*D352RLY(r3cYU!FFhH0sc5r! zx^7I7X4v{x%@l2p^AS3^lJzh3A*zexXo>D6u~|Ugv-WP`k)zf-ot=#QA?XQ1CSHxa z9`O=j6gl!CdL(w2)X2|Ckp{JjTm^6lh(Ckl4Xp6uYzq_WFkHs&44%vap`vY7VNnD=Yl2InF_ zJTCO+onbX9_?K`QgLA4NOWi+MVzJ8tEpI$?{B0wCZIDiE9Nm*#^u?7GfkS`lv7MCj z>)sb88o2owYO=VcL%*$uxY-aGio0Dc-NXoDk+kkBoZ7Kz63c+>q%sGr$y}Snj7aOtH0e*K&)u#c94D;pgk)RlGG^uPiOfAD) z$$gSMR2lg?WOT@BO%5z_lyxs^5@OXH-(9U-tpgbH0L@+Z3CHKw{=xiD)pmCa-K+o@w5!wdE=>Tm6>2t~4MC=_{!o_*0x zKwp$e=IpU`p9S3s>ATX)C8zx2b0xfWn~{HJ(I&@P29Cn*OI_YW!#!=e4J-&(iEpuV zaO%p6B=gVh7Bo5P;i5G-9}&6TRb%N@-7>9{i2eDEL~F0d_Zg0c37Y!QENsz@5JxVI z?H0y@yJ6Y!LdRkPF+Y=__Sr9rE_+^wvdDRMd~GwCZdt5a!=&XTbBf*O7vLBVmK#e) zH7q+q11wR8B`iC_Mt+KV@^Afw>JFi`+44nObHD4NC1A?ri43V#Kbtit zQ2k9;7PRaEyVMCQaL;6U=}R7-nPe7rg^GJdJd~OAk<6soU71PoNo6CYWKxppk&-FA zy(|;O$r(6?&ux-KZ9Q|iEAM+9qeXdpL-uTb{)@CHO_C9)S;C{X(KK49mLS7p)SLPh zLKC?wuWb@(Br=Sg>q$i}rwm@?NiYPM408nh zrXzfBwcwkY*a>_e75K&{YWUu=KU=lf(goNm8FHYHtcZ&6ni&EUeg}jijsnw%fQix?O!z-_QDz`@CR0OpRu@I#BC{H0s2_#OYY2_u4UB#f zZ(P;kjR&xHSOzD~)+JQTuCMU0`-~8&$4JNipDr(I9Rvf_=n*;jk%(%6?~M>h>mi=0 z=s*$=D1Dbx6D$PTsx&DYrf`9(BqxJem*u#)T+NbEJG$bk+w3YZxW$yUT9B@=7kiKv$mg*= zwUNagJvyk+ME*P15A{R`$9Cqlyce%}Vt2!~rpwO6yKx#OxRXt;_}X6(U-31m&nC1# zzCHgcUt?UXF*4JU8&|X~Hoc|TodDLwi>@VGqL3fXb`V1WaBpQ#?&s$4{Px_BY%;}q z^LhJ#BLj|HaYT>!GRjO*Z7r)mJ64~sjbHU-^%2a$um!`wC2`SgD`fU>+R0;!Gi+_e_ zqxl{`O{kp-+0A2rVuRsuF5gdrOR`R5nkz1czvNiG8<1OA@xO|KqUSQ_F4w4-1rofGeyu`Zqk1jbHcP#E$_hVtqtal0(EUWJs z#*7JOaBR0Q0|!xltk)h@z-s`Eg2vrdY1O;2l%l^hJ8*DmDK0Vv_U^{K3=8;BFJPb7n2o^(6cAt)4AdMc z+M1AL=6+LD&}eu+fEOizgofM~EF|<-NGK2Ufy@}|l%d)nTfqUq7}@lZS&pF79Oo0K z+H++u*1BbGcH$X`BNxiPC|+CG&v}>hCy~0dWvUQUsP62~B|D znWO`=yDU3iYIyew42I`7dv}BtAIl<&nod z+31+v1w96rD^}*k@FprAGcG8*j%l%Iu!|qs$s%b6pk30-*szjsx@JE zpl=d#i#DZ2T-(};`_R(KTj?3m^Y{pFe%U$Ky#+PPGQT$#Cy7_Q%@^=Yl}%3ZkJqK- zB$%*|_GOtjI0$I{7OD|GY)veuzh~`&zZhGEEjZ6dzoshBa(I6anM78{{UAP~@h)#q zp_qt{$J28j=4$R4ICN8vRBI+E!*1RY6J=W9x<#;%d=Ym2z8w!@W=v31)&pphW=7H3ep!|i36Sq=%F zO$L_}UJ;lr#c#>h;NkeE$10o^;;3M<6i4WWiqk9W{Bfu_%Q7jQcL6>(OD_obkF2mpT`4yU)&*U4Z}kgy ztE=i4WZu}FwXk*q45QFRPPd^8T_aK#Vk=D*;!^r!sH26MLl<^Cj*4MdN~!RV4)b06 zLPWx2VRkT95g%F~*`_h@1Dnq<9e7$oP53gSO8|}&N`#wCG6#@%zD1mF>RvfIG%h** z*YUqi*qLx3;rcF5bXngeA@PF5599QI!xA;Vu8f$~=W4nY|Bps(4!db&va!gPgnMni zgHp#l`vCmmnT&^^k9cRHE(;l92Usk+Oo!Phwt!wT%IFCxN2Z13qVEdHQJN7)-?s@g z`Yu)HT^zx3I%$O2`e3lL`Wz9wipD-5)Xj1IIrx}-Vka{FN7)j_7Z#K5Q9d|lPXYr* zEzCpwQY%B_hhEj;PmMTu)u%q3JmuYR5ge0ShsweKO5bjI5HY(WVf&71-h!D^GhbXjl6QbtKEcboLvSuT1Wnd~h zN&P7OcTI|GkLb^*Ijo{dvJK}YO_GZvS`eCoUVqsdZd6fX=MoN&>u3pAwZerq0!`wI zgO`M;2gQB)xUH+D7=)`8h8QvRCAp%A^?KN1es{$R?NMWzj0DJETa^!Uo3Kv1h}G@O zG1(YQtn#-XVKdST+aug+sI7~$xFh!@sqK{5!u*TMOEgG!R zGzfWrqR58!-?F7E>I0a{WaG#^O7sjq=<$Vf=`U%>Rq+|3Lq`pX}KcCwuVY?>mx`&mT1G z`r#u+W@KjFaO0@aQ*U?o0UKk--8ym7TN{ky4? zf4aca>fee^{>gJk6qNqo{3wL-%Ps0}v_9KT@p~@HW8}ZieqVlpVVut;|F-cd*B4x$ zbA8733D<6}3a)=~eZ;kc>uauWx$3y=_g>`tFS*|4dY)?y*D9{nT(5Jz!u1lDkLwAp z$GGI(ce$SBTF>z`atac$)KJ=Y(&0$ks4 z9pH+5=l|sUf2_K`W(ti)vAg-G84Wp9F!S^$`7IEcq zJp`yjxn^+XaNWuE6Rw-MMsr=yb%ggDxw`WGORj6Ue$KU-tA+c&=F`QM&2FXqv*h z##5HF8vegoP6=i3sL*)wfvF}1kMZ)4!kreiZVMh&xsw#NUlu$%?SfKkhe{)VN0d9E zWMnxaPJyf+S91WmO%Y|Nu_;LaSY~B@7Mt|*C zQd3Z8lo{O>#SLwG>sV_eJ%1s-yDOv14Jox}{Q1nUdKzba+bh2Jf#jra@H3}NK9l*BYCG0&d<;HmDyuj4lpG*ZA1DxVRYh`dydACpdyVfxJjE=LyoRV~tH+`<@%t z)Kj&lKI@TtXD!H^Gk;#{fV8xMyy7rY)Qd~coa3F{MXm=H>C39GFt{|R{vG6VsinR0 z?1h*t{>s1iI(iian!b7CjIU&FPwAWC3o*`-et?Z`P*+A|IPG~ z?@b@>f9_Xzta&Q6IA+q_TQ2qL)(m<^|5FV%j2zefjqMso>f$T->v+~J-$$I!-?)-# q^8JCi^7ryw`8youAjY4f4qnn2c|yAKpi!(J&P__Nf@a z{+@qu_#iPDFDfJ?jB(bp?B&h|G?#-6h5>Rd2oMpZkx9qm(nE~|;Rab&IE`4~1LYVD znF>!J#|dz;Bnk;fBTbR1NUktW#~w#XPk4Xi2s~{$H6uY|GBw5Uk=qi*eb>Us!pv|5 zVu4HL>KcnIS*VLHxoA&HWyhysRAS>Oajh}nSlqHR@nG`Gv9vhpmJE{cuy*57tw+M% z*f!CA{)as>BX z1V>IKabt6F#Fg~a#Ji~<%y1d4F(PELz#uLw&Hxt>zmkxF15YJVjj@)vZ42CCQzE{_ zm=@KOVPZV?JtjWMb2T*y30yRRheS^6rKjj0#odQ`Gt;k|$t@pFy~9aJ-+b?rfot6h zGBR#8&9=1KH8Hc=X9DaS-PlP=iOXag1INq{-NJjM%ez+w< z@b@!W)uI(s{O4v@K`K6)3$Z#vJ|Xa8ytqEy{`#?Qew% zF-4CYBk&*510Uox7reY8*9Z??>30L0?%;sR7I#6R<~==T;lzKyy^9*TwQCOu!jfPP zTh>Udhu+Vx7_I8g z3dgb0MMaz_X>&*@IbI+KefY*`nE|hu;M8`I)8mVKD2c?WZS>5wqO%|Bkm=M!cWnXV8Pb=Y8rNSHWx^k@ zm+R}`_wQM}uWx`eMY^A~irUxT5PMnC4eNNZM7$awPU0l%UgPe=)=6p9OJv-?rk+zh!Z-vKpQ+9!o4ogO*4F52)^krC0*m~#5T`Mu3-9NW}*&>Y=u zwAt4tFlWPn!ahW-+)g7zlWzXfQZXsSIrH_bN@%^VF>^3v3daqr{z@t{pLAh4ryyQ` z?TO$L%9T#brs}E0(TgDc)5DX>XA-K83olniRHdaz6E1OO&yR9ZmlEwWyin^03&NuF%uf|fUS7weNju_OCo7b;R z6n=rjxDjiTHTnxJ=*uF*?N{|WAJq-d*XqqZs^}RHHxqet=zPLU+(nU5#3b1^dDi#x z^ku@3TyBfxS2VXwWCGE^oe`Nn`GAQ%Xyx{={r3z zzi#sVoe4Zz`S8Auw9?1R0f-kkLo*1_D?5LHvO%P_rZOw~oA#36A znAh|n`=hmYviSC&4)I?wWGt4uJ$RbeF;=0BgW!K7 z@{^KiPxC=6#h=As!H;&F&^CQ^)}z)W_1}WcYS8bTwc1pq1B+V}F&&dTYdrqjd{X#p z-jIVeoT?rZUbtgNMu91^EtHo}`A{kb{%RaS)|~5@7l^S;j)*8Uyf?0nP?yz0JsIzC z+NaSjWJvKK1c4qK)@kOfinCXuUA>*0N%!VX)2x4DBg;zV)F&+p2|&6G~qrHW?k z^14fRqih?+u2BK(GojZT#@&0ix{dg_Avm8ZZ!o;DMlF#kmRq7+D$+A}Z=IP0=oVFkfKS`jG`F`+J#@ z!Csy6`)|5`Yqeq_AA?k@ArIXFEf_v*t`MPtTLsb^BFpaxOj*sznoI+tb*_e5jdow& zErAKEnq67Cd=mFqKJt~8T9M!p`pR$kjGG&~iZ9LocDs9dR)QUQ(d)f~H{E7jhpZegx_K3>E{76AW z$f3}1uiu#EZovROF z#PLCT?d@$)S2J5m3mohR@C%n99|ZK7s>wndAqFLnCJ@XFZF9IoZ8jpyL$I*m**khy zH_7k~<@1%<5;w948#M--72kKI7`ZTAnIr^;2jccw@af*uw$m`3R-`p3iFq&>xnTve z6;f&028&$()Py=LAJ!TzCxAIv?*S)fO|#L=Z)b<69& zCZ5l{IIi5XGV#?|_O@~!oeHj`J>R55Syxe~)D@y9_`OP%f#MG|nkyV%qD^HZLu`ta zH7Dg@$XEpE6sQ_`1Lf24NunOv{O+^L%}^CUj}ThU84W6b(pUzyF_acBcLDn^_kmHZ zuCRV^Du((s(!aPU9$PTQcH&MWf2N@-SSqei$IqW8=}zF$hTsOc-Rhk&s3FxF z(Qrk>r@qY-XwT0J<~+;L+>`@*D&T0EvcSi600HO00$GeYmIEsaEIp7`vYV`8hpsfD)NfKw2dR`O{F zRm}T6Uds}3;jSs2_-sn0z6w1|VIHhFFKqfi?T1KR4Y3;&SM7al>g}%6D)IG55&<4Gx?-TO;aqxMF32s8R#y!Bt zB9n=W+F;UU#6s5W+s@}>n~_%~`FFCswksYYPFJL+yoy^@{QKsiE71a)Cf%ORgh-i1 z&p@R6VpjJ@MWQe`F(X*k8&2wn7T-;=SBi!T=nS1r4j{l z;>se%ot+mgHy`Khcu9(pOE)uqT~t{dTlC38d#|(Q*&i-A&ckjBc#I|+PP*}L$j=rLq{SuK>X?(it^R0-nZ-u zSbA>am%~j#<<6l#r`8pDmo?`a9*e7eUBU2ibJB(U%)l9?V3l7Htz~CeNk4oV6+sWq z!D{{pleNH#^CCZ;mzTX~plyhQ*P#5Iz)$lRMa6Pb@SgyE%aG60{}kGbM7zf;&7+Zu zM1*%QCE0mB0*bCqm+w9@t=$}*l=K&CqV}QTBX{q@n?AyR7yX`{qv(xSxucEX{f=QT z6k>rS@Ss}OV}tF8E1f}+SksRJ@70Z*RUoIK(SHqpw<=LVDSRhG#U_-Zy>Ip0(T}_G zH%CiGK=d6r&t1SXXGz+E=cPn~?Q&M6vZ>P&50 zjV`XaYPZ~xyK8b>1Hb9rutoURav1zU8%G)Cy_?*5eqxX}zOxAP0b>n1@Y6?g1gE6m zU%iwgYJ0N{xWdRe?pk-DiDWuNVCsF%9}4SJ)g4?DZKBphem(Rxr5!3_?){YE$GP=z zXF#cx>O=EgWc})69bYsR=&V*u{mlw!?R0_cJQdqZ&|2?%KzKFpMK$gJm41>LM& z4A_XQMXg2Ue7kEvg6`E@A{+YZ9+F*2+ue%Q5&jT!VdYX_qteQ67IvSmHcP{n<#IFbRV?2-J# zz-x4A7jiu}=OYe zk)KZSkI~s7rDYsQVq;P>@p&n!$*I(gWTng*0097 z5CRAe0l`2Juspf+AsL8CiFPDUM4T2F0tSFSpeF!y0aZX6kX!}C0Wp>g(Kk#u z;Gjaday@L=DWfnZ54qhQ1uU)W%(as&Ce0+bzl+ejQ284xWdkea*7#Y_dX1W;t#l4wKN_Q?l~*h!~smO?TscNDwZn48Z@U+|5t%O^_8lwp3J4^+Z=jG_AZQ zpY#Xcn1x74H|l(FIUQIf@l|VnmL(#i{6ru%kVS%f%uC(V9W#vCRU`KVEy)|m`zaxs zjJlJbuinxKg|5^&*lsT=p5VMM!>q^O+#-_^j|+3YKyVWELW9>Y6ktX}f;u znbT%F2)v~5>PGRJCF21*Zy$f3V6Q-M@M)Rkk{3ln@Hc~)7W!E}oC$v~w6zN!rY?k+ zS5-n=UmH|yq(Z;bTkbAxW_7Fx-go@RxJr|HA*36)GVlTRaMQ6)(crW0vZ(#pbcmE9{hjbo@`X`rIQOr|C(5Afe`$5}=N1ThBlw_FGY^T9s+dgQB@i2|AWSWS zi?*|wup;y-K_X#wY1K-!v}o0gmef}OIhb2Vu?3PZN33;x)m1hUdypUdF%H$C{;;X%=eWzhbY(#jV_QSCBTr~$KCEiRJ^qi&YE-Y)6)1E<>w7+zq8DgD(teRTfXt;hhk zmnh`9njXvYKNAehN6PA@rl35u-xNKwS%R^I0Y;ng zZ4YZ^6}KZ-rT&fRcL_RnLUf|`;A!(s4^Gei0Ynzdp~XiAHoj2~S6?j~k?S;>cx}DJ zKwDhgHrIrCwdC!KPhwm}&2J+#^(uEAPxf4tncWKO zFjSfiKHLQIbrox$MmyW|u1kiDuSQ7BNpc^f04xNues^8-0Qdz1+;mr-FGg?wJ+3R} zmrWlw9t^OQQjLXO0Lx9`A9wpd(hQK3P>n@jKq4%$K%^gB&cV2+@T{zOzUIlOm}>f1 z|Fsdi$kG=5M|F>j<2zA&A1x$sZ81^m9CTT%-l1`qu45c7qU+tPFy3DB`{!7dd@j45 zJ|t7=yeB;c^m+)>_|K-?#}uo0Oz6u%?Jc1|zPeC10#Jc1YOY2;1q1ZWBJ9A*06LY( zgfZk+3^usYD-3-5=3!VTN32VyYJm87nCNxdU&I@UZG%YR^0IPIB~4`^YL~Q&s_px1Jfmr z4{vanMdGWC6CXZM3k2E>k9&Rp(r*pJ{F2M?p~v)(IS;q#%)?~v|Gc)1lw)8~a$20{ zrJ&c4wER6Yrwm9sZXmH*?_$YmqfU=Sb2k&3wVn5NdgFZ77RuyqPW$}omZYj!X}!FW z^*&<(rlz2+Yxz^&=lPGjmnKUYgi}?4fh*3+-c!nMGKfOK0yurhQ6=Ri2w-kWM=ocw z1(Up^`2r_HCrGFNTlWbc1(DyN!c8z-_e}7xj&7Whf?Lb#IC{K ztF?3}!IsUw0EIa8X^^9^ew(a9W8WrRku3)5tmYWBU@e+U^05^6dq1&4bDnHTp*b(M zxF|e2bW@tx$F@5eK{uz%K+l^5dJH&y=-Jsd=8y5rOaDM(dAXl>bGuy%rxCV&JY?+N70JP)O^ODz#)a8-~g1XJH^|d zJ+H>K_@*#4PSw~g#j_rvuaSdBWJR4H1sx~R`-4DTP(W5n1gj=t)}h~Rz7kY^e^z(* z=UvIcpLZf_vm({AJBTbK<0uIJ=)s3Aeh-Lh1R+qn%-pq#?>Yw;l(9fA2n^#A1T^q3 zQ*N$FJXE)rus0AC#aw)ZyWfS2mA*PVZt#$e#5^zwyQHxye!TU|W8TGM$!?i-oZ%qm z6#gk4u7;o%?Ke~e1GrXgIS=)l-RrF<`1GIPeQ?uKH&*GSLQ#aE^X}oY0q$MH3W^D8cLXH8xiEq?!}4Wh)BG!jEl$6-SsrWoGQ4z zfP?Q*34GHC!wq-R8JtK1yMh?u`?gZ7Or%Jz!7sthZi5e~UNDadd(MWPQ$u6#i)#lS zMAt|7*MBBVg}YR~nf_ooc6e$mkUsqu%A$EsTh;`AYj|F|E!4+`DidydpZ9EYZ{aMs zM^#ax379*VpRvJ1w$P5;698Li$N^?2o|mjkzk@rhwdd$36X?Xge+)nqY)n4(oCmbu zp(R_84Jz_P?0ljJuAY4{2$osJ4}6k}-lsEN4tb0Z`;bw=oa!hdLDB>w?x4VzerC@H zN1u!cdqJ`t2;=wr-c?|GoWKdKPGE+Q_{>d)e8a3v&C>=M{TIWwtUi?b`V9MN0^P;r zr334iiv@iZ&oE&Or0=v^0iSM^{hYCjUK*PARPT*CbIV|&ZQf9FUbPD+ zqG=S1i$t*Z;yg-Ld27xNPjW#V3dkLmcbpXk`O&Yc&is-xFNinx06k;S=G)TEq|P|i zu{YgpHs~C-1mFA?Nz@#GpGY`PoB2@nn1uVf_j!epOF6g!r8EB zTeP0}^~J|^Phj$e_=}PzltT5^+e+_7O>VoLf1&TB!GRepr`-3P&wPP|3H?MG@q5gl z8RAo@Q?BbF^o@p0v`wzP+8jZ#)jndjsZz~{IX@b{D3+(UySUhgW|=DM^cZhY+j)aq zLKAfz;lYdTKM>EYNw!(fwp{V~B2KyVBd|?}N@uuQ5871(C*l;L+REw3{{(tQet&IL z$Vy4(5=V0?-%i|Y(BzGXLbp|l3RO(?C_RqeoT5_9UV;FGc$0lRQ<6;@?M1mk-G1-< zb~iX{lmX&`oYL!kWl=>@XJUysl#QuLCE(oAC%|z>(D!8&A{`x<{j=YH$-2;+X0COXEr0lvq0; z!^q^Uvc_A8^QVse8Q(BQd>1cAvB-=#O7hW|`<@tn3Was92MB)&fka;v`;_t!j*oHn79nLcryB*XT>88>^KYJEXFW%Zi0JQN$- zayjEhXNEFu_$bYVnj)dd`5`?G^u0~>zqOKaOsXW=Q%rkIJit{wBArZPZ)FJs z(Iix8eR_(Bk;$T{zig`2ND7=nl-YMAF8P(yOimImCG}3w6ZS_ua2|SpD5~vPUn`9I zsRc{z3pp&~riVkR-wFMZrr`7#|E+KgGHP^kO?rT}5*?SEluEmHTY;3I)0_gZqY{p% z2YZMD_q$NVhfz&2Y+c=7A^j768O7>}=k6XNr%WjU0oN|dB_Hf9ie+@?7l*gdCJiRQ zg}XFT0+|$TVx)G>pC6x^>E)#V_Aq>+U|L_eB-V@*Lmk4ecT0w%2=N$_7Pm1iYm+3| zr8>oR3yyaUlzi|TXJB9$PRSzEywicLLH&ShX}7w?TS_uVh2CjXlBP1AD96TO-4aFv zyO1KOly8a=y@E!6YQe>P$ez%-18HA^PsEDcBvizdDI9i9jU#19aGxlI8YSDJ2@}#p zM$yN67EZ%ciaHk_eil)3YcMV9x;A#cIi~;Oy{t4Vazb3JCWC*-COK)x#N2wHdGZ>< zbl5btgnT2z!;qY=+9!tWk_=W&GiF|Mn%Jft;3dSaqg}aBEjfbfp3tlR1pU-h9jQo3b@T2>Cd`NjM%ZZ#{O+k&&IDUn@1Mp>Ld z0tnA<&UDy#qrvNzy+eBgFDs|To0KMAi|SiGPY?BvRutvDjEOohH5V7V-*_S=c36Uc zX(uzI&zZK?e7ag( z42z4!-?~RMEwwh12pIR#YZ0+B33p&GCMO3v1d82%6~AKSo^be3e%C#E!K_Aico=9j zQRhB`REq?kvb-w+7oG>V=Z{r9vmL>w?_soX5H6{>f=~IdTfgO5aMXe%G9p2&$?m(b zaDU1ANkRB|7_f-|fa({`IHk^^xR1L9OH6?1C+u@;#qRFXZ5fvn7v_PS7YpI;O#+9J zBgi>wWK$ynE(oHRtO;!PrZ4$4If~`E(J#*nni`!%wyfzjs{*mS1%U{cV#6)$&~jKw z7qv#O;MYy}khuHx4~ z$3f@Rz>3kz%?@`#RcFBkoTGhE#W;Prr?Nz6XMRHkTUm1lUg=FZe#gjrT2;7Ci%}vV z2pBak0yY|2gq~TIs{8g3m#_B(vQI=_xLU$;UbtGya!I%vYq>65Eo;3dMKkKWAMD+V z6}cc(2Wh-shwU~?rq4NQTZD=uZ48@S%f%w zo8xZ*L{k}lKAE;rWZ<&_$uVwn37iXGF7HV`wIR;DjC}oeGnh}=ve_Rr?EDy_dZ}W3h5Sc( ztWd!4!cD&q+lDsz6qx4jY>(16lU)Ra}DKglQK5?cO5gk^AzunABzo@ylom zqWMf>&fAJR=QSR384)mA-9p|xdVUHZ(mI!_@|3g8+wkq9LsRg;Dw>9l3QI-

EsSJEsbHoDidQsvuwA4wy=4y;U!(tg|6~O3A$cwLzKqls#4{dmv?El&-Av@)D!sMV;hWr?fixH}CB6;l`)(o&J@%=;dX5 zFE9VRu9uhBpc%KW^J>N{Z^>%wu3A%@iaGXmm&BhnoDymh3tQkrJ3T^ZU0Pfx?PwNS zCG)p@Sb3#}dPl9jF}%Q7fN9Bedo5hp zvrR5*D6F0p>OMvZx7Fgq$n{Zc(cSVUmHQ47V+3)@Cz)xW+Zj?St zR_yCQABzmvw>|{#JU7Xp_2gT)n)(pTBzqIAnxrCt#o?c7D$r+R(15^lk&}@B4b$5St+EN- zMy>D{+*MjZ2gCO-PMRFon%Y&2v-cQ00zOpnYVZBp@*+>+{H@&MXwLiRHKi+;VjV#( zd?+M$adOD1F0NHia*aHtlcso(@ePIfT^RUv(w?hia%c1;KY%IItcIL3*0x!nko7KK zQDzy`_m&`E-a_advY7%K!^g64Jm|G7&OAsJ5w$+#D*4dp7~stZBT zgCyt35c7~q8m6^iD_UbKFQv%TK3i+?oo@CQFqtnz|8G_gO#h_b62~g^!^hEYB1?Xl zUT>>+DV2Y2O3z$x*`UwL(C21Zb5hgwSvmT&^jyov%oNMUtW14Q`g;0E-;$c1o|dcc zORn5V*|aGuHN}#irnhA2(>Gc+r04uhP8(7((>A3uJfKh6gq2zpkgngHlFf_A#RV!l zHf~X4d>f>>_)`dmv&%!DmLY+XujdbTAeWJ&K1H9MmBU*fVu2R*HmK6%8&Y!h>(asNEL(a`Ud~3aDw72zZhS0#wog`UV`i>3 zBV%LgM(|1xc4y^m)n})yPtVnF%v7qzmStH|HZ9LhPm|kXL0p{PHet(@30w3jwv>&V zQr2xs=Pi`DAuBJAXE9qZf9luq%4OxGL2yV$cvYy;`*;!zVY_xgBR=I?v#ILf2}FdN zHfF-gV7AVhyH!7B3Ix-FI&6a6<}j+sWJqr|HPnWboV2`@95Bj4LYcQ1b%tG~qc=7tjOn}(+NeY)ZG~D8~i5skzG{h;$!pH?NBPTtbO28%5?dH{yo2iLS zxN5fK{J4dK84~u2Hbe#el~^0ZAf%QoP>2@wQVlt_q;G+6fYYckZwty$Y0bb4h9xMW9bbOQ1=o5dWr6jhZ%fb1!+>8@A?dOhq@QhS6tQH?M;< zrDvw1yP%P&Ysg9wwdki6K_ORDS(&-023k88mGD-G5>waMl#=b!%o*0qR7ImcYDHt9 zN3VlU%g){e0_Xo#uMXxR$Bq~sD`NO5H6WUbFh z*{sjo2(6zB@z#@kkJD2C4)56WH>IcKa6L6!ACLM#aZ#t2dv`8GJ}o_s_ycay(2xpw z%1qys`vV@K@El7-pSTCJ-0bw!jTu~RUb71LL8jCrp_+I+(QG}m>t<49(DPKcyeuz? zK@-y8`PeccTYvk6)CsAR^f7ws-@PhfbIK-f(?iPBA?3ljsVSM0jhtwoUI9kP-D-2n z#>~DxOw#r9oi^qg{{=I7U?bJbbId_I{hUTnn%(-NQSpv`%5@|45gG$!4aV!k$E7~z z|77d^fX`VO85rmxB9;xHZd2C!z7FYCDY+P1hzY(oS+8o#V{3amv~P-^>d(ER4HdM` zr(N_Ip1d76w{P%a6QD_!XQJ9^aa`rdEtKNz>U>VT-oa5;r@6hlBa#Iz$;(X7nH{+Wv0j@ur@`cbVUtHXp7d1)dKQ%RmS9Gs zgmN*Lk1oM1I6X5hCI+&JcwIgZfB_3H%2H*fqCSwVEn_hskIR`PVy!tAU-Uc96)?-F zvHtft9B?+cWqUjPQ68qqOl8QwhJC;Nc6y}Gi-;knMRbh=feD?xXVXYdo&x>pM zyt=qvs4CgD$Rl-*9Z|Apktab{_M3_1NBC%I;h{hz>6@a9yG$N&Ppk(EwtSuD*`+l1 z#u@-!#C#)w62OV0leR8ZJO!8f-Qq3upz@T|?-s>d%=bRk-0v2j-%V7WYVUW8*24#T zpK22VA~6f_E^WxO3p^|tmUulATFl58!-6}fzroDuM|h8`FyFV^V?O{)4H+!xqAGDuCoc`|sbI8f7h2VYRs~rq%R&gG$J9;_Z2J`7_fi}Lt&HqS z@Vuk*E{(8CLx;G>jCMfVU0Fgk6J}xscsqP7e4+aoB;(PsvScj#CCNzcD%rKnQ(PbE zkp&KoLKqlL>u7OHtT zo8ss4^{_0AQ#1yTbaQzE3g?96?CLLL6j_;mzn6y;oA?>XrqY7q5O0VIv|#B7iU{j1 zoWZEsw~L{oWuIF+oM^UL;3gzGNs<9Yqaz$x# zYJb?9-`mvrp|C7HY8kFtP^IU zcEth8_IHa1VyA;I%;A!^Ooq`@wKj9_e&&D)*}&cywqcSQjp65231UsJFU$ zW^Js00UT?+F|ZI+Q9G$hSfh4y@#qONg-=LV7Rj<^7EUOw`pSKri`8?)2SdasbUQsf zZhV~mOwmIB*9)xL*EQA%`x$XXh`3NEGzhie&3VMwozayw-$rBVmizh*8^U+u!a#9F zFd~O^(nnZ>>ff&^e!vPE`l7w$IO&^ZDyXNnBbbX~4qzVWB+XA;pN_V7-s_b`Q#%O+ zJ+lxysYo!4(#Q9fPglY!+Ag)F&{f^Hug9ZoEwkA-TFhkrs?(4R)*$=Zb>3f7vl$IU<~neix%qVHKd*u;ZL3)X?A;3bl&(j0VYLYxkq5 zChRZiwkNkxboU$-oJSF0JL1a17>oq$e=)&t4NJjW=Pwr$rNT_C`ff+Vb|SR7D)Q?C zDExfrq^1uLP2FIqpLC`oh>bk)3#DsJG*l#^BY%sKx>g7vh%rjrrCZo@TZ6AOW|HmL{3UX+_nQcsp>MW&5=38SBP~O z7%2n>Mwg9?iu9(E7Y5`2!zq#;srw2zF2*BDrCld6v?-!qTD)WI<0dMai)g>@MtyOu zsgy>78j507nnk+bRO+#{$j^9et>L0J9HD$d0H}Z@fr@e#=1uz+EeKHDBCR=aQsTQE zjXlaNg;w?E$I4m@(tQG}(7aU~3n+J5iuJK^IhzFp2EcM#z`4a)MZZ5=%p*oS1yuE~ z-g{_~U&ZO9XDBi-P~i8TN2C~~8P$Pnf0Vx`bpB9}O$AkdoiFRLo5(&98X?HS(ZYI2 zbAtr&KF-DaIQlt_VANqTP@p^mryCL@-Ux+2ib3O;i!R(Z6rukwAf>?9Ae12p_HQgj zk;+~B!*Jooa7fjm=x}j4G{OQMVqekaPgc{|*Zb|95Q_oxtl4A3w_sh_uk^6<5670; zPr{t5p6Rg!dn|#vvU%goqV1ecdONlha)ev<lxQ5>oYd?t_)idd-ZGmR- z2Hbin+>CmmK3ifzBF|BriHO9tWEEgALfAKEuCdTia`YvyKAzx%y-tdVRo14eF;0y| z5H1;3YtDg^2MNX-G$870NV8uu`Iux~w10YPJ4b$#yOc3yA-n@6)A7G;pos9+LRp zu7rN1^qZrBihZJ!hIcytag`4=e{?%4-EyYr*E;Ev1_PeL>Q=`y?(m{m8s9aJZe~yJ z5~BrMr)C?d1#8Iyfsuwa-L6?j5vgH!&uX+@!F&zAmEq}7ek<1D z@k20OB(*r}pmh*JZlX*Vtq2$5PpK(NA=@K|@g`&EN~@O?xz)w|X0$n4{!lXf4xnKy znNJS)RfR3`Ub64!ac-m2Tg=tTFwag$1c*tW-@HPdVAholzm@C}X&%H>SGNB)MLrwx zTZ>#E-xNK76f^GY;~T6Sjl=DB_q0`9z70`c8{!~B2nChfNiA`0pYK+Vz1ZaV~a2NWC2eeaEWvp6^ zwpT)AP{-w{W7DEiOM!LwHTyE=muj|k&OkL=jk^QYjG<<6 zKU=f$QKoilbyQNDwNh7RhtieC&yl?`{VR1Nj6XG3_0HeD6F}A4j!IRJZaCY&VzQ)? ztsR7V$+5aRv%E#;m9{`}L~9@hH;v;9hT-Q5g4x8Ri?RqK{=rC+PaDMAsW1#&m88K< zE!>}kc~m>IEK?qlZP>L&SqIwMdv%J)NKI+b_Lz>tLF{;Sp+@bfk##Mqv$}7n_Kax_ zbm%>=Yv57IZ$~#m$+0?=9vE(45FB2MQxwFRd~yX-*%~6a`Sfv)XlsV}!_lq(ct#%F7Hyyo_d zY5&p8>ICL2H%F0P+RK)&hFM=?qe#kE=951i&DAJ3*Z{SvI6uKl zl%f6?ia_I1DFGl`E@zf+IS{CUo+o-BTOegxW!u{wb@!6M37>F_=*ldTVQkcGL*_3> zBfja@1*MJ^SAAuVBx0a?2z|mfP_nxwdK-`=d4QBOpu#|0K^qQbOMbLUv~>y%_BG_T zNp3ByQlV8aDcD)R&ENXvtj3%#&{Mp*50rI<>Go?%_Ta78l1yks9HJTHK?dp2YFG|1 ztSuq-2X*h68RChPy976O8)`egn^l!J1uPxpBlGhIqSN_zq&E4a9w_k-+Ugg$TQOVD z>jn}11+fbw6V_Mkq^h~4*Dl^=*IG`nw;b9juE_TTbFaOi!E&3TLhw%v#3)oJp|}p7 zw8(m;AnCg~J~bNXS+C@vl!1~_U&ExUudl)7A{<4bO7E(kCzppl^|Q%nba-hVDO!jV zW?)a~Xgg(o*G>s{T?_QCu5t=bSKHY1L3^l{it@!W9&Y+%+P&@3yr@7DM znDS{8%SPT_d=&dp;HSU@Dv6F5kR52<41*Ut4l{0=m$PbRE^=f#w^#Y1LG@^ZM_i#37lwdxIwK|m+9@wY z11o1C?4jY<3|py6at*01uB6SFnEYW5h@>aMt^dN=DRjZ9ajv1t(6r-xtH;nJH1-); za_bTeFHQX+4GUx%44&%ILcXh4RcdiC#9VSOYb-iUL4#qF80uBELy~YTz>moK3~sd_ zkaY-TRf;ZvJgc4C|k>EQj-Ntx5oqW%=e z95;>Fpae!Kg6H6wsVlqfcACRXmG6+_>6HuIHXoxxzk>Xrj4Km# z*yKw@NWoMFZK&frmcN=xG$Ro&>9z4Z8TXQ&n@Z_0A4J|COhpfXi?j+(W^dZLN?MBG z{ijdbXOWiHmXNmHn>Kx{oG;a}$S3W3Z|NXW6Rs2L%tZbMo`SgW&{dk1KRb~w8zu8p znS0X5{PJVtG0Tab3SSgCR09w$jO8Ar&}fIPQDcRQfAT+x$fSilS2~`v*A|8KZ*TO> z=nC}A=)`<~H?3he8>rTfYUPXszCSV`lBTRe?e4~c?x%B?6?8w9JGY?w$y{SW_YO;R zL3e>=_ODM_XH|TFLBM|S7j!1Aui#V##~zi#taf~$cI;F;zGk+01>G;?E-L8$ZSL%X z?&mCb7j!>sol%iJruZ0gJT;&e_U8B-EjCL#&TAe2(Aq%S?{gOvbib4{(}ukM7kM2D zzYgk_`Z*r=bNtcI@u?r>^=eMEEvBIR50tJ7)S372!T)2etXK!b_?lVlr*+(^bv&T0SP0|uTA!j)i*xTO=oVzwiPlFeGGHNH z>+_C}RgMmoW1QLs$*||lwt@0eux#&PQa?U=20q^TWtb;VkklGj?eEu*0O*Ey-S z)Pn9^)|83|!mnL?D&D*f`EcIle8^G69Q#?t;p^aS#dFuu{1vsZa<8@G6`x*56IEER zgQXQmuS3==jMpKb6_c(f@yzR?`St7JbJ%q>e8tM^X_W5kX%vh8I)t@p;&mi$yPj_F z*7)nl`8T&-N8&HXT!%czUr!5GT!(I{2)mvRd;WTw`;XTnqEB59&FbsugR(VAr<*Lf zo#Ifi2tl@vaFknM-%lcYho3eA0D_xNiE@;= zYDOtn!ewiCt~o(W^0%AHgwytuLhV2%3MTDmm)aXklHVUFmF!)DF^E3{fs=~Qc%s+tV;Q&Z}{}<=~if1d3iZ)8Mk=Ii6VU+QU zwL;x5BGoUrAC%&QdCl*Qyr!BTu5cjZfjFudx<~z)%nj(DU*e~u#_con89YIm`Oqqr z9qTm7Eijsk#?qOEuBZ00O3Ms8Etp>7<~MhUV#ZF>!(qyGguacnuTd9Zk^NT8y1k}1 z7Ll-mgi`=$Mv@YanKxYJ&CVA^8-fR#5q>9};oDv@d&ZO&(`cO(MX4~4mk>S2A1v}K zZo|_vI7B#ETu4)d1*F}kt|C(>rNk*|HKy+%!QV~5ycYF;n0Sm6l}<`=+~t@l9HW{-A~v;k%2oriP9oaPtxPJ z@)3B8ch=$;RyvzstI15*Cn5CqU1;VCxYka z^~Qg8n>>TXd1G^NwwkPpl++Yee097M+2?N-ph4oX`htV|M(N7BmSgU;?>5Yxw6y0? zi6IMQW5k!+Jfhv7i3rWMzwGktpY!p!vAGB???g9Zw1}s1FTFRgM|5`qA|LQpE55lK7TW9HeOuZDdQ|WJ5y8^cZV>}*f2vuG_K#R|= zdyF1iAfjzNHh=q>1aYjHcJBVo4bQE`pP9zE@|1<>%buvnifdX27!iuR-<*@||b)X2Y08qre2svNiH++To z&FRFEWNQj~bHX?NP^~ zdwUcHTBG#0aX;UqPL8GeHSarc6j6%~`zc|r6Z`mTYcwYQMN{;{KeH}Qc6;Y&PapEsp6>Y35Ykj~x zq9nX9Y~RI)Cynexu1#aFy)TIo2fX- zYcQ`1tp|pwl*Qjd<8xYOz3-hh;y*9Cv6_N??z2C%1evgS^?igZq8^3KfnzhOOuveF z`4l)u$LP`dX_s)%1VZlN+R+=;sVlqs0Qs+S^tcTD;k=+L3teRv_grw}>KZ*RiMuZ1 z*y(pwaPZojUVBdK{IKjMp8F)^PO>c_XBu_>#Fs4Pc+f$p8ILdEdfHVK@asy%jN@!< zZ^7?G38#NVzR)Vz+Q?kOXrhyfZ!fiEV~rJi@Oi+d1W_!hkU-4y(WS^2+eALJA6(&G zo?Ywt=LNS1e1UrwPFmf839AxH?2>zg>cgdI3Zryi6dblCyC{RN>5MDzuL1P|<%T}G z#C(_CB=uP|#Z9#M%};QgITm%IqsSZ^5nE1WcuUlkxzPM_DHmq!eve`B=*+umk}p$u10^CW*#&jpKjZ z%2^dS_FMYR7^PhtJG_y@kfskt%bHP2le7aLfDrU?Ea4H5B_BFs4)|sZ=C^ zCA*L_y2B2Q^LM1pyi&lm;F4MWHW2V0P$r+EI2y(Pime0-sej1lt($48AboqYY#jyK zO@X9`_>hP&G=J_fN5rg(?L3knTVv{GAPaQ+adSlIMDRcK-z~6N5Ps;6+%}`oJ!`w6 zuq6`bq&|U-Ev}Btc2%nZa69Z2WuKFMOqvPl8M7gqSsjFV5bvp zRzMUdnQFWJxbA;vG;x`pMK^&q)=B?6TDHHO9~dfOzV|Y>w>8i@kB_FXo+2$Ci_Xjq zi%!Xnh+bozgo2!V9iOl~{~D7k*lCZpwPNH*(!^WbwSYt|( zT9GS`hcO&UK+!n=X!Lt(#=YlhZe)fnFU&fRD8^8OL`Z>67DTMW;EA?b4ajhiBixf0 zfG+`#TRhPjR{9#^80v{$XB~>KfsOzecVGmvbM&}zyxV&k(w8U{Qyv6hbzkKL;hZF_ zn78mVh)9?2y&0nf6?E9mnRaQnAB@>PZuG5lygO2s9IYAq7~*j}dabDw?-Hud4?lo# zS{yNo4$n_Vzk6q8{!k3hMcAX&sbbNCtwVQuwl`IqIw9YRBpizlw#Wx+k=!rQNke2h zx}5)!OQcbGK1!6^9iuWA=~u#nFgbi>DE{IQPg;pWSPnLVptW7zxaHbW+#a;G9hw7$ z@F(k#CGov_DdNiIKUw@n9w|KO!A6HL`N6)*RwvU|8ZwPh3J&&3qA@)BR_n{o|H@R6 zP4m~Ayz>j3Jcwge*-v(`rBR3PT-Xo{@CQk;0BVRz_A5-;kb?4HFd~OObK{H(c~Ze)9bw#kke0m z#WvQUm>BH5&q%RuLhWO)n-#7TY>rN`ZK9e|aeQV(Oz`0Ve+-P1ABmNpXJ8X?i@5fq z#F@A#r}i?4xR;rghB;ZL!aD45PJM)Lq^GiR)&f7Qk=C-lxxw435MK-tKY*i_k3$|C zZV_szPt}ph^9@#L1IV`5V)SmPbK;!}V}v@@N#QV3{)MNsz&^Z)G4m+hwVuO6Lul(# zt8hbKheF2E;}|n2<8#9Cj>d7v4F{e7k;f|TxmtUJ*K>t~XHFSBC8l;7@s@ZnKgn-b z=Z?mcLy-SW;joW~N+)d^Q|gqsHA3-hn)r64Q43YHk4LC=zQ^wfe$LBWx`jsZM$mot z7@@)W51A9>dpbYd%LxqT*ojpRPSAWh@CMlfg(?Mej!*@^@(Bb)m@jD@?2bjMDl9?ga&`?eBO3$bntXI+an_jXWl3$huB((thi zWt%Aus_%3iBoth>q zsc!IIC;jJ^QkY}~*b9o%HuE8Iz0g{Ro!E3z`i%w;q*boHEr=|6YlC`zI+8wOquR1u501Z z2`fAfiw~`L_M`Q7(E4}0C!ld$tD(mE2f20l?B+K^mDVA>jmQgxkP?Nwc`i}&oOgq2 z6Ap`j)m7}Ebtr#t6YQ;M_1UDR2 zPHeZunBRNql3X9|hxuR#)dy$ZqB1s`XW(R6s>Yyc@aS5{@lG+KZ0EY-qveh3cpOW} zXzMABt=)N|tZ|)F(n-J8;k^>d$=b?!!93^mpUL^1sg!f=Pvrdfn|*U887t#C-TX5- zS&2rtwjQHE6NXov^pPoL++1pHBr+Q~nY_m4vUS*7^l_Lhu{)XyvbG|ImX^G{ubV6`oPCL?BQ2emzVsIO zDg+b$KS;Ab1oY5B1c-FUakOVkOqIm%7YuS;8dRWWoDVevQBs&+!%+Y2s`pTH#G8V8 z#8(QR{6f6oW4(z>lJ^bdtHtItG3g)=udFPw?SsWOibqdTITXS<;KLQ4^cHh%j^;yD zgi$&(k|NLKA_gL^;*C^qod-%rJhG+{gGhpf!(4_JjkF~38!P1-JLMZv0x`f4Ivm{G zRAM>~p~JqtNOVPwqu%ew6lMj1Leu{dg~NW5!daZc!-DB3*|~H+$3;%zIc&QrF&*X< z7Ea+5Y7oBnLmqw{2nyfup>UwCf|Vw7WF=y5iq@H_b#>C>Z(z4zNu}u*ddnBRVqCr~ zbs$N@&cNb&xIapE?d!%SdkC7e=BrY~L6=WnCtqtX#(qT_C5+NNU%{nWz9v<^aglDc zksi3?D@00He6g-i9Su}u#kgML21Q_vDSZ?tb=5J$`5}HNV*7 zL5!K+*5;4>#;{ob&qrQC4cqzuIQiic83+GxFR-!&|_dAXv-sp+2$ z|G($T)a!4c$;6fWhyUMl<+k3|`2~@Jm46{p^%Cfd&#+G zVF`+u0lrKdcHOjaMUTNArPi-9!5L_DhX(y=KIJs0eBAkn8U~19jxW{1ZPkBP8{ZQZgfOqrVw}-I`%! zM*K%MBQB8{ar(z*L{qOBA>BEw)bVg=K@4%`L0c~(6}f@%kmJ9 z%-@rdX014|PR#eGh>1W1SqyMq*r9y?{yGF93G#j;%OVUFBo|h zZ&u?kv(c5MtTXGR7qt`<(0eVGuI=uB?a5SJv-n<9W{|YTB2o!UllbcFlvG+#4828O zot={EU7ei_znT*7z`Z&{v_HQ(8^!ZOgK_V`*Bb80g4h2O4dfhNGwLm3>+|{{)$I z+Q<+n-{YnB4QWKD^JE_vG%9Kb_%7t7 z0qL*wltAwVU4EneWJCZq(3eXZ%I~)Uox5dh$B`H0(BkGMqZ&t zY%c;c;oZf_H`vaHh%6kSfd$jE}wJD=sXD>NQLxB=dhbx7-&fI}a;gcaCph~@BFlY}?J-&l?CGf3G& zwY+A>Ne5kyMIOh~enKVdIN5Qk@Fdez71lBF0NoYyoQgFlWpiMAvO z(O@`RLpMT5>M2R;DVyq-0L~OAQ4GSl+fi@4H4D2dcC#gP+W3kTOKR3;{ro%UM?@HA z=o74&lb3D8Nx<^S-Ba{t`5f(B98#XWHHTggt-pQ#Bz@vmI@I@9`g=1|r|1o{?!J5S z)X2!GQ|`Fq4t@Oc#f$YRxw#wH;|y4wH=CAjNl&E%spD}xb$Vu&b^QkAyilwJWwOD+ z-Bgti%b(K~R)rRvZw(j5xV7QMV>-2(&*dxjI`KTNGC#4Q?vnf;UN_MLZq5;P5U3B#uXJkdl@X2m@B4UM@fmyV z2Rm3%oM-AcJ^S>R-!8FwXpsO18W}-^V7$>Uyx4!FpG7n8@*nP~eB+HJ`y)Rd7yfD& z4&4mz){37-YjRfF$KXxYu75L?s2!}=$DoEocWhJNJ<~d|V4F4u-q4R#&e1VALw6Lm z$%i08j5Vw%RvV&^&a?*X_{!rhtnn*|W^Y-$@Yj0aEt3hKf3S8T)aW~5#?5}k{v;G5l8REIjA@t?ZBPeuyRe` zSMnSSjQ`Ox%V6{G|Et|Up?bs#EpC{nRUW{**AX3a`^x=`KOU#3o~mQV7~a6jWp|XA zj{q+>Md88)3ir-M3_<*LX+|mFD#kYq7{xgAC4diF@;i#Y?eV>iw|*k9l00mm^}CKx zFU1f3LBH$t#xHv1EZ+Y|*Rkja z(DQLBj7~ZjQHnzt?a4am0iqDr5=N@!cv8-ij+D@0e4~gj#3S}y$LWl89i`#=gud$t z8>Q>~m@H{<-*v1|&~;Fa^xt>${IPgU!!-8oMMBx=Zd38WxQ9|ScvJN7fgH=Ys0Q9? zojjCQihAJV6w?H6Xi-{0ZID6C)r3k_5Oh7yq#o9sFu5=@H@+zDu@~rup zL6sJD$GNBRq3t-YIlK~o>W(Guzw6_1Sot})`dEU{G{$~%{{)06t~xfTe#=dRj%;11 zXfSX)igh$~G!{0oW6CFP5BJ`dZegX$_hU#K>eYPSM+P0Sj=JA6Vt!OpUXXZLJQz{m zarxrU34il`cS^!uDv4St8vE1NY{!|HOsbDp73u~9rK)=aBU ze()ISTdvh#acTX+*ln#i6z^aI)<`Wnu9+-@%2?Q}<9Xvq+1mX(wT4sobA{6wfgh8q zgvK4+EX?+WvvJaK-eqtA#t4^oZltT>EFBbgTsWwcHr`RHIzE}sd5dG@H?XUm`)J*T zKR^Rl6OEFS`OlKYVd9$MvyNGY^Pl34g_qO?=iK62t?Ib4BuZo7r}QdQB3|ioSQf=F zc5lojPhPAteD`4Z&bgGsS|Gyq0iS&Yn>HNqnz{NU;%l~x{@;lON0k0H$CDV z4r1h;$`eHCPHXezx2O{Jy9E4pwmNU1l+}}H?5A}c6s>Y3dW7T7Ct;e%!ysNdT5q{& zN7vpk%SZ#>yzPV77B6vERIk9-vqm1C7nV;3Q7a^v!x1u_^2khiS zF}F-=?a6NM!0bGbn2^LNXp}?B#2Kpwp*@VVYVrcb;i|S-2lH0(#z5SsMU6WlK6mEI z&6GzB^}T+(e4TUJs#kvScK)APHMS7>0$9=FoaabmJ{eBsw|=Uqo8*UhQE%gkH)P^$ zui`q7&9RN-tPwze6bjA_5@Um@#q)+IuUdUfep;^2$BrjF)PP?fOH7bojMjL-!#rco zYO&}8ZtfY6rE*Eb@K}QKMs0qRIPV#AK`R`06kUNWgSS$NZQ>PQBj!@Wx2}@~ABHtt z)YRaNh-7@8F8qu|eP&fhRjo}vrU>k>D1N`j^dDAY`>$}OxIu{Tv7Zv@_^Wy`={N%U z@IK#ZM`lFbm=o~KQ*|>sW9`hi74+lrNn#aJ(d%*PHgwLj+}MIb_0&5JR#(}aSlKTX!GRx)BDAo zNeB@ysc>H{ZiqZ?ukw8jD-s4-r|v+N)wYNakm_X|Q-*>B96_OzO4!cICuoO(p>k5b zdt{CEcp+zw^Dkn)+u6Vq;uY3>-9Km|(Njv4i;npo&N1-n1dgMtv!8;!UquGS$oguW zw$%V-;kWbDcC~eg;b3)gVAM?O4Z?9Ko`YJp^VAdHAXIW9^oa8U1p7b;O;bl?4|0B? zw1N(Y`6fVGS4@OJ@E-g)d!1fQEV9=NETde9^wt~p;bgp4112sw#eG~|ece$UT9QFa zW0+~UT_)_5=I_NewH935u9NPW2n(Q9%E0W$&1-mz%hWa3vIGHl*k~fgH;=$=MBH7H zCL%HeC(*g7nv;3!9OIo2ZB^@^JqT~8r}vD`4|ksTy$nU#;K-mi57$y0Nz6V>uyoP` zZKb5J)QB37RO9f!-{a)Fp1HTVBhHG45YJl!azEn}S1jSRwEJ zE|Zokm=kQx!s#Q3(dnXj+Dn(YT~QM-*h5DK<6yxa>%%YwM$p?Q(W|MVDQ+}?TnWV4 zb#fj~@{}aC`zDGeZp4Hz>o63r!rP$(JRrA#IaYd{BOSj1v7NYD*cD=V9|?HeAh){u zNR)i8Uuf*E<6V;#PG{TNrjLv03HE1LU*(Xff_V7@ou#vi{T1)L0m#Cs6Vc$oNJ;}__rsWvJF8EAH95yz0sj!w;e1(BhZJWs8`j-(9|S}?IED4@vX z##`yonnBSyXtm)gL#4~qqqbMN#tDG}zTIyCBM?0OD?3L$_TNOK;F7T87$XoiaA6-R|wDbzDLfCNRgA(z{ zOA~q8gS;6bd)`~f#wcY`+2|92aA5h|}}{*iPm{2LzUKa^HMJU1pO6rAfW!PzuP6qF8Ye(Y7@Lv-6<#S?-d%20_jjX?!s zyLyx;wb3wlptZWpMWfLOkzb8`Y`FHS zp0QyN7e+aPDX`PBmNyI+PppG|OS}`V^d3bDK3wQ1wfN(Nl+(@{oH$DILrMwrKT6x= z3eeEz54?1pGwOlWG)D5@h?h~7Ixj%Mqz$Syxp6|P4Km$>wwjJZHDw}n(k|rgLxS{9 z3&}=*5)dKQf%9)|J;ljx=X=OQ)Z(N?y9o#41)x^s$E0H#M{F1r{>sCH!Ud}1aQG`8 z9)z=I9Vs4Ob3?tX%?*`~W#0aQ&Ou#-bO(%(SItF>nL#rS6)qZ!Ssvc+@K6su zPB}SW$GGS95)G#*ZTwKhOC*{?(9k;BOZ5H-aF9tGc88U%+MO@VnKM(LYoy5|ZAw5;GWX}Rprn$eTV z+VkzqyjT94r|0fcl=ksuI%z){LMA&V$c<4%`g=Tr|YB$pc&cSL751lS-HWE`aQ|3&g z<22*URAXh6mr`UYc-tme3TT38x{uqG)Bpj`e!tJ!`y9}*-+%u9_x*g{_w#wt4d?8= z_PRdnSaU)E-d2YXrD+E(-g>$Dr+-f0_M%X>6*_tK-*^qPVz zeD;h?#aeI)zq=If+4v^jaMWt*loF3y2b?6KDl4%cvkDKF;D*ztem4d4r2Jm1*gMAl zNbK;$$70dyWV-h%?k#&HR>UnZ47|obrrCzvn8dQLjFm47@WRnaZroAr2NX7dX80yEx^Gv%-5vFSx>E_9y`h9W02c zdu`uU7mw16J9$$nK=TBvJ&Q~TSsNMvrUUc<936mM!)R$+q|9h4Gjylg)CV_mH**S< zYs6F^bCkm0f}p^90{JdV-!ngfqBM3DMq?s4R51c={aA+{JV?EPN<|OhOpXJ}ks!xp zVN`mzSsH;+ud}D`K|rt7sB7Lz8jwL5tkz2d_4=2if(tUGa>RAH!h;@1IR3Xd6$INosg&;>?0tg2W$+Nug8DvuR?vQKXc35Z?m9@k zWtZ|2!eM(;!39v5y8IaN3?^teVhp!L|I(Ho#`8M=!aXd1m)?8Zm%w@u)Zr zty#Rc;11!0^mt_rFP92F&+3iF!(>jPa-MGZ!u$}3<#G}rH0T^DD5-jIxp5{~$WySt zLeOhn$pFhZla3|}VzFAjwhB*-$+qbdDF;qfF=VUF4Yi4RTIJV0LZ!r=_KteEL+qt! zo+N^3tjhS1=Ap1T<@aA-_Tt zKEn%KAb#Q43@-lcrGjz?f)M%-DoA-y0@YMGk4ZSko(SnVJZkE$*Q`Gmy4- zKN?}RP6a@2#=Z7*%J-T?!m31%^oVD$mr3(E6f%J>j8Wb3-%({nNk)%)J7f62Nh4p5 zT{<688heN-B?qp(-g`kW!VwO<<0PVli+hOWkgk_r0a_z|WBxHjP{&*&Zi>M-OapXz zhw0>K_+I-#Y4z&K|6WcAxjn)xgi%I9p11?~ zxX>FQKTc^wnm!o)Y{M9zTW<0`zC6qKD6nYS4p7mX^1&(`r+5xS>v5_85T8b|o8UxK z)GiixW)z<;cu=1gOc-VnPW;NeR@8=kIiMy&qupKY?w^urz7aF}6O4rhu}vMQru4pt546kl6_Oz)0ZXTR6QM)uO`!0BazC45=WfzVLcMLWg&H{m0do$(X}v zvHH%}cX|;?V>$yVGo6Jwia2pUouVs$z}bs%K@DC39zbJM3K`4YF9A0e|pa$OC+9i5ID{tq^hUg36TIW~6Q!FCOM=C&!RBoXYAIf+H z5l2u>Fb{%%f)G_`hsuWSIw&@Z9TnB7h@Ra7=l6diHu*nhk~lM}U?3g3C3El*wY=FP z)~MA`jzwyRn=9_m<+WojxDpP)AI#D!s#o42Ukb{VqbvZ-$c?aFcxYs0AC}6R2bym` zoT)6qIY5U*`H?2(c^$h$Pr^S0=0nNt(eK$(LZ;62&Z4KpS|ASW&~lJ~=)sT8MBQ-W z7EK|66EsJS&6roch6$Q)vXo6eXXBvUo1dsEVVd&6ZS?i?^iWZ+hDDUDrndCXdFARc zWVu)OVB#E5f{<*Z3;eH>BFzJi*Te4{Vc?M?!KEjL08ardV*>()1&H4FcALp-*SRRr zkqe0nfTVt86Q<%!2>Jo&6{L+7O=DnrG9C5^zd0aQ8C+7P@^&)%YXNs8ZsvTV_W(ZY z?xjK)gsfyZ5)yZEolRbOLlPmsE#9Za%}`{eZ!VaLo-~6<$%zS^u@_oa+lBNE^X`-$ zh>~X5)9Z@v6QOrUlwI7sM|>b^^k!{R9BIBD+qE>0?InLT9%@_onwLE=i5uKbft?8$HOHfFBAco4RyEUXQgA=dME-kQ% z^R4P<;gJw^Q-{9p{`zBbB<|`!HGN#fC3+%K^o{)BLT*f?mVN^y= zRFOqQjxtXuBPWJF#)zAFFZ3Znq%01i`PvUM=~qI=JoCIiVVL;(9$t}V#)}PEXqJf5 zNpTP*xw|?bBC1YrC`Zd5lSY_MNsi^9HiD06L}57q%Ir>`%Il!Yufuha zrfh$~KK;*k_@f5(&s)T9DQ94SB|rmK3O{#bD^&l<-yH-g5k@@;DL8t>hcT;|UMoDM zgTu54LK_s8Mg=lY+L3HAZ4Y=wKD>vv_1Y)Zx{vYw{HJ|{El3vZ*i>{3>NMnj=mEO3 z1V>U{)qn;;`+AKFd8(XCPurd8wS^X^So^6pP}_gk3AjUA)(Z)g&Y)+PVyZ3Cpyj1I zl=-HcG{8gmzP@+@prAjA>{U3I&Ob@8m^ak%8z(n9X$+Aj+@5tUh@4@XJf)7Mua{??#awSc&l3+T=%Eed@SRr~6 z?;j}c(X!(ycM$GgV-=i$l57Hk>=`MgIBF$>NVhgt)5*?pfk!MnD-gQ_U&qb@XIpnIEV^72{WD(?P+-h^; z(Yc%i`Z|yv45D8b!#T}Sn=>pyupQRKoJ2r;dA*dBwgqEd2r|agrO)TP;2Q`I&={F& z?XR^$K|@LPIOvdY3`p%%T2+|h$ON_rZ^&hPgob0J{5Z%YoA{hz+j<8}?sXlrszmoX zK+|wu3rr~pM^`z+vO<6VWc3IAUEp+%-TU)?phlkMj5z(Xjruwmne-?>BZN*ZvHa@t8JG&`!XB_FYo~A z@RjYI<3$aI)lZC`W6TpL4#Ck^;W;FE_LC@pXUqB)xCbI3t1kxI++1WWIU<0w10`2a zQq3;nszE7=!%-Kp2hL5NjkLm}Dm<-{XDfg6weZRXhLaqiU+@TZZvZcu02G>5-%(T* z+=HM$5CoC!f!5dR6fJ(45`S7Op&RJjAkQ$Ea+cEgKwlV>+cXZwe1zk=8P zFACm{5KH|iT@;DMYuwC;ELY9t=+)qsAypy0#JhV?2q7V+nghkv{d_m!)xZS7dryUD z2gS@mE&ao_q-`m);`P)+y>CC*=k3$O;K$qtC}I7xhxS%*1OyCm#5KG*jCi~cgYiZ@ z2`-LBA1(sd0D2evzPqaw7_}?Iy$;ZNBorK}kyJ{Ax2!Zmx|^bCj7&!C@9a2`35F;s z2G0?RgCqvwy~K7?yWw>vJ<_MU^}XaEK}syq9Ei8bt%^y`qw7N#>SgFPu`BhE|H^Mt zQu!W$#x!1;+xryZJoFeI5Ak;Jm51x-hp~Zx<+_;@L%0CR&}oe0o1s$JTPg*(Lx8tEwLPzg7<))8B+4g`_^~xI~t$ZM%9R2r~{-{ zLR@8U5oN=720lvm!az5K+yTAg{7`=emL{5ZNf<)`&7CGwXJj2F4qqDiYOEChTI|v{ zVrzlPg=EbABh%xR`?+7yQvD&1VtJ>5~5=$~kYu>!NJZ8ztb3fjf}WjQAG zL`i76!#iYQwwX*PqZGeZV>PR_n{u(H9!DdiHT6h@V`cg*kxDmjRcXF=bZ2!rr^m_Hw%jI6W>CD4RSt)#UWunX9|b8`6xKCG*SEF4BP z;NmEt9xxUE1FVQPkHFrcPs9pHFT*BokOjb?lq>p!%>p7qJw&EzuFJgR5xRgP9u#$z zlQi)%-(7*?)t^o|4rW_P<_2{hTUQR|o$lps>k15GDX5 zH2j3V3i$951u7$|=3o<2!gYb= z-{^^Vl7)IF_rD-|cslgXu4o|GyEHNx;2#jzYGiN6m6AG&^i|F0X|~fp;WF9YMyG$` z!@fTTA4P&j8&)g^-Fb`V*C+N?1O5L_UX53SFoW=bC3vZZ83`We5!-Ydi=}h0yJiE$ z`=UsbN&%CRK@Zyw?QPkko&dDqz<>~oLsQf>n2s>k2plux5oC;;K^k(^81VkQog9;5 z`M`rtEN`F_p_ZSVGjtK=Yhm+&Z1MIC7YfJ~|_;7Zf03vFpt(BMGmr={;KxH_#KF_eb{sz<^EPZxKA z)~8ZpHHy z4lICx<#x>XO#T)5e&&Zv4e7PMdjr_*lusvQa#)H(wKkpSYru^-lW48Yi9rb9Y_paF zD!=_ZigXIwqV!W?Q3@7qu`z?`ZH0eQgRlx40TZn;x_JPdugIbe9f?57eG1`=qZKJb zL+YYlo&ut{g~dIkJ4u;BW@tBvwi^&%$FN3=17RB$!0C3g`%Gn-oH@4BdHySllwCH0Vc05)M{03z<3zHQYDv8aMt){T_8 zf(2?sZVE6!qhUilF z@rkRoZ?{8X9O3v$P?K*MD?t9Yom#;DZO=9(!?45Hc96Vt3j=-@{e3&o8X~*KD^)h4 z!<(>c{db#^@ruJI;}eLANcHBt$?KoijZ9FCFyE%}hOW^0o%dI?+i`;Ndhgq=qiy7H zHL~rJ9!K~NQM5Y9yktFJLo#zgapiK1i%2AYe+v|{59>B3HVn}z&LEqUrBB2&kfnX=y>!z^yr73{@HMNigvgfa ziJuj#ZZ^!q4ca+Mhey1$6fJ;;CJ_jZlvWs5`p$rGOtzKp2Mn(*rBJ(ELU;AIfbMwH zsuTeyttAWXHt41vWJ?4C5Fq6VCgk6yab|2I`c>S$dY}Lfs-h;f3KP&*{t62T9D}H1 zz%~Pyl5(AkSoKJDXSQntZ0frV3Ttq?BDovSTMnI6!!;)?_UE)7feR*laL;@e+jr~ zQWViKg;__E=uV3etk*3=bh{!OjD3(OixwP0P(vw~hS*{-h)obTQ?QN|@EU33tIF4M zUBtY!B?8At)vW^_Vz+Iffl^{5qDKx(`S4=<-Ihwu=1{`1-n$EM7i1oItMI+BMB< zoZqIrV`L|R1xH}7r%Wf>9b{UYW&&?i0lN}}NT%%P$bY+q(-M$PfguM!bvQ8kY$M*(qw_ug;&kcX;rK^zaR%qnDa08XP1Gx~+N0rEheHAr z35FXq<Rt3?A(3&4kuF#XY0lJ?dv@w^@gFPl&`YFc$Ve#oPrEpPy0z*#r-Lr|}$1f6hMKkYkk}4D_r0jxvrUM>L+dB15Pum-ee9GffA9fCZ}I~k+_qE6LvbRqFfxE1g<^dO=35OTFeu3EU^ zU`L(@f+{;;wj?}zAu5rIawib8}TW`!Tavv&_|$W>y<4o z{lLD=3)4yXt!$)tcKjs+;B>u?6#s#J-4c7wz^0y}g@>lR zzFqgP!K+KX5Djd$FR@bvsl9@Dek|)~4C70$E$9!aOYy_2O@e827BZ6Sk1{epuor?8 z1J`sXSinr>AcT`4|Mo1J1Z-r`5a?=ETqWDUkYkXWcNbu^CASW!+r7T!#G6Kul#Xg+bYXKA^~ zlo;!_hn8DB69zcQKVdTmyU!d`mJfjnLlAcn)gch2vL3I9r|?3gxKdlq*9E1L)g_!_ zl|Zq==ILiXp72(V7i*yfh$tR(S-Hep!bv|7ssipShS^>SUM3}x0R#g{gH8j&;9UT$V+oEh zk+0{s#!xYHA8w$vF$DMv-3{ag`kM{9&ptxlbD#Zv+-LvSdP&Hf;h?+F-9jaw+JLjS zP0wipM?Vij8#Ivr95`6fy$@%61;~nG2rg_={=Qmw3#{pi+n`xRe0V8$Kiw{FmE6!b zbaJnXdT%21S9_cpJ}c->zmSpJ>a(U-dm)d)L=asR3$1`yivnifV;r46$_MsPU_pZ5 z%R|C)spx2}``bjpS&mb7w^aNs&|ihS%Nc-1e7eNF;U0h(3saIys0E%3w`tgSd|kFd zk!%Sz!`e`3B_p=ewCR4>Sk&W`t`8gzS&Dixih7Friyg?)N;ccxzd|+n=T*>{SE-PQ z)Wa3cIJfVu;&4aA65XX!n2u%TM*Y67OMs@Lo<2df&UH+|mtf#VHvw}EfVw86U?&1s zG2tz2yjrV?YH>;k!=4f%zc(EIZmhzA_0$^Fhlc<&G+0u?TPcn!2*wm`%1B_yhQ;6C zxHt*Cyz%8q`a)Z|!JpX%ZrHTVpLrVY+fj@69$efww#yD39=_5sswJMZhKv4$qW${3 zwsl*ry~n^neQN$4*^}Ip?+)}pgYwXG%LIVK6hz4;j?s6z;C;c!W1$wi-&li8q zbq1ghaFpt6T7_STsz=v z^*0Fm`N{(6FSmLfwYDrF*k6N@q&XNxhN!>>ZBDQg;0v9k*`P4_naWRJk;;!`$bFhr z_I}0p9G6jp6ovsRsdR!5XrwdR4Ea?9m#A=iFW3y3Jvi6uj#u{aV1aTPtEF$@Ohx*N zM$or~my8w+6_3u}njm%Wvw{!sw`c*Ay>ZL!-l$bjmMGRs`1(iI`P#403W0zz)&48G zF|MKXE@s~l8<1K_vGF#cK;e=EuE0+fX$zGN)SMeNG1wR|2( z7gI$1oqju0S-Y0TBi7NRBVr)5N!27c>xbppL5IZKsXAS)!&jf0rV+}7bF_=%#(Yv3T*KkSB1a(QD#Zb1a;Sf*T%mMLALhvRH zf0D@tH+T)FP>IlhZXL+p7X@J#cVpn=H*|Gx^7ddo*B|kGFgu(DQ+~Ckl0?^5a3xkO z1^P9Umm`WyT^r%Fb@{PzB22(LSR;MOZPEku)@Z%gwq7;lN7(TCB82D9hB4qJ!swRZ zpU$xy@b^mwmD^{)h<~w~=BM3}>&Sk>r8$vPM3=ez2%`+lYMa2bp^W^plB9YBjy!5k-UiT141Q)LZv{|L z&GYn{iI{Km(jA0LlwLJ@X|!#RG5|d=qhr%E2%BXbF=b0XXe$NSOd#+rA_e z*%dq#!qTp~ouU=s?r$1`SHmF}u5uVCG$y@=blj&10k-QPXwO9nCWaz{P)F{_B`nzn z-03-eo?l;Tm3JDZMY7Ts?!;E6x#JxDeP8mE1i*q$xgaj%ZaUC^QU9BO8@aX{wz$^i zQC`?cgToU~Y7nO>^47{tMV;y*bu2Rt%)4>laCI^mk;vy`<@s%dMhBqx$-+6%slLLbZpt!K_jq& zw2c7}o{Kg6>+ewkJMHF~0PzIAg%A+HK`6Ty8y)xL)SbVq_hgIOdIeka?&KH$LI$$k%qwWyW4>~sjRKXhK{6WKEVP2;4)E9sN zq&34sy>aLSrl1`V5o9{5*&r~3fA-bk)?P$w-kX3o^qt75$|`&{Y@vYBU^qSAV6!sr&vi z_byO8!%%y`YTe}8G^3}?UB5iRn^fj*Ds=?2wdH1SD}PGJWaEg62B$O=d^*Sq)BZq1yC~Y2IMp8f85T-$aA8^KIhI&nH(5fw zupNkvl!(zxhNlqG*>5OzND*Cq>mqETAQ-K`!C6z>;`6V|5oxw~IIifMBd`ts0RmM=L_+#(c@7beK86a)Z$9NG zJw30T1dXTPHP$zW)|88I=pIp!&*`Q7uB*IL!u`7){VUY_7xT;gOS?e-V$^lcju}x- z|2@{IV1cGybK%~`fO7Xlx`MI(u!1I%%a_UqVc$Vv-xRNWv!ap;8Frb}Z{WWbz<&rp z;Ci|+#dUY#6xSWT`?!4S2H#a1p?L65yxx0jaF(EtS$%(AA_?!F_B{%>E!Y*HW5B?j zsv8NuM!IJE?#8<}JA9W!p8C}m^~|$>K*LL_3cD6;(q@NH82Ce$`cv2j-U>Mp@mw&(?bdu(;arnQ%5yO+L4cBa0yWSW*O@`~(g@6~BQIcgpMSufXR=R~&If0xl%*38xgol3jK$NtN}uJ)pSamB;ZMG)P-Tb>G{F zgZ>E_EFfMCcslX4qNxK4G*2h6 zMU55Fgg*Tk`HL3*%HyAEa%urXrXUj2m(=xA%RlSHBLWkmjx3t@(iy6Tm3|nRXGEN4#9y7hYt# zY3D{j6lG~R^$Nh5j}eUZ=J1iE`{veIQ`H)yIgAoW&YFg|fBcBI(P#LXO5;Zrm=?mF zge+l+xL@6;-UsA$wG*`fIXJ>+^1t-Ac^j!diXo}vHua!7?%cLg(N+mc^NwLK!8>}W z$LQ~KAL+A*P!Az%@VXx4>mb3jHOhCHf5GrnX!&xY#^l70$w?TKGjL4KpfNd#V{$G= zK0J#lCn4hd97U0>I9;l1$p@oWKvRAXwAO$haPbQ)!SA{$A0MJzQItL@Dd29$zPNTE zb|~$P@ARS>js=+ui#p5e?hW8(6|H-txYbA@O{t^1>1_A{E)-puI+&V4iQ1+?t;QSD z`m43XI|QHUHehj>1Q$xKlLkCiGy?Se(L0q)v{B{f#kIfe*nS9@dr?JOn+fmt@~(<;CiC)YF8fe zD^4oB%5|F;dfk}XY@CMZI$A)7L5b2WnED6To7JhocysWWHF%>fIN0Hu>kYVkfVcUY z>qYMw*EH|Xt|xqSLK*$J^S|p)aD@Q+DhY+f=77U0O{gFf)=B7ir&D?*6wKAso75~` z7x~~IOE_t?bKnqxrl=5*BiRBvHTsm`ZRgZ09>7G;^$hwqTMNbz2{-JQnozI(&)OFm zm1AnfJ*&7WjWd@Nm*n90 zXCq}nOxN}H8}!;|qv%8oa%FE{8=K1O*xlmLzI zDwGv`SMqdY$YX^JoWr@b~U3Lc;LgfU0S z-l>swgk$Lh*xB0wE%~%C4DdoCgEPvIE+7wmww2~ibPJn@Jo4L=s%|h8z-Bs45GBlu z$4-b6f;VWv)285IldBNESi1z*3jnDTL^5JwY!n1xAe1RF&cOUA!Iwbyh%dEUu~nn2 z0-m5#bYhM45LmE)}dRcQ%cdp20v#rNjR0E52og8k$Q#@9kzLGAqHn<0A{$gI~02YoyBn|(>RIiFsT6RLPtD7UB0s3ID@TUo$1a-|X z^ytJg+I2(@l21_MM@X9h2p^L@5C?Ja<8DyoHf!*3H(`NjA=uF!Sr?jES{KYNEez~) zqKSk6{DZ{6i8>Gz!1LY010yHrU8hOg>JC~OOW3%E@+}n`l&gg)BN0`4lgIvtw{iwT z=x{H|7Q}fm;)1xha-!bKiGM4{T%MBvomkS0ZT2aob=2m?LzsWBRhnv-=EX=0;-tAz z6*&pgOhlWP1ty!AY-ZalnQUdUjmdTm^_ook1+XBCO^jH$C>;D zlb>YrEG9q2nfx~8F zI0#)ae+cYWVtJjqJtfR8iBpG;_4&F=^;B?e6j=%O%Hz4oGB+ZHg|0-=^kAb;l5xYQ zr2cQ-X(S0Yl#$BW55n}vL?91H7ojyEb6n*A(4UZ`xf7%sP9VyYN-0u9>c{XQB(qd6 zVzEQZ0DRZ}t-23Lkavzx19Vuk;8&=tnvmoZ;Td!j+!x><@GRaK6D4jaDehd9;CqT* zB>8A3G|A*03-15Qe&ogY9Or2F{ed(t2u=Ved|rtL<_Fm);FVp4!mzwRtcec?Y&rFp zB-DGyhq`J>muPHLVXBS0@BINmp5Y}n=0oHGMPPvgco7xgNkTugjq17C%SP@8D5_uS zJ%d*OVzD5TRa_;4?D986vfkPkr@lW$ti~tPUohi<1gqEtKaiccGxiV2fc#VMn&_%B zM3j*dUykL(Ry`tlgzYYnP&i^Ab^L0g{GR)x9&7?R5h4Buydn6kW1;&fih-^m3E>@o ztlU_1oYOl{l)}9bra6eT4Z0g5W*F)a8P||nb+gqQ>%Q6Q>(<;oZuKXzZH)Ugf+Lv( zwbVf--J@Kp5rLiGWvrPOlu|`AB>Br;CSVj3=f_r5 zfuVPb@ntZeA6X8<6gK5#PR=Qdzx@G0L3|LiaJ`wXZ^LUf6(mGhR)kj)UyS8b{U(SJ zjU*Q&9)lbJ{Qn=gyaH0cjExjg2Ru+Ge5=qI^3y``GT7-MRkz7f;8E7N?yiuPyS z3KMG1mt&XBk5yseruN<|i(OV83shpn1}Xc~Te1FwCTKVs$UHWfB_IUHRL~Q(z&$0k z6|ti?tT5e@0}E5cM49;@yKnMTJXmc)tX8O9D`Jj#@j}8zKxdz|)Rp zhXi%xxGIF%dYn>q6q?6@lk}OTjwDzDcHtv}XM1NVPiYm+0f@T7NQY_?cUSC(#KfCW zHq``We0%C4B}KdKHZ@3e3$o`>t*cB=f=pZO5D-sdVR2`o1Nkl7XVFTP^uvrKj(#z` zv?@KE{e+TN3!)~x|LQ!;HJ1w8COk@U%U*^^<{HE;Gi;HT9!3!VL)HlbTv1E9h8**e z;iR%XMqiU!`yuO)W3~3fkhaqOe>YV!bY@Id-rj?a;BVZa>#9~-Zkna}ZYh}+TA(d% zoD*8S_rPL2TfD;50SiaLm%?qbl*Nt57wN-?P0T{92;Y|(WLilGw zV&(!I11cB5Br{wFHgVywhNCE?_QIk@NO3nqk&(K&d{?Qvfg-c-gz+7;8w)*MBxa+8R1oBzKa;j7X@C|=7Zzz0bYUG?v1+1fw~O=*PFQzjyR4Ql94Wa z59bYX3+y6u4s2QOyk6#j=jE`gA9W3#XSLo!;>3up?u_>;)qUh^!2OpH=@L5kB17kX z$!Y|;G)|bwG-ZfgP~-jt!R-H4j;;WCK<@@=F6o-$7Z$Zi?vJG07%5i>#Z{6ivB z!?Ds%iH+NPL&l(j7-XcVrfI$Y9bAZXfBn0-Za~Nu6p-*c)<H<7%FE3nR2^Hvo>`)2Cyr2LRoCk#bK0F$U3zVi zB{<478p6`+DA$%H_>NUVaUKo^-ie+;qy=-QE_4qv70-%Sw*Ahan8@E3?!trUe8vmN zmMnV6sTKSBZ@;7dqChd+yf+8FF^LmPU>f3kMh}rwv7bWCNSTP<1e^EY@%ppHd^g$u zrf~b;-|3dZlg0c;=q8yDL;n9eN&|-o{>2SA5Md2W{+3>6M)Auh=V_c8LRddd66 z{Cv83F_pZ{C^=2cznyMI@sii*C3D66C+H@KC*(CVO1>cGd+BBmFKN+B7Kr&T(oHOP zymI0ly`M#74rJJ3?=|k-Am%?zH}yp=b1^;L2+O-o#TSbY*f*^!6*6pvcyo4z=Vq}uSt`DXN+e79 z&x#LNHx&a0w$qL6QvPiC-%7=^Dm-~&ae`EwMYj`7hZl&Ii((aAl1PIG; z6!tT@=ZtnBLQC4-;A2|wm>^b<87$^M9q6(bRKhLMQC^P{*jS!93*A?Xo~sEUmEmvq z*{@G;@?5R&R}?uUdJa($*gyV;_A8(nTYB~;&mk1aLCOl086kQ`=w){GDf8?m4+1(N z0+i&Ljp$BBP^V1gU%ZTXBb-=^p;*HE0-}jYo@Y_mBk1)=eab*si!yO=NX53IGI4sD zr;IW*a0wA2;!KXTy<)?{S@XgBky{pyLG=V;MBUnRj#=a$gr9&p3TT2vv3oMvcCuf z)l&#Laxzn%w{p2747b%FNR*R!(97^xIStxNX_IfCC8z<1!Z8zkBypk=0>N3~r-qXx zQ^{Q9or8BMH6fgBi@+$o29!-OJ^iapSBHU}j`9eqi zzcncr@EYYm;_!ddaCseUwWQ*h)reYOjX*f7-w@Q>+pA4poAM_?&1|nWt6_K5QH^XEw$&2)wYp4z=liIwr9^X>SG-BPP|L&&-;WR*5(BQGKs?}~=E5D2jX zB_xzsytlPvmQbK!Y8*+N|Coj;il6b#>Jp)(yQ>lHl?v+IlJ3q%ueGH6=SH7deN@_2 z(wbl?=}NHpY|0ct&Eq#rxMA`of8Wq}oW55HC0$jZ)09!B4i+VN&EGfBpi<~rswvQw zN~XTnvhDr@H|-4W4DQ+*Xtf2pY(5JH%%)7ma>Pi-8msnV?I1LnHgks5QF26EP;w$P zv70b4xSnZYAx>mR!?yB3FgRku`IXdb(ngWg5Os6>bp$;Y> zx`b1_#~khqE9pK)EXmw1!Gq&DqZR}7xzOUMQ^>qDPyV}9a zd2P<`2dfrm<6!W(I2|c>k~g;G3=j_azn*@FFw<7wC z;$}i}uzC0y$ZHt4_tvo-0!?`1!}gHCT5amjL^N+k*>V3u*+a;;Vp7*|P^qzB<}ioj zVd{BkDZlUNGCdD%-el>Gc5O|mCFYRV0$S)eVqYF>JsYZ)-Z-rt4{cqYLSK8+%l6iA zMEr#sdfy%POYi=Zzl+_MN6n!1zAcw+JRWYfox?NYukft4t01^T6jhP)Nk}M?R(~5r zURp_ayD1n9^0=M&>e9+-7;oU1H4l7mZl_))SzGOdzZ^PK+PJF)5lG$iS=E| zqmD91pe8F)$bv#AU|fTW5aB^I>Il?WA|*&%C5KCVu9q;Xc_dN@hljG| zw;J+Adm~cfx!&HC)c6qy&gioPr5=ftnskAB$gd?cQsVNy^|bWX6OB?AM@pS7rLoi0 zphw~9I|HNH(+~nv4$MxRnKb@Vef&wOM@nj{1PE$D2GsTNb)@h=behpm!DB-5v$@Bf!W*S72D2+XZwDcRaEAT!-tmf=~{3miJFcTowwJ7xollej5($ zc+f8~D+tBo z5*Dw_Gl4Noh2icBgxVLoZIGWs@cP+cGzCf#mdWq8qMPU-oW;603Gn{RU*iTQmt>bG z;Tk4(a$|L!9O^Qy&Vr!gBN7c9gL(mmIt{&H8DXri6_FR#^%b6z$UejUz0l*p@hn~~ z$#;I@9Y;JI1R(6RW$C_-)G1{AY6A)K{5ut72A;BN4_LJX3 zMKc*Opm1+L@G?dg9*ABQ3z*%rQOz&&Q6NjMn@K!y8or1VZO94=xVzUXix42YCGN5b`C z2?)Mu9yA94gx7}=z>0};S11~30+I|K8b;g}iL!xpqT2MglKER;!;L8HG>Uf% zR@lzb4#e>tia?Qom~ba3vPq3mw{!F$=g@33PQ6Hv1CB07bX2S(*72F+;;5{s%k1a> zb4R^}fBM~Z5TSn?)fDxSqtnjk(`C#jj=jJ!P~SNDdZ!bj+)X107mx!nRQ6D2?LHS3 z@F~qlNSf_EZHRrEAafK@jfI}Y=k z`$Zmd6<+3C)Bv-8s05E^5aR_I64BNY zAMh9&DtK2P>$$st&j1BX+>GGVVI0PfaCZwPAFl%CZRR*+D~7Jm4PcXcJj0+o*u0^o zEmv2%Z1bie+ykpSI9B`H4n&={A?KK@3YP3Ea3D<4TuZ!Xj4qG}J5m*Tt9~)h9;mej z(==Bb;sD@HI7c;`TrJ*HuASZ=)Xn;!V3s&9*58xon;fV$2d$dR?@Pq&^hc{eJEr`W z0YGZCCSe~=lAPFu17F$FKOuRqb|q1zel>e#EM(@x#A(d{0Gwi z8G~2>7Zb7}CJB0^yV4NAl+l!BKuypef*tQasY8V@Txvr2ym@f!MaamOb7xOk-Hnsc zSe^>cehJ_*5kSYo4IhT5s=Ec?1=tFX4VAcCn$3ayPJu=<%ht1GRC%W(v>?)Y7*!5CJk&mN%sy;=tUB5`1)0ZYy8;{H@4e zncqqj%Vx6RX;toy4Zd^XijZpw!PrbfsXI~))luUl+7dXERJiA&jt#65j(krzJ`o2E75LPD(>s!coq3=x)vrVZx z)3*G%C1zDiOk~m0w%}WNb&W$?3p5@+gwv4uxx5<5^H2?14d1SHv_#jqPYZ}`0NSm_ zeM(r{54Po{Zs0|jR=wZ4fQS)9dl4vRk5r^%S#$?960l|Q@zg4iN_~5krlH`cwp~Yd z)ueTl9B$FlI#wk|Q`8XNC|;$N0IC%`Fv_OBuM!bnV>N|4hqXhWFzfnI&?hpRJ{9Ra zNAf4}9NE|}hQ+x49J7jw3T$?i6M4dC*)AtC7P3qEQeR`Et3zY~F z^I*_E#xhJZc9Geo`EH|C`0tls9|w|x9aM8p|Ap)`vQQgAxMA@YrxV3vw~fE(zAejc7Co-;_HVQC+psSN0X4Pv0_4!NBXdeOd<)azy zx@{1n$aV9d^nL|m&S3#B4R0p)pM!w_S5upThjl}h@EE~3r)`N_fsIqjAc#mkvZ^Io ze};aQ+2B+ZoXRG`VHs1D(oLb^vs zlJ|1Jg#XHxwO{ML_u+?4WkVp3N^R%!W7K!fs6cK-W}(b|vc?^R&I)l9;SP*8DD#0e zQdhf!+&5-uX z84u4H7_aVlq`C|Bo*(3Nq9C8^x#TDayU8Gp&Rrw|T`6g7*_+8&gVX3rTTdBcQM=nx z=|moDe$#@f2DFv32(;l18WjgJI*<6VSbRFB0I?a*s~i#v;8Rz>!ka0QEn2aF@h2gg z6_rQ1A#^D7wEvG4tLx7PVd`;3Eg;re7PqUVW9Oxg$ZDN~nFwOz2slb%ET2o!r7;03 zae$jB0d{9HKmE_4`pR-C*lX&KgpkB-slCVrzA*5cFLNV@0M9(tUEYMT5HAL3=M;tk z5LoMqCRa@1Whk4H1Twj1Y}sq0g_H&226T8=%j*vBB{~nzFewA66w&74hrWYl`S3FD ziY%~E*5CcLcXMe&xOLukDdtu4e#WsxpAE-1H{p#4h=NXtDV%$5hp+@V?_e;led;L7 zKb_)FZQlj6jW$y?ewBjnPyO+{Ie=|Zr+-%a0-6RO7iR|a3c)4MernN4YPmR%9Gv2<2fuq(vZ(KxTTFpf-scI)fd zR}_R+Fx@8an9>dY11&My+9Fx>YaNQNut ze%=abH%_{gHv5mX__Y?FIdzM_+fmRDgkE8kzdOno<3G^Gt5`yL1$n9fh>-bPVcOyS zn?V^O6h>^HbAJt|25Ae@{s`~N-cJp`tNniI*|op);D7(VDZc+DSEb*a;hK;+G3%CFZ_A$gpnC*XBj=8L@0&bj z((QS7-E&T*rvJr{Af!eX^wGy2f8t53-!oXi=jY6Q;a3HPUSH9?7hif=5OU{wlb@V3 z*Z0)Z$?i+w zJ^oXAfggHqI%k&t-}^Efn*Y8(^nDIGH^ba;!*LD8H3ZjSTo>UQfU7^Qez;TsRG)r@N|E*V!NuAgxIfa@TxEx2lNff?oRno!60_}q=F1J}>E zPT@L%>qlHFu4t5jxm%TX5Zn>q}fKaea#G6I}nqwH(({ zTp!?CjH?`1DX!OWy^JdZS1PW%a9x7A@!@YZE(<>2fWNzN<>0EsRf208t}(d2!gV9c zVB-pA!6Jmgmf-dKD*z*x3Br_7f-vcxduU&#hGF_b@Ev|efB)}3{~-Vv#&yA;xC`F> zg_{`Qc|T^1_1qsb#(wUP1#@xkk2x|o=idMS{kLe7Db{Ya*=%Nu7Vk*0N13!i9GVE8 z&Y#!wXKRUl5pwy_f`reOWc_S;^2NuWe8Kz7+&RgkQd39sB2-Wi77RSq0-S{_{-A!* zUHtg91-jPu1pY1n^N_SE!@!R(FSM>S5YWltEd;0xlGk#k= ZC-B=;{tvoSz7f9%ee(r=CuQU5{{;dSY7hVb literal 38848 zcmW(+Ralb`7k}4d8>72MqlBPz=KzsLx<(^xAZ!DRkdQGzu&_`OTTxJYN{3A;juHga zO+r8%NWI_x`Od|8&bdA}zdEjg?T|wN1b_kxVj#FE_}}pVlKrpq0mt~7@42)dEN86C zKdjFxdW}E;C2T|%s-%7A&)sdEtVChK)Su^`Rpaq^qykF(l_0XlpW0wAV7S!+1w6#)ySsYtnsUavk#bXf*0@qVR{Jqa{>7`S=slCg`T9ePS0%BS zb-ke`$oZvYS$CesRdJl0n<;DrV*)1QORv^oUe(|qWi|mo(cAe~g<)-ei~-JX!Nsdo zQMrZr764E<fKx%Xf;GIm0qP$a5At&)Y}ic zrl$)mxzWg?OSTE#;teKH;~=9ra;on*(GY5&rT_dun+|X?i5VXoXJ*?L0t5kp02l!H z1Ac%rg-r6T_DfEPP@)5#ghjyJcN}nS13Fy*zj5bNK)s_?wa@K@$8l+ZO_a37!pXaiaRs0k@bQ%3^Etfj>nD%& z@YuJQvw&IIZV3mbpe-bTL0sP~AZ}wb86CK+rGnSvOND~w;5604{2MKx36w{*XbQ40 z5CzC!8NIU)RXg4!TC&To6h<-S1r2fSN#j&(POoKRXaffPEnGurA%MUVW5M4ar2vM7 zh6Du#2Kd+Z9~kRHs!UqWx1I3^bVGL1=#9A>^i0N=2U%22mEz2UN#cy&LEewSL8inK zXyYbc z7hEnp13+4>ce65fr*>f6Ffe?q6L-L{!h#&pxEsv1YXns`O($vM*H|v9MYUsFwI^~G zvh*QFvZ26gbaD=hLp47lwQZgSOqtuHl$kFCrWNnVK=q^!sE*_vjc-&VaBGefeWS-( z2++lz zP7hrgEGLblQb zZ0S$oI}|tx+k(EM{R-JCEa8E}I`ona1o)fLumCbzdzZl0@rq*$G78HH_p@0&p~z>8 zn_?lwZ{_Iiom_fk$pAZVyOq2+`uk{WAI_QIuZ~d~`Mjq=zFZt(8r(4Tl<5d9PW7xx z_hu}In`^??PJ+8E$wbz&`I`l78f#J-V*aI5?@b$7B=2y7Oc8_iFT^EPc4<)d%l$9> z;TEY57hYa5L#60QIzW1kfOvQ+f(a*+mKL?*+cy1#Qk_tW2Uj*V>v9A?Xz`6LuV*hf z|4GSNpH?rJ{$Yilw#fA5^X}v%u4jWieTMsxT?Z4jdvcZ|(>xa-ET~NLL+z1VlrwwT zM4p+_m0PxaRe1Tta^;Dnlu}c~ncQVVdO~kDJR~Q>;J8J{>{;^a4^UG+8O?NClL7H5 z=t1t_IAixU<0~JVDv!Uui^$nR(_yV&v>FYw*2ia2mRHcZ1i96!4zo;hl_~mzVdw3g zbv9QVY=zHXV4;N%q)#3#bq=3M!?@MKDTUlM@h7-^>4VSEgYXO=#BM&->^&B6>vpOp2ZxjCWT&nx$LYCF?}p?Y6@>V<^xvuco4iASoWbFb+ko7VY&W@ByPP#n>~V4KVSi^y$P5*tW>uC8q>S`o!$D@uX1sCB z-#qGvch`-OLm~~C2NZ-tsUb`pYC8~Js8tcs^?Qahy-9nzED$3oV$~+7Jx)gBsL+9* zS4wWespozeiZHXheh@;yq*B!%YaG9%)6JHf3iUc)?*mzyvWxqcQBZ+Fg5I zF-3G=tlw8ZCYHoP|0(ILmwLVjcGn?EU`&l!FQC`#r72L$c(;{wJ@rJQs1Jf=@rB}p zy@}*>V6YXuA)hhqp0sL;&uFLjsG1L1ibqUk2|q;ToTEtLtr93K49Q0sq*F?L5IoLm zN;X^k;UR6N*DT6ftxNYJNwTfhJF)U&-ark~tMV*E{dkI1A7g}IHA`k}F&Hag4Y_pP z{)-sjgDs}->XB!n<@Fs>ivm^cU5u7b608ucrdz$tP)q*$pMesMzfInWV{b!>_wc7c zSi^5aH{uLpKLs5;vvt>3kSqbu)PVW&!c`(Gmm|sf3iE2qVL3-oB(@7#JZ0$s{$XsZpI)pm|KZ%n`s&~ObM0yX$xNxOH~AS10*&Cm z){hjIsE8Y(pMpi43UX6oDCO?sH0<-O*XDp$z%^sC#1bd z_ZXbrk$2fuCT^fR(C0&j%8oekW0Y$0O2nV#i1@x*dI#(ab!C0;GXs$vOsYF$u3StxP^%5wtqZm)ZnOZ zHYI^cspYh%Z++Bf-G$LAx0G}@&s51AjzBZ}j#a!9)2cc6n% zg?}YGb>zUh-BoP!(Fc-KwY?}=)1Qt=Qc%|F_6T$R>z4CZkF7_I#N#3hZGG=$*Y;AnzH{ai) zwYj|=h~Fk_vghMxS8SIA#yF{u|GgEEM1}hh&V4v~Ki0s8HK076{L|>IFbaO{ZKV|c zPhPCQ-v<+Zh@578@bL>!f}at>g($W!+jmqPt9^7QPSa3(wsYyYU*YtW=AXwRZ@7OR zg{9vPb2&jS!E9(s#0r__K%ak^I>qaP&~&TdG>T#0so+h#KeAvNVvlxYR>rBrJ0YmL z^iC+wnA|5Mt~uCKzMs>c7Do!0o_}sr%_7v_jghcxrJ@nfAca~ZlA2_U#GR}oGpO$` zxYv%69n+fGhZ~gD-DMPELktw!nC5J=uM-M1;{U}~+&S{s`F`$N;~Xz}JQjVx*uXKp zX*69X^bm-NX_#J}q|C1-{VEzN4wTIc{`Ci>`%k*HbS?cZ<#g`OKRt(NXmsv<`9a3i z!Mb$2iB|XE9+ayaX+=t7A7D1u#+APxutQ=X)EUVQDF$QT*_AJvcIyl zp+GU?adfmf!9zn)Rbret4gIP$hlW1QZe_pwGUfWI7CGGWbGXfMJ^M#HNI@{zT4A$@ zpk$V1iThRzeEcuUf+d>9hp}$U&;jqS3WeZ$wxQe_Bn#=JZ0Vc8!fREZZHtL@ZDht& z!(Ke}v^`vpmkzyIMI4h3QTarfFxeiA85{JSI0O!;R$robxJ`6iI!XEEdhw`A_vSW? zh1maw=NIE~LU+zZ!pV^R>%Eg~;CrGl*b$=$`P`O$mMvugpZ*hl&T9K4z=B0p@J6Fc zt${t@lW1#WONY#A%`Xop)UWL6o*6)lyg!>{mUB3nC0Lw&EdN;fK|~+61FQj0NQK~3 zT-=PJo$F^(El;RMcfPmfhhd*bzi(c~&vaERe2*%9PY60(|03!=h3|1x`}^+Ly@gHi z7k0sIsYPR?RwZ#Tsw?drnFwzOwVWU-e52k{cWV=Ig(osx?T}x4i5IHrv;$Fmil{wN zB-`xxAY15trqJ+Lru5LXgv%>03GpBAECl8w8CkvkDqmSH@r((3ee1<0d*hFc*ui&^ z=NqS$P1RpKiCmnXYt>*a-_mYU(b2Qln#M1_(t4<}Mbc@0!r2#)$yk*k;}I9;gza!$s-fTdtRY-ZG$|AP(tvbEvy>#i3WDt?<^%-GT#j+Wajs%N zLcnmS6l`DaHb1H@oWTF%%=@*dif`rNE-Gj#U@kt~JS_Zte#-j+TvF*)v74`2@3jVT7lrd&9v6)H288cjUjXUV@t^Z8IER;1Atd!kcGU5$B3!ldM9gi{q59 z*Id{^ zm;qmCMK&fwC01$^x>^w?e?F|V|M&(2rQ0>?`azJz4B_ITn(U0$EXaR|p>nt#CRcg= z6k9FJvP$R;Ss!cD&}phLLlamS_Jam6$P~xC{439hU~^a;j>|SM4@rJs_(12JTX>5Q zYQCu*A|m%6*FNWy66uMPI6QM8L@Hd;1Kj|I3eFzM{mjrW25b)=(e?BmAaHPl?N>)}uiwE^U4O+k7Q{Md3~leVKlgS|TV> z(G^k<)@u31Ic#qXEJ;RvZb5{?a!8Y zMi-p}V#k+P`y8J=j*a@s-2%ttWVUiF5^XRFL~`2#hnUl_+t-gwdAu91IMpOxX`%QU z89Ehghbs|Gk`5}htss!BEL};V;Sx zwyyl7URRWzzli+fRvvg!d9PAyRtNT~r=kzY41@0BNsS*-FlZd`7fUSLJhU;ve`!a; zdT5)#@-Z0(Q>JLECLdEqNkT*0Cqk_PH6I#Cmfh2y0rlRr2c(P!$@d5Gz4!+IshsF2 z%w7+8vZ6oIz2++ThH0D~wER<6RNTHDRU)ro0TxDwHLjV$sgNv6QMh(_Tjsd{9jP}* zYXsVoEg+Jw-SpbqaftvRkQfb8VySV2q!_|ZY7#9aGP;c~g%A~;%A>|pNU+@`3Lzyr zb_W@q-YOj(l}dQ06E3+!N=!_OtllfLNT4REHpa_Dr;wZl;z*Q+C`;g{u6`g@?T5HB zk4#E-HcAz=(zSPeZX>Xj8cjV)j&w;9q)-!|A3Fj9uC8JBv}tm(y{hJ-DZ!4sl^8`) zr&^Me)f)-Y_J_A!J#_R86}2^JtmNpJjNofi8q}2d2o^1wdMrs5@OK5h$I6!*Fh-I`3JnSp8O>r&^06^cZ^J?rQ9VYNVu~Idah+b@9C*!!%s__4&QYlBSCvP@Pnd1B6FG<;Ag#hRUoRy`L=Pk+)gV)9Wa6jxQUE^h`1<21SqH~} z4mK_(2p7q+)vW(p-RBjT>Z?*-Z~jDTOb+m=ye36H+>;x{?99pwX`qklj6idC>7@8X zQiQ&)a-}yfE-B5`R{L`dY9xC?n=e1gkR3^TtGL!F5`-hh36j*uYm?JANg^FeW8;qg zaSpyBPuB@LI{QMX>4|i=6kzL(wohg9gHEA_{Imhs7Y%B{Sn5mpsAw_Anoz=jq#%j< zQ#P~*to5d4Un@EqGIuEXyZ^~3?0sTUWPwbKLsB#;Re1cROpq?w0#6(f^I+zFdtz++ zZcKLXm1nb9ImbH&xgC{*m+K?@u02UlHcgC=j#6Xt0?f$?JNiau2aL$8XoGhKN%{X> z>EOP^6s2APOoxcSQnFr6rESM{+wsKsD7&==2ZZ{dRH2e@(9`@_c4VB4_NyflIa-99 zPEKVa{m&H{iPIgUsmm7bG-^R-53FG+;P2gvGtue!aSam$(@Tbu$G(0}#Q@LIfj=b~ z217c`(>hci=+dJY1|HrP#L&&+I=qNomN>t6e^6M{lT2g!I za;s0y4H#+NmzL2;M*l#MpnS7EQAcU12ZgUb9MEhmOw2Nu)bzX8L`#W&T@b(bJC@q1 z_gpXUAV#LtJ-~BMD#6R$6*w&pzk`Lzj}q!M-H?Y&qQYIVg?IHY>BoGtANdy%J{E8F zqqydbM3`|hxhnQZ!gyzzG%2wv=cT|@y`sPyfGuNJN_4FaAcwoX>u=5(P}tg*b$7&&(WSm) zdSWM3ySOBJ9bv%&KnFUVcYz(R^&Ckd2#MwLBx&cwF7Fi#z8+6e4oLLx(CW7-D0exD zekCj%E1uaEbFx@TT6eml&L{R#X^q!bYW(s0;<6|pV9X*72vZrTvAmGgzvrxWO;$u! zc^-5qDWzT7T5Dd_x$!WCXA^aq?skDx`fG?!2iF`m9Qj(y$zzE2i58tZ!!*RE8csU8 zAQhb+BzW+X%(`{!eB7(Gu*Ie!f;#hL@}TdLvLF3RIZnu!%K^A2k_>rOT!`ui$Ps9D z9X8<_<_OS>5M`z%Hkd-8-2~5klitp_3D9i6KWGDf+>#|sAF03 z@%2sTuYu*4kw69-0Peikc9PS7OTRnn2K%Ovy-)*mcz7AOFQFcPUeJ&@d!>Pc_!anz z?@w`O*Vzbwj*J@|u+BCTYsO<-S_TEcUm$jzYM#^B zs&1V!$!~_wm=s_lxVvZ|XA7S3ABt?av2ftE-CNYuLoPp1uy~IL*1cMK^{8>ua0wFh zrReYL);zT&-=cxyzpYMS2}YPOCW>G+3KeeILvnhdII;t>y$?z`^&^bW4=fsDbQR|( z?d5DJEQ%LjLTz~Ao6f51IBvak&*?@hWvko54}?3B9MGI%K6_G*9E z#tCzdqO15792{Dfr!mSBZ{u{i)f(0@=CsUs+CrFe^SU~RSdGAw2~=c^JX>+iKjdq$ z?1mzXb1T(eGb%y(T5J6w!7gt_`UBu-Ln6;^mE-4DD!0MRb#H~A(yOx=SQb^gnNo@TitN2-R`^KjIsvd$Oe;dj(BG@z>_Bc!9Ne^mAjNy}D}Qk_R|SYp&U4;mHkqN#opP z6vsQ)6?S8lCAnu<&8)9F$78sIa5t=3$NZaBr8@_bAUTJ;ZM*cIDt6MO>`3wA2~4 zS=poLUk~q3W$ZK0q9R3hle_Rgi*O@2M1gl_8bvMWip6q(pre=pd5Xx8FF+S9A`8*> zjXyT$STAr16a6lE`n!;>jYcsL14-a+fEb6 z;%(K?goR$k5@kAfFTGe#~rS0NPFa2sWW$ED=v+v!Lz{53YVppW}4n!CF7qhqO8&=0MYQk{_>!&RW$ogLkI% z(I7K@PPspMIFAbr>c}ZqG)v$5WLfO3qB%iUwiRx)DxA3SQ7!4BBXddUloex_ z@mPNCv}U7>EDQ{WnslWKGuHEqGFEjP|A$oYVRaZ=%Ye>KCp7qX8cTB37zqY2@}b}sqtiuS zGIGqX2(^C66sI98C2Q00M3pe^1Q0&?+L+C7)oCmg@V|~{)+AyG<1($Jz1rN35NDRP zwcXWNX(sYvgSx|rUBT2cQEi-wfVv*Z8IicQqt?yO=&$B~OBcd-kEq{ikYR*%TdDQk zbznCEh(k-#9)7(&%y{OBl1{bl3Uz$c6iKaNn7h~TE?&hwLD%eX*IO*}9Lic&0%xRt6lbIKi&~Sg0WJmjwAV*+Jr>DFG7<_2;7|LT)ssO zxOyTsuUkItvL&K36?tKS^T$!5`az=sm?d#mFkQy8`I_W;`YT73(;~hxM%k6i_Z@Gi z^FQxo9KKW_zTd*oYqfDpa2vi)wrGq9HOj}yys-6o>@^ZB4)}tk%`I^bnnoGDWNG^`7z+ zGv&Kw)$mHo$j3@>e^iNbJ#Ml{hp!zc&0< zZ*qf#TMY%lmI?Dchh{rmK$F;cAJ zZc+uws)HdBbcs%sVO*vbb#@G#OJnNqgu{&J*tjkseZI5i77irw1|g&Iy$t!>FC80F zPRhM)Jq&4CO~HsdLf^YnL?IPvH_p$W|IBTg-OMCPSW%5|5kbQ3QvXnIhLHNn7LP{w z9}%KeEJh8+z)Ri&PyAi`o@2Pcx#l7Z0a$wD!m?ZcbdRz}mQKFs0Q$qrx|q_)wFLWY zN<4GX-37&0{IsaEvrH2d2|BVAbAXLr4$^=_BYm8%=b1Q9=CJ_5Gn!8rfC&E?A-Byf zE_-|X&gY5)wlVb@-*J*>O-JpXyb=wcRg4j=nzdVQwj;^aC#?wd8MxyL*)@vi6Pj3I%#R{8`eUh{)Vgzc- z?KZzrE@bV%x+2Pus2S}!vBjr0<7ucn?IA|#;PNDwyBkB@-?0g$&1|dVC~s3oA((HHGmk@GQ%P@LTflS-9@*4S*CEF ziQbR98g5=`upF+IUK|?q$uTU=5C78;J0mrtHTKTEQej4|B;>^NcNn+Rq&I>BHIhdugudL-BnG)fNF}=8yfB>lr_o1ip7cRc?$Q zL*1Bwg;XLZ_V zH@Gi$BIE9^>~h<8!>`?z>G=giEyIr}EOXE8&ZrgI)2B2Rw*bkYCG%+peS^(`+6RVa z=ihM*JF#i_{gH0$HbgO&a~@<-EV;Wog5o}s zF4Nzwimc2$Y(2(qeANv7>N_DL&gZ57AZSWip_*M{RY<|rsp#IMycQ^eqr#u+SkQ&k zoP>>fK1`m?+uh4Xf^kZBa#l`QiEm;xwblR0%e|_)WASeey~cP;a2a*DnPb1a(35h; zBCGIo*&dWPEbytKvQRpE?YwEL0qRiAWtMR4yu`Vy=AS%L+^*RcIYQ1jZbz?AKQ>3sdnCgGpP>(c*zN_K$;Q;?35cER z-Cmr4{ym!yeqDh@YFFgp(%Guhasp*ck*^fDWf_^Yl9KlQ)Y-$MZ;A0dU(2P1 zQ9lMbKRN-*|2)nmfS$7$&rcB_`Ho3XOrLsrp~L}$y$#nlf(1X^dyB>v+7+-oSTgu% zaJOU)zP2vJkZ&~Ndj1Bvd0((keoau*oaZJCyna>kmD<$2&6Wuh*r01R1Iu_w*1*LD znN59vh$>B5lvGOGR6Q+PBxZit)On&{G)B(E+`6-We>{Sk&x?Di zkUk77ww_Zmry2ZyVzED`zQ=Q5f7SsYK82tn@Vlfv`T%yt#*mkppw>*`(xbE;eEGUz zZDlMEVEs=+-#WnQcHfvDYJy64F7_K+D);kbh?!gzjdYhZ`hfW+NY_*Ok>GB^keZ5CtTxX_e&_lEdT})@})RfueJZ1CMJdYHZRaMN>jE+FZ zPDD?j8a~GEvF9VT6 zM4{fQl<$6`nj%VWy4Wf`E(4X#IAvk25oF|$2TBAV>=Dg3)gU{}tJbwIsIYOLq2;#r%x`v_~|vqC#--={e*vr>Gkg7fyPHpjyo z!2{|(9M|s|1)Og=vX+zhmA-0>mV?1-h2TqSQNCqacO({V6!U+C+97-tZ@I=T$lzUg z;(2dQVmbtQn%LJHt3{z}mU6t6z5cse)|9TI`Zhy6ZZec=UIVUX_EY0(UU*=T_t!~v zhcKB1onRVNmp5H7?UkKp{rm@SsX-Ox@WzYrz~RU@!7FcBL$09H8f`u7?t;fiKXLD8 z=aLVY1KA<4Bym-R`JJCN+Vhv{YGD<&+Mz;vm1p?THm1${^`1F!^x2AfhvzlHP71CW zE~l&+Tv2_Q3HyHavrd~2C954$UG`b1?{=8U(UASjR{Oo9oQ2QT3z!7#r_7n*F1@kr z#o1*L5$L9Ms`y_{v99uYgM^b=M6q_>xxHssZ&^==)*ZmpbTIqf!qOpqLcTS;OUN&K zd;>1=cX!!c2-NPr;4-{9k^S>RL&wIu3tpqJ^{jow$2ev4GdC99Hbr|Yr(H~ofqO4R zOI|Saa+POPn}ul3!wo{KHp@E%JW`tNp*WMFM=Evi35n2D9*r~dq-)lxKVyM;p*iq_ z0ehGFEc8m>5MCQ-WLc@3>%|a&i_J_MdE0?+DjGi*l%%@piIsDAu2JP7U4B*-w*MMc zH+(lUb2*#3H%i%$`f5MxMmUV9#G6$qsfh}x4n?Xn+lUFzbgh-Ex*$)enkLprT>L%A z)tptgHM=*_3azup+cgvYP8lhaVQSx@60NQNA1Z-?FFURm&%aU^XK7pOiX%Tb9#``F z9;BsNa%(i)qlOn&^(Qt&5%w1@5)75D>_HX7y+^f|2#ve@0v_qcf8Ko$8Wy72umaWJ zlLd;36UyArJ-d7g>Fe4^Hg>yUhn=-mmg@5oekRrW<>^$*y6kEe+~tXBb?*IXlh(HH z@VH>%1G&%$t1j*(2$$yp<+efJ<^5a)=r+W~YpG7~?-x@|zh9slgXd|6t;zC5@2H;R zS#D?HfP@xzHVN?pPEWgfE7c(hUXfzdLU*}I?pDjK5b#8B8%{v)hV&yqm)j}kbc-b9 z9jX}_UNyuG5u`UejL$;(E0GzekgXiWY~!Hxx$>V^?_RE5XpK-wCy)>r@;>NR0KC;v zm4ImEqTUh|VV0#T*`<4OYK&gw?D|y3?&gFuhg5c8OoH1~rRbvgy5hTabR{g&-B0 zn}c(3YC6fWQWIjIVf5C{`yQ>#mi?{{Cy_a!fp7Y+byxq>9tl?;M*j@>B zS5>kbbbR>W$Zs<(4cdRiDY+90JMzv| z>AHg4hbsJ*)*fDHqw*3Wr-|{^H#_OHQ{BsH&wa0oLthGA3{h&_7%Fb3zr@z=gFLke z<@+lR3qP6hvZNgu?4I)vj*$6---Wc!donXxrmdvk45T@i`znwAMjy|!RIJfC4qJHL zI{*1GRrSaF4Cn&pUy6wiMBD!gS4B9mC_Nbo+~6;I{r2z;Ztw1sf2bWL!h`R3H9}4Y z>MUK=FVqOzrwj7V^j-nZj2nt^w0}8e+&U?kH;$5Li%1yKq4nA>*;x%%!ODCzYv+4} zJ&yG(i2Hd@Y0t`iTMP5o_eCs~aQ^yo7*meC)0R@d_=rKOn&!V)oDzP2n~h}~dkPS$OTEOE&+Xro`=6skN{%l4v}0>K5hK;3UWYrlOm+WgCAcv3;VXjZ#e}Sz_D@HC(o}ak^Z!AhL0y?F37ms6CBxn zd$JloakP)vy(Up4wYwJy&Z9%f`%i5GEeJQ8r13LNn}_d#(%RS~NA=o~b}}-V^2{5n zAhWn6>lRbuYRL`oKf&1QgQ7yJH92?#(&o(-1I+TtGSoA8MP`%| zVCSrr1x_vAR}ko6^a}@#d%~bdbr$WWIhy+#Q?z%U|Q4DK8%x+%b zH@^p0QJxgP|0YL1Pc_b<^0H*#qBp{#sWvqRJqL6Z-TfBy&RV9e*JoUs374%&QMMV6 z&0gYjkjgHEKXy2X(vVs>Tn`dtUb1T7RuQ1Uz9|VpP&OmuV(rNaaho6~wEYgKz(DA- ztcR&m^5vdFH+?IN)jsi`@noGdwaE~=sZi?q?2ViI8T8K9=1+6C!7=p%ec3 z%`7ZqIlx1_xZ#7>lEjc{@#)Z4-MAv9#;i?*P|a_lfp`4kH;ow!_O)WlDx8kb@&U8| zZPxFW_d^UoS;3rf!7PZ#_O~3%3ptszgSElThEG3sHC%y72h$EM8={=W-*ru%=1`)8 zRTA5*iEt*+B|ptXP`2ga+|yq&EyMy_xST^&>DW%w;)@9RH{xv#^K(Ko0)e5pOLMym zVu$1fM^5A)xhFjdX~`OEtje74I4IN`B1Kvm=LB5%d@ucZm4NJ)oYdj=<)ZsMNJ=g2 zK;m3_Q*8t9>)TcjtSY^7Rj1>Ft6kMeo1@fZwkgxMvPRsgxNxbsl~Yt-cx=S4XkTwf zce@`t#Uc!BsIG%u_#w^9zLSY>Q4RDeZYa?a&wHKkSxEU{YLZ=(R-A9W@DuouRy5pi z+N@Xb&Z9enS9^~WJ=jpioS%I+{8OE^dX*dh0*oXb%{!3K+l`I>bj~;`do;38H5}aS z$ymy=(5BT`SiCAwybE&(OrBU?vT>T}MQQ&y=Ln;nZZh$g)e{vuWI6cIY&$Qh#5>6qkj1bxX#Rf=9iVl)eSvKz}n~VjZM&kOEl<4KIz^CZtGm+;u zu(C+|Wj!_T&GfuC8TO6TEg3~WwflHh@vh$K^^`7Saa=@0(+w%>f6 zUb~co9AWr{CZxg`!#8b@y}4v-yvGV5KHRw!GM8?d`q@RjSCQ2 zL{M5Tnro((^`ZFiz**@Vne%NEG`%5@ONRuDd-y?Vh-50u(44Z9Drinh)nt)qd*WK> zuW&yC)zesY9*CJQF{h?t%&Svs()ju3vnJDUC_W*q`uw&#mkyYxA88u@wtTo!d~M0= zI6MfHzT3QPvlS4vd#Fr$)lkA_qZazWo*n{9MLyx~=z->Rn%5 zxnaQkpeQ|V&VMV92WF1-;AVQ^MSrZ!G1Mi#cs=*`%V#z==RcjhxOzYWiXryg!hF5E zR(V@vAt0|^^!geMv1OC^{V0EwG%4kR)&eD3TQ}Qck&<)*z#R}VtxMhBOL#29HXH)_LMKHYKjRmiHvHO= z#Ta-HXD9Q?YsGu;D3S&gdAiGKfG9KGr?YDVWOXe+bJBRvzo`+S7OK^L%Zyl$XKm{GUl7jqhKU z637~|Z@cGTM4k9HEX;>To?K|BWsP7COKEn zKkpbBB{qEJ#z{h@EXh{i@Mqb7rS;@$rR87TY2Naw+0*?%m3%G>C?b=cgvVnw2D3T8 z5Vo1 zf*dWw&5X4h=)Mvc($V9{@1OU7vxKeK@dLTktjDhv4oOZtXDlD6kUP~oe`h;jGCfn! zrs67&r?GBZnR_Gl*Tn2@{iilV`;1@WRYq}I3D-3mCi-v&$t}_vkjq8xGR$x0%;12h z`SS@z!jbc;)yJ^|^Mh`&6Z`ud_P@aH3k=jS;C3ZsE}pb_v3DTSjnkDVE#}cJbBuUv zNko;(S9G95*~9C6gKtq>f7u^||Gp6Mjs9l>kBCI{ulMxU*y1mSz3W@Lr46=?u=E$ zMep5HYcqNrJmhrAMoPSc{qNAQ7(sC{0xtFG75>bMlLXyJKn*X}R4)*j-6mVJWzYWj zWQ#dN2vcPh;7dOmKV=*CXE0?1ORKfxJ-MfPjK zpuf|J@7n({_gM@>hKd!TuNj8CrC#8`QYNJNU+wFCbR)(`f|E1rxR!cxXhF^XY%Ow3 zCkpYfiAt|Atd%V7C|_Wgw3}CswtkG!(2e7J)g5uTVO=63C`>8kQKXC-p-Xv%7S()O znm<}He#=;b>MJSbZ<1%hAz-VhuMRTa_$HA#1wnBW$sE!Uat~};SY(r_Uo_}g94*D; zn(sFI|K?^OCg!i2e>Gm3zx@w)Pkv$k>4vrVuCF(v%UnyWhK(8gk(xynR=Nc8@2A%2 zpOQ?cPVy6nB5(c@ONV`Swwsq)a1E1?FldY_}hGS*{7OJg58uQ&=5e18>r zWRa_p>youKg?m$sBE|H${4~!eBB#(dTEip%s<{5HAr-=)qSz08xx!+Ym-{2~4r%{0 z6Nc)jwAU7o7w8S08P93;aH)JjB%kd;i;Et+9*Mc}Ps0#pRU^cF2qh{#Y0nW45uJO5 zf)&rIBhS_OhK%wLDwkgRqeX<445`dU4Xn%ykceVEpUysH2$YHw5x9}E&zx5prBvSn z$r@t4ZFLWRmG~+3*PE}wW9O<*Nq@Qfn7KjZYxrcmtVJxxDZe2-9RjLy-zG)9rb^*S zQr5li4btoRt%oYBK1ykJ$@32le!L(xhvX-{A2L~;n|V4{B!zMj_{KF^AL46xZ_E=O z3c>xr4sG+wX!3Mm;}7j19r{M%vkX+A-UDQ?oh;x$+I|~nbufz7+7iLcIE|FnL*H`B zyVkAhYbQaxz40Qc{}`-8>~;2qb|IP>-lIICwFV$5^5(Dq7af2TAOw1=y(T=xe(rQ6 zI#UvSOCO|<|Dz+mqb)RK%q9V#a>`hW4|SVvFZI51Cz?c2EP_7h$;%P3H&4&2&lwVl zLRLoZI{^SH6}>t~9tHmar2%FIzcsqTL{5%h$kGA=1#By>YXUQn$L3!kKu(24shl(r zh84d9e+Qa%r^;$}%Xqs7uis(btBV95V>_aF+ zfB*>bK%aONGbhj>#VP6_@4GMK;CqW#)VjEwx!VM%Col~g0O`KeoXG6Bo5hyW>EDFu zgG#02*1M?}9<-X&Q1+>mF5uWb689+!E!tT|$PI)}cId*x_E+0XM~vgk&`sZ|rXKnx zbBzk0zk5itYQ=^(^A6jXSO;&clAkYGo;Tp;i%k}AOjK*5JHv{JJ{xwDYU(~cfne$@ zA-PvbX1-{6>)nI|zFCBS^6m1ZARxE&-XfF#u+H`Q^T5Z@Iveit`xe~uF8D>$$t*yMs25Axl{Wo$ReBD`dzihNnSjWZgw^}x?OK&-aR z-E%6$k3@}3+9eb0_StD_Y8VB0(Y7htKJnye&)APTJp>P1BfV75R~M3xrFf>{2^w}Q zdkEggtf#uQ2+owqBxOAlW6)T8zpn0noxMo_!R_$kp+f}OhEz?8Qrmx0N1{`tgQ2)v z(g;p)F^Og;B&ASLV!aOVW47Lc9V`W0PM5%T*6gwxLq3VARwU1xfx{+*j+T(CW~an{ z!I{H@FMdI+gF=7syIOTI@y9dUHD8+zrtr%>Z5rk~?qu|{PJtrI9J|0?7D*=6pO&AlD@WVpwRWd57EW#8p5Ba>%}y%r5MWJ&a%v9SdK#w z3}hz^!2xal+}Ydy=+UBkovy*W+U+^_2DR^QV81ym#lJ+}C#adnc?CeiEcgioi?naT z*(X7$u@Ox@>mXy47W}~CVdmmOlY%U32M@xBo511O^BQ+g?a?Up z5CMu+7cBu`mC;<3*#v?7dFJ0uHS@Cmws6cT^W{yPw};nmQGdx)i_GzH8H;~Gk_sDv z%+>8D*yPMD=10x9&WKd1e7(Z)z=vB{e^0@N>)q!-D!<3A9(diBYL9p?T=JI3q7$7kQ2*wbTQ2&IKG$@P) z5d}p$&5eN~A|SQb@8`qwW`-=tRK}fuoDOl$@uZneYxsnltaug8-oz*tu16j+&4H;_{kE7gr?`GVBzy zBE+zHFwJkHbUO(Up>yM)nDn&C1!Kb{Lu?dS{N(pdu`9gF-NCSDT{WLp6()|xFdx%Y zLZMb0ZU@ep9A>Z^c09XDl~W`Xy&4TWF7LDU0FlE}xS@!Y{gbu-1b$~oKSk=Hgxn8c z2lva)1L+SIj}EpfFXj)trIx?yV>H-6y}}?j@$w5d@6v2LUxkkhCCte<7q36I#bT-te!}J7wT-fL_hLC zYU!re2MT#l&@TMqqC8uv{^vi2;5gfP&)08>GM-4LEme#9Dr zJFq9=EDUGu@6&pQXo>spcYYUuT;_IZ&%$|e#=*w-G9)t9Js1P8N(Ah;ijQdCc}WMSY_MciL2QdHch1m2mZ# zgL9XjNoGk=Cz@k5q??>Z5Q%|!D?OGNp?$j)5-k`_Heyn89I^O$u@aF zI1@^6tuy#rnWM|!v*#d{D^BhC-}B>Av4&{%wK$~e!mEsSDlfZwtP88$uPm@%da31V zB9P_!FGS8vb#->{p30b{tqZ5@o$MirTuaUEpApS>rrFe!(LaVup)oC`&S8iH+wNlx zHvo_OigxcZ=(i3k&tKKgt}l8zXh=P}%gb(0ceM&inZ6G2-_>13Mo5?#45Zub(FB2; z1~@aXs`Gpm_tkwxxTSPWk6(*}2uar|owm5VMwa5nhl~= z4>>Ljt|19kK8h{P&c758`pj5lCwwt&3UFXJMFtu6hB=P})Qzce*WxxNq|K8*QkQZ*Q2#g{ z+a|ktb%om7nH_31WCqw&O_=tBc&=( z!a45lmKlZY?#D9TJy}^|l1q=bEfIfkz1G{FmPpGuI80N1*sd5k_D9*RD~>~&G??Th!^k2A zOR-ptSKed^g96=Y3Ud)%+$ zmJ`>jm1`7j2H9W!XW3rHJ6DXG4`eS$rLUl!Oz)g26NH9fY`U)j#>zpCzJT;M+oIcj zQV!j9F7dM)g+l6$=8>fF_v4h;xlc+jehrShe6(%dw6AzhA6|Hq@~t^J+}r~h$GmtX zrnS-{F0*IaM>>lPKy>|H!$nD?oKIY3Dsp;czUzPMQGclNls^qU}f?_(a6a&W0Of`B{mucC3xUPZK znkmY%uiG;5pN%o*9PWV;&2K9R+EDxZ>j33cdS4`XTL~h)|L}J{?qC4U!*L?Z?7q}L zAcy!MtIt}VE-3oA$vnT-L+$%vAcL@2SLVp&Z|NJw^BDUkk_8w^P3t~Wt2LCCtDc;F zwg~G6K>q<&Xp5Va^)kZ%6RpTcCYG)<`DjT+*4veJkUoA+h6}`tPfBPl8;-|kHNc;C za#jw2Ph$l*RTfP*4^1J>+Z?jbCS`>+f4g=SQ54t;y>Qg6`XBdCzJ#NLV~$-w6|vJs zF57T`>9EDI2|gjro`RuCv!?}r>d&N8*$^XIT-s&Iw?q&t@*iI1*gSGd#(N(oaQ#)E zkJ0%&u2i;~MeoWdE`Zrd+Q@{dcqWOX$?^jjk;n*tDyd0c*;h>tkX&rbK0jfkTJ`fj z-pHyN+&i}i^n>@Y4IFJ{hVPnl#(%l|3{>BKZ<7A);G|JdOo>%97sFL%>}E^G`%`37 z_F(sLRQ2FQ6K)dqn>gw?egHzcsRklSOsnoMQA*$F zCc47Jo182lr3_{D;ytcAzl^wD(}UCcw(jEL8(4(5Cj8OYEkJP{M|30ql$DJ_7Hp;+ zZPxM{dl7cG#L4JMujT!fa6C;_xW{rJ=9(zCMZsd^wUd_+2zMPkNak9HYoU-%RxK}S zk`k*10xTEmWH{w@K;Zyxt!rko`G^6KVGrVOddE1Jqg4(>b%n{C+{JNY5=2P+y0{L? zlH}K;R%z7EmvnAM2lE(v%~n$1T~>VwHQRu=qlvh7%OhZMg`^_tq#Q!vR(+5C(A{i_ zRNz9F0)$Y$2kE`=9#F#_*r)V<-=@7Zr!|Y~!J6MQEGu^N!;WOVgm|hCr`g@^THD@a z=0E|bHLDjVsJ=gi4PxsKY$0=`-jNZzzexTa5Eng1?VsX?O66I-S9#!H4tMfNuzs<+ zS~j++W6x==O!X3cYjztB7FaXiQ$pxZ#r)vKf(ag%`d1>9+o4ju#WxDd)EV1{XZt5XB8T|Qef zoKKTeJsJ!=GrwlXF1MEV5rn@FbmZ5T4^!bj!9-ij8@$BnJ2NaIChG&PtzKJK_SL`u zxg!kcZGg`(gKKbLM^m3Gr$JFL_=%L)xt)|3?L}I{n6!Xh*_~~z_0)?hM;w`0VxW(OhK7K9}`T2NJKbz9u4ah#+}%z;0cenpw-g{NxRf?44KH zYx&{gqrU;#gm_OGUgrI^C;6@NDdEG(P3w&jJlC9s(#s!woSi$Pm<5m4>b@bIyyKlD)}bdmxy0wdk@>OF z@rla)Uu7im)`s$6U_q|nYRN2Q-Y{BTw3X-k#xx{aT=-yOzGj@~G-w(R^tZ$@rw7v+_@hA+xux&={p z1j3#7vi=&XlI%okf}49+5n}V=o~OdnG^GB-Q&+VBK_PNhni@Z=4vK)iJX?GoBouD} z14;DBq>o&XeWxt4JjR|i#k={rBe__8=STwo4#NuPRTTWSzG?fQ5ij1cU&h3iZa#rngQj5D-Di@;%AK2p zkxnJ6Lu1x)J<7j-h)n4qjO)AlyO;`RZmwUcW}4po3^N9TRZOl}zA4A5_cAleH*A+J zK(QR1uv?gV1UpFi{6#F*qYjJA;&iS^nS|?T6g|jeh8RVt{$SrA{~$1<9{+y;dMPB+w7vV$E?t@?+RjF$ls}5+i|-j-s|y%u^Pq* z^sH~JF&d#xPX@#S6AJr&fnvL?CZOp%q{d6EOsW*a`vD*Bb>x80fsUC@3m25w6~EW}$_+GC=i|&N z#{iE1B=dn3;z6kL(9au<*7trv`^8%>9dRM=-aof|7jM)`aSjARE%w`CJMX2v1Lx9| zAIt+?7*Pg?b~cies+BJ-8ma(T(LeyFC|x*TZq10=g9_RvNP;PErT$PZ?j~z8cve;^ zufbNs9}vK^Kv4AaizgAqca}x%mp5CuLZHgoVIbRXm!btJIJ?J!ox9W9XtI$LEP^_J z40%^THU(&}%6@?rHd|O@#t5PAgM|+Q?v!QPve%l}N+?bHfXS#I-z9%#)WvVz`N`=g z_pu~701x-71kLH73mmED7FUQ%|Jx>zxqUxc_aWR#x5laRi^wSmGOgFt{wyLIRq@AM zsY>HM#k*t#%4H5JLFC_trri%dGz0Il?ut?w$FB#$0xjhtXFYTkw*H&ae#FnSyV9cx z+WUvv16J{>dixcMmIxw5_9v8n1~Xmn$ve60aeGGlHie1gr4{iOeCF2) zU5H{wT&vf+d|U)?t__cq4C8xSJCpr7^zS8<kGB)$dR`8bE$F zs94U_OmMCw?vq1WvBj>qm-11e<}WF9B-gEoni0s+5SyPZCq0MC`er_HILQ`bqZ-B; zd5h0AQrZWY4jocnp9Q_On`1SV&XsY5Kgqo{waHyI68-pu{{&OP2CQXs(@=(?3f_u$ z+!X09_VwiJ2AG^}62JquS-lY)R{d#!n7>k3OUsJmgK>MLF{0Yi{X50(C3i)Z-f&(I zL~du?EUy-^tc?=)_9N&yDZ_A$U9w{!MJKwO&RohjjKcumrPJ(stSu z51GsTM7DQpyl#79{sF#~k&Eo2j-a;^MwcP?a*)J@GY#3!jLv9846J^Bwf95FGA?@u zq-KBJY$-PfS&jO7IAY=fV`Dpc;s|AN78 z?4@8O1(68hoSx^L)uop;`uC8=lu8_`jkJ_+_h>Th?j+fQVM#uz?J~cYfMYW?U3<=V zp&JY)j$-A`k5fCifEyPQ<8s(*ZV?~ZQ=nsMi~WA{^4Bb*geq4E3xi#>*desL40y~4 zhd5^JON4?cN|WXtSGS>F7hwYWHa6#TUAZw0$k)MtkD4ScJiYoPs|A;t5HBC%&6Q$| zT&PD7qvmt*1a*k>F?J>2j{x)x3@Sg?eM7Z;EV;ocXIfHlzkn319S&{VF&K*Wr&=wI zJ+X;|{DsBzfZOCa2HkO|X>N!Knr|m|Jl2^C@{GfH#0nA}sJOO294l_|zXYd~rEVj~ z5hdV6UTOlU+AIWVI>v7`eU%J)DhWS#?3|e026<)ALDyqSupz$OU@Z2rfj?x!;5WHg z9P9Q9si@B82u9SzfxdZpHl98<&3?hTf=?eNr%vr<(es$UJA4^oJ(+ z_3P=^=}s%a58EM$p_^X+0sf|;-dY0SJ-#Z3jXfub1#oDwX_#2Q&s&v>;I^lisq)TX~25s?j^A&*h z4k+&Nx0C3*-;V!}fo_>Fjg`IKWBjZYpSZ)(rVJ{OuI1 zN}g;C;252U$Gz@7O|EBKB>Eg51AksW4!bq~Z)wiDhT zRfC3t?5=@7R%rP0d8&_F3Fz6}Q`V#?XY7oibuMq3NhfNF9Q;g|wp-WKO?WJ8b$RWb z_~UuYi$jJxv1@JAmPAj+c)JArIDqTW`t+wRj^r1H!eI#mreH8wfq{h%p79pvh21OH zIck>xVE&~c4nEk|3^GzU$glf+dCtZAXyAL1xvlkt7gAQqi66exs(mh28O7B3ZQ#D^ zNhX)Xm9Dm(<>x7`F}6N7!BCRN{RjTNtcOPoQIt- z*UB&u-4fLv@cgm+v(sJLDdn~3T+~3F^r&FTw|k1)08%nE^GMae%yN8j>DWG1N4jJV z0x^N%LJ?d)xgn!yASRKEe@9pl(l3mUhKIpKTcO_ymuzrizZQaMk~KtjaPJ%O_` zg|lHYu*&_#K?sKvf`KiGvL}9ntun8eK+wXa{4VE_DS_P0w`NiQooF7!3ha08Tx3WG zbvl&d^Ovn{0_#-Wy|<;6yBARc4u{HiaKI6o- z)+szV{yFD+i|BT?r}iZFiNNKEPT+%h9iHGnNqsqf9eJ@|5X6b*W$0_=7d1ylVLYD% zIA$}s;qRY+bD^tM(z`B=U#@#Fe#6h{xJo4Ox*lHub+?~W zja4ikgULZPpWYMQO)v9`M!kO!STH(Q3XpoEHjl+~M`6!mRuMrGMbk)!Kjj%^u5&Te zG@-rp)Ay$N7mddSjm0OTuBpedAY|mXE>J8agSzE8RLQESwsWE3p}GoahO^sLwbl6)m_ok~BPI>lg#-QdW2==h(0NZx+RLUX&2}dlH5$j}&I!X4rDE3X4%HP2EGpcR#qCGP=c!CeyVV`7Wnn+mJ1Lw|+g(2i zO|_G@4Mb&r_4-$CgB2Ok&6SPR4aIy(qA0$T(<}g|?*4F&oXo#jjKQjNCZ&qwc;?)= z(w1IS;!OF%v=yzgx;D7W59y4(Z|I~0%2^<=)%Rm-uX`ysm#J4Tc)WBELS23>dgX{J zzb1Q@_wz?-}7C*c+bL$#>XW zRy@wG6z8|0{4L>V!Ch1d4mF4$21sFCxx9UhfAlZp`v3&qiN{W1(aYePjzkY!aClXA0A~5rR={%#^5MW z9|?8v28_LNvR*a1V|OtdmdO5@a`XyM63SI7EjihRU{W{(kk26YV3xbz9LGr#RORUE z+)Fsh8f9Le${JVlHSXBm^kp_7^L>Zo!tqBWiIZo5G0=UF(MxbBvsu{p2m69DEa1Cl z{YtOA=9!y9EsMx{CwRd(>={}{=9~l+jGlu-QNRsoi7={FTN9wfr&4<02RcHQjH|*x z+sHB|DBU{@^QC1`2zq(8>=Q;X1|TOyLF;$4?G4m@OVR@$5R)idyYKaHoG3kB>qgzeFjNkTCx*m+mg^5gr8c@>GfWJX7soC0 zi0pRI$GXGUUXZi#U&@*$q-0 zP8;h#K$IGNogXv~@;yJcbV^jraCk~6-R zzA0#roe2*-^@{S4b>2)ZAF5N29Lu}0?@y-Afv4GH*0UtbiP81Pt-aD5J`(H4(I<`T z|FMpIZw6<94=6l#UO>OtNj_}Hso|;9tNQ9eZNj;?}?#lij;_1?kq zo{k-^ys+FQFx>K|?c=J2JH?;V2dm7#q=XxoWn;&0g)55L+u-oqdy@N`36SmOyygNgo6<%)VMqzBA%hhflDjTdPoIJ;~ea16$x)l5&X;`)WA#U!YyVc*=k zYZc@}wT?Z)D|y}>RZol4R_R2rI712e&#idn(t?=f zn#bbYkt(=ZLe6j%9K*Gc0xnptQaA7+OB+KX@jV8;>#?26)e$PWHl;$PaW4dAC9SU? z1qh?K1pK7t!OxS{>mT}^jmKlbDkSG>Q;{Q z1aJ(|92crgH}Hokt+X3i)2L^6~;PT3Rq75j!g1l7x7uee}IRR!lNlC;8G zl}Y9!ZW&K#W$SPn|8*5=7jMZ6mw#C1Mp*7;jpECI#7y?QQ)2H_p)PdV-|vJFb8`QU zo*~ufgqxyz^D+zw$VslZ$S4cv9eoq1CZxP=nF7QIARr}r(oWp&OFR{&vdY7A-i!*U zGHbS}nR4b>$8k8hb(G8J+zXxqCzq}*zU&J%8oqz~D|OzfDtu&+uGm(fo!>y3m-lk~ z4G*x@0D1dUI}nmzmu&AI;9<4w&=grlZ4V5n%lEO4(T0xtmdx!UEhSR-3}VW-PQ@wY zpvY7o&`n!mvip??Wu)4enDu6s`mg;7l61d>W(t3*)Rev~;;A^yc`a|wCLFDZ9KJ5k z%_p{SeRWQ}B>Mba3kwj~rSww?xaRNQEhIE&k;g7tklPE9FswEay-;Y_Dn|uet^=DI zSqyV+l&U?@ITqBxKlt5n#HMSTI@yM%_?YDl2%^VRl7T(c%|bNaNNV? zyKPVDXhDXGCi`a?LlzI5>+m`oh>!JprJcR0*O$LO5_WRQm;F>xRv^4_CKM=kN`|Lx zd^q3kY?%lF8Yo-z^9{@+8d=^e zm6_%Y*W0^!s`O!Dm#$2O(j&tsh01ekpIM1LE4gr6y?675&lc6seTP&VR9Mm0FXZY1 zzELO?ETFXU${|GAS;h0o6*HwMrcqIGR0Se!`PI<1$z4XcveyFpMck6edgCz@X>P#x zlkY)Pv!Z&5v>}9JkO#9KZuYp9a2Hbp-&~g>JKVr}X)J|(;OZr%;@NJoT~@D0gdXk8 zgjmWh7)kFzc8JpdF~kJ#JvZY7`OgL>_P6BVVL9+(_2~-)xJNY9?4=nIpkIb*h$Xo{SCbCVR>$7r)o2Jn1@&{==lxTf=bgR+8Cb~#w~v+MAHb}DA$ z6ZD05K*=Jf41$srxY-B&_eI4+5~6x9)u6*=I@=@0SDuj2|7D6fkHF^{&Bt|R`&4^Q zCzy;c^L^yn>)9;%9oVm7h;cEuW{Z_o(sO^5HA3fh%M4iKVH{7U+8j4@Lg4M(gewQb z%S@ksldsTzvShODZIe)~hH0NBC!PL$V16k*s4tpVgPi2k76AbcRpLTx-iaETMBroW zC8bEG-AqD116K-~)J)+MG&BY%#ZgN(`rhYKe@w0vVz-1sus@V_y}q(e-3>@|tnYhs z!k#(|P54UH&=t$|=mzH59|!_UWluVd$e2Ewe18OR@BFXPz0?xt>??^5(d+ZIe00gb zkGp7hV*q~UZBT)`nN0BPdj-gbbH{QIj5|pnTS#-eY2AD7`j#Y04ybY(HoU0CXi-vl zoV}w)?%;CMvKqg!=x)kn&prPx3{rXVChW+IS1>y?&s!Gu73DwU6_UmY>$M`Q=_Wd3#332ScE0@tT)r zXn~g%Sf!^vs=!qtoh( zp+nL}Ic+(=!_RRM_bcfWB<9g~XSAC-S2=F6Yf8az0u>iW0T|9Xe&RA%=^b%G|+R$DJk;5?0U?hz+v{U(-=fSTQ0e3f2uJb`X1 ziC@W%@m>|rqAWQ4qP!#N!=%_Hv$>;BhhY_4q=T=0u?Il!iL~`^M_@#YAt;vDrLX+y z9aG%~(zB|20i_CBD4La1V76R~_*urej8|J&q0436YkETCf%deDfcMDV-;k;(3D*BO zqk?X|9CG7q4mlt8K?jJ~{|2;TK&#J5<;tlz1@9Ccl=~}l0=u*n2d%$o8?8acuS3pY z^E4T99NV;Aipfh@fQ7?57mm{#Hq67_&T`)B>>fzxndQwEu59P(zhF!u6nRqSf`uOG zr~@si$Q2$HL*eV}C(9tDdED;NCIl)=;65#@bI^=BfPta5bh$OW7$_8D4NpH=`bvxB z-99BKBbAD~(IxT-P|&}&)5%=jZu^AUzIGrfFqPF=W+dEGro-FcY3f*;6R8oLS2A-s z5RoIz`>^v|{uL&z6J;mooF!fM8i=*$bRu2{^v30uH#Ni8O>slKPh-8uKtEUrPN4YM z1_)BY=QcVEvn+j3F|)4W?aXMB8U0+?B}D10(vZXOSnXOZ++n$KgtlcFX3P8Sf~RC0 z^+71$7SD$Hbsv~awPreL_!Z%)W78|pb;^H0Afj9R)?J-sh7{?aVF*SGZhia{BOV|h z9lqKDs|&I^%Po(6S?}>G->rbZ)-~=IAbxFiwKC60@e>wrMiU80#FS%ndbxUVpd)XJ~swk*UzG8;kEeF6s zqA{V;t*I-wbPt*~Wm$I=XLZR;C!lxl6w94sid_@0*q|AQ{&$V*5wz#gML0@25yR5w zjKidCtbA({xuV`o6J zomDxCTK~vt9VsBxxiq`~g=uq3Z>F?GxakyK!pLw}*rA`_+W=xty)x@y%iW%ncnkeb#Zby9%$@nrq>Pmr31e-4iDK-s#%#++in+(3*${VZS+UXQdl-4)!u#@H*@Vn zyKpV_W8^7OvdDR=Zp*0@+lSGVQf{F>79itD>l175@cCw7UEyu^$oa_QnP53-?x0(& z86A$@=tb5?B@RXPSL-pT+j;A4rdIoB<1Qrhs!(RWpNK3!9~YR#(+))AQZaRASM&Mh z%!C{^R2lhsqzwSdO5CdzdUCa1KUjQLFF$Od7vOY#f!)v+q{XEYN~g$e1O&b z!PNQuQ4{<)u-Ct2yyNpwN-r`5W{4{*%?~tUMtHv>Wq9I3(y#XHUU=D<0)cw&MzGykWYC%r3i#txPMqTfY7LHwV-m z&Fr|a4Q*4!*hOu&w#5vAA-~w&!kJ2YLakmiOs8ql=E?Iz# z0?eQJGS!lIj&vQ$s&BcWW%BqGP!0xX7N)gmsWQ@9&QTurqdj(k>hRf}&irmj#=~VK z32dvgX0PWj1v@+HFa8N*YhLMT2^W76kb6?HB~yJ|Ygkx0mWR6BfoAw`aiG6A&9WS* zHDDV~MyK=2t>=>qBd%X#zH5H+A#9Ii?pIpT)(%tsBb`%D;usu-vLn*fF7=K z>WO@AC=Yofwu}lvJTaR3`X|epdH0hJr<@4d-)4H040fVcpN^hXY@CY`dk7 zmp*u8s(%rAA;#z!l>)K6vz2rcm&34aqTKg&?T>N5m*o*ijM#~2yJMS}96 zm@@&o2Y+BCZ8=u_Sc-fxG{GecJMB1rQBnuqz~`zwN0U$we+uNdP<;{X7C_uc8XRQ* z9&V~@VRN+Ztw1eymL)IKxKKdG;0r?o$!@b!m&k3SW8}*hBvaEaVgS!M^0Q(y&%DqU zmrp%GR{b+0zR34LYOoCyC_7cXi4+G2LNIix5yZgXPpI>&$75+)&iXYePcR7H-NX70 zAT5~3GsTcqZ)eKy%rOd%kuy3Iv+HVPM|RGPTGl12s9eM&j!uxwSr-BC_SpCO;&5^H z!Q}H+!I@l2K6Ka(SjD(CuH;a{D2#k&%Y~nAvDxf( zcBOx!ku|yNf|m&t!Y=EUO@X*XJ{GS7$KxJ+OvnGp0>B4OURwl-Mp%M3OuaSLw@Bhf zvehAStTPZ`Kc7h4&yG5kMfQTQuEkdn&VhR$34vU_06BD3sX5mzs>ljAWbzG8vbYw6_TBrWDmR)%H)s+3qecfTn_IS1)VV{G{kba*M z-7Mp_dQg0jHXylPTP4_Z_!-#EZc-b%l+t@%d0))nZ?Zb7Am!fD+$=t6>~~ZB8|jC% zzvb;A-bv7fUpCnMzK~eySBj3*xp(Olxj*UKi39|fNDyxRmr)qeU>U|QK0mT z{G1oZ%e^?@Sw;5hHAV->_BnAQ^I=<%2hGDcooVp;-Bc!ff4N{G)^XZnn z7yj4$6x+EsLQTQSR}9Wyf?lc~HKqkAUFNY>WPhG!Ylr%2qJ=os`2lx3-mvUm9n|mF z7FAnfpj{nD5Z3VnifjKL4K=b1WiA=-CI*Cw_o$+qK^Ou*QIL)k&Mq7S14H;L-f;7Y z08a2?>=lkgu$Sz4lRM?4?Gs zNpA3mLde-Pk|0CSP{`el9~_dlU$g&r@aywCCwNTJtFpz;9SVL3V|~tS@gS&6;_^Pm zGx%yOf|{!}HaHU`Xjvj0(G9G2L93LJ z9O;iE`GxC^FQ-9&SanggDdny-ucc$@;{C>XJh!aKO1qt~#3Pm?kIb4r+tl7!>|A-v zH!IN9v(Bds-^x*X#L)9D{I9rB-?ptsq|lqqf_E>kJ`QWHLuQWEi3Z+aYY=^7i+oV8 z^Ut-Mld=xY2Gn7|Rr+}3<-Kr7Y|Qta+eRmVya&wr(=3ducdt=Gr#U=Pn9hzjiU4TP!v!ls%1 zgK|LZSUl$^>n+`28gn)l}JW zMGK7{X$>vBK<6A5x@HCE2P5&~5_I!*+Q^T(BI@aDc0_g2*Fd3!$IYZsBxvO#Li(IhJEM57e zEgY8P)*YEt=L9RIx*)BV#RJ1>WL&?{80a_$Q7czZOUJVB=p7zE~*<(7q+kX)tG zopFiGBD1?0VTotXU(I{+?;j5V+ zb9?;N#|SGyWQF}!ejwoJwkbKH&Rp|_U3O1@7-cS;YGm5-q=;e<`fzj*d(+5WxU(nm@LDBD~^T)(L}}Qr)~n z3i7%}9N%HGSGHK{bvgrqtM1+K`=-eO;e4h8u2R5~;#$uzcA<>#Ioq6A)7J+B3n@CR zg_K>c-C08Y5kq`ZOn}+*^Jxj-g@Oso_ozR$qetTue7iNFYX4?FC|WOOklzvl7ABIz)e^u^&p4#2$Eu!Hw+KQyTc* z@a%3`M;Wr)3qU_4-<=`fK-2bi#92|*@t2!bBdP0Ws`niVpx#fvg}|9mE}!L{eYwJy zW-@PE?Ww~A@e|y#)&}g$FN@)h9OjMz( zsu9$Q$LJcG1TErmy7mcz4pEn`r%x~-o}?QZ5sZl@^i!q;Gom@&!jfP`w5HqG66}bl z>Go#`4n#-#Sto)s(S`1Mj^IXgr+au32t+Tsw-3RW=tuVtAe<)#(t|D#h{TKZOTmQ8 z#1MLD7$KY(L63|gTp>o&V@L!tF_s<|Pxv44D*ajlA(5CwPo@x3h^h3n>x6V-20b&2 zKqY3=X*q;kVjlfQKB0hklYZ+q;SP~bFT6`ABHp9le?WLhd_;d-Oei6i(#xI@o)VwY z|0^d{5G(0b&k5DU8hULVp`Q4H-q1*BA~w@oS_y5$c6!H4!Yg7Yy^BHUCic*K`w0ES z0s7z&VVL-uKJtbzN_=OPG_vrfvghS#{AxA!D4*XE~ zAz4BplgkB?=nMvyI+pna05W3$mo6Lb;Z`Bh#yT&YJJG$oAJ}l}+CRp(s1xYx3CwBL zY0L zjs4AM`^itGAM~i?H$q^#V}M`Cpm?{PjwENHcY#$3Z>GTYEWDz^WFLFpc2*jO#gAR2aMU96twpl5&5EORe};gFgRRW&lqS=T zTJj}*hV@$|MVVlpd;8o}htkkfG2D-!$%oW2>U7e9Wr~Me(|)W6ae<*G5&R@At(dQp zc;8q28BiF{GjduXHTmJtL-vngB*F*=K{AE7J7vrSV@ab^9`JGF-{BZY zl-+I*m*VMSCydKai63exaP|H5&fep*)%PSQtB<7VJdrvV{Nc>5@Tk7w*<7Iq-Y-s%pyueq{ z5dG!Te2>`KkJB%XQ9hSn%Hc zA9xY7)rBbSx&tY=cZD;E1LE>z9!CXbe;ybc2vay^R+7aihvms^qyZ$fosQKs2BjKa zjR8@#r)|kCbvu%nu$et8f1m9Ml7HQ4PB|0A{vXN?_=`H3w+LLkgUR*)f}D%2GzOV+ zoL?3eY*u_rddM}mfM8A9cm`2Imb|V}d;ah8cI7}>!|LQ9VsQ(&zgKfQ?Y@CuB zjaW6lm+I%OE)7`ZIS)wiy9!7qNST*kTZ3G)05IO6=T2SD2I-A?vB@WgtXFtCpGYo1~`z@e89c}kTE<38WR+I1A`iZWDg zHf@gFGyPJ1k9UH`aDRVyxvW_YupAkAX((+E>3^7z!cTtRO`${dKsGG)2-d!GiSO4s zkdRZB;uF_V!2SS{dRVOL&nv3NId3Eq2Z5MnRl@Ci8)Y?+J%|f6=5IA!;H!?YSwd~? zUUl9DD=x^ z&oP<{r&oJU*HADTcK0bMv&Vhm#4T{aiNfx{NI{t++6?U->lgqnzmKJTpml4-X%B0s zhjk5Uv)@+Gs>28QMPF_RhBxiz3ciA{A1DXDEsL&x&Xr!NRa+hvOo@6O%goLcYye4p z%9*XJv_f%;1hcPKlGM+IAvy5kD$A?eU7E$!RiJ9Q@^kU*#bNl54|D7{EA6h$DQ6hW$ph%|u!HVD;F4}zj%IanX`pi-nC zC3LZZq9751=uwcah#->v=Y8kXtXXT;{bkmyd)8dn?>gsYGQt6tSh@Of_0PEKyz7D+ zLpcFx#Sbr=QEG!3TbKmn5dFC>qkcqA>b?ts{VjV)`4^D}T`#SOk*fzKhdh-U@@}#R z;S03?mYHJxM)`f(jPAx61Q}B6*e1-Fjmi?to&3FdEQ0@+^;K-a7wt9IBe$C{gYHUa z6kaw#RMbR_AO`TK>Icu0Bl6^q4T#+>`jSOPTA=o7O!ZdEwp$V>%1{LcJYSb8v*92L z#pXTh@ooy37GZ#lu$|gt767r>VVlvUEFH9OwC)o$Lpvt(e0K0k+E&^vTGJ~>+l)MM zOXcB={jv|50y+w|OaIC&htKy0vqw6eZdlxA&g!2pp&aze4`HRUmEgDDPGBW^y(4X8 z^mtED-W@ne!z%4~as!jtW|uZAd(P|Dz^Q*HN2fm;5Md0J@Jrm(J~X{FA)c0RghSr_ z&ubx#X*wSg1M`FU`GRO^6L80^4?bSeU=N)U-bu;3wKd=+mMQ0IbYGXtEX^8 zTH4!aLI;YmO?RQT%9XwK6d#d-HjXCrqqx{W#o9m4^-|pTg)gAA`$gx3@tcnBKZV-; zR}$(esiIZw2HH|`ai>?0%C#&R(-^J&vdkOr3v7hel7o7xF+#)T>bk6!z;+G(o0{O;}&l0h#S& z-x`s%%+!%Rn#sNhbZ_)NBa6Fxa=WSV$@_nqs+gEzSF^OnRIIEFFv+SyizK-~hxii~ zSZ_k!yOcZA)u3>?0a2r(kQ!+o2nGF_G;4YRid6SJjg||qbAJUvaDg|*$|shq8i_xW z+btcS;-cqQuh8ACwD=BJTI z{iCvHYUR~DHiRhvepO+aHTseBxLovR+$F}K4ATuyZFQ^`1 zlz1uvB>m#R;phlPzr+)L!__12qJ47n=}BzVTlUG5Hcxei3ADg=!$3fD z5&NWvoQ@P{TKZJSyT#9nzKd-9p18Ehl@2gT^6m>Zx^vn4ChKaEBIe^*iPo1@UOzbV zSf?kEl&r}m{q^AOCHUu0`N+R@KA-ZvN-vHCAN;V>F{H%ECjS+*k6&U-34f!8@0)@F zPs`UgRBQ@PHZP&_Fu`o(y`)P?v7X$kLKQ*(*foq9MHMNUOgCt zc42@lyhQ7@1i4W5zbY4+(bi?k2~MQ35L+ZuzFaqv3>3cV_uN^*#~r~QKI7}9>nTul zpD+@za+PPkQ?_6;TjHog8M$jwZO}Hs1*x*9d(&<#*U?IpNRwxRZt^0;N}@jsAGEm1 zzHpwkH1RXl0Gi8!>xXndlh$Kf0RZQT%P?Ont}0uQp3c9M zw%4&L1n}EHT7vU5Gu_$AxealroOl_Y7e8%bE=rQa=M3#jnu^X_DbbZr@Ml~&IxDUG zV>0<8-SgZK$RX|{K5p5zI823imKR;MgY)I`e%NTb3_xJRh?-)a@r z{?}RBZQwDXEx}RdiFlw@5Rc~HbBBv$CwCyPTri+t2py1S43Vr^qUO0(dTG5-ChMbCFL=?PU$XIO zTgLO>cfXmCt{rzG49GZ@Zh%ktX}{P+Q#2}y-;|cs`#)M4X~e`_Y=DcUjko<-oG$i0 zU2pVnzZyh*Phn)i6UACib1U!YJKozdw;{t9Hddx??V!@bkrz=nUszRHiBMlTOg-;I48;wH;;HiPIpw_E)vat2E$=5gw!3gItyC^he- zZ|`1ny|&Co9(%0O+Y1+YsInTrx(VdUM%(`k{z3T|5TDU);e;@N#<1G~haBK#O?(nm z-l|E9l|dlxJ-deiS0wN-bR7l}5Drfww@E|1jHb*jNYn~CD?0}ZW3W)}X+9hd6rLf) z=~kReiFWWisldVdLanncL;^eV@mqDdN|`p#fJgn&++9cDBR3(zB(E7{rbn$JrPZ;tod3yAMoAVrDht# z*50dTX%%e;^<2;MUn=nVQ&FK>Bw0XTl`C{5NuWGp+v=fPMJ*Asv2w*C;1Gkl_4JUu zMV-|?i@UB%JmHmR)$OQCijie`q;cPsPytz9@vGL5#z zj+q@eYAETjLDm52-+MUL#J;m?oZ8v23m2w9RV>k%C#-B_T=-Qx~R6l=dd`aI}WVFDy%_vkQ#>Z z#R>ZB5aBV5S;Y)NqfqrjESBM6P0MzVG$=O_k=)5t$DRku1W%KAXUflocRBcMuVkMm z@^`*RJ2$XnIZjVWg<&rVUiV*aAv?5A+mgYLPd7jg64KYm?!51>Ft4srU^{|HIbmG* z-H&1Vnnq9=@;?EMHBV?v9-s{KM~9EV0fl1YLB zrI43-%WXmPRy*PoBuwPvO`0bH(^qnOPC19phZgjA`wX$9>?-Z=9@X;ZljAZvtxHgk zlg|OxoN3Hjtet&+E?QIW+1NYhcO3s{GgpGuue8qz7+!9bb83@@M2vZbq=!r|L(J6kc&v(nZ zgfCH-&}`Qm*7<^Rts20#!@6=LX)SXrh*`Kd(~yFRHbjx#0vE@*I17GYo(SXw9R9Ik zJ&^R@%##a4x44{PPJsNBmceFpOt@fyr9D%u%yC+OdbC9^&oJ#U_*Ljt2o=*G}f#KNS{u=ylwXI60Mw33blId85PRA*MIA zcp#9WWg@Ima3}-*{cIc@V+-(nc)o#2?GNEfpe5 zf65^>$z!R}Z3=QVM+k8Ng5}6>dyBWV;X;1+*%NQH9_KrKkJmTY^ZL}HCaG4XggtV+ zAX(<%)s}gT{y^G1rxJMg;~d6hcvPzvjVBo=Pi#sFnrk4YMYAQvo!ENx*UW#T12~zO z_+AvqOK2|J#(ip57MHhpEkxDedXj3M&N;j}gmcr%y{PhXF-!bcw!{AAv}KH0wkpi} zDHK2B#|s1gSK$@_@K)DpDf6vEY=5(EDRgXDGpYk$%6nU|fhXZil%?s9Scs?ov$eHV(>Lo|Be>tBYCxb%!3i}x^Wz%{-6(orTC`DxKptU)2L$YstlA|& zNY83+kk8U)+ihLyJs8a(4uYHzFT+}+dL*8ERN>FqY#kV!=f$XjTJ}c*IUp6l0NAlXpJ$yp+|%zqCkvZBq+w{jul7DFJpqX2-h%(GZ?dL%3 z7tzsmD}I_Y?TVdLjiutgj#~Qz5_Knk4bZWn^+zeV?xPY*%6zYa9(QCB2FQCTxC>^n z9<9>a6qv#0o%#Gz%B(rNR5jUVp2Bshi);tKhVvwwJL~sNp9Rh7g_`O>5i@b?*7!s< z-3F$riAHa`X0|rKO#hJAQ6svSgH2LF6=rprP^5pTORn zqMsdls`f-K-xpE)v#yO&Zn->I@L{-f%`c`RF)pWsPe{!P)JhnIH;sPL0wxbtIZLdb zUj#c*UHm~*HZpfKl4trhT?I3CMZ*d`vYi8aKJL&m3^f@gx9Mlo{40Mmr2O7T$NsU# zC7#vazntwu->1x6G<>NJbqo0sHoq&yyO-7b^1-OizAzSE-V76q*bVFQJ9r-_cuQ*& zTpapT2GU#MX-~eEbxYOVB;DijpEfdGzsTzj(Wu(v2M(fW>wFRKtNlUvA>y)LEOu9S z?vyYQLP0_<-eB>7L#3nJ=`M#Z@MDGLKMhrOs1Vu6m&CS0G$r?3$zKRO1lQEbB+GZCaSQ1cU&#RHLoijA0W~Gjj`;zRfoXcN7R7 zPddguJQ^2YdrJU^CWc2Gii|n}M_Xxz0Q--p!UvMWVq^3S4A%i2fCd0a?solQN8R1Y ztaJb%rBms43?{4|q@kmw9jqId?&<3Ydu@KV?DXKAIDg8156pIQcG=~++Y(kw15Wz; z?eO{I;O=I<-8KX91fUWXpcO*^*o0_EZl;6jSXf$F+lb!U**ol5W&7@PQjRQg=72yz zsN;$Y$JNbH?kPa_-zq(j78-US{Gd|%VX7b|mN0k}PDo7RCa0tx6C4K|&(8A+wPz_= zwloS744~;H3~>*j#^{NF(_MLds+vCU(YUPNZmqk&{1nyh?D4xmM9u;i9^fTHfdup2 z5|{l7U3hw`vloDHKA5Hg0s;WB5{;7bjpber%&NrJBajtT#l!NqWh-RJhox>4D+u(% znvJ>$v%rtT&Vxv|HIcFK%?r2pOUD1b`Q+B$XWaVAtQAC#%@Ed+w|`aq{Bd~U_FTmb zZib9#R!48Qs6Hm%K7Rey(MY;$;ulr-tUp8RDh%0v8zM! zzTX62lt&qByrH?-dm1;rdFWPq-}s+5Rc_a=8ee)-=*G`BK0ahMzl5xCRhbFqV()h% zTag6Q8SES6ZRd)TjKhAnbu0cg`^b2w2w?K64xk=@ePikjd$oaQTA074-Q3DQu|%}@ zQuecNGm!5A2?=NiyN-MbA)`Hu01)KOTcZxis6YuEAwK{ufg?O7%wbSy07f8TNh}is zeF9;M8F|G5Va=J$#|&_DZw{mIO@O)R5OVW{T#|yGFn2h%VK;qqolGf4|3I~WO&t}b zd{8;rUPZ9&NI_HD>rGWrQ}SmKIa;4^01hV=DhnHv%t%TU3lgkiE~m_(%5TcJw(Ebk z0gvs5u_U{6b?}fJ44&29qNKQI?>dDpMUw~8O=!yczD%)(qP)AVhnh%vhc@UAH+Tm- zqd)y7!CCQpT^P3%g8)mm6QRUUEEV&P-Q06?% z71t-qsMlUYFsPpKGQwpo5-YzafEik89z~knK{RbY z5v8MjJ`@J!zqXLT#cgvqg4wqwSsWPO0Rjf*}C2V1|iaAoh*z`ZY|_Ia|?f25{=XOfeol zZKYd)14t-bDMa79PZAg%(-Nl5q97E2zpo%dgrmTTSqcgRJO(|G!2cUK_$B~zkyDXC z!is&N0E83Kyl$viFt{+~0({z+xVCd=l0?TxRNjkxWv7)lM*UMp0J)zF*-vQ$iR&3&5_biTC{3GNES zs1`NU{<#-$=3eQ&^Y_56;w~cr*rx&NSGy$1+Hseioi}}|72Guq3vXn~j~e96WJaGD ZNO&_T!eUha6^wo>KL!AT(G8-~{{iQe-%9`h diff --git a/vgmtest.map b/vgmtest.map index 4b49e5bf..38eacb28 100755 --- a/vgmtest.map +++ b/vgmtest.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:34 +Created on: 15/10/03 12:14:30 Executable Image: vgmtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 102b:0000 0000e6e0 +DGROUP 1099:0000 0000e960 @@ -32,26 +32,29 @@ wcpu_TEXT CODE AUTO 0000:5180 00000058 16_ca_TEXT CODE AUTO 0000:55f0 000003fd kitten_TEXT CODE AUTO 0000:59f0 0000080a 16_hc_TEXT CODE AUTO 0000:6200 0000158b -_TEXT CODE AUTO 0000:7790 000070f2 -16_snd_TEXT CODE AUTO 0000:e890 00000338 -vgmSnd_TEXT CODE AUTO 0ebd:0000 00001525 -16_in13_DATA FAR_DATA AUTO 1010:0000 000001a4 -FAR_DATA FAR_DATA AUTO 102a:0004 00000000 -_NULL BEGDATA DGROUP 102b:0000 00000020 -_AFTERNULL BEGDATA DGROUP 102d:0000 00000002 -CONST DATA DGROUP 102d:0002 00000076 -CONST2 DATA DGROUP 1034:0008 00000102 -_DATA DATA DGROUP 1045:0000 0000052e -XIB DATA DGROUP 1097:000e 00000000 -XI DATA DGROUP 1097:000e 0000002a -XIE DATA DGROUP 109a:0008 00000000 -YIB DATA DGROUP 109a:0008 00000000 -YI DATA DGROUP 109a:0008 00000018 -YIE DATA DGROUP 109c:0000 00000000 -STRINGS DATA DGROUP 109c:0000 00000000 -DATA DATA DGROUP 109c:0000 00000000 -_BSS BSS DGROUP 109c:0000 0000050f -STACK STACK DGROUP 10ed:0000 0000dac0 +timer_TEXT CODE AUTO 0000:7790 0000020f +_TEXT CODE AUTO 0000:79a0 000075c9 +16_snd_TEXT CODE AUTO 0000:ef70 00000338 +vgmSnd_TEXT CODE AUTO 0f2b:0000 00001525 +16_in13_DATA FAR_DATA AUTO 107e:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1098:0004 00000000 +_NULL BEGDATA DGROUP 1099:0000 00000020 +_AFTERNULL BEGDATA DGROUP 109b:0000 00000002 +CONST DATA DGROUP 109b:0002 00000076 +CONST2 DATA DGROUP 10a2:0008 00000102 +_DATA DATA DGROUP 10b3:0000 00000583 +XIB DATA DGROUP 110b:0004 00000000 +XI DATA DGROUP 110b:0004 00000036 +XIE DATA DGROUP 110e:000a 00000000 +YIB DATA DGROUP 110e:000a 00000000 +YI DATA DGROUP 110e:000a 00000018 +YIE DATA DGROUP 1110:0002 00000000 +STRINGS DATA DGROUP 1110:0002 00000000 +DATA DATA DGROUP 1110:0002 00000000 +_emu_init_start EMU DGROUP 1110:0002 00000000 +_emu_init_end EMU DGROUP 1110:0002 00000000 +_BSS BSS DGROUP 1111:0000 00000714 +STACK STACK DGROUP 1183:0000 0000dac0 +----------------+ @@ -92,7 +95,7 @@ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) 0000:1d4a IN_KeyDown_ 0000:1d92+ IN_ClearKey_ 0000:1e0a* IN_qb_ -102b:071e+ _inpu +1099:078e+ _inpu Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:2854+ MML_CheckForEMS_ 0000:28b0+ MML_SetupEMS_ @@ -117,11 +120,11 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:46fc+ MM_TotalFree_ 0000:4792* MM_Report_ 0000:514a* MM_BombOnError_ -102b:0880+ _beforesort -102b:0884+ _aftersort -102b:0888+ _XMSaddr +1099:08f0+ _beforesort +1099:08f4+ _aftersort +1099:08f8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:5180* detectcpu_ +0000:5180 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) 0000:51ee* wait_ 0000:523a* filesize_ @@ -137,9 +140,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:58c0* CAL_OptimizeNodes_ 0000:5970* CA_Startup_ 0000:59c0* CA_Shutdown_ -102b:0890* _finishcachebox -102b:0894* _drawcachebox -102b:0898* _updatecachebox +1099:0900* _finishcachebox +1099:0904* _drawcachebox +1099:0908* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5a2c KITTENGETS 0000:5a8c* kittenopen_ @@ -150,7 +153,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5fe4+ get_line_ 0000:6056+ db_fetch_ 0000:60ec+ db_insert_ -102b:0494+ __kitten_catalog +1099:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:63ac+ LargestFreeBlock_ 0000:643a+ _coreleft_ @@ -166,395 +169,426 @@ Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:76ac+ heapstat0_ 0000:770e+ HC_OpenDebug_ 0000:775e* HC_CloseDebug_ +Module: timer.o(/dos/z/16/src/lib/timer.c) +0000:7790* start_timer_ +0000:7896* elapsed_timer_ +0000:78e8* ticktock_ +0000:7954* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0000:7795 __STK -0000:77b5 __STKOVERFLOW_ +0000:79a5 __STK +0000:79c5 __STKOVERFLOW_ Module: vgmsnd.lib(/dos/z/16/src/lib/16_snd.c) -0000:e890 opl2out_ -0000:e8d2* opl3out_ -0000:e90e* opl3exp_ -0000:e94a* FMReset_ -0000:e988* FMKeyOff_ -0000:e9b2* FMKeyOn_ -0000:ea00* FMSetVoice_ +0000:ef70 opl2out_ +0000:efb2* opl3out_ +0000:efee* opl3exp_ +0000:f02a* FMReset_ +0000:f068* FMKeyOff_ +0000:f092* FMKeyOn_ +0000:f0e0* FMSetVoice_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0000:77d2 _fmalloc_ -0000:77d2 malloc_ -102b:04b4 ___fheap -102b:04b6 ___fheapRover -102b:04b8 ___LargestSizeB4Rover +0000:79e2 _fmalloc_ +0000:79e2 malloc_ +1099:04c4 ___fheap +1099:04c6 ___fheapRover +1099:04c8 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0000:794f __PIA -0000:7948* __PIS +0000:7b5f __PIA +0000:7b58* __PIS Module: vgmsnd.lib(/dos/z/16/src/lib/vgmsnd/vgmSnd.c) -0ebd:004a OpenVGMFile_ -0ebd:033a FreeVGMFile_ -0ebd:08f2 InitEngine_ -0ebd:0ab0 DeinitEngine_ -0ebd:0b18 PlayMusic_ -0ebd:0b82* PlaySFX_ -0ebd:0c16 StopMusic_ -0ebd:0c36* StopSFX_ -0ebd:0ccc* PauseMusic_ -0ebd:0d28* ResumeMusic_ -0ebd:1474 UpdateSoundEngine_ +0f2b:004a OpenVGMFile_ +0f2b:033a FreeVGMFile_ +0f2b:08f2 InitEngine_ +0f2b:0ab0 DeinitEngine_ +0f2b:0b18 PlayMusic_ +0f2b:0b82* PlaySFX_ +0f2b:0c16 StopMusic_ +0f2b:0c36* StopSFX_ +0f2b:0ccc* PauseMusic_ +0f2b:0d28* ResumeMusic_ +0f2b:1474 UpdateSoundEngine_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0000:7966 printf_ +0000:7b76 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -102b:0000* __nullarea -102b:04c4* __ovlflag -102b:04c5* __intno -102b:04c6* __ovlvec -0000:7996 _cstart_ -0000:7a69* _Not_Enough_Memory_ -0000:7b9b __exit_ -0000:7bba __do_exit_with_msg__ -0000:7c17 __GETDS +1099:0000* __nullarea +1099:04d4* __ovlflag +1099:04d5* __intno +1099:04d6* __ovlvec +0000:7ba6 _cstart_ +0000:7c79* _Not_Enough_Memory_ +0000:7dab __exit_ +0000:7dca __do_exit_with_msg__ +0000:7e27 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0000:7c22 _big_code_ -0000:7c22* CodeModelMismatch +0000:7e32 _big_code_ +0000:7e32* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -102b:0ade __argv -102b:0ae2 ___argv -102b:0ae6 __argc -102b:0ae8 ___argc +1099:0b4e __argv +1099:0b52 ___argv +1099:0b56 __argc +1099:0b58 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0000:7c22+ int86x_ -0000:7da5 int86_ +0000:7e32+ int86x_ +0000:7fb5 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0000:7dd2 __I4M -0000:7dd2 __U4M +0000:7fe2 __I4M +0000:7fe2 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0000:7dea _dos_getvect_ +0000:7ffa _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0000:7df5 _dos_setvect_ +0000:8005 _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:7e02 __I4D -0000:7e51 __U4D +0000:8012 __I4D +0000:8061 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0000:7ed5* _heapgrow_ -0000:7ed5 _fheapgrow_ -0000:7ed6 _nheapgrow_ +0000:80e5* _heapgrow_ +0000:80e5 _fheapgrow_ +0000:80e6 _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0000:7f4e _memavl_ +0000:815e _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0000:7f93 _nmalloc_ -102b:04ca ___nheapbeg -102b:04cc ___MiniHeapRover -102b:04ce ___LargestSizeB4MiniHeapRover +0000:81a3 _nmalloc_ +1099:04da ___nheapbeg +1099:04dc ___MiniHeapRover +1099:04de ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0000:806d _ffree_ -0000:806d free_ +0000:827d _ffree_ +0000:827d free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0000:80da _nfree_ -102b:0aea+ ___MiniHeapFreeRover +0000:82ea _nfree_ +1099:0b5a+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0000:81d5+ _null_exit_rtn_ -0000:81d5+ __null_int23_exit_ -0000:81d6 exit_ -0000:81f7+ _exit_ -102b:04d0+ ___int23_exit -102b:04d4+ ___FPE_handler_exit +0000:83e5+ _null_exit_rtn_ +0000:83e5+ __null_int23_exit_ +0000:83e6 exit_ +0000:8407+ _exit_ +1099:04e0+ ___int23_exit +1099:04e4 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0000:8214 __PTC +0000:8424 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:8247 ultoa_ -0000:8305* ltoa_ +0000:8457 ultoa_ +0000:8515* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0000:848b write_ +0000:869b write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0000:86b7+ __open_flags_ -0000:8978+ _fsopen_ -0000:89df fopen_ -0000:8b02* freopen_ +0000:88c7+ __open_flags_ +0000:8b88+ _fsopen_ +0000:8bef fopen_ +0000:8d12* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0000:8b8c fprintf_ +0000:8d9c fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0000:8bbb __doclose_ -0000:8d05 __shutdown_stream_ -0000:8d1f fclose_ -102b:0aec+ ___RmTmpFileFn +0000:8dcb __doclose_ +0000:8f15 __shutdown_stream_ +0000:8f2f fclose_ +1099:0b5c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0000:8d8a+ __ibm_bios_get_ticks_ -0000:8e01 clock_ +0000:8f9a+ __ibm_bios_get_ticks_ +0000:9011 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0000:8e28 kbhit_ +0000:9038 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0000:8e3f ftell_ +0000:904f ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0000:9025 fseek_ +0000:9235 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -102b:0098 __IsTable +1099:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0000:921d tolower_ +0000:942d tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0000:922b unlink_ +0000:943b unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0000:945b open_ -0000:9492 sopen_ +0000:966b open_ +0000:96a2 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0000:94bf close_ +0000:96cf close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0000:94c4 filelength_ +0000:96d4 filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0000:9522 sprintf_ +0000:9732 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0000:9551 getenv_ +0000:9761 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:963c __PTS +0000:984c __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0000:966d read_ +0000:987d read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0000:97a6* __clib_strdup_ -0000:97a6 strdup_ +0000:99b6* __clib_strdup_ +0000:99b6 strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0000:980d halloc_ -0000:98f2 hfree_ +0000:9a1d halloc_ +0000:9b02 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0000:98f9 _heapwalk_ -0000:98f9 _fheapwalk_ +0000:9b09 _heapwalk_ +0000:9b09 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0000:990e+ __NHeapWalk_ -0000:9a07 _nheapwalk_ +0000:9b1e+ __NHeapWalk_ +0000:9c17 _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0000:9a17 stackavail_ +0000:9c27 stackavail_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) +1099:04f0 __8087 +1099:04f1 __real87 +1099:04f2 __dos87emucall +1099:04f4 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0000:9a25* __exit_with_msg_ -0000:9a2a __fatal_runtime_error_ +0000:9c36* __exit_with_msg_ +0000:9c3b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -102b:04e0 __curbrk -102b:04e8 __STACKLOW -102b:04ea __STACKTOP -102b:04ec __cbyte -102b:04ee __child -102b:04f0 __no87 -102b:04fd ___FPE_handler -102b:04e2 __psp -102b:04f1 __get_ovl_stack -102b:04f5 __restore_ovl_stack -102b:04f9 __close_ovl_file -102b:0501 __LpCmdLine -102b:0505 __LpPgmName -102b:04e4 __osmajor -102b:04e5 __osminor -102b:04e6 __osmode -102b:04e7 __HShift +1099:04f6 __curbrk +1099:04fe __STACKLOW +1099:0500 __STACKTOP +1099:0502 __cbyte +1099:0504 __child +1099:0506 __no87 +1099:0513 ___FPE_handler +1099:04f8 __psp +1099:0507 __get_ovl_stack +1099:050b __restore_ovl_stack +1099:050f __close_ovl_file +1099:0517 __LpCmdLine +1099:051b __LpPgmName +1099:04fa __osmajor +1099:04fb __osminor +1099:04fc __osmode +1099:04fd __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0000:9a50 __MemAllocator -0000:9b1a __MemFree +0000:9c60 __MemAllocator +0000:9d2a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0000:9c77 __GrowSeg_ +0000:9e87 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0000:9ded __AllocSeg_ +0000:9ffd __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0000:9edc __fmemneed_ +0000:a0ec __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -0000:9edf fread_ +0000:a0ef fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -102b:050a ___iob -102b:0af4 ___OpenStreams -102b:0af8 ___ClosedStreams +1099:0520 ___iob +1099:0b64 ___ClosedStreams +1099:0b68 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:a270 __fprtf_ +0000:a480 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:a3c3 __CMain +0000:a5d3 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:a429 __InitRtns -0000:a429* __FInitRtns -0000:a484 __FiniRtns -0000:a484* __FFiniRtns +0000:a639 __InitRtns +0000:a639* __FInitRtns +0000:a694 __FiniRtns +0000:a694* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -102b:0672 ___uselfn +1099:0688 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:a4e8 __DOSseg__ +0000:a6f8 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0000:a4e9 __Init_Argv_ -0000:a54c+ _getargv_ -0000:a87c __Fini_Argv_ +0000:a6f9 __Init_Argv_ +0000:a75c+ _getargv_ +0000:aa8c __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:a8a6 intr_ +0000:aab6 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:a8ba segread_ +0000:aaca segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:a9a5 __LastFree_ -0000:aa1f __ExpandDGROUP_ +0000:abb5 __LastFree_ +0000:ac2f __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:ab30 __nmemneed_ +0000:ad40 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -102b:0022 ___Alphabet +1099:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:ab33 __lseek_ +0000:ad43 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:ab6d* __dosretax -0000:ab72* __dosret0 -0000:ab79 __set_errno_dos_ -0000:abe1* __set_errno_dos_reterr_ +0000:ad7d* __dosretax +0000:ad82* __dosret0 +0000:ad89 __set_errno_dos_ +0000:adf1* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:abec* __get_errno_ptr_ -102b:0b00 _errno +0000:adfc* __get_errno_ptr_ +1099:0b70 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:abf3 __GetIOMode_ -0000:ac28 __SetIOMode_nogrow_ -102b:0688 ___NFiles -102b:068a ___init_mode -102b:06b2 ___io_mode +0000:ae03 __GetIOMode_ +0000:ae38 __SetIOMode_nogrow_ +1099:069e ___NFiles +1099:06a0 ___init_mode +1099:06c8 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:ac5d* __set_commode_ -102b:06b6 __commode +0000:ae6d* __set_commode_ +1099:06cc __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -102b:06b8 __fmode +1099:06ce __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:ac69 __chktty_ +0000:ae79 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:acc8 __freefp_ -0000:ad69 __purgefp_ +0000:aed8 __freefp_ +0000:af79 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:adaa __allocfp_ +0000:afba __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:af5a __close_ +0000:b16a __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:af90 __flush_ +0000:b1a0 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0000:b1d9 fflush_ +0000:b3e9 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:b1ee tell_ +0000:b3fe tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:b1ff lseek_ +0000:b40f lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:b230 __doserror_ -0000:b23e __doserror1_ +0000:b440 __doserror_ +0000:b44e __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:b24a _dos_open_ +0000:b45a _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:b270 isatty_ +0000:b480 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:b28c* __get_doserrno_ptr_ -102b:0b02 __doserrno +0000:b49c* __get_doserrno_ptr_ +1099:0b72 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -102b:06ba ___umaskval +1099:06d0 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:b293 _dos_creat_ -0000:b2b7* _dos_creatnew_ +0000:b4a3 _dos_creat_ +0000:b4c7* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:b2d8+ __grow_iomode_ -0000:b3bf+ __shrink_iomode_ -0000:b400 __SetIOMode_ +0000:b4e8+ __grow_iomode_ +0000:b5cf+ __shrink_iomode_ +0000:b610 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0000:b4c0 vsprintf_ +0000:b6d0 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -102b:0b06 ___env_mask -102b:0b0a _environ -102b:0b0e* __wenviron +1099:0b76 ___env_mask +1099:0b7a _environ +1099:0b7e* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0000:b502 _mbterm_ +0000:b712 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0000:b555 _mbsnextc_ +0000:b765 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0000:b5b0 _mbctoupper_ +0000:b7c0 _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0000:b5d3 _mbsinc_ +0000:b7e3 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:b625 __ChkTTYIOMode_ -0000:b693* __IOMode_ +0000:b835 __ChkTTYIOMode_ +0000:b8a3* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0000:b765 __HeapWalk_ +0000:b975 __HeapWalk_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) +0000:bbb5* _fpreset_ +0000:bbe0 __init_8087_ +0000:bc13+ __default_sigfpe_handler_ +0000:bc68 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:b98b __EnterWVIDEO_ -102b:06bc+ ___WD_Present +0000:bcd8 __EnterWVIDEO_ +1099:06f0+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:b9af* _heapenable_ -102b:06be ___heap_enabled +0000:bcfc* _heapenable_ +1099:06f2 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -102b:06c0 __amblksiz +1099:06f4 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:b9c0 __ioalloc_ +0000:bd0d __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:bb4e __qread_ +0000:be9b __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:bb6e __fill_buffer_ -0000:bdbd* fgetc_ +0000:bebb __fill_buffer_ +0000:c10a* fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:bf04 __InitFiles_ +0000:c251 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:c138* fcloseall_ -0000:c13f __full_io_exit_ +0000:c485* fcloseall_ +0000:c48c __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:c149 fputc_ +0000:c496 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:c31e __prtf_ +0000:c66b __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -102b:0b12 ____Argv -102b:0b16 ____Argc +1099:0b82 ____Argv +1099:0b86 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -102b:06ce __Start_XI -102b:06f8 __End_XI -102b:06f8 __Start_YI -102b:0710 __End_YI +1099:0724 __Start_XI +1099:075a __End_XI +1099:075a __Start_YI +1099:0772 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -102b:0b18 ___historical_splitparms +1099:0b88 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:d48e _DoINTR_ +0000:d7ce _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:d807* sbrk_ -0000:d817 __brk_ +0000:db47* sbrk_ +0000:db57 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:d87f __qwrite_ +0000:dbbf __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:d8df fsync_ +0000:dc1f fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:d8e4 __flushall_ -0000:d978 flushall_ +0000:dc24 __flushall_ +0000:dcb8 flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:d97e* _frealloc_ -0000:d97e realloc_ +0000:dcbe* _frealloc_ +0000:dcbe realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:da78 __setenvp_ -0000:dc04 __freeenvp_ +0000:ddb8 __setenvp_ +0000:df44 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -102b:06c2 ___IsDBCS +1099:06f6 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:dc62* _ismbblead_ -102b:0b1e ___MBCSIsTable +0000:dfa2* _ismbblead_ +1099:0b8e ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:dcbc __mbinit_ -102b:06c4 ___MBCodePage +0000:dffc __mbinit_ +1099:06f8 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:dd97 _mbdtoupper_ +0000:e0d7 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:dda5 toupper_ +0000:e0e5 toupper_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) +1099:06fa __8087cw +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) +1099:06fc ___Save8087 +1099:0700 ___Rest8087 +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) +0000:e0f4 __GrabFP87_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) +0000:e126* __init_8087_emu +0000:e12c __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:ddb3 getche_ +0000:e189 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:ddcd wctomb_ +0000:e1a3 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:de18+ utoa_ -0000:deb9 itoa_ +0000:e1ee+ utoa_ +0000:e28f itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:df03 strupr_ +0000:e2d9 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -102b:06c6 ___EFG_printf -102b:06ca* ___EFG_scanf +1099:0704 ___EFG_printf +1099:0708* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:df4b ulltoa_ -0000:e088* lltoa_ +0000:e321 ulltoa_ +0000:e45e* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e0f9* _dos_close_ -0000:e107 _dos_commit_ +0000:e4cf* _dos_close_ +0000:e4dd _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e116* _msize_ -0000:e131 _fmsize_ +0000:e4ec* _msize_ +0000:e507 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e142 __HeapManager_expand_ -0000:e64b _nexpand_ +0000:e518 __HeapManager_expand_ +0000:ea21 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:e69e _fexpand_ -0000:e69e* _expand_ +0000:ea74 _fexpand_ +0000:ea74* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:e6d3 clearenv_ +0000:eaa9 clearenv_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) +0000:ebde __Init_FPE_handler_ +0000:ec17 __Fini_FPE_handler_ +0000:ec4e* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:e804 _bfree_ +0000:eee0 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:e829 _bexpand_ +0000:ef05 _bexpand_ +Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) +1099:0722 ___FPE_int +--------------------+ @@ -570,6 +604,6 @@ vgmsnd.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001e990 (125328.) -Entry point address: 0000:7996 +Memory size: 0001f2f0 (127728.) +Entry point address: 0000:7ba6 Link time: 00:00.00 -- 2.39.2

_ih-h!`bQmHbe>=^>0g4l{@Bssh zzv!l+LI;w=%qLD(sTVb$i%!vBiM|^WPewo2N@`Guqi;U9 z*X(x<20bO`qYOKwVvnZR^}p*=hTI@9+{JQ=KU_Pd?0E@ z?bgXZt9yFrPqKNNyDrs&;+c;``ovq2mfpN@Y*Nk?Um#>Iz*l`JcY|?n<)dzW5u)lQ zT~Z{ug=D>^_s1)IrpF|GP)K{?7-fd0{}|P3Mg_tC>*kw(et{sVmEk0ojRVJFxb@6V zJH}o<1dxHwnK7^Qh4kXaMy1l3_D2LE!Y(Zo!~Z}b6I_TbN1aE0^iDszC`|ATC=V5N&ay0-!E=`qFpMp=cgpW{s_|aY9j`1Bn#yB ztP5xuv-54|tM#Y3BNFO8TYrv(wbF8|87?FGbP>S18QttLpCVc95|H zqxL%)-!kPjf&*T<7c1^xDP{wh5nWc&J)l~WBcA8I#p7(>*>-vx)Ld?f!kh`N{|p*q zBM$KpI*vQNBT}-)OB?hZrZnqvvYM+c)6*(4)V{t1Nqh;;IdGx6MSr8;BZ=M3}E zQ;{;#g%!moZ(HDqjGRG~`_i>Ckgu7B3SIM$bFi5;L+fk!EMqhi)iZkjey;2|R4BgO z+{SxTM9=c#3=QO2ys1Ro11LE2{UcILL8iTo$SUoK`5s4!t40eY=_a*CHbm2_t4ADT zi>=9#LE!U%95D`MfHe|7aMAU@Tl>7+g=PN(#w486iAR3DPqal6d=k z{_^ZWH1x)MFT{cV8&D0xt#^5Q&Fyl(vKTneVU%aYSIO}*=|h|h!aV!Bbzo{xm*pyl z`ZUnk;WX&9Umr-Qs$t6GKYEb+!igaS5PUmNYJ>N92NrLCeV5m{i@k^pd?z=%AT+yL zn7F#lJH4w}?&AGnT6+rs%t1DETH(e#2^=DRyg;@A$b4WqL`lV0?OM)qsDGp%8eLHh z-^xky>Doj7xg@KiFYKysIyZ!>bP>YtSawrI7}qd+lfOnpnO<+AC8P;--1;H}BEMEi zCEU`n=;iUooT7Cc^?qF1J!9LKhZaJTHA2kn&5jLdI|LDH*+?K3KUd*;)1d_{slV z?_Bn9O_DiXYx9Pf?tRgz!VOgFwoUq-*n7)BDi={9;L)F*wCwn>2@GFCiJ9DR90}?P zsnEPIL0I2*ho7P|W?H>h6+|=pt2*~ueLm>e;OA}}y}qR?^;2b>qD8M7-S9M8U#50i z2X|B1bMs=hodv$*n}_uOH1K&?C;P?In)z|Pu$G45}GJgRd>y)^(@xms+fJ(djOv6 z@`u(M_|>TUDFePTNrtl=IzM(vxMcZ2-N4V5C;@vhc%kTnZ~paXJOpl(2;qeaooT92 z`2yO9lup+mE=;I~;(bnt#*gvuv;K3aM44@)4!$(ckpA~aH^QQD=lx5~4*D1&-UZgw z9{Dm<{mxT?^3!iToIv|?v2SAZ5#eK^(`h*oXR2J0(7IZPIp}Zj)S;5acj0!|tK#p> z?^bO>*0aUw%3O2aZ(jkg_+9b6l?6)X{`6@DzXi?sN~@s)p~yTjnr<7g3K|8CN-Wi{ zrKCtSD_;Q~J-aSwP{}9!5XvXDgwv_6aNKVx?nJXG6r7EN$?$c${hnMXtY_5`C$t8f>OZX-a#Ij!VfsSZ< zn|9Oh$kS<>z3+VYI^>T42?MJRw%@Bd&+OCx0palTl5|phR%x-oIfZc{iBsEbBJles z)Vk++jL+<+@mX+Uw=Qe^l`+4AkO!xdE}qE@qosXw@oW_+2DORUF!{jR1E1{ocaDi@ zen(PQA{TeM7xg=`9rMSPc{=9aP9T4=J<3H+k~^-%j?cELzx!+i>*@C8os|)frjLqs z++f-py?J4^r_eFgpkj>ZKap2So373HpXWW0<#;ixV~#y`Lh0&8YdcTd#lgR}9097V zW9=2R`a=*rNrMlG$=g+1|N2hV0mDdwDjQ9p_h(%atO&oA=Ex^`-6 z={w+H2%Cm@{;N+ty)u@2f}1F)l+Wn4_tFf=aQ}8dXfkB`_YcdSOan7Nu8U`d^ACF4 zBmHZ4^8se{-3nU$0MZsSh;bS^B3A~sM2fkAW8)>?SGz2?KavY}_KQDqeLu%&JYK@c zQivz0;v{Kz>QFqBFjv#x8&{0s!tpoti!}Fs$7RLm!VBm*whr2%X0F9Jh3~vSy~W%o zVZ7!)62=mGmD>_T`a5i>MEQn9h5D^tmL-c9tUGkl`{+Xcn2ozb;^>34C+NU{w6Gf* zK?%5O_W-Xixn=Vo3l;6A&_6WxVvxEbgNZ61Ys_K^U%~&%lsi^AAtOqlxA9&Mqe}`( zp4jE$!4t?rjP56q+k;sZ5$kqJ{2w~OyLt{OJr&K*%+RR!5fmGyU@h+zC7$`1h=kqz zO=>!T%q!~_WP*+OpUP(agpRskUle@JEOCOXe)I$VmyS6w^nTn+u<1_yZFqfssn$&q zI(1IY0TO=y8TOa;;x{H=f3c=XXyLzYOexBJ9f6Cj3Nxw17aK4730k^QxX0%o=>8XY zzE^1E%UhXeI_CMEpukfL;{9Whibo&p{lIY1nWQYe4O}Wtf2;6s6u;o}ssMW5 z8=FHID6BcrluwrC3+rfat~sD1ME0TG4E&Ma8d+PQe(^wl4G{hAY+!ZG^mAwPGlBf* zGa9c~HVeL;ncJjqu(lz0Z=lp{N-ux%-ke^#HXGwvc?U>*yn#_Wukk=UeE(|&yR}ru z5nHr_lD={NVAmmxdy4F>NVOYwXnOftkt_5RZVu`iDx^6iqIG83A7A*P1X8i>Q39E8^ZO`_}Axk(=jMi{@%6pb!Af< zZ;Z!ePP^J`P90rFhQ21O84rDTawqw0j7v3kV5G}K>t5B>$tYqYKhmXjjE~O|f=Gse z3D+9oF%W->eg}A73E^9k@bJZ7ZL^1%(6YN2elXb1me;#WEK(^KSe9|}x0X+31VFx& zW;lE~nPGRmfSm{lzh_mHdegGwA2=kcs@r291`#dj;nje6L@<~43|wsZ4WP9;5-w)6 zx+wE6g+7MR-q&8uaP5Hnmu^nud0uHb ztNYgfLpT$ z&%LTW@7dVF+3T3)&<#a$4Z(-_kPaCqs(4OCh7%J)zhuR5Feox}=%&I(u$%ExK2cY2#c_iIHrv0>?&HfjbPDE>8+t50i`1mt$x#u)8HWSsi8A0aq7?xmsIXI@%Fq_UADs z9ga!MDmtP#i|>MKBhEN-hG!i=yV%}CDBW=>(nEJUJHb!brh=)6J}Gk~PXS037X{LCWN+VtNq64=VVMU9|5rXnSl>^H6c)xM z1Hc3riChA<>-Dj~+pZ6?qrwAJ1O^dfTf0Z8Lk`p>{<iRhB| z%z5qE?V<|}n7y~GZ`U5FQ|i(dSFFTIhbX#MS#^1-NM_dS^!g<+HLN>vAi&g(zrS9I z=q`$E3G+jkovSKqwuU6pQytZtBA6*MPb(wJo(zSb3f&l=t)xBKcRww{{TEXqDtGqD zU)U0sRrm*@{oyAX)WQCp!pLp62POtHPkNkdRGCDOj>88gJJ@dnc^WO}bD;xBS6*9+ zg!Y@5ELagB`d1o%FyI%jZ#U|XY~6aB?_0GMGm~-^9Q!f9wC@5?I%OsegcvQH%5~Vl z6m!LtnUXTeG;pH+xq^Iqa+n%1_E6`rpPLmMgo2#$)LG&#UNT&f%A60Jr{DQt!x!I` zTlgCjyEM)%%vU9eTBwvmE2c0ZvVTvDx3{e4qqAIxtiK7XnSQ9#xQ6vRHM6k?C!^6v z===d-ksc4MsyM%M1|5hU2RXH__;>Pt_Mam*NpnnjbI;#agv}W8-xi^0~6Af{P}tCy~`g4Br$3nH)4^}8nIkQZWZcfDe-^*)oa+3gmc=Jt- zAbf~~l6A?S9EaU};22_yyTX!3&$5QnnTbD)J7LZW3VF(m%WDEgT^00zgJEseW&W<9 z$$kW{l94|Zwjt!7%aaDIOSlRYv=|J?LR|ZJZ^Ic{kSF7yPRN%iOQXMXX$tklTs_Ot zBJNM_M%b>+Q&DPvi#6fXOY%BWG^x7eYqYeg5BdfwSDQ5mT@QCtEhXuzh*+kPnFJE& zxzYsz0zU@kUlw9d7x=Ru`8y0CsHote;weX^Ph-+bh(OlnDT)1CcJt})#U58hG~R69 zD1w^qQ+jj*0UbbqCDe$rK_O$NPUnsLx&Bbw#T)-r4qmVXB|KSTL+oV*g@#a0XhH79vnT!&k8@^H##1HQ3o|wAvdG=B8}Aw`{v@Z zZvW$YudU-c(5^vSLv9lT*M(p9r&&ca0*ja-?&jr{9j)`{V>R9rgo7%`hF#?6K|o=8 z)RQhhX-G?*J^nQj|DNLqs9A5`gY^u_7;$1dL^O+yS=$9`L_BTs;zBA znZ_%7`WDIYmd1x{M|XA~T;DC$5>917(t|CGwZ$*k)!n9YA)UX~?%IF==)c4By}W{8 zOEoWHkJ_itqS!Zsq*EnNB($eWR$kt?vm1JK<7nm>xRDl}-yyc6M)}%kCHk!;5qUK# zB>$1NFh%nT;%V@o(msgU{?8y#!PLmyp%3T+p~Z8?_d0c98;?dtaa+;4Scn7eMe8Pg z2{I!949nE6UHWB+r`MX9Z z%pkj#dB%k+M%pr3Ic}oMzh_g{L4l>7b(ac5$Mdc}xp7-aTNR>KovmP+?RWwS$qvbb zT4kFr_6Vnv&{vKJEzUL>cMiCAAw~`$A$7CRE7w*mr2fh~UXxbBlZz1Cl(J--Uttvc zauni$@U@krie*q&+~5)ju=dxaY*b8X_L=@#}wj1QU_TF4Ayq|P7_T-sQxe|vjGurTMc^lEI zxy6FKsorT+AH=uxr_DzsWhFt=XR!3s=HeTtvlzc#izqQD|NY-mC!dHgJ6Tg>3HmKA zp(kPfVD0$>dra|-vlBkv=X`Yk{1n`vK;;GtCk2k=c?`_Rxfcm)keBiuM{!jyFTTaT z4!j>p+$%TD@m@Cw^APAg=sS%HmAwVN{jsyQ#^BD|mzqIP;L93x{q_bpO#*ym*)tsT z8RaT$qkmN)02pPVWJR+BH>tvu{VPP(H(g;tw!ZHqwQp5_l!6=h!(Rr-965Cr5uC-q z5(6d05miwb?OSCz_H?rrnR+arM-W_3?3UW`@xYDL8!?xN$Hs8J8@+2 z;ZQ|ekM>RxzIU8LCmfwW`Ph`UUT$fz`3Y&}XSqZlwL3hmigl&^YW1p^&sATRBTcqDb&*ao_5{;bAOX;+b zOM~Qt8qH7^FZa5P*k3EuxA%@CrN`-6r^M-_aJJbgso4%f`yf`!8QVzQ9lnB@DG>Y+ zR+eU#+Lccqb&MJB^YI^(S?gRDxdvCX$p5ZQe?7Jckgb&!{sApb(g*hZM}c2b8rr0O zQesN?v`0lrcisvP7X9=L^_znqHNM{cscgHm4dYms^`=`VhM1M}!$+G)S7C!Q6fGZ! zM>d%sBU}qTrnoro{-Mn3mC)Iu5w%x!Js0}fZx`F-qzz0Le;U7+_)@tNHS}rmu~9Y* zrXsw?Hs!c#)~kj7H;zPzcg%iK9Y)*}u^1n>qEAZgKbTl}?%ND#=_P&D)&L@|5u@?b zjym<-UAih_?T9`7q*8+kwAkwXRMtei6v^1Izh`j(DtjAlT-s@j$r`yc%YJONGN8P* z0?E)O+)%nD_qWe4AT7H9-c0~+*DlU#Irm?6X`aM1AwaGZh3gCNg$<#Ag}AY4iAYEh zTr+dArVASCnnGOst`sV|XuibA1AkMXTf5xC;LqVD*r*QOsD}>azh=g3O|_T}n_Kii z)t&}UK9Kx8xl-Z?OM7fyeBm9%E?@Z7|50?_e@U=?6b57osHlKjai+Pq=H8YK+~yt) zGgpe}Q>NfbM2+??N5d>jb4$7R%9WB^TOev$hUVh!{TuFI?$7s}>pD9lz%_&ARZDwX zlg&HbDOsWb!sMd=>lwVH>U+WAXs%*SYXs^3oNlR9P0F&p*LvoyK4s}-v2mfs z!?&t6MQa*mcVq76o{*w(&1wFiyGB&wS`iNO?9*Wzv$J}!T@Kxqn%WM?j-CeK`L#id zg9Af%jtdmD|9i((YZYY{f9{zU#e!!yBE52lKPvo2lxs6^A2pI9i~BseXY-6+M!%8I zB-Y3)2H=+JJX2>rGfK+AJx?U3_(;+2<8@=`vZA&13_Afj6biOpUv&OXYFbngtgW6)MJqOyXYV{1e7z%lY7wct&JYwC zguRh6`sgZd8zm#kXlEY%RKk3=t$wt_KZ*rv1^vxttuVXMet+g8`jsx zjw-<5b^`PnslfWbue-GajH%g!B8Rn(^k^*R1(u^IG^sPKHcJryI#RiHR@vU6e>Ws^ zv&P3~l%e53qxMj*?x2Sk$ywbhI=hMqr|N?x(@#4}3lhCL#eI%@TE`rr#(Menv9i6w zr>9xsBQ|8U_W3$dnt_0D&YJM+74!XipE-64gj0Xt{Da9Vr|1{zxy3T)&AK&i*UO#S zmZULF6h;_*w}c!)+Y`7aZFFxhW|G@2*zdXrHICD%=1WV%793@ zZ(bu@h6Y(Y{(H+WuH`{p#;3AWyvD=yk@XZlz`2kcuT=t%oz*3s3gTWri#)vUv`9}; zOUNaZ<>hokH*Nldkr9vBTfvt-Hyd@xN~Pz2mgm5wL4W13kguTBgQqm{CL1~wHJjRZ zBUb>hC!yKq9eAAU*GRHz%6%&}M}848B*;B%tNYg-Z?s*Pya6Z|qhopfOlJ!) zU?@?~?Lex*^~Ri3AV?-nQWsv}ocW+#*~?DMv1qG2=5EUyiv8>67oPl~mm7yt$RR0#tfwT20?x%#6*H07I(v5qQ7wOw64vm zlycEi7~uJIQAGg$QQ&^6wXXo+$fK`{6pg~X)X~Y#~AjNyn&o>oesmRxqB;z;!p6mr=E%Yhxh=1gPWxJ^kwPv^>I9} z3}Eq{m$_q9w&qL|eptqrtk zkoV%k2OHPsQX9ae^Yb*LI$&2xyh#}DN%pYin!vtgY;z- zvqS8K%`$lY9B_-`LJ2Hgj%nVdIU!sp-fw%=)O7$dvo?re&a&4iw4c1EB{D-CoYsI1aP=ffax7w}_ZMGp~O${DDif^^NrooN}SZ%NcWHVRyvbR<_AKeD= zvKvaftG*&_>)C)4u5+W_A;hql{V2Shww=1Vs_{p+gxzROk8@F{Jr3Awb>IoMWxDYm z9}uzs#knSC;8pF`)$s2B*~}f%z#ondi&4}yHQ6!I)YH*<7IhG?hd=Il^eDbYI$pg! z+WS*l`a2llcy$cw9}t#cXYY6`RI%ngKmf60W!=KC;7O*p36a07sfd1h6?i??_tBC^0ImYAZt?ZWLf4|9FP2e@; zfnAI&6Emomltd~t9Vr!P!~6a8U~_5diK<#1LzSZ1Q@CuE+SA8Bns4*P`Q*!%1Zi_W z0D!iYI|6vT#X^$^B^hL5{I5SiP6k`J#qSOPInovcjhB)D&0N#~UbGCoR}1DhkCw`y z%zxwU`@$fgS%udL+hoT5hSxKWj)IaJqcm6INXuH6FS-cJkDQ<%23dSRg8xo+2C;w}@gw$X0q^&I1L8KX%1yhj| zb-cs#SB&tpkw$a^mDCx@wFCfub<{V3>VUxCZx?-tW@5SPu-yns`nm-#4pL-jv-^^xJVyY`G-;V*Lg$%LV*LaN}1a6 zBN{25PH0GM5W2K?#>l7Y=qvOZtgTOyR?#Lot{l;F9N|59p4u%U**jnK^6}nvefGX= zTluz5><*}wG@<5s10&bW8A%5S0vu})gd8z-AE<3hpHM0AIDR_u#4bRP2G8oJx*V>_ zchsEPbo){Z_ecO!Pgs*)(tJBoUct7bQfy0`hn18gvkgG#M~{!!>is?(Q7WgB^;YTq z3g-?jt~4k33Qo_PUGiT|!xq$Ybu$MR9UKvU2Y7s+&&9j*qnaI;2w*zL%+Jt4&y<=$3PHsLop*C9q!MzaTRXH z-aP;)!7aP#D0y2w!51uV&WN$uAy{m4?`TbEWPZRC4~eu-%>#k8od<`%!&Z&|_2|Qm zktP9#NJUP0?_sOWZO)aLKuu!dCr*W9Cr>j0v^h&%1C2@DgQ2M8&dP?&UBmV!pdFMF zl0j77*ZW0VDiNmk@2i+u(vdf#QKK8=GqpV|clOYj3)b({Z&j%mo)5qUc7K!71j0C`KocurBXS zy48X;r)$x=Y;m!=YpmGpbd*p*IRpLVz&@uG5Lns8Vp0|?uOlD41s{Ud^7*A5L?JYI zs7Qz)+Sz?AJ8-{Ok9r5C7ZnVEiB0Vic31deIi@o@U$K8P#c}`6ix}X34ObF0j5s38 zf?L-M*Ugdpi%mn_AuWz%@=Fhj6Wd`Mkvsj_MCxc8-lG-M$~nV3i70V>E&A2ibOXR- zfRj>K!hh)q)MdK$<}E>+$ek^0KJ?tT48Gvzw!@DqqAEVBXS8R&HTf zdSmjw>&;SU;gA0zb%q|RedGCLjcE|zPN8-rfsqKrk!!;)>8ZoBKxVD*zaM~XKHjh{ z(4pp%!l7gw3T-Jt6p_L)$kB4&_A}YY&99lLW(G@(vmEL?<4V`lne~~hw7FFR|K8v| zI$Q0T=j(Kf5Qv$Hk=s+9X?Tdx=)m^AqjuFA>9hIUZ=H3O_LJEc-AdXfne z0=8H}`yGm}#BN_^a$3AJsa|y^*LY)f*9YJ}wG#^Z&pJ;DY`0YrKF`~}uL^0J(vCUV zqVnFY?1l@0)ApYo%O|yF?OshY$ON3p0NqK4(1X)bSbwt8VXe~>m%qjh!&4Vuo=M{Pha=!OMc(!w9*%P%DY!=9kB5d+DD{-g_NP#n71CGu*jTOxDn<4t zwq(Fu;LRd0`kxJI{(H@FFEDvN z{8SsSje^J1tohbz^7#Z2v+^)f!o+?X;!G4mA`_8=Y){GMM%a5fXTMwbGe*G$-0j~pLg&xj=-EFg>S+!#Y``j;1KyY90#n+501#JL zh9iiZUI3K3LLI@gW?j#PV!x!qJkp-DpbBq%)!S*BAyOavR&j=3fc=B}SXd2Tw%}4S z(z!|cIX|@bw8i0ltQu(_SfxRlevtQ@em07oRb>FE{xG32c_ zXI;r}wXj$%hCs*s#GX&5V;khryH>@GR#$-&8$o^A=L3{7_{!5?Ty7OeFzG&2%wKj4 zmNO`kJK`WDDK8!kw*0K~)xvcB7jS(;GC`GcbDcH%D|eKQ09YzAVe1Tqjc4r7g1ql< z^pX#}>ZUb{^FTE|U~z#+rAC8>A1-)VUy)n7G68)cxd69_Ih&8PSnD?tp3R236;~iwgI>zg%D7cEnS z;q_l8HRU1w!Tj3XJ`S?YlDx)Q9Y=UK^OXp7A{Ty`Ser>m8pU4>?eh zpE>XEeMI#}8x|Wv&Q-qDouT&We_t}>+~*Swp`8o00 zyEsfY{E=68gHz5BW=gQ9py{PPAHE|@kRmQcW&M=(DKsIne-bs5lcdSI^b;tCyboPU zX0K%oz?aR3GbzSD*Tk;XqvdEK!A`BeFl}g|b5GW;8Wf1$d?@m*dBc5x9r%3?_o~Hf zFYJc_t?ZRdfuM9gkp>YHT|B*}4uP`xViJ%)PAaqu{qqiYWl4J^gm_1avv2QEcu`tQ(0XT{i{|u zoN`SF(1Hrlt5r{sEOfECXlFKgCy>_tiPrkz>*nukH6R+BH>}>%XVx3%vWe!IF%wbh zZ8YY2w2Xt_+CQ#*>c*9=-abz+^}yOyzA4D?YMijc!Eb*O!93E>Em7{VBs_Qc^YE0x zzC`bzxHZ02A=EHCh_6j_cr$*TGY6|VPBWhA)ZZEj4Cw0vq1#gngOLz4phIa5w=vSH zoP(1PM2hl=$T|Spba5zAUP>+>+7XH@uW&iOG;s}u$cu2hIJJW(<<0Chs|_W+J~%ax zJCb6p!yTPht%s{Rsov>yFv&k$0T9|_h@42OQ2WI7f3;1+id+`!c5M`z>LQ6M)Btr`^3W*OT0vTwKt}_v2nHs z3qR=>9lenyqO=D+jwD&Vi#@6U!Aw|_-9w2c4`g&qsPrSVI-dfAw?3RgHO@G5Y7y=e zhh8podMZ|m1-YC?j^)VW9V?}aXCG_nVFy_DG{L_{b44H zD#Y>oiA`2}0e4Ef_oDZrr%jYEwUL$P?&{Stku^?R5vOb)8YW8YX}V$QcU{YjyAlL@ z>xvBB4;2(W+sfHJRxw-&*n0AE`DwXL`O#hb)`+_oy{SW8t=H+{9@;2~#h^T^w)xM(l9u5~+ylJ+oqzjj8yV&}2526kq3Qk4Rom#HepQv-WqrkdT`BNXZ5#OqNRe?2ad2=BB9wRfJSRCy7m0_dJ zY2#~r0;9;Q!}E+QV!HPo(FQ}dShD=(+t0UEa-I;7DY4no{+Jrxl2Vs-^3M{{uB+TGU@$bf3(jJLxKTy z&dwU{H5;&oKqN4Olf|U9u!2V+31F!w7%K1WpDj+(l$g-wY~CiJC4F{Axf7yUBW*`v zbB1VwlyQPpC+zfX+Ye*Ha?5oiJl;v^HhaHL+H%(Jo|;6ZU(8UF8oWy1 z&uW}!I-UwmkT9L=AYr|ZR2LRB7gmO%_Iwke1QL?tRTMOs*SNojjM2#)G6IO`ftFPH z{gNoZt})!zo0J)k_xHkwOI>$I@&M?df}|RrgW8;eL}$WKM!K}afF+E{8C4~#M+fWf zS;!e|UZvNs(b#rygDmyPygpS4!Xk3L4Kw{*Vx+?%+{%MnwFS{KO$E)cbz8M#;bz9q z66Vr|f)AaKz$IK>G2AgAiE_Rka%d2kmU)4*OCkMDfg02`19yH{ZBGTWPz&#ifYv8#9*`un@bPAR3oa_R`_ajW;|pfbGNM zNU*1`0!-$y?>A7y_nvWox?LU%c6Sk`YX`0ckg%!Uw^^a(*ie_lHs8Pki}E`t|C_R+dM z=h48b2wUc+6A=AhFbtU828%rK;I5F~C-u#Mh7@WB5&46CICwKQ;c5}D&Wi?2e z%MY}`pTGHmXPSBx{CMB8>CIKlDA}%W4rMFR6oF&YVmI$1i!e(PG{?3ePiaH4=-j6g zU8A$?C5gjO=b>?ER`yrpTFdUk!OL=ifWVV|6rN__h@w6q_WAE3OC5bFyY$uxij4z~ zBCylsllGfV6c*0WZ~G-$0+?4abCQd?e4}~E-SyL-$bSAMdj|${(=SDuVXQbl$Kd(- z{+VGcQg!Ql${Gdw{-|}eUqhk`X}&S3opH(uP_u1IA7q@2X#1)^k}4nqsF4DS+2aBi zk;1>M=oIL`BDp^$5v>B@w0&|v0C@SsR;92tRa`;}Cn=GT;PzWI&97L5YUJxz2@GzfqQ!EA(Foe~bxbSmw5o;o-Z=-;H|all6p0 z0Wjs}?fgF$JfFjTZz2?jV*p|IxY%Pm+VDTJ!(Y^QnGv6qP(RFG?cQqxwr$u8_ryLT zw?b=tw$VwS74_AIBq~BCPl^Sr3AS6OWpM)H6VJ8^epE5rx@S6(j4oI?wjJ-#PjpLa zV?eEWK6wkeJ^SFWN^RyBdQr~twxd%ZX|wRh-TIAr;t8v10hsl7{zes?b3*y$87#&db3{j&N3s&tv5Yc=U3#i>-?A43XzBZurMU@t zRt-EFx-fJ8@{Fy3PwiKBdKeKE@?r8Y?Vq^?(Fzf5!K)~G)iqe1Qc@LYHYwsprIyL3 z-vi$YT)g5<*^Y_c(@4`%9Ox=Da{McWmpWd#R{EQDPMh8Wf2`uh>ndXH+3E~8N6glD*#UA;RX#%iT{VIP$w@rI5unh(*_kb7V9eG-Eo0|G5TJ+BYlhZoG;MOnA};*XAiEQ5$3=czvUb z^7DyYLi;>hj(0FY&^ak9tmPEXzC6ZV#SQL#3Z%XfENFHbMnJn&k@JTgW&nuvHgpsW z-i%Z%ivZkHFCb@B31Z-`^N1O^^Ou(L2Ya^fQrU0RsLjxfqtJ-Zcjs3wFhn=pC-gsx z2qZ7Gih~jwyaOuLnw?Y^J=d>Rwg2`0VkWAuiv42wHh3^M9&{@AcCO^h7gjV`Rf+k+ zgAzoe;~Ibn`UwcG6uSMzAs=Gv+C-%pU>vUo-=5%TYLBYZ?kf`<-BHnT0k^h5YWTK$ z?VqRb8&1z~qGc;4jh!7OY+LlF^0b7%&S}v<_X5XTE+eVn>sAVBesz_~&~HQaQNKGM zS$!VT9A^CDv^p&;t}>|tCJZh06Uw0LL%;B@Zi=bl+vpP>q65Ly!L!AA5ss_6 zr=tau53pXWWySGIfW)v8>x2+KhX~z4BbyG1bAE+YxmIN!M?M&3hcBs^P?tkU(zdoE zW}g<~PKp^==Wmg?D}GaSXh9UVT5VrTXB{scBck5?=CIXXA4i0vbaF!A`^h1pagJCs zM_OZY7NOlodDD5UmJxDN#YMly=26{_8&78n+KVrAST~o1#54&cs1!Jrv8AssD>SPM zY#d+wCDHN_F^!llj>E49lht?#Kry(|!; z>~-x5CSBV~L<2+|CyI}r2!)fBZ09Q?zaGw-HnCw9B_NOR_p%bDg5-?o8X zaLDOrk14a2Ys*V~=WT}Us~E5b2XrE{5Si|-(#I4A9%PORO!bo-Z5UZ^c_#|Kl(`9P z)FX}{W*w&%x5cLRt1_GorXNbxHRmCk6mJM_T*9*3rR{S}Z^4oe8YIQU!Q-K|6-#ZS zwnu8lH{nWr8KS)x;Bg|BL`w7zgoEElmChkftNOP9l`W^*&o;N!uL4)t*1Y4BRflih zdlCB1Oh>k+2F$ro+jG*-;WjTTrF0g%5!oA!61?)ap=&|~>*z>lI3 zrI-7sE}L$V&K9T&Vxz@#TVL~7pC2Zg+*gn>aXY@nlK)K4J;O=Adp^M~(H`ta(2seR zjN=ru+)?Twg1eQb`y?+lXL9Wi;9AV7#9R(}SuHP?Ght(7))BZqeee?PBi&tCuxs_7 z$wbgrp@z>qTemsRf^`}qK?Wh1;NxsASld3TITtGLK>KtbzE zPri4Sqc)MU`OO+=9C-GngqImOoe1(B_c@X|)WUhEY zUJJ?~{-I%{XVP;BbRrA7HagYYI2*Sc=Xj8~eas4<5ZhY!Gd=K$Q^`iUQCoM?TSXFv&>Ah- z5REGhB0kJlW}6sJ=bw28!DS}Z-@p@O_Waoewj}7pQ4BhrlHP7Pc_eh`c~tsVNLkMH z6Al1&xf6R3!phe=@)beEVJA7%X=I9Tazh9ua_xVeK zYYb58j~Kg{^GhnuEhWE;S285+)g`Q}pYT~ePUm1mkSd4$DSR=3^@GO7*7L_mf@dFS3Rc@%!fN^qsIZjnxnMBGBCV?0|Ks`l ze1~)7^A7Y+746vB@93GY~w}TcM z=-QbIYzXLgYOE&zY*d#gSm(7)nRNQd52y~^zHy)107&(;yR~0r3Si~W-T6&5M6cWf zKLJB=hVV^(6!`nU;xw9HWJeqWW{GDi)WD#U{*do36HfWK_2@8~+{9^)L7a^9Hvfs` zAES}iynXFwvHj;FJmjCe>96_C*Vnh60|hqP3#SdUZJx!|9BZR_^*NN=Wu6_gTXs-bThw9vi>8fi93Ty=H%R*F8 z8vtG|%TgOSX?@XMVWoj;1xXCtEj$JEi#bCPdPLz*%+{KWpnq_z5tfxM0B%>G5enM* zkv1ZEqf-==CXL*s=qPK*N%xG{WP$l792BL|r)0WcHHdf4$T3jqI9AK85qY)A?NB`T z%#6`x&1|V#?5|Ppfz=BN(#W6K?Z0e|G~S2z(=4_;Px(xae6IbMx7hnqRSw?7)!{GQ zt(3Nig5l|+GP+??>^#wDngPxPefw7Sq6?dSNdQvCcTHH37m)%UMZHV=EZ(6HD0DeVkS8EI74c*_4EHE6x+~wdYo|s4zgT-MeMNL z#$0?i^oa?l<+T_lfLyl)0kAZAUu|4K?3O%K&iY+3<49@RQ6Za>f&&7a4SQ7J$&l_( z2rps0$tIQ-tQhMs?9&3*N@&*~KJx=9Sd7%il!DSb+I=owVhJf48|B=geI>6S_^6~4 zX1oP9=M70 z%PfL6VCc@ah&b_@SbD)Fj^YWvYp4HZdX8fvEHnOZBx!mdpWT@L{fK%9G+d zppr#v<%eAqPkU0v*#MB+pWDJuB>nlAD}3e4$5OhI`?I26k^L#dCWS-lC9@f*66iD9 zeBD27S-L4bMA_ut$E2{X+yjr4cbM4pk54sU#C*h%n<#HEV9f%LDhed#KKZMXV|km> zC-*$B{KckLw#CPj4i+Q^I14uwpnOj_!iRKDdD8Hj@*UAjqXK)x4)bh{qR$s42K3UO z{Z1R?Gqy-Mm?73Xm2+(vn>MESu8rq_#MGT-CqESudOOH}<0>%ysLaEt3Xn7LdGd*j z-7t$xKuz3kk-@g}uN@XhfSw3}C0Z(mbo>|df$hahmJoF0NxhV#S#S!1FU9E_w>Cpw zVYL}(wn+mIikA@C*=xYV5TF%*b&TfQp=4Ch!!0&oAEirHZo=jYloJ@)oW2;o?&^M& z66L>Z{Rh~FmAu0b&ahRPK6os9$COw-pdk^h(?2nH>b0N*R~wANA(X=|@vv ztinSsC(ZN$bU!yM>x?NgnVCu-zxAr#FHC*L$U#UaNA{3>a}Q=-wH1G{IFHH=q@)vz z`@}2L3hAL=GvetfV$?pXKF2N3!&D|hw|VKjl&-xa6~z@PO-pA8t4`HWR^iL`usC$% zSDjY!cm7Ma2EuU97HwY)nKMS*qYMwvr(uT;5?IoA#gVaeqySc5Yd}KCUkD%m-%z2~ zttb^FP;Tivp97QnH}Fd@I1udm`m&5xha(Lua%2-0>i+k_AUi^aL<8nK1a8RQK&{d2 zi$;C4R<$lM44CT1fJuGQ{`~wp- z-XS1NI9vRX0=fm01=B@p5i5nnF(&2yxIgU{$0>XN=hG4#K!~m>r-%Ou zYNF?qNLG8Z<9NQgDV%f_U2!R=|KT~VOx`q&#JhRd*yZ4 z_`JxEew1TcVV51&wA${eN#$-yY!8{XCG!grxTfpze{Uz`^uB61xSO3^sKe9pP@63) zv#(gZf?>EKQeh#P(b3%U*hYETC)El{m%O%WlzY$AD*sc5G`@d2k|gT2+ z(Bh@`!H9WC(;&ZPa8G#DbSD`x`M{i4GIuY2i_!wn_83)xxXWKo?>xnhe+l6urXbzc z1lduDTM0uC&S<<2u?f<0FK``{(SgkE3F=7{8c1l}oknUtU%bBr+?OCu(Mz`b-361Q z80ppz6MbDIWLZ2hxieZgg+JHr%PVO)-Gn&&DhIEpa;tM zo%{oGk<{}l!zh!!*KeoQZfzDxEAI=7U~8k~JL+V0tizH{)PoeE-t7}LAE%s*1P?55 zx-0k~cB3fLluTh?IZC`m32ziV(+8* ztcAxGTNSjPrry*tXNYwDaA@~7F2h#U3p(=6=XaKFbiqFeQh%ZET##z_0wN%Htyu-) zMj&3jjdCJDY;h52iD{m>(x3r5C6BGYcILoD4iuV@7?Y5`= z`fz}Gr(UHR*(uq69RKGrg{6{kMjQVzouOi)%=KTf{aL)TmtZx@dngqdThf9YPhkxK zz)?siCzS@?PvMdUBsZkASJ7!FG#>@^UCc=Z8NeQ=BX zDwVaO1k5sMn7r`DfqU+WU)sD0S|z@(srgEa!}TUm)+gE)VTUKK!JTbODI7f00L5Y-HE4Dy|1*d2?9J!fO7e8e#k>l! z^yn?qIm$o+7O58NjF&)sJ5k1st1hE zLtVN7rfFrSkWoOU5eBrAqjNME!=#=;@=jXSy9;o1V(c-wBSNibrt%!80&}|ULk<>Z zT;-()och?&ksW$sN(vB5BvM{g2Sk8^9 zUByp@Vtg&8^VR-qEbK1~G!BVQ4e`~A8iQX6O!Au6!+O|Ox}yx+ z%nV8*$(c?PaU9!N(@7XDC3^hj82fdNVl}9%T--OwL<}Cdn`Df^OJ&W;x%WomPQ5!m z2(JzIgzlJmMJLE1?6Q2@MLx?opZ0ky-j0O$LdFq_Upg8EE}22%P0J$X^A5Sz;Nd1* zd06#Zkw;bV1it=X=>C;Rs$sb7%!xok%>gug&KifF0z!lgqP$cq%WQX5c_#!rVna&A zq6C0{9HZ4>P+w{_ksTTdT-N^QZotMI3s2vcWEoUSwZafFU*%hT4%#M9Y1nEw{}Tzk ze+#S$CurPwOH}w;@%3@#r}updw_h1gNQ_S(m{hvg*%ZXqDXPZqf?TR@_qZZmp$WWJbg z-*djO1akS)cd{ReQ`*>3jAqIupfRPcEb5M&ricDlzS?N zHMGgJc?UQ zJO{wtANC7?nrADhzltKA%M7%iByB_RvkM9dE(Z<#(W+|cKeQd{F{~$7Lh5CW{QM_u zBt{gl*mv@Z7de&ceyn=9cZP<*Bv~Z$Iy#~I&AK2UFtg7qvTQ|9zW8y{hVB%=PB3Ps zi%x6}p&caW9YuSeHNe{VL_fP(yCe0X?$y7IKT1f)5g1*vF2KTt5YUHVg11wb$ae-_P8y#X;7nK=tRf)~HXo7{6NyHskROuM3F`ziRhVsXGj4D7ug#o>L#Ed{qv7A;uU`(xPYkUnv;^dHPlSc#3bSN%IgZAFm zWlBt1)*oNUpMOz1EUcWZgiWy1jm*S}SM7{f1^G;b7AwnLoYOlDMBxf}m?z zAMMG;RN@>Oq?Ze1%lBv0`DAOA%=i@Fc=YPO;0#qL>B&dDuqc^ZX*F#ll{8i#sXLhG zgfJ#1z9WPI9W(ZW(QX&xQfHh6gMe2DVR^~OFHAS|M#72o*7&>KUgTE3%~vpMAM7*} z{aknh+~tIDsAAZM;aIDf9{NXntqZ2V5jLj;svf{?EKS$qp9m<{q}xe9_j#x8;Hs*k zD(1%m0Q`TcqOPt&9&K^%={EOKo~q<&=6=c^%GIzf@#BYp97?pG7B8Z}_p2TG&F^u3 zkwV~ofP70pCc8k}3z_kk#Ep6|h8I_=?J&jT^Am0K(x7lea}l{hV&BcVH-Ip$ZSt z!Oe{M_7SpDRd;G&88Lx|U|f!D;fQ*~g)yY1-07-oluX)zlIcqg6GqyQpz=Ti&11YO z^yUk5gLb#Y;#|*pU3~ai(EV54s`n$xl*^EQf!Mp>eY_i~cLnE_@*j&6+~jv)Q zoohaJX*7WcHeJn?bdXl?_yeqeMe{m(1}P!5;FoWt~z+b3$*jefx=GI zw-PchJ6gr^!;jLWP8^dFwW3{TsXbJ7?Y>ei4;JL)+)V`JkN$jTJ|a~+QLE<5d6$ql zCo&MXFTiabA)gVdaH=kSH(2k@s(@)EuAaPWb6(~b1D|m0s)y}fZR9Bbd>c_pzM+iN zR5h&Uz^K~%Z0~uJ!BXB6y3{EDLK=~Au{~_UGc0H_^m0dSt`s!aw2lGi?*T&|XDYwz z&xgo%LDqqi0JyRsx+q_e0YIHn1ZDW~Du5w9*~La<5it4pLJYwQz|oYDi3&jfc^9i0 zh@-Hd&5I8kD2%c)AM3TNmr-} z!a4g5e*xlwbxuoK)iX|uT%6w{*wFoG7pDMsOt#Q}Xwktni5{+M%+0HW=KS1&q^&wU z+~GdmdAZ8mvFxT|x8HHjB*F#vn(#r6QHsoc`uP>+O-;wrcq4JD{kJegl+XHuZH&Kr zQqP#aEmRtUx$$42AE>xZK|++>{zf@dJU=Yh;Gi+9*dB{eH~YopxV&i%vRLDuOna_Af4lkY|d=AX~M?rtC<<1M?{)sb}}Y zgE4nr2zrP0qLr>9cF4D|etb}@T6^1Chlh$*a(k>b2Mt<^6I!OH_+yX;z?N=n$m?6Yv8Xspb-I`CS^6!1$g$B%Cu^+|(PpLfyi< z9RKnL@e#o3aWLPZY8y%Cc@G2gT|_W;&p?L?`U;w>78?I1c{P3`Y(cXBD2kRrjU7Z@ zA4FYFxc27kowe3Z*kIT-NiQ<}aj*)w&jDByf<(=W=DZrV@!H?d$*_=60tz?+jGpQ? zZ`7gaGLx4WurPr*L#g^yF z>@LXV;Jy_FMNGPJ+i}({xT`r7%lTd8Q$8Zd_qbp6+~mK)=@3wGSVof)J8W8*u;dqY zMShE@$^gE;Dt)=kI%If5L_ssZL2PYtG2@2^z zEj~KYI^myxIu*6i6@t3TG4HE!+&WZQ3=SYRJjIQ&IYQk6Qu!( ziuPc$Xu|{uZLnda4s@84-S!UZm*@WZHT|eQ*keM(Li^~ot=n9*A2;I3(K>|&<~vZ2 zALQ5$*V-iNR-DbclpJb!2I>St=jri>CV-y%0+02Mg7H6zI^~ntHNd|yO>dF|GwIRGKNlRQ4Zh?{yJ3;GFVfUQ z{65VCwa=%l(J(r10~=DN8Hj@jxX}N*(?w@ z;Al%IGGC>wt_$xM5DGeO6v8y{iyAfhysv2h|fX3OGSK6X|!espL}X9j8Y0o>*~Ow`DaTEDR;$G?aLwMj1iB^EntuA ztZXkjCOmC@sUcx9H!~b9Q=uPgcp&^e&;cW#)5;(Fq!B9xQ)LIUtK#jpI|5*7+to zOFf?R^yTfS`DZVc>R()YDXdhW?@?my9UJx13mCp9ZUw|KV6N9+%38goBQh4Df;smr zY)=X*eOCz21FvEbL_WOji)EPc93BcGS_PrAE!3)PA}wGx%}TF%btQ^j+hEpmsI+b(fSi-pLapZ)JaYeAftATwx|M2b>9LL`4s`@x#?y>{9(H>&bQ!V z6W$AZSgeMkc639w_gmZcQPf4Os%F+9q|yij03Pc$m;@Rt3{t&353Q){`9g$9VrZMm z#~3T&@@GIg7~H`%?+Dlx-Ww@F6XmL)lh!d*dtS-E9?YVQXKasuNpcd#6|396gmkG;|sTmp=X{$_; zh{g>Z(JzotXxzwRVc>WPdQj!^<-XN{UI=%Z&v6#iH@~RywFu(yihGGP&V98HC+g&- zWDOs!YMR!D+vI;1Fv__iV}dd~V2Ke-RG8&Gr}GZxy~K(@_c))(DQv&9z1GKeQ@oxm z-~r)cn#OYDoSG%4ZgYJ(RNK)OL-?F;x+ zbt*nddE{(?UQBPbW^|Tm#g4GwR~r zs#UGtl7#VkMB*uv$rlL7HSQoxjhU{9F21AtosU?UT%cz9O2Ap!aTijnbnVMWF z^!w-PjF70sH;mmFXBs=~87v6)PjLd|vB-V(VT%Rq;TM4z<|nw~mY$}i=$E4a7X%~1 zXQx%r-^I9hSY4;x)g(-H zvDChrxh%Ly(SEz__;=I*pUWA~aA3jv>eeZ%3%kRO#If2*(vtSC9LLCu^#m3h%!@^Jdxh?|Re29@jgBfC9^mL)h}Mzs?}q zoUdx4G$rG)sH@$8bq`8~yA^^a@sTk{uvstj^hqd~55gez!7mYT)&$_TkbSq?V;LVo zAr$jphM?i-fvfmcAIi?1O}b=D_P3;EBi`#3X{)0*lm#_1PD~Xg;YMaoXJfkH4&}pc zXn7mO)y;!Hdv|Wr^|J^*8Y+d3o$@{?6MbN^d_dYXF!X>|=y^&K3UW#$VPHZC!?F~L ziE<6Uj3RvA6@c1f__Wb@PB|PGBu|7FYxnZpd3A_mapG-1;3X2(Cs>gAabWnJ4Z^+q z%m%`+dwm`;Mp)B~6=@1sc@b_L6t8MrM{FSfBg88kTO1J#CfU!+i^g|I-)f{bA^C_Q zL}|hNRrld#?s`tU^kqHxGB$U7B|0G+G8%u(S0FK^oD2EGBjLBhM56P>xRYQ%wE&Aq zqNL8X*%oyelr4Mimf~JCBJFTF+d=w*{wm%MYq1O}P)R00PS=?7ziXG}6uUQiwyc1Lk^X=$!~)c$s}?SR{aVZB@hjL237yxL3*orMMqfG*Ccew)9O=cxYNA zh3Idd#no8H&SUAG7_bh?{FsfRfqkvw=1MK{zUH#p`p$6Mz~>5HqlohG7L}VqdK3;5 zACnN8o>;_6&PPKL`cfPVV(mDnBvV46x9Doyn)Jv;{ zs~o$t-g()S*?VdJhq;`hI>*uJRcwcY^rxF;`M9;^nSUx*bv#F|sn9!olm=|6Dw(j@ zWZ!Yg>{L+$*h@r7AMR_FDn2VsI&Fh>txF@jR&aJ&Sw%obq4suy6t6~|=zbfPT2__F z1L_g4>fc;eY$dxuzK%i38S&o6XX65Ul7nPW9xLv)12LC;Uim^0A#N$xdxPGHzx;z2 z`TfNBP8Mr+kN;tfF^nr`V};dqP{E}Qx*e3vUCP#uSk|4D+XgQ$-2-DRIRro23CD;# z{cQf5&g&^+2>ef*(kZ{bE+f9E=tkFF_aQel8Gk#|EjIczV z4KL%4BvoI?+Px#C-;q6uHMIBkKf>+q;2RvmT=Q72^M;-9f2U915Imlh*D%Xsj!^be zMTm(U74AH*xdJv1Cs{vs2R6Bvr#S#kPWG`xR&re0%1h+e2C;T{EzI(yzRkd%Hzd6z z<8MWL&v53bUwZA?($G}rL=Bk>s%QQ6B-vTb)S!I65$joed554SJId`4M$O)dIf65u z?1%K&+K;60t`n6ci@;pR;y!|Eb-p%&p~8H^oY(}%H9HY!3?Gx87F%j&VZ@bIcSM zgH2ypiZ)JExLI2wYz_g51&-y{I&2mQ2g-j{vtu78qw%N0m~pK|cQdmvqV4I-yw1HB0SOR32l$q-^~Bp^*ny zA!ffe=dfa>+skyh=iFiP85KKJ?gcINo7!CWnwGSY543g79+TO*3ucUY|BYqP#3~D> zv{6M3z1u!ZngCl-?0DAstmeQ^tJgqD(gsj1}o`t1O0L`AyjbQ-U_ry0upzk3`PG!s_e3!yzKokSX=O7hAZPo{c=o7WT;A8bit{xhJ&yY_*Bgj(j9KjHaEX}a7}LB?2X_S;<}3( z8HFvbiaU^X4B-0#jy$kZg(&3=pZ5ZXh0*W6>a#jDoc>SuAFHyOk>EkdytQh=R79H6 z&p1+^odUgc7cP2* z7wHud9A5_z5&C9_@4>HIz&PWJXgT>T9uJqje%yT72BtA0=odjsJw>@+I%V^h;ycBc zKlucYO3+%4-Y?ypAcQ*m>cJd6>=#DRlJhRejZSnN<{tf1xbt{TkuSl{(%JBxC1X+|DSzrqk8w(M6CD>F9dN*Ka{Tdf$Q} zJCNQt4EPib0#`*mLcT_^sj@%fc+JVB%KeDvHJVqI?-BoN3|3X(k>G0~VO5bwqOZlo zRV5xtzQ#$ZNynZ2q}~t#te@jMUtbK(J`c0avU>0fs{y2 zVkW1M&XLbEFQk&v$mz@s3MrGE#mvqj<&yK5`BYK?nZ~3Sl8VU1%!>?C3HcK9@)gom zGLw1jI;oU=gIRWybc=kOd8eFIL9S$0Rg-GScbWgylJ1e~nDzHb4de&RhmE8r@*`$* z3+XYrmD$!#dP44Cc0MINBR^-p=puEKdzig_q<->C=D;9ni2REA`VHwV`5p89FlmJR zf%)+hX_P$1{5(#YAWt%jl9JC&LS<7SD347q#xvU=Fbh% zCV7kbYn$|&yu;kxBmE)oGY|ff{*e!_0dnzE&|5;c5+&sG*_>QAy`T^m3LISrN=PHc z9~udSam1N45Wsf2y$d`aS7XI2!^cIipkizE6ZtmQaPb6K`jT5M5(oB<6}{Uvmc>^X zi-dz<{`*rE!3Avs(AD*m!q*17oLwsS>1lpd!8ym}rm2&<$l-O~GZzcSV>bEjt_c_| zD08)yDq3Gs9=Zl{;;ZYG=~`D!0i@sMO^%d^NY0nK`+}ryoRL@Ohs}OXW-$7aYS*i3 zx#`1L_=0W0!<99i``lS|E~!`@9;+r;V)z5`NUIXhXSFqV&?j}jP zoUX;ir+l|NQ*5?&3J9uzwf?}S8A4CNZ2UjJ9aX(Dp*i;qoDoE`c+ckcD z6@BXjNTJR)?T)$(JbmKDqDWl8L-J4@Tye4yhz*tzRTd&*98m35=!iD?x zI66L{3H^8i2CINX3??P21f#0vvN*(Tzv9FKdo6e~AT}n4(J%{>e@EcU1=fIX*b|V+ zUwBw4RadwJr29Kl;Qh4;)L-&kL2-^&Lz`VLIEjKNi(jVm)A|thPT30}iR0|vjV*y@ z$%uH!ac~nnF)DIb65_$NUuWvGlThGG^aogu;IRuFwh%e>uHr9H-xM^>9n2veu;crI zB@0;0S*$K^&a*4*xcUu~hXbCJuhV10io8DwARRj~R{zM)yXfD%B~hH;Jui-|-q|t9 z%%aE5B;Lr<5K@x@MRWOsQZ>$lGE{Npstm0dHJLhufK07d1;$Z8L=y%%Bkfm$XzbtF zdrvhkG)nU>DtMVM1EGO9@y3coy^}>r6SRW)>gN%fgM}Y;O~xrgfbw#^FcH?^2N^#8 z0lHCj(C649-vwHq@i-d)RjA!JX{=S$dv;KWXZudo)$XG3&2N1z#4q+2@_eHAyM4Ic zB{QrFTHrfE61`-H+6Sca?T2?u#OQ%a4u@A?L&iSM^34l9)OZq}oRqx!5rTf?$eV91 z@>12`g3UxPodij_1Ivu0HDH|`X9)Q{n9u^jd zVmW?sVqZ;&H8)@hM#+3_Y&P$+Ne|ZC(2^ z^hU`wzqSC3^dWtM{(f1#^3#mtv*RD>-JM#;hmQ9|%nlx3{q&CBN*v%TsyvU;eX#cu z^GrIiwTb7Q>)7r4Y&~^apKIM(D2A^L<~{FXo4tDrX_G_t{dRO|1xP;Dk#DODIB#+7 z`%YrVa^-B7aaF=eBUGRwhxkL zYa-kZ#i!!9IYzjB*Hdf6yTR57ms~_i{W1~J-Rm@NN#r@1=M*yCoY>C6ewQKwdJaFf zF8r}SD#LE&h~^~VNL;Dn(mkTYmnRuBFV?fw3Ge*zv+qAOv&OXpVRKP+w- z*&i9-gmU-wsy49p;Qpc0asiN>E(YcrvLQ}JJ5JNsA=^ceC~L`-jQ$CoN_3 zk8`$H#G$TD&yWu@pXGiA);7$DU_muuolG|SME-* zk6gW{cD-|-ew!IM!R9ag4RtkDSOJp}B;?E7?n>Q`K2P_U1P&s4aWfxgn>q#MwV{qmr75E3 z9_G3(ZMueO6Y0$vV>P`-=K%|{2A38ViB~FI9e6mT9mze1gAW!M;|7F%kl=Rlud3A+kO(@`!M_^uNzhe=dM^JO!2FHKk3Y3@2 z@vNqy7rcNxxNxW8mJPK@CRNbr2KDlm@P$*T+t@sZEJ{aysNLTa4sa%i?H6o>D zU0$IuH?nhsFYro?0n>n~z^bCsD#=}T{%&zfC(AD_oDS#@A7u6wzs1ZbK_Q& zk85e%7eSt(Q`rT!j7VjcSYK8YS>y!cjif(wpLN}sp}fbv4N8w!$zf{q|{MTwrYc>it5wJXJidHVBkcuKaH)?Ec$wubM#ouLJid~Z z49ZIdB%KRgj{_DMLiq&ybt|)Jg~Cq0V5!sujod0x47l}#5kG~?cMb%ut=g`iwS(NT z$m&!Mo;3&vt$DWsONY_^mVrTmSAPjKkNzR_4wQ7VUMR7RV+*9K{=AW31@JFn)a(LtG_iyqQ zpU@f-(Sn#unOJ8svUNop{}stx7tMrb<}k|Dy0H}qD;1;0uaw+!x}8Ni zUXXKeNAbCoTLdMaH&c%LBE`)hF4w%Jt#AcTdu~tnS`&sWr39O`lAni%z!ZSuFQx zWN@K0EASxA!zk4PpGD5wtVKLe95f?wX_W8eQ~o}myJDH;SGDTj^VctK&yAS1@&u1& zr$?**GXL*dx-{=&%33jSv{qQ5X9~X4j0{D0L_4V+OcJ*wtaSiu$&FClFQbT&_ z6D;TP#dbvwAmxTBqxQDl3TH6k=HZ%f^57CB%fF3$IJhDWF1qkYk0GvgSwZu%Zs8hR zOmCWhuyqL|%{V*F}M+1 zFqK_x|21m?OIOl=n6 zs4P&39pgmS$o$E?C^g`$tyWY*?*ch$@J7t|IFQ8XiJGhC1K<3X=(NIdF{brzCQ0I9 zqL#qLn<3QO9YErvzrwey;7X3#lK)iX`1`Hg0{m})5C&=!2#C3E)D-hpnP?C?hj=^~X z@$Uv$DyGI}@wVYv+ecCPj%6@(JOb@?imBJWks%^q>o7FlaC+XBG*>kzrMomZfEXFJ0H%`U=0k zp^!7++kYpTJDLh9cL#ytI0kO<)D7wJvIDL-x%Z2Ma_5#~HGG#*GraT7v4ujfQf|Ic z2MS|-^WIos#G7)fV-G5~2(c1Iu_vE)2~iAwBSD+9NQU_GRLlW%P*sU{u`0%>)J$ub zb8OiD)iN6rnGs`iz#;VE02+8Hh9q2lAZlk1=Ri7e2Z~#+(Sig<^x`B^BN}7z5L7S#cRYg;9*}%ckn?M1 zt4+Y-Uv<=h#wi6{%50RylL;{vP<_DD9fc~75dLr=aW4v8p78xUOh#Ng9Xk<0z29@y zC5*qaSz%?N2?pvu`*s7Elc1plfGCbXqB}p=_kg4Vt9|K4#b+$jdF#k;(%GNElIh6J^T^6wQ@>jgg#)4YQR}-zo07i1g}?WY`M%>nX0Q zsS$>m+nLHuu{ZgTu~2^Wwl~&sl`5^hYmk{Azau=%bl0VR!|`BiN}lVbwTsUpz}e@T zDG^oI;Jm`yT|HANRtu$>eim~j5vn0(=1wC42nSTE*|~_bqZw2n9#m&(QUZ9L!Dv?{x|`(*z`^g|d4wUNJm=7c?gPFU(^je!=lFKf%$QR%_10 zlaX0tJ;{}y4BI4(qfve5;fU;*1pDln#_%9B8jd}(%|`7~!10+Eid6@pSaXn#UCpI+ zgEiy)@Zgzk++uP#blyLlk$k(J5UrLze-O;Y! zp%^I<3OFGzkb5@N_Rjj6-5Se;E1glY7z&h!g2XW}?cpAbtn$=}sZf*}XNLaKh-ry# zd~~R04O3tMjBXWi=5=gxEaJS)RO~XmM;~`5J{Mu3t~c|u*rvsnaFSm$ zLz;P#Lm(xm*8Pt_71v3Aja>;a>J-;M%2uOmtWii#^M)xsnaaU4)G67_34)+C*M(;E zIYKyPZmy3IBk>y#VDWd0LtpyreYTio1h?#Qybd1xJX`g+F!(dnf1lk02=4vdoTdk5 zx_+=T-~IY4_romP{k5K3EaxsI!!DTggZrX$WHY1IEO11F*4G7?3&-On$px0YG}Da# zeW=#ZSy#;k_a|0o7sS@KRu&O#h5q~!FV0$GapzL%&srQOCi$8cl=I9G-4)fpk6t|M z#udS1J;?bv;v9B3tPb+>P-zG*_HDFJafW^5QO^?Az*wCK7wW|+9$YXn!n*W?^Tta8 zcQX|#qy#byNI@v$Z{$m#yu|@BCh$b@*Peo29#xY+os~+`v9cqZi*jlb7s;BNo4Mpm zIqWaWz{tYBG)|fK{t;^2Bx-=D(rL0lJ_OgQezTH$5%d)=wW&q+FKDz2*Yn}T%gpdr zlQ~0{vKiz2M*o8H)r>ip#=%ImF{T*#ISA5t4)YJ*AH?Vm`lFf2wcQZJ@L>3pmDe-W z`mHt?b!)6piv{`a`up-T`s*bhpbc}@K@cmIm30~T21vDmJ&q?x9G*%7iih}%dH9qT!!&N%OW}vf;R#G%pugV@800GHbH~;Y?nBL+bEMM zqFq_&C;qRw7GWk={mo<_>Sy~)_kQ!!R#r3Qh(1&5~yJNd-{Vxw~ zw9`e<5}!n_YRw6neL^nXAfhH)eV{M$>UsG6kASa%uHt~7ya(*k{C>3Ls$VCH;L#!E z0r(kiu1j6uLUR8sw(=DC==0c5R_Q^-Cp}5r%0zLG-SMKK6~Rzh+@XxCA7cm;b8mFF z=+#P5lL1wuQk+4t*A*=VO(5-0Du*-H0VCfgUU14T&3~44nh@34mF5_?B3_@K-Rlcc zI;8>Vp+0Ur^koojUUP_CwUXiv5=PzacP^>N6W&&ls!rb*TD$izZvmEH#_KZxO1?V(VS`87wVB&S|CgF&)zNuc8X=2ouc5&_s|GyqKp1v4G!#Dy-4tD zsO2V9d+&U*3QE|rNj&k@rxOM_n$E?90E+73|Lqm!#;K63Wij7G?#I#H*!g}PTq&t7@Z#|doO z!oJWBdxyWS>uP>4X7W1km}@s1hg%vWS$kW8jMeLq8-P!*agd>xQqohwat|vR*);Jp z(lq7PX?9gaA7~W$9wt8^J0jNXZ5A*s<0RC7Lu?EjuYUEt_}=b_n4@eNfv5D%!e~P0h@#(1v2K&Qkb;rDE|)V~v^J^DGcc!Fdm2w573QX( zJ0WN~`O<0`WKQN`v7Wd8i}jFkXg>4E2Xi0L`-JJemUOtYYy|w}bKV;Av_f%l=WIJ` z_@0p!H=~QsX1NHNJI2YJ8R9;*f&_H*@Dg??ux_t|Tr!8liY$9!P25K>e+MXICkS>Q z0`zz}fGHk;*GY%C=)XzUTwQuyy?Zn_s|((Ji8fZ9JWx}%@MUYSS(!l{FR94vuq|nT zAasU6VhG%nD0s&~mYP5CWCUqe5w51JXbs6aS`Z$7+nDj}a+38weP=#|ux zo)%pO3;MXS%N>+gAzofFhXXmD1mTs%kp;vRNn^r3<(!wNOv%QOh3DXA`UXqs;7x-6 zH3LyknL#$sV=Jcn%f`T8HnnqF9-1cwPL%BNX_&kw5&gXCUXoVOYR>h04@sTexaw<- zoWW?dsH-}T0=Y38xRo5W{1y63pS5^v-yD*f@E-WrexJ|wAOfj&iDa-Yh9%wnnZNGn zl-ryjoOEnmRb%6u2QP^nn53x=crcD7@!A0%LP;@uTedi%RHg53$843RN1RZRnBRT6 znh0^g1D3CPqlXwJxslVAjP-!`+e7UmoYXiZ|LWH19M)UbvDHh~b39fkagz%e{sps1 zhajJ1c~m!zB632VH`qKJ{RU!{+4LKLdiP{b7(ZhkF)--aBwYfn-+Qgf_YpnWYyzS` z9)9!0I(0HTYTvF{FdDvv&W=VUixgCTl*IYmTV1XJHP0>FdAp|+a-qQt>)Z~KUprF; z8Ab}^Qg1?h5_K(|GRt!~fFmlje~X{#kuYTaU9ukP9+%%bJ&3od;lLlha9e0K#$-P% zK0CfG{tV|k=qfLn^E)h&H|iHByo1;7zR0akKA05G?q@efRM&t@)-Ny+;3j2&+*LSO z{&KjA|8Vtq%qT2_<4C6U$PIg9Ynhjnw%Ajqq|$kCNaF~DDUD_l+o=v)Qkqw-RUyKf zO|OW>6Vm9R(qxsxF3r3}whM}-w-xzS;p%`JL*@|d;_J0E&ciSkHAE320oM}4TDaXK z9>X&rkc$1N>s$roxq}=?stXS~q2eC|0^>r}A4l2>Qli+W=;2Zql5ru>#|hD{xW&Kv z0WmQ+jMEYo5~BnX?}%#*ut$SE*p=(d)-qfJLK8sXuFI7-=F~ZcG(my9M{Ffp{y_P= zCsc~Oi)*~TgkOZNmu&8G3=(z!3z`FPg;R%W>W(@$!W0(ZTHFVdTByB;nnNO0Ig6FG zyeKYEOI>Uq9xN?CY$P?KRIclToYJ!{B89oT5| ztuyh?LwF)vA0@rqNu{F#6pcVz)ejSpSNm6}$%;r`ESt(oiL;`8do7RVuB+wh3zHv- zI{dIoF)cHjY-w;>gSVhLu4~CMtA;8pL3~=Pnfeq5+M%bfPoNAm3B#INZnG>U=lkw= zws(+dAn`-yAqblGCRpj{p)B`UbP0TYVfPIemIFI)q5J%yHWU@CW!vf6U_9Ge6$rd?b2 zUzF?a*(FLIp>sR(kO+7EowtD4N%Pg(xvtFa^CO2r&1%DRQQGziL9!jD{8S_rdhZNX z_|p|j-Tnl7oF24_@HV}l-=-&HIWw{ZnXO~H(DQc_7l#2|r?q^vPU&s?p+;9Y4pe8rDM>J;wi6_o7 zTpwR~IWw}H1Qb!?pD$ShiSQrUaXXnm-kjNBFR$fJ?iY-}w5uh}fkr~XsPF)t2A^0( z)eMBWs8{`I#ZZza5#4jRmRIlv=evE4#DMuXmCJiI?~yvwtzw?B`7!4 zetA8&yM%q3E@^cdC$Nc>E=IxJ2>a;^@yP~e*;%w?9HB z%9;+7j}Ih6o!6Bk+%~j1cv}D>m|i63&JnO-oo$2{fOaOuG5x;9tUF%+OpLV1^uHGt zx%fr>jk;H*uTr3_LaZY12;eaHwwyl$7MwWy=`=&3X90}4z6oW;(2e;4$J2;qoc>Q4 z`B6BY7JLOy@Jvy>{(^8?h=n>vlQNlGf@TFK%ZA({FJsm6>4Pd&J?Bk9No?J&jvd8c zNYaYZ3&4$kmr@q_tAr8^A@2J(bh+q=l?^*Q`6$ z0hlNgFnc@z#0l5!o!o}@gwb$*dPK49(Mo|N`X@%ZLm*IoU(kiOWTsD=cbH(GCs|pu z#jg!&f)3;DiB!k8mmol&gMZoZlH@Z!nX6QXMu`vkt4mO9dFjP_E>;gKYqSe~Qp;dk z_lB%L^ZyKX(5rh{;mTlBZ4+op!W{1|(l!^+kCcy_Ka9$yc|>i2mVN!urOV8^VL_DQaGS4iLnFYP&)!wQWtN6yW7 zL&~59x3f0#wuEj@l{&XndzZ$xui-u}XxXFQe3a53G2cff-2sKUUZ{3X-K@5C(Dk$MFif9TQ0bE{6_eodTV1U*j?1hEDA? zoni2$C3#b64YOUO8h>R$w4o@-%hP^Qs6vA#JL(Gh&C@;Dni&fMC%8xwD zFLAi>*cikNjz=w!gFVO~Ax1rroRH^lkwI0oic1~@Sz^@A;7UO0ipuAea20vFN-l$; zx?0ZgV_I+%DJdKUblTrbZb$Q2khgY(SW!7Ys?8@bIyb@rrA}3Jd%&rvyy}zAeMFGh zZ%=2}yw8bqnp0;tv(8ig{9)5Ztvp*e)3H6b;1x|s%z58M4U}b#0v}smKOX?{F`fqr zaG7N~KX$uYpqck;cdi|6LU`0^viec#CMQb9RCG?UWNh??;4p2WOy-ki)IEJr?`iDi zfpb|lioJ#42iwL8=FZ3s2zPguC(dj@=+CSyziqhN0bY&W*8D z|Vd2;gTs2>i40YsZfs=sMdIsP2LGVvR*~bR! z`~zvAU` zNDT;9)XDU;?8-^x{SYmjgaUIm4A5(1J|0DnW5aA=j$GbdRrHPZmH%AV)1TF?7mN?L ztHba*f}r<5UKA`m=ct%dmBV_ZDYp`=a#W%*Pq03lLob>oGvHRW7wD#KnU!bdffgHt z<&ON`qY(wyBK*MZrXSWEPy|>}P{0=wbA{5p3T~>~$DX|g68X6IvWE4&t7dTJ49g=( zF{``VwTVEGGRdm%7KPmr&4|RD(yi-A=R~QzfQvsSQ?2ZU;zSbJ>D4ph)GeP)GkJpz_;j? zb#q!>-fVvH3P5WcXWIu3)@i+UwrXCSjh#H~zv@!4dxcO8qGbUn&MXi60I?lo6MU=c zeA!|{x<4Jkle`Z4^!J^slm@jGu!NL^(iV36uv9mIzxW3D8E+GeYP$Pzt|`t$(Qim} z@?#=ldwy&zqXkTvhkj^YY(kY*VsbFnr`d^ecsp*Zyd4dK#XL>e3gg8Avi?+Ap&Gdc z^Q!#P0|I61Owu@vui$xRr{Q|LNv;%RCWQGfeNyJv!;`41E2fr)KGQnOpt?7!O3)Ln z4(oxm;eE4ofQtMcm+1v~Wk=%B-cIrdP`y5%Ezaa=eCA|5O6STgX?g=2XZH#W<+c+7 z96oA=?6FHVM8UXwSKw-VO^aUHiUxYu)E6Z{WQz>%D=4b8+16Q@73M zQoi(W^F~}*k_t?o&V(E#I~ws{J_N3d&E+p1KXSJIi|Gj@j*M;4 zE^7+~WbeW!)MRi|1|%>h0qA8v6y?H-75oAHyY05;jJ6-#r#Oc>vQsYEYncejP{~i; zdIh6N&p+*i)nu9d>waNIYF`;yJq%sl{aRjF)bq1zYwy21X6XEph}&S$Yc^k5nAlDB zGk>@XUG7|(D;N*12oG>pmbXUS7^CARp~4%=R&(9#`qe`1iff9+yOQuZAM0T(NYe8m z=Sq*yfz`2uX0a+sUK$d7zPhE*v-WA!&^iaUYDm=!>oc%zKo+o z*i>BW^D^D8Of5oNi541iq|NH=WoYzk=)!LFQHvhhx(8o#4XgffH*p>~K0GJOLrp{i z-p>xFiubV1u52YZ0rF`F#9Gyp6Dpy6NqCdX{i)D=5Xer4=SSJ46B;^roP;FaTtnxC zti*_^&C@2+T%R;k8}+9)VoIhZ=h#f8P3}85M)e`B1vkLUA!!!-msUzYL4xkeo5M7C zo*Cn)D2u141SKHm1OuL@F#pmxos(7ld2T1?F}nN-%IXq3YkS3uunu!95B_WKwhgnb z(C0m&P?0b8{4y#{5{7a;ziFakVz@4LsC@;YZ+dxw{lq4R{RtS#MVh>3_lCt5q|Q@t zR3&Mb?Mzp6>cYQN6zKoW*$b&Ky!^LdVKrd-N&iy}G>O}0KYx^OmGcN_T=w%FRB%Zp z*t}?gK74*pqO$$6dfE~oJ0DwZtGoHDnzwSkT9Te&;p0XBJ`jOiY>@0JMwf5A>v-QV zD9#oYf~O}q8yz=d55X7zVI}=_)P4&Web5ojy)$mHeVug~BxS9kaY=@WdZP6~^{l8j zc>K4anx`%X==U2_*Yk28Xf!sTraT{)HeH+d+3cCK{TM#Neb(~6s?8yPrFBFZ_0i$F1GF=!lvvo+v+#=Rd&L z!Sw&5$^8W3U+H_K6)JWrbo!P*CZ{C0i~3gW4Hta&J6~I~+O~e-=HnB8471Ohuy7AA z7~?gIAQvB&v-hE0h5L%yuxV|-Z0{$0AzFX_$RTxbXPfxga@xqtoI&)1$0+mJ_dM~URS^0) zEcP6WV`C#xeQK`ibQeZ%1mUwz085QfX=od`q3f{v?ApEEQ9WlQkD)#BggJf=(N1HX zP`s;>LAEv&~fb5Yb|>V-ur-tT9pM@$Y1)*HJ>@6&|H*uBl9f!;E$=k?&9QC zSV*#(0r&xM+U4^xcqpU-S)we0^5)&=Wd13R8;3&wK!0@T6|D6Bsasp(`6D!@@CUSb z2c*%U*T}e}`$x01yhb@$dB3ACvg^-QnjUyDSg%;$CW)Y@E71F=L+y0$fi7IT?6xFo ze=RAxPYWh~G9VhZ9`9{-zB;`5^%uNTF|bv-#L$c{m%<@WN)e~`Ms7g^_@V4C>!5LweJccoxf zA2{kYHSaN-or;Cpi1#ud>-bj2OS^4H*sB%aPKrbG8 zeMj-H;GpwcBN*|_+fkJwRd!p6P)P*L`mHvY^+ff`7oodPDz!Ba92S|^d`ksp@;TZAysXip#b zJ!ok)@H+@c3kzH7Ihg$-k_GDrj3c##btciTs%U<@wF7&uyBuqiA~g?kT}BDVV-}#$ z5TwRG&I3a!nLRN+h#R4)RSbhai@0b%+kzD)@SjX-fA-kQhy_<#N1H&LD)ciQ70*}b z2XLzl)$wJX_S;lx!$85sJ8j7l??G_TLLb~6?0tVP$y@6K%v#(CLN_?3X>C-i{g<~# z8FzDl+Y_Y=9AiI`y^+OvAL|6n9b?#fW^SzTR(9bo??JpxB#$w&j_E%@c|F%*+rw_4 zy^RL7W!b#fzH5axN_(lEOB&JAP43aj?p;r6{+W%0{zabd0fbIM3I1BXDnsudFTU3T zzy0(0@nx;t*AaoSHSJmkLKX{wmeR+p&6W6f6m|^3@tZ1I!~i8e`7W zd3p6GRLy13c|r@)I?oYf@lYE<4~4?MUiJ6ewP@7Zu8_HseJ&>^YCj z)3JXWVOpNQYovb+B^?iHgP%7swNluFXAi&>JJwJ_zb&Usc8HfLR>wBLzlgu1UfNyG zLRj286%+TCtXF?7`C~0O)jD`h{SqXMYhz%*6w~DGF3@-ef#B`K;p| zE3uCQ7ERJO>PjVfUY!8>FB@8`%k~H@K{TR4$;-#pj|3gvi{Nq|rRSB>kDSjqNOMR< zJw#kX*S1eUL2STndfvhTo!K{cfcrZ4LrvBDj2T9auax%XHhZGZ%RBThTHw5C)Uf|i zqn?`}q^9>w!-$1fo|$S@P?6?2DU8;Z0C_T$r3M99}UOc7_Y*QQzunIW%cu z?lkvtiNZTE3Lewd$YzOOXcta6VV0WDEL<3g+KB1y%A#z1Yx;U%$?iY18utxvGWIHg zj?&9nk1NLwDvWg@eO@S4+MjdAeWKc7AjF~VZ=+J7bFM1Lc-oFC`MQO)cTLg`TZ&E} z$NO$ab`Bi4pAC%8{EvRNZ<3(oRQ*9b@sHEAO-|G(VbeWSNJ~%b)+wnv*ndxVKn^d4 zTV2#8wx16pJfG1qc)P2AxS=ZmaIdb>YHI;P9k*X>{mBr3P9~Z~H7CBVmy`(v6g@H( zDVF7UbCfit-3Z(|F%EBdLhamtqFj+raeaG6riUg59nl=q@9^F)o;ZXJj`})>%gSV- zPha4uQ%3s!8=)BMC2>Ty>_gwGdWGiae{}V(S_u ztz`j`k|*B5~JJ#)w-`@mirCv8OcG<6%)+Do+_83t(@P_^PwTD z3cwTAN@w=*;KctxXem(*K_Q?@CII0>!E|!J7B0b#A0pMn!C3_D8aTgFyLK3_whYX! zz!wCW>F78)zxmJn=YBE9xXds!h8YGS!n(w`460carJWSf zMaa5lR~l19#Om_FYTHoRQbHFc?HbuqyS1&giIht{Th_g8b4mQ4d7ks?yg8rq=A0Mj z^8J2mtsD;KRe3P5&G~I6`&Vn*Q1ZBSjc=5Zc~UI^w|V^Wr4|WB8-LY=$Fm#Y zh@+(+BwfiRV1<(ECl6fH^a3vYwM{2aDs0PR1fFh{fK%qc{=Kr8(k_|Dr}Kio>H$^5_p)Rv6`0Gp9X${QM{4 z3vB2`?90@l>LKjf5M_ANaPja`-|*D%cQ3w&iA@Ht`qPY8Pat9J#KKBAA~Z8*9l<*{SLtcqlyR22ly z@2Lb8!xS*_08`5Tcp2x(7uAN^yP%=Mi`Nja8U9H!!ss|KQX*5JPlRiyxXt2MJ5CCUKB!HU*C* zipxYZqpspI;V%x4@}?(v;*$-mW=wp-6Tib|blTkZc0k3Bm$~L>+p2l0YdfTi^d0MgipE$7f8>k$^*>trsA!v`!Sprl$I;q zb%$t3FtQm-KYH*PFSy0-x>Heq(<1S8<;Ua9`2|tm{ut8S27cnPIrhyP(WK4Vk=9ql zw<6)wS48)=h*E7@usUGCtVn?7>pC`v=sqiqbz^{z@8w+VXAz;`;{?LZ$)xKFtlU~y zSuA9^HO^h?T%2DfwpbUg*mCvvUe*evHLKV<$v(EN8igRkJyaZfuJ50+T;gblRHRe1 zu-e(18}?`gkl6Gu6U}*tW?6IP8!AR-Z^c<&mqs2tfARG!_hY_UjgWNzuhEr!dH8iq zs0caA50B`U!-E2cL!Jr3qi-rU?+&DNS5_Vx9ejGvW!%KTw0yom>S$H{@RXLodB=rp zrHi3)AjA2e`6YqvjX#a|-NkRo+B)MOjaRkzM-CcQpVwU8IH+Y~y@EmgQSJ4ONXNTp zI;2xUjYa@r?~#SUHR7cBX$0Z-)|aflsqU9yAzS~R5Muze^Bh+?Va}^uT-|hZ+B04x za!NSwxAb>kWyB|Me2dXROj_K|UD)nD35g!kXn<|`Ix9AF``)Dcwkxa_Wjd$?Kr&X_ zJqxf|m+7DefTiY%e8d)*Pa^!?l+CU-Lmt^JfoEplA>%!NE8qU*{JLjEIrP$b zpy!=(Zt<#_>r^vRRr4^uvF=jU>5K6lbvLT6eH&kKxyY5*jnp+%{n{0OudcJI{m1yW zy8fo61ViNUsWZ-xdwx(px#`^6v!ML&KF-M&sh%YWh{6VDmyDarEYIk!j|~Ry6~zsRIg1v2v0c~;C#HNM~R+w zHtdO3hFO_~jHewX))3bo3X_9+r#=j?ZA@wK?G<|?Q{sS-9;LGGzQZ&t;RD#Lr^KDq zpTz;3o*&W=5U~<3oWt7!(ot_U#34xp?`DGh2iT7>&`k)ueFYl5=7P|zn+ zba0tnXdjBoHXx)fI#OVTPM`?Vu8Ee~TK|B+7%MXrVKEyKB*u!52*q?HS4y?DN029&TzdAt-RxSeTO`^J0f$e#xZlT<76 zTmmpr(LtLbL;*WI6T#7u--Jt3Ou&XoD~yVX%%>9 z$tL_f*0r(uu$hbN2V|~;adhV<#v%ZEI?h#lQ);s<2jnM3CEpx$4&F;;h0tFUwJcMY zH#Giash;6!F?;be(4%=tyflY3o3`ca$I_WQAKwKpIixt+GSvo9OpHH;N;$6a1VD?1 zLx90%6ulvHUr=0z-I|UW2-2umxof`!bmJ1Go{k!3mq^MuF;Az{Zc+ayv~vF^g~Adk6WJNcI+i9gF)wS{<ObV<1C4OTj_UItb6 zg@1Tl8UAE{AkyMF-Q0IdQe}l=mO+k8CdcgyOhomdxmX&T+%=*awT|gAJM`m3S0}bC|tt>d>M5ek<+JqR82jFfSOYDP*K*c*r=g-kf zAlFvqr3V*J!fTurX7OcCYRdjyb{67A*=Zy3@vu|4t@Z|rM&G>2?r1eN-jyCXkBD&bizXUkPei(O9Bo6RJ!NeiXFC}Hy^MNY@gOlmlyHcVi=VU4o>!);TZbI1ObngqJ{3Gz6x7F)+OaW-|X zPm1~dBm35#(6IsDx7Vl_svlnJglE_LdhNmB@%(RKgpFFiv#5Ij)}j7QnHCdPgq$Tj z39kVWvqpIQb@ed*01NLcN1)ai1v-O5?3`}-fsF13sk8E9md!{E^2+e8p^!a79PX!C>j6ww^H{LEZsE#!pZ>VU1ou!?QTG%Cl zc9(clock_start) /18.2); - //if(elapsed_timer(gv) >= (1.0 / gv->frames_per_second)) - //{ + //float t; + if(elapsed_timer(gv) >= (1.0 / gv->frames_per_second)) + { + byte *pee; + word x = (16); + word y = (8+16); + word col = 15; + word bgcol = 0; + word type = 1; + //t=(((*(gv->clock))-gv->clock_start) /18.2); pee = _fmalloc(sizeof(byte)*64); - sprintf(pee, "%lu", gv->tiku); + sprintf(pee, "%f", (double)gv->tiku/ticktock(gv)); modexprint(page, 16, 16, 1, 15, 0, pee); - //sprintf(pee, "%f", gv->tiku/t); - //modexprint(page, x, y, type, col, bgcol, pee); - //sprintf(pee, "%f", *gv->tiku/\*time_in_seconds(gv)); - //modexprint(page, x, y+8, type, col, bgcol, pee); - //}*/ - (gv->clock_start)=*clockdw; - //turn this off if XT - if(detectcpu() > 0) - modexWaitBorder(); + //(gv->clock_start)=*(gv->clock); + gv->tiku=0; + } + gv->tiku++; + switch(gv->fpscap) + { + case 0: + break; + case 1: + //turn this off if XT + modexWaitBorder(); + break; + } } void near animatePlayer(map_view_t *pip, player_t *player, word playnum, sword scrollswitch) diff --git a/src/lib/timer.c b/src/lib/timer.c index 69dd5e9f..cd900d1c 100755 --- a/src/lib/timer.c +++ b/src/lib/timer.c @@ -27,7 +27,12 @@ clock_t start_timer(global_game_variables_t *gv) //time(&(this->t)); gv->t = clock(); gv->tiku = 0; - gv->clock = (word far*) 0x046C; /* 18.2hz clock */ + gv->clock_start = *clockdw; + gv->clock = clockdw; + gv->frames_per_second = 60; + //turn this off if XT + if(detectcpu() > 0) gv->fpscap=1; + else gv->fpscap=0; return gv->t; } @@ -36,12 +41,20 @@ double elapsed_timer(global_game_variables_t *gv) return (clock() - gv->t) / CLOCKS_PER_SEC; } -/*double time_in_seconds(global_game_variables_t *gv) +double ticktock(global_game_variables_t *gv) +{ + double clocku; + clocku = (clock() - gv->t) / CLOCKS_PER_SEC; + gv->t = clock(); + return clocku; +} + +double time_in_seconds(global_game_variables_t *gv) { return (gv->t) / CLOCKS_PER_SEC; -}*/ +} -double time_in_seconds(time_t in_t) +/*double time_in_seconds(time_t in_t) { return (in_t) / CLOCKS_PER_SEC; -} +}*/ diff --git a/src/lib/timer.h b/src/lib/timer.h index 8f9619b2..0802a9e6 100755 --- a/src/lib/timer.h +++ b/src/lib/timer.h @@ -26,7 +26,8 @@ clock_t start_timer(global_game_variables_t *gv); double elapsed_timer(global_game_variables_t *gv); -//double time_in_seconds(global_game_variables_t *gv); -double time_in_seconds(time_t in_t); +double ticktock(global_game_variables_t *gv); +double time_in_seconds(global_game_variables_t *gv); +//double time_in_seconds(time_t in_t); #endif diff --git a/src/scroll.c b/src/scroll.c index aafa3050..34f83fbc 100755 --- a/src/scroll.c +++ b/src/scroll.c @@ -21,7 +21,7 @@ */ #include "src/lib/scroll16.h" -//#include "src/lib/mapread.h" +#include "src/lib/timer.h" #include "src/lib/wcpu/wcpu.h" //#define FADE @@ -57,12 +57,10 @@ void main() player[0].persist_aniframe=0; player[0].speed=4; - gvar.clock_start=*clockdw; - //0000printf("starting timer\n"); -//++++ - //++++????start_timer(&gvar); -//++++ gvar.frames_per_second = 60; -//0000 printf("ok\n"); + + printf("starting timer\n"); + start_timer(&gvar); + printf("ok\n"); //extern struct inconfig inpu; // atexit(qclean()); @@ -363,8 +361,6 @@ void main() } if((player[0].q==1) && !(player[0].x%TILEWH==0 && player[0].y%TILEWH==0)) break; //incase things go out of sync! - - gvar.tiku++; } /* fade back to text mode */ diff --git a/test.exe b/test.exe index 6e9d946836d1f664c0ecb42fbecdbe8d6829a033..e7cf0255538108b2f2cbeb51958232c52c0ae0de 100755 GIT binary patch literal 33948 zcmb4s3qVv={{Ni^Ge|g+89q~NjeJ$mLn9Ea^ipjRYL2EkuBG-^(-vl6YYlN{Ee;nZ zwzf9gN15%ezqU5ptVP`vxdI|x11v=}H6*&jB_yN)0q6cd-*aaGtKHx4-|m%}bI;>@ zzUO@SMS;<5@aW5IlH> z+$spMcy7d#il-XSH%WqUE=>^f?h*tU&$IUk0>e{^=YYA{?)a%7T#sh~p4E6>$5Vr+ z70>PW3c_P}e0cr`Pc5D(OSAo!`%o8;2hY2B#;0RUJon*w5RV7X^LVbfUl1ZQ1mP6M zX~YwY&q4e>g}>t$2*NcB1z{haUOd-7AP8&lc<|)m*@CAP&x}Qa;KlO`C+4$65Kb-? zgsYbc!b5mk(bu1Wn|Url=*IKn!-DX_6M~SiRuGop`RzJE*n{WpUkJisJj*i$VMmrA zoWS$SFM-pi1>svfFaJsqR+xb+JjX49FwH6mi}2iG6NI<$?8c+wdB`pZzsA#nanIs! z51uiRg76bOBTUWqQFvVVyai9%5JAYiOc2`f+!ZYdYw_$HCI}y2E(n(o$2#C)c=qAB z;tE0d%LqYm#tOm{cwWV`9nbHd6$DeRAPmPd1Ynf%U?31D^SKa`4c9 zt5&*K-mq%*nw6fl?(3ggxmM_#w}^dJk|H=#)g05p`3szjy{+*@uJ*#(#L~h;Ol)Uj zM+x9mTi4D&ombW}nbk6}lO4mi8`qYYgre*-4z=$IdVBRchx*BK`ZNlkvR%c6bw%Qt zqU;)!l)1cOYh007Q&{H}+u~3X^RtpXVONZhQPM83>Wq>(roy@m)OVxeny|7MRSN4I>PIVzC0DzY-U09&YQhu6iB*Xw z`gBp{@9#t}zgyw$joa`Im8K7r<_?sKE&X*L8z@a5C|zKb-tN5+vEj`@qjfya=l`Tb zb#Y8Mo|IgjfP-CdsDFLDxM)pag=^`9%a-3)P3ut@yIrVGK9DtT+JW&ICCzqU{gSTw zWLt}n6Ioc3Y}+ol%@e8}OH0a3doYz$b*p)yd*vfPkGns6b>^D2>vJXw{(Ry7r&h0v zTfEZ!$oePa?!4j7Y10yC#4YfwyY7Lmhd^^@1fWvtJ1KfZolR@|NIpL#m; z@kbwX$4$O-O5DPyA6}WYdV1X5>mIp2E-~rWTd%t@A>qdBr%s(3m%ixUd*fDSWj+4r zx+hn!bH}Y(?Oy$ed-Wt~m%buW)4jKii!BQW;wMp=mPOIN}k210Fw6AjCCZXUy{l;(l zcEp|kN0CS04QR^ZrBndHQZ1(VLR*B%ZMpsYTT@Hle*6BN3ChIyH=Bj{{P?d0>C+TT z<`Uod>B6+Y$AU?+4vmYOg&7Xtw84DqOwYA>8?3WD6TON_8#in18qXMMt~nYNW_e-? z=31lUQr3Cwo4(S6-inC46yY6D5B~M+en%AX_bpG4uR;2j&9IvaZ9`1bNvZtJ4k0dn zyomv_U9*Soi?&Sr^v2TjZ+lbE0~4c49dGcIfV%bCijAz0ADJEYRmAyvMxMlY-zLn(@XL z!p>{TV^0Zqk#SS$?qM|comVW`d9N}tM7DBuwcvkQXQlIRCib$k}UVZd>`tz)FzXeI{PDc^C)%D`!w6RTML9y9g)TN9IgWr^d;psl(+Emm6w!9qP&%t-+f7W6w2Fqc_NiJBvwhz;pm_G>5#-2hdSC+%qp;2 zt{BPca}5WZE3B35MXqKh1|-1h>~W~ah8Ig>v(M!Ks01O4VBHS&v``%CQdA|UgK?-) zUO7`U_FN-u>^btB3VU|*iHO$L(4giRcMUX0JcI&*d=RuzdVfJjyUpo4In0nP;_ zu|3%qW6J)yG{a%q9B^l$lyxMY@YS^$^6`6~*{cU+(I_>VtUM zOqSg(iEWar6^+C`DJ{y^z+64lZO+8<>>kN&)&7!Lnpns2^5C-S#8PQSv}s$6N%Lv9 z_@Co=zwF9lR>msOvj`-osx4O%P5YPXo9jH6^O6%+axmPMz0E*!Ff>OdHWi6YSpOiW z3pP^{v0%;GOMG_T)M%67v9s7{lm8mM+||PtW1#}9x>5u)WFyO6Di)cgnf-R%=W64i z11|qvx<4(Jl|oGO1+*49V;>kTliR3l}h% zkGoqJ^zp+@B{7hSn}-#%dWUKemM*Jaz{>c0{t^pZuaA#4u^Rv1dRb{`eWPh(Fjpr_ z?@KJ*2XQ9$Fn|cs!Xi|8tea1`FQ-0AJD59L{Aq&<|fl7ITOwtx>`X-kM6A|#gb1*y&%;>`^R?gYO}NO5~2y}8|j`xCQu9-{pZ`#42NVqf01eM9U8VlV-8 z@>pzfN+t+vgekE!AoiKvmJ+jo4|A}kdW5`B%!wx!Vryow9z7eoU(fEr&d1DN8HFYA z9^iX+S-59x_gT7*mYo7IPh;RzHM!4VQB*)HvByC@T=V@>8qGMk@4U)1Med7PUi0#XvoSm*bJCf9*6}DpeOM}VpRt1 z-qE9r2`r3kA4?xN36w=*<|e;IM+=jCOv%+o)7a=3!i;-3(6T4b1r%jt zq31gLu* zPY$o!5U^W3Ls1?=)Pu`pkURg5mjAM9O_gHb6xMFuC%kC?51+`u1L$XQ_n0;apD~wsiv&ClYb}4pxbo|!qqvILP zaRk)8>!aCRvwx+|XP#I`o7xJb`X31I4{Q$FBE&nK-OSa;SELggf-j0gE$qeC8N4Q- z;cnKU{xygtJQ`Y(nLr8O+p8}~=>&X(Q*w4MuCQaMyhlxQuwog2S2?Jv}?a$>{hIb9V6QTmc?%}0aCuDd8@PC)T$uO zv^~xpz1`-HED_=y>LX)|FRAr$Hz^vGhT0Ki?RnSqVjccQZK3IemVOV7`BFEhhfonm zxHXd(hQ zmVq(2hXOg}Dftn;krEAbM$Csj=x>+cBq zH}Fn~#}PC~x;V}PX(p@tUWf{e23RF4^gFQ!nlp4Js4Ud_f4Zb}&VE=AxD3c%T|bUv z4e(T=I@Q_CM`pAAPMsifgdu&hiy)O|X>U+*c@rNiV+RY5@!zWBPh4e$Ao#D- zY1y&h#~kX#i&)9X5?x>6qRlgiOJ2#x1B)HzJ58I4h~A;O(!rgO*Lb+vrIj3Vdw1hgN-Dft_?m zEI}odZL*H92^8Z6X7+&DtB>)F9*oT)Xb-`OA@ibRShrsY0%QK3i>Sle)g9Q6TJ_-Z zj(^7?H^!Uv6;Uq^~233Ilr0=A3z9n`8!f!9d!&0YZRpV0r#qpIlDr=*GqIUd)pA~+ z3sHP)!EWdVr4QyY+t3zW_RGh?qdjkomS&1{58VT$7Q^{Y=;NcK$s8el{=sT2iPlLk z9O`~ZQD`D9!H^B6zC$9agB`@kjuEULwFi+Z>+?&=u#c3)KN^!AT}~*6H57_9SgB@7^Hs)-H zF(J9Sv171DWT6};gxHsC&pgk{63X(Lg8#6I_BKG#Xf)f}+Lx!I*Num0A+dz>2e)74bsD*IYbjIez#tp4MVOQI*cnY4Ym}}6-=tg zwt(8pp-i}i}zbPhEXa~lU?e1a53ld~ltnYvdA}jRrV66XZSho#yXHegBn5m;{Gut?%jX7}bc z5K|RHQw#5y%iB54icxv1Og)%#-n}L(G@2`m{o{gER4F!?1QeS55s;~5ykXsjmW(ms zk1es3j82s8c{1HszpLW*tz%45>^KwPy;X=vO7PYR+s2p}N?1)^(?+eU##BF-K`7BXl62r5gRuD(q-~^edGkKb0IG#PhpxlUK&YoV1GoQ z3Tnjb6Q~OL#i`o<@#?T|_6e3fs+k4z4{queELpL6v(26euWZxWZ%Z>}2G}X6g4(%F zLBZl4Sv1#7zQ9N|Pp`0=waUT<)dzMxfpZ_iQ-~j<=X^!|pf$f1?!Y~Q1-+}AdZWMJ zd(J@b(H8gk+wL`GMNq#=Xq*Ujl&-a5kSV(MwJ`|B5aflB9ljHJsc~>SSQATO@n?LZ z*%mwRo;&Y;_@=!FtSI8T(IHmP&O6lhQN{2Tg`xAd8j4NfL2}!KH8bs-5KV*S&n~Rz z>Mfa6N4^QuKYvjQK23bgT37?Qj`trJ@7ZjQ1cGnizpx5mC09_8)o>w@QCL3#JAHGN z`!RM9o~5LNnJeI3I?eWj|9dakvMjr7Lel=6d!$8?(sEl;ZT5TyUu=wIN8x>JQRI|^ z`n+hkqB0+3r%Z<#*54{^G_zrBgIP+mvbk2~u>v-a8AiWXHbeCQU!YNm(-=}#T0SDN zPTQVTlMT)(t*|DY_q;)Ta8VT1(~j!*Iiwsjx|TLt*#@ij&+ts(WkbN^G^^pW*uOb| z{gxwm+spy0$^D%)g1LfujX`$abR=LmdDdA*vJuJ4Z5|8n%8ZfNax2?tmU4qM1fcGb ztd=VwF_MmYW?3S@)>uUHVrsC_CXuZd)bEc@&aq`hCZ|P$L#9QdC^;>PK1Q*F913Gf z{x<|Rg79@J)8hYykep-Ac^%Wl*H_U*TH#>zb1*FAj5IGA_A&A3ZdR65pEU{mdP;q5 zV^V!~hBVG}Ub1gDVOl@a$-1yl3Yz45JR0wVI=>OmSwh*V%#+5S&+$j|8=tFKuaq|2 zE60GJ=lieHcSD`|J8wGzrY~ka&mloPJ_M`+y;hcE_K)F;Joclvbwt?2_NKmK7Ch03 z$Dj%E=`E`s@HkeAtxzSP|7l!q!)pw)xRn8JSR&Z)7XNsYw-WlVIm-fNm)J>Z{MO%@ zSsYPKm78=SCYwrp=&_f=5Tj3QKC$7S?^}z+ z_QJX&UrP@SXDQG@UG3O67=Kp_#)p%ng`6btIp>1k2YscimvzTmAcyQD- z5dw)wo=Ze9H>ybNl*SZBpm2`zlj0yIKRCp%wh*LB!#m2=^+@xL8R)ct=6 zhS{LEH42&-G;)q}i@#Qwb%uPtMq1wM{?PbAW`EyW4qxc$BhBmuQqLqH)(sd zRHNs6qZs;3x{!G}gAzx*eFjfZ8EE%LULn~LZ_0@>>cX%69K(05UAvNM?x1l&rTvl{K!G&Np*YoibmBTQ<4-^$94v_MgJ@Q9rIvJxRCsU~M4LFltJ35h3u$^&in z)9_`A%<3WhVzR%`>ZjCjV!*D5Z4~At1dg1^YaBMEhLt(gf5#S^YOW)n#)mWF=eI1fCVOONO{%eC?>;s0h z!$e9IX;kq4kv;fRqYf!utS6x<@7hl%C6JK9=7JQuZs0BXH@U4gnvWCK>xYsmz@3Tk zq(lvL4E?{E;Nh}~dpU-};{>Is4@%Mfh|CEHPXBs5th@{Rzb_2K1xV`z4 z!ToLJ{lO=MZdeccfVTDBWyJ$k)Q!!2zNBSCnGWjupm&cL?+`H{McOb6Vc39#JNXJ% z+KSC4p}Hg?8VglD>vmy7eyi6gzbU9{Tc9;yhPE6Ad}i z)eB$2AAnlgSqOk4XJ>3e(}GD}I1^+b^)hT);I(H8QJdi+M;t2I_Np1;%%4-AaRza-DZ=~RlXFn85W ztVukvJrD6@f0Q&rAnycHA zcQ`I@P4`B`2FxBy-XRMQ65s=X?S@>;J7fm1a989V%40Rrb1-F#`w9GOc9SlFj#Aa8 zsrakisV!pi&QzK(tZK4N0a*)w& z&qyrIAi5_1Y7`VEJv$Pg9cm;r$tdtpQfvD|R3oJH;Rr>BLR69%4OBbOnfmLCs7mZ5 zwDHMZPT^o^pogF^a(nanv+zoTy2$qc!rPK`Y(tDEB3bOo8bUc0B>?2aLZuHR;$!yJr{r%Gt?xli`iO(x?qrc;2<=u!ju?V5)kt z5lSPJtq08IP=4&#U7Mi0lbwXd9M%(!#@GZ5H3nQ5r#w^|8lHQ2-*4m)^@GW*q#!@Q z-ShDO^0(X{M9#?&c1F<73j)pTQ)58&=Z%JcprhZX>`)gs7Q>XK{2XT>V24)dKOXj# zZ3h)cnLN?tp_1%dV7re#M$S9J9*2J{L2B5j218Vb7?4OKVH#oKp)QOdK<65OrmFrg zsRcQ%sH2ceQa$n|=T+dlfVVyGT8&I#^<$%yU67nnB;*m?Cb z8Vw#8V<>G4pE7z;-@eE$Aa?Jshqe{o7cfnnA!jIT{xd{#AO$!d;t-z+DZALo+=Iz+ zG5?I=Wkz1sB*I|>77yln9GciY3O>OS?eE^4v0&eHBUVIfj1X1%EkwdtpS5Q9FKEHT zyX(SfqHx4p!h4CYqG)#8SrUEPjWnWRiejvSki%G2%3L@KQ+7hyu+r?HNuRUp;$@ysqr%uHgdg6<B<)b&(2Lzm z@SW2$pTRUf1F)&t5+b8K5=p!qFkjB!z_}}Sd*B2NN+}>;2Id>o-i4cu2Op5;!}D(L zGZ$+oflW@fM0Q{`{xwGQb8DMWX={Ub1LS6^-f2=MCNvF@WfbS=4)w}D9`ovtY`t`n zb}xmnt|oXxY&BK=)k$EyES>_5&@=ok2pm1fd0>Cb2Tx+5h`)mgN?35@Af7DdDsO-h zuP6QNmXn~?KB~mMks(e$0j0FH#Q<5AJcds(W3F$L{;r?k+Gr)oR->a-RXc%!YGHoD zZHBZMM9S;jkNJ-04T~hOLt;fOKM|G&;m)A*qb2c6XxhYbs=g=xj20wT!n|O+)orhg zm?QXy=}_v-{zziLuz}m-p)zA{#gopw2Wc&->Y@{nEyOYV^AiaE*+!p~3N;%$28@@C z1^*(QtdWDP7P|^;dFO0Wy`UwTU5H0mt}b@<>n6yR%I(Xq;kE8iCeC0HGuR??ZC&jl zRL5?j;5CP~8ZcB%+@f_5ZNiHcQo|Aho9i~3Ju&QHB6)gmn84aOlj5vtuc7Q&1?@~f zTOO6M083A6=xs3Md^;D;VzcCILJvl^KXD&0B?iJ zoNYwDpr5@0aCa_XCt6B0K`-&au@^5{<0;^Y{f`hKDNTb{_t*hf3nFusg{}Z3faFp^ zNsyS#)xkUO#0KHU&3im4=YNokMVsUTgyDJg_^27wNdj~VceBH>)PoQM%$6-gN8Hd- ze}5bn2IW2-gZS0{39346FmG2d91aLzO7IaK_yNSrj`RHiq5^PW)0DaKAOM3ZhAGE+ z?kk6%-@b(%86vyKQd(8EieY8M0=p2^M2wYsL;6W0$+7H1`j@LYo{a>GL4OclWt{W>EmVt$osi~6Ajx1Q z;3Nq0@Xq)M&+^gXBXoZe0xOzR$74k!UaxX&*HBa46|p(jnQyP zq$XA5L`ow}9Z6+5OZf|U!Q5uNi5~Q3j?pB?`n~eWp{~fg;fV`1=A#x)01WUa!v&HxM4B5#UY48@ zE@ZA5t&RC8{%3V^nta`_H&u|j42_F&)$_-0&vTF1VP@wO;da`IJsjG+Q_G1)vH1-{ zvjY2hWKuW$A7pJAL?prSulUu?H z%QFfZ&LxQ%@PG1lRKZ3G11pS>7qS8pt19f26+!`APQKU&M@dqWi!f}L^nPAUVMo9T zU}!i!0(kxEbJ}%MM0~Q{;|M{K)JqoSx=UvG@#kDHVb4>XO$-)@-SG!7aD2WU-!O2P z^{6jl9CBD}wCWzxac>P95JvQ<6ki9DCJ@sF zw)^E|BEgK@;s^x!b^{RPa2!}PenG~Kx>Q|z)^dE4yfLw`%C}#gE-W06tm|@@?T;^{ z4M*JYek)sSV>wZ5WAqDYkuRhtk`75E-R6;GDKFQWaTnhiI$b2vf_}HVX_h< zE0@d4a9O!RRz}Fmm9i2mDzR&J4%8L~1{ zR&JG*Bw4vlR+42UMOJ3XN~)~PmX$fOa=WbDAuD&v%3N8QCo7_?q{+%%vU0bq+#@SL zm6dyC-Stu(H$jTyFamvbKSy>`056a3?Sy?7456Q}MS#inA z3R(G?tgMukhh^mvSy?43t7T=4tUM|!kIBm8vhswi{9IPn%F2_nvQAdk%gR%-@(WqX zl$9)5am$KFR@&cZO0}$fA}fll9F~<&Wu-<|YGtKPR*uNZ zXR`9StQ?hnmm!`wD`;>GcHybA_fENHT#GBeE1d*p|WJg2v6^X&ZI>eRnvK4*8 zH|49h;Al)To-8^jL+RbOjVgvp;I3j7v%2-1vLrcs?-UtoT2WdssXphQhFFH3WFXj( zdESjyWLE>$e)0I?xxs!yu)-0u~Gi0r`JGubVzd!28yp8SdzxV^p%-MKwZl0gP@-prF?a;5 zIBm-yJFnzk^5ceevPVB9PQGuliR=KVX3ci81PmVpH@ek%pK|$2VgFd(Wn$?zZUGM% zY}`)0q8W&^_}c|CTh;hai^*(+ehW_vX7lj35X>_2JV?)nbRxZ6J8VdHC~W<Y-BybDD*dxq(ve%P>Ast ze&V05^DJRZ)IX7OIYPQq^!pYjA32NYT(jLI^OU>VvzW*xd9n#R(A9ZKN^`1uN4t9o z&>|sWh1_s+$&moOz}_rZxaDZ5#wpM>d8%5f`YsqQ&zj|NlkIan*6oq*Si%QV*>t!d z)THCZ<=NfZ7HtX~-H4>{hHCw};!JhVuTA8sp`^D|wc=dXLDFdiZ9n0WG&R`aa!G`s zUZ-P=s@LlN_rK{s$?%Mn9Yry?O0f{%HVT5Dz1%31L^3-UIV65A*_Eyn%Oz zGc)9FLO7N(CeMEYTM9=XIt%P6*TDOc+nG-WcaeCwur5Jox!tDXOVWAm$nMI$#PVX)ti>(Kzv=E`! zarEJV0`Ulp;W6mlYqgfAlrQfq5bL(bxF-~d4f%HeTz$LQ-N@e(-5nU`tB;pf1hod_ zCP&hA>{#aQbKDMm-oo>s{Fm$67^udLM#=lbK(R;YKnfHc1y^tvC0ikbArDIM7yb6(J5-1A4Khhq%2{RIR7O5yEP<&+~Hqah^lo+@nJ7fjx0J zNd!crww``eYw_lu5^`hqBn&D&rL_QUDOsKj3^&IU=gmDM5v_9+)~c`Byt$1+?#-8U+lZ4Z3*B|RH)Lob!R|+U^X4`Qxmcececz;= z@#Z#vAK+%Kg%E=^-h7eIvg`Y^4DCu}LLf{BJ({9)eLgt5dkgo+SIiq5r;>X$ifgr% z^AIFw6?wC#y62$`XK)fS*QO-pJhnRuI`+KCt`?vfxn(0jjQP$^a_&}$-+`{j9x4VW zqVQ0Mw#I*0G-=wH^(0siJSvzaS)xxBdLZ5=j! zKU3GP`*CQaVAZ+$L2;-zRPoGaqyw<_f)Utvh;Na{dn9df<75IjnBfJtR52OHbW$G6 znv`;H*20v#J@dhq^JdTROg#WcNZ#z5-FNuc2*#YX*K%Tg4SBO4^Q=S!QpyehFr*cB zYhsE!YkbOD&%J1So85C&|Jyw6jCQ}a3h|8W$dqT?58}ww7~rKJSen`#WYiwy5ED$C zCn3a#KA-TkT~c?`ebzjaR-4_Dve{{(?@H3-YV-w?Q=ww9r1y=R;%X_6$7l41dlB~f9_aq7xjU7o{^)^ z8hob{OOtBc->~x{xUu`IIoh`aA(7MEd5X-AUfna5T(sov8O3B`Nqyc5@?iaS7^yyI zP5ZUeaPjdti5#vuF6qL?OKbm<*FKHfCz3AY9%kLzC!t+uhFIJU!JCT+XKGCGf$Z*G zx_(n>$3MDi?@ni*A}eUp=D@QLh8I&MA5YK#U`F>_Ny87S$y@EXD?Y=VZ z{|XhWFIPai1R)m+hA}xkf0*__4FUFpNIS!{uwIAu7s`$IZ$Q-p`MqfjBe)l#d7_S%6;||s(&UX%&)x=MOllfW@`2)w_O~8I zMH&_v`P>7LSY=H>iYwH7_!a-Llf(DtHMg%uHg9T9qe42~OsnfB085$F^3Ul+S4 z6ZA+sZ)eBR>mz^)#OcHgu6L*LnIw7ES6CA?npS9*U~<+(hdMJf#-Qn|KPfNv0WIVa zAZCf|z*E{uZ9bUS^mz0F=3o!WH32>BQZ9y+LQ@HXwNcGIO}B{hc3bP0l_9g+M=0cQ;!6pZEHpIk^J-n6EU!D+Xv^ z_@Y@Q?TpWjs>$|^sYTh{g|+v4QCLdrew-aDfcGVzG`I?}7qUT@L6tp#yO#3Sob9>` zLZ2ra4$~@0&GbLs8=}QA60!l%5?(dX@o{hz@QhKUV0Fezb4NkbiN!Ml&u~03cpUKs zbH`x)a3u3-s*W@DP)L48)38SxQYQ`G;tn`caV)VNe?Y-)_ya0xH5!bm6kDQ%lvP>N zQ!=uqrOeBknsTQbe&(pkxsZkc9U}l>_?oB)xnur)W^J+GVfMFL{WBx{SK3q7yS*tM z(Ax$*<(KXYDNEenrL6LhgbKlP&;Jb`{|*8A)dW;#r@eM7Pf3T^1>oKB(z8K-x~|=- z&Eb7fQl~V*M;`VxWY}RSss!dpxiIlMeTs1hxPJ;S{3G;~#{u73-5*6N+?f9~gm%LZ zd*2f39H?c-w#T8H0vI!3NaSkAyTno&jka4J{Lmc&vMi#!x$Q7>y}4}^zIuufAw7hg z&@FdTZmt^79)c1{K}1$9rK_N;69{%dxK-G%qq@|cJ0-Dn8qVU2t;mTQ3(Zh$;~$_0 zxAPB(HFo$@g4-z`@k<>uebxVSeY3vdMXgY~tm`z`koUCUAInt^q6(|$CLa45f_#ww z3d{XrSmOL&TpGfpiw)!8i`j1*t)@LnGS(Eul z&Z-cO;@pe-*U{MrYFS~nfm)ojFf!D~YV0C-o2x0c!sEpvL4CV|P#y(T4kc2^~GK^&8 zzw9Ia27{EBR@iUb69Inm-o9cUdx49&TYZIQl(%6_23cGj&1&2bI&Wu8P5q{e&)DI= zOviYJD9|c%GD+0~dI_Y0xe+jn2$i(w__kV(-0q;Xk@9=VL1bgOolxwIkrsMx;M;7v zF@p3^l$vi0GMrWvnPzVUkuIAHOE${?V$gq$$zN{r-)#bCu=t-B&@DVvxc5i6Q(1ua}zL)zCAji?)U~>M!&f2sW zb2J3h+%frftZdQfz|p7Mw%9&0}wZg?PO4t)27$q<8DZZ1d*B2gCh zCA$bFy#)Z61^=#qg+&*ZP7XJ+w{lz_eZ$1Mu7~B@-CmeGY|8Iian*iT||7pNS zL`!&|7VvMT7FaY4ScE|41mAKq(soyn-=#5vB3c&z?^p9Lr(8qg6M8oCv`Io5G+g~}in&VZZAf@)&4Ap*eBQAc1h}N1 z)Kk@)Z3Cok{toZ4xj}pzRs&Wdu`Bz$xh+x8c42~%NG{5oo#56`OP?F#@9E_X=yGE+ zSolt^aB91M9HOLu^Ib>kAkNwzwio-K(m~(*Dz+fs0Q0CJpm<8-ajC=}f`ZuO8HubTJ1X0H9xS2l3)P5T>nJ0iJ)9FN`7 zj91OmkcvwPu02DUZ#^(L9O|{Zi|P10B5MhygHx99avRn`3~oDsf4jH6YKGo{X>te$ z&kZvXPl8An>fx!Wwu)k24NbSE;4D^|`86|jkT7s;gHGFo4xeIvNtKzykgk{e&@Pi; zItW`AxkD6uO-_B)ob^*ekoz9)FC9<$&3T{9AtXR|0l}aiO$jc^e$=W9cNtWJN>;d& zxZ#I2uhO>RYzGc487(g*HJk(9MO1_SwJKmYvKs$bj1vGnu_#|o(DTdKc;p!irmUal zr8Wze%vmWJ9_v3Hnl0t(%qjo8qU4}C|43pLh%`Y5UaCuoWf$1d{#DKI>x1;Gu6 zY8L45S2#429NQ{n&SFP!rb8ZfL?d>-M~LBTWL)1B18;0$jqf;}4KT_4UM@N;Ft!vt zYdEimxf_H{7~my1c))OCKx+#f6Q(0(E;^b@mV7LXKix9|=o5qaR%}}=BaR4Q93 z9IlDMJuii2@%dMAxN1M5o$BnPvmc&AIIAf+ja!BW(updK)B$ME2faN}q@iqpM%M?` z8Yebp8u_JKweNV>X>R0^L^Et~&qt6kHQEu*5b2}@r!m}YGiLhAl+ig>>+NK&hMm>O zvHeIpNx3zgUoKn#_)0Rk`(ItOvlw9{n4f$(3SOTbop;s*2X;L%NI&j52;cp)fY6DP zrxoHqaNFN|g#Z(YtMK{4lR$n>M^SdWB)%i1Z;8Pk2GbVS;J(}|m^s7-@(klJX6rCO=- zrmCBCDy)*ac_ETqkZ#n$4g&+?JIwVqOW%%-#+-kpWkyPH!Sk6o_}l~ULfCpw{tzB@ zvP*G>K!C0^Pv<&Y7jjw7!-;c_)*U)0W=HEg^h2XE>G0?ch9hR$osXc~K#%^NXE=v!LfCth0V+gj0SX7b_2xRFJPu;_8?N%$u#?Q2ahs0=N5H;?nt(e? zh$}xA)IPwdrM`w~u<6C%UO^ar7zWd5+|I%6ral;1V1l4N9xO;zSM1bwg$AS}@bfFg z&#+XVv(tq~$WsiIL>ULUv0z2603mo}rv1g|Yq1Hi8rTRUrCP!tAqZx59bzF69WtB; zNV227foYE;;!ai1e_-qg+=R59?~6AF?~Bj*+aKQ-yFR#dUmTX4pBU&e4?@>bAPh-6 zI0b;zZ`vAx4I}9Q4OgxD=$?ZSe1CLnbn6Ya$f+)zoI6E3B!awD3qR0mvW8^f)9pP= zH1o95>MUdk-_ldeKli&3GZwH@A#U>&Nbd>MFEX?Jn{vCG?Vd+L3_vhxN(g9?It^{a zPFXEK=Ul<|0heH`1_v>DCp!EUxKqs8?JGs)@xBH+f_^!Upie-*oqM@=FMMNzb{Rsm zxMS#_NR+zFp&s2!Hx<=sU!oy8z?;m$y1@aSQpdD^8<0`vE<5B}Mv{_U=$F;k{*&v-$d8-j!LBG56bfwEXIpnCft36SZ-?cwkGqoW;0hwsKU|*Wj-XukY>?O3ny4WzD%-rL75PpDJz5=C>?< zi5wX=3QK#1@i&#?Y|$fhb7YqJCiomYW~pleaMOd2l=rqmeN^|I_QEM@M8%Ds*iqjp zx>g~kV6mydo)AcAQYYzm-l_R1O~~6CNxhbsR%1i#vI{uMT9n%zYsYnq;zf*7Dt)zl z0vEEFUScT^Q$orjR1b$T{GU6Fcrp&qUS~!s03j5TN5d@>ykuFmLA#saP4RxS0gLK< zrf8$Z`*kqsG>m+!iLQomJ!4$4s!;^iwT8KB=*Xiw+vuBa(ZG!llY&W=*%tp~(-ds= z8|jWdi~l8@Zdn5lJlwSi=DS8vXhcjX5=WSF*TDbx9)}Hm;`W7va@UB$I;5|=))a{c znTt+^g9c8#NARLT?v3THgWgX~Z1yHxK;?PdIEd>zMSV-DSJO=Z@4d(SpUa#gT>vF1|+YjeMHOsA!C-CbjJ;5EHocm1nq;l6>mfMQb+*avX ztJdKE(&E)^z(rSZpH1+88}yHat^PB~wSeVLqQ;Yuf6$#QK9gOJ6S{zaAY!@DttS}s zlbmaCZ(w`5tKC}`%3~O7_5ad>nfm@*fa+5!O^^o6wNCnTK0=OhY_>7?)BoV}xs^F@ zBhWvg`BeYIn9n`Tc`p^l@cDdb)SSbd8B{o#YHkhHyn{J!roscfrexH7fH|F1IErfK zglaBf&bz2ElGj{m)J$j2Ra9uDn)iijKE<4FD(vGmXBss#ne&%ah~;*uKMB>$rsVF> z4Z6cZWiy!bAu2R(XuR+)pJfVjrc&W&)cUJ;jafd-oJ*I~cgacUl3eS2uBFWN zndEwy@c$VyIiim2!rT0(}2F zCjW;fcxipEUrM=|lp%_C@VuE$6HOiRxmHQJ>C6>L#gWn?Te5SVXF_={mf--q#pk+9 z%3Z=-qv-7c-04)FJBlr`mG8|Lk|VOva;?vG8_SK8a&M&?agy^1w#ZtZ3mW)@3OYTP2##KcK=SC%<(_0 z`&$K8HtkC0e9YTp%PhuG5PM-AYG7lz))k4JaT5f>+{U+C}x;88Bk@aiWX99Im!XuCUoPWVp*sE|vvHxq9du65@ z1p^DQa_!pnkE{eLLnysIN}zjdGH}PaLp8lsykvpX+ls3m*&(d$P@;qDiq`bGmg0`^ z5{ppeYE#pM3h_%J)^~hiVQqo6$aN-PJi~8sy=i-~b_6x>1qnI}@dg!#A(vx&ae>(8 zYe;BfI7@!35blo;JBD^Y+qPukd~d4*jq}AeG)5N-E5vj3?u);nJ}%9XjoaZTQQ3pr zxDtjdH?Yx)avOIau=wf=Yb3``K^p%NF5=!>B9vSq{H6at^+mnJYCBgVn9l7uySvPS z(2oU;&h5B-_h**29UFIlZb=NZ;j?r{BLep4yulHk&Jy!5s>2Q=?X$G65~&7r_!Si1 z3hOK(FSBjX_2~v|{EY3#Zvs#1)pQTpebq)epW|QNT!8EBV^{~*1-I^mM$^9aBmCO| zW&jQ*2I%T}u_vLFbsVpP{Giq~8;fb&sAY%nB2JbneBp$2w(>IHOK6F0H z36-Y;33%jjKK@tOg8_bG*@?yku}jGo!~Gm$D|+@3^az0>J+fW0;+mE-xF?L@ZzTA` zpi8)Xe@)K{e*KX_apM8|3tNkq0P+z8IZq_&hkvv&K12e%9P!d@z+HO|Yj65%?KuJr z*OYW!i!3-qZE%wjLHzY!LJ&i*LZX=&KoM#IFgPqMD5M_1S%7$7H-{1jhFS>9mP?^j zi`E2J0Ko=~qX47!2H}@}j35ez79B+({65V`BauZ%ByrG*25Kjd$%?-qHwYFYhUHji z9l$zHS0Ltyx3~90*|nxka&{zEnab$AjuUN3UQFzNU#q@vsfD)DX#!sDN7Q^u(n~0f zMt)nMU=4j5y1T)`_P0)Lg3fXQ=@cN~bL`-`9apr0p!4h7@S0vmx-aawX?Ljw!?Xcj zBU5qLTO=tZoT(J>s`d=Xxtshl1GGhV>7IMNcnQs%oTTKeLQV&I;q!khB-IJGxCxwQ zNcQ4NqhAn}ooLlH&>x+Bdma5P$Um^djGfiCyWEmb;|7VK4SZvJtG^13IfmpZ`BiZF zhJo`4V@zZ>(~@>@=0(xSC|IRcqC0V#{3=4<<+N)=#ifjav`n-=?iKf|N}JzqL;AHsj=}T5v}rG7*rK zW^o}k17!twH0O)m0kOk^nD;g8Ci9@bUcHRNBo;EqmK=*9D@Wbs^Njbz|UVI`HzypN+M+x;)p$#4|WO z$8a0`VcG#k!jt;do5l&tM!11!p^-+W*1ico(1CE(`&IzT+K-%hAFZ)rD#RY)2ztTh zRSVL)cbf9PwA3{j5c8FhT#ao7eq`{*PNoLr5%c*-j_#Yp0*c+1QK$OaTo&LIf?j$iOV)R?MBVyjndv7!{F z<70YI>j==&3t?*)u{zDu?7^++TKsY9+7yA_VbztvG&Pe2<>)AF=8&^?FuvX)R zJ`?B%#n^c%yP(fZl;N9Nn0NxLXCcMrqDZG8Rs+S{*e@g(Z{njsoAJ3~4E7aZ>79mn z#QFEvIdsy5S`7iAxyB|I3f=iUk^(YxNuECW1yeEm~d*L39e?i=fU7n-KPD+-^a@>OK5O1}u@rTCG-N z9fnok%(?-pL%qBo4uezS_a7jN9T?EKBjnpZb3QEDr{Qu=u@&sc%GpoL>dag}p z&$Z~%-X>l8s6&^0jrv<&zr|Z&Y3!1oQ*}$X^jxcMY1hG~JYQ0qnlqDz<>RmZT!$X? zb?crF!bpaKQR=^6H!uyQN3GgYyd<%7&Md32fewt)GK6*%t@hvX_P?$64S)Wz)gH}D z|L?0kkB{=7R=fI7|9!Rp{-^){)z0C4{{OG`zyHf>|D+#|A75`HSXi5A= zllbNPjM9Xl5K&a?hCkB!^Q%~DTP$K*ZHuXfq=}REYUs9#%l=4yerX(S)<|Qs(tC(L zL#xvMz}HW5LJzf@%D^}Xe};$`9rPJeT(X9c3Sr+tziSZ^{>}K_WC;Jy(Ba9gNAd5e zt@RN8Bxtub7{b4?;Et0Jp(wOuJGkhlJsgtt?bue3_lGSdk;1u>0~V=<1poL#Xuy)M zS?3b|t-B%d&%ebt)_pO8=kV@NEjx7RL@Ciz62IHNROiWH~pY~VjWtb@|tkv&@QFg5#Ou5 zyHw``p!&>kbz{d#6nvXQ!UtAvn9WKk}||OC1za3Oz1Ix;j#|v`<2_r!!V|%*W92 zc{)Jn?n+BSXB|sAHmiQg+ zgB2|J^e|7{3x#-aUkp2hCFaVuqvYqyDL(Y!YdE+IKZ`>>fjJJU5P~ueP_F!)vDczA zNEvTu=^gmNBKXO0Q7rVUw*~Z%U-rtyueh``dm)|o+H-mtoq~Z~(E`o^{qGp+Tm@&+ zXs4dt<|rBW5RILLu_H@@7<KrS7ahUCh9yU_-R{_{*()i_ZB(SaLOE4$9)peKGL33*fj`2P^5_R1FEjoL$HWWCV8 z@P;^a(JP$V;V(Z!=S6VOeyGKr4)qt8*7wSs0+zjke6ur%mLQMa&Z^PqmHtM!qz!#0 zy+Xq@gog3T5v(1a1K7Xl4{BpU1V#dQ4l{l#58g|hVGPe4YP|*b+WlbWU%w21V*y>C-9}uULT%BvS`bEI9Y7VT8=4hfkInb5^byf zy0#V!57*$Qs$c)^KrWL0d=0xTI9wG0J9p%iGKNz(M=emWUG*9IOh?IdFdOymSFm4? zO7=O}4d~JRk}-yE_kV&MFq=Tcz6QP}36i4@8ffGOF#LL_a#3Qlb*!%u(N zG8`o)uqO5Om$74``oX)7gO{Bd0?$r3S)DAlXZ`Fy;N-|l2XgrZ`Tr|UZh3hyPTH{m zn=kf{yQdHL$IyPx>HjEc#9j*=r_YLG=JM{0bdkc~87FpH=V--8w&;tYoOr1C{>xmNIdlQ_%ZJc`pC z?wq++&pyg;=+U$53v2NkY~V-8pKe@Gi(aJ85mob8(zIi`7>NTorIePDC( zkp}favj~fB2yw`T{l6Vp1+i^YFweB%ltXvS6^E9!|hrtRl zGOoQ0k9h(#;ZUF2T6|~Qrd|vH6E!K4E@*!?hQDzdZ_wR&Xl-HnVt8|(MPKA`i&;1y z%hN@25IuJ}N|r9qfXxZ4N-x5oO{|00(IRx6$J%4FY>lW>HNC7LIDtWg(kpLvgbBFCs$;mxYwOhT|fVZ~3n-w7~a_tAJ(;NXz~d zCzCsny&!htPDHUALqiKSBuFx@utH0t2|U;kR6Y1X1_}e>%nk{aRQ0D|ig3ZU^B5oW z3m~MJ*1=${?VQ!)mo%vXU6>2*I=VRA1d(9QTd9?WO4|p^m4p3_4DR~n3j-r@-il_( ze?%!YDaYJ0-jFc{j>DJ=7?`5V!HoNAuXzEpBX4FunsEQy3*ST2CqNU}vVkVt|Lfy! zAROI;D}rP8w2xCb$*gvos?uNIz|cOlfR}Dii+8`*;t6;=LJlYVB4lLkn$}up8#pX( zkc5*C=Rb}5q}G98`q$oqFZAPO$c!Zd={k>h8DYXmtg{#d3xe$8TBrO1#E%!AQ!lRJ zGjM{2Ua`}{J$bKQ^e+t#?C#af*cY}zo@j%wohvfti_6IE@L@u&+Gz?oGNBV0UgpFq zINzZLr>gslh$k7QU)LHs*dq1}cy{V}f~`dil#kzu?9l?zmLGOw<1)|zXpZHFq2YQe z_lq0QtTdpJ?63s^N{LmVWWI!^#HzS<7} zgTWRAVU|V6S;OSov)Ai?`{UF2&Dg?t4`!)-#Wo$fohIt)w{cn&V})!xIO7(v^P=$i5A&(d>^z0xaZdJV-F`j14; z04FkLq6_S>%;5r#^4!AVgG1W%+({fyipG7vQ1ZEz`7hMxH_$StgNCde7?S@=O$W$u zzqA)lvyYl|-*e6S)Fv|BC7-HyeQCkbKP!t6)9&`*xG8C)*-i;V@W=#q7d)mNdj*r_ zxl_HIi^4Tm;BD%~(U5lc4EQkUBrJ9lz}M-{r`om*nvO2E!kc*!S)g?|CzF<(t7g8B zMKa-J+8q#iQQE=2XMYv3A!5VO4FfCl)8~wp88NUjDpsboe`SV*R%XVpIP%(nMqJ$8 zHn2w1$$K(rjs8>+UL)K95n3Zw(7#44#u~YkF2VG?5T@Hq&z<=mrtd5GkC+A=I3ec2 z%^v{E|0Eogt-yB+@U8aayX{Bt-S+>+P?o)sxf^KJ<(z!H3I_ z44web><6`9zA#<`#zt533sBg*eJHVm20k$L0)sv81E>ZEMnOO)Fgvt8``vsDxNf58 zDR}SHDPUQ|0gQxqMGK&jpbn0N1Bi0qe9HvLzy^4NtRwEn4kpl~4=9&|CI`SJj(;b3 z&J{Qp3l(}{+c^Qa8y^(Lz(n+2{RnVA=OA#yA#&RpI#dB0(FQd$fOBgtL9i*k(w{I^ z$xrCW4|udi1fg0>B%r@5Xil$DKOo0Y|LdzJSjR zoC)k*&qPfDvpc{k05~~)5_mEP_$uTV?H!O5030C)7DkZCLg=hKaG=`m#o=b)tUT~4 zO~XqsivI%_^@HZYv;Oa5VgQymz>&HaasPqM+#R69>0Si?hnEM2V4Dn2LIjSn^8v>k zfNKUIb|Hj0ASY@vqp9ZDEe2HmwjJ!UW9$OJU<0l)1$Nkh>rog900zvF3s-at@-u-~kQbL06aeor2QO~{%A};GFc=sb8Jn1z znOj&UCM5%JwolK<%*xKm&C4$+EGjN3Ei136tO7a=3UYue3P2bJpzH-~yzF+IjsmX) z*9hGgVGw;T#wPxlpO1$FSemedXnr6K(*ve~cTf-qz5w-sFe47-nBq(rYJvC6qUmO4 zU`7*RVnFg1n%s!yG7130Qv`@Xp~#F8lIUSGi|H9Cra2iIx!G7)Sy`EY?NoLZHV(%B o|NR*lKo|rV6(#^9j?v-(C}tJ5n}JC601)}f0!WJ3`Y(uOxVtG5+; zkauKX)@2qBz~P``8vGu#xa088vjd&XXhHsjUpL-XVlWtl98$EEAJhV5dJTEG`O5P` zp(L|lNkZ1sO;OIsE*=PZPFjkBt zu%|oV;q8;Ns!|jLY!r^@cNBgk)15XTTl7YdEMxs3+F0@Mc{I73Jklz(O`e?NwTo^9 zgVu@?=ViX4qmDGha7_nVsLkaEl@yMy6*TG z0-E?iZjBota>EeZ227B2`>boapBPfos394Sof!rRH%?>0Shl3mFTapxJ;`b(ko^?H z#%LKS)WFpjOfR8yQKntD9{m=JEYTl4)TbW+PK+Ws`#Hy_!8!ZbxzsfgSLMOtOHL~) z$sL+Fk@(OI+nj&!)aoY$8AxOiKxpEM31rI>q-VAz$PNDl>Mz8Wg|mZ!AU~Xc;He={ z5~xtL0ssw}_asPb_B6;2{Xzo8G~XSXIbvlWl!PiAM*2$=0f^iX#;>sp+|&~A;ZzyK zQ@0~53JaW0#K(t6$0h;tAZ7vRbR2#m2J7=ZBP^DHwbupgH8u5(u^uEKM*GYK0v?+H zTuLC~2|8FePHa5!LTpUJoP2EDrFh`N`3NFb&0ZbAdR__*Nx*Bdu`V%Tih#c9(WBZ& z^w@ewbTmL5tgF|_lUTZRf+iuxxi&^8%J>)|48lGKa*ahd@W+w}_SkxHg3sC|(51r| z5HI(B(_BYqi#2Z!(9M^*w4p+ljqO({lx6<_L8k@Mi$^F+zeQF-l(Fw4E8sPi%x^ph z(uOq!18GNhXbzZ<%*6AqH1;_{(wcwQm zt@ik5`9ff>xW7FMboCSf99cW4#{Q|=DIx*QMJZh>6sz1;vK18EPDa4NL?~eU=cz`= zt5>J#wR%P>^e4+w1$32Pu3rHQXuNN+tQKfVlili`L4omNv6e{-f?25mnFg;>4RsUIR0jav5Q=QPOs=JNIcEWpre@&NU<04tvwmR z-&x<+Jv0n3Zv*5Gckdl?CwW3HnJkpo5gZ$Y8zM6Y$Xm|q08bStB;aE0IUW0Sbb~X8 zyf9n*Jtm5L;pOtvG%~FPvVpr^FV)@Oz*jiM(}o;vftf#Rnjvq9U6MCI99tO(If)uw zJ>nw7ZMadWzct0Ccndo7ZBd-^_+54-z$N59LS+zt>y6?d!MJ4+L@CyvcbO}RO?gf5 z-c!t*BoMfl8)PuAtZ`&GoxCeDM+233Xupc_!jOCGM#cIAR{ld2zP+=mic-9zJh(By zJ(y81vNa(HqwmV;HUE%Rsuw}CJ{-vZ2sAxd+b(V1>mw)f&awq(dR7BTmp_(8WZPvK zqgYc#$C0`XVq>C3hu*s$Kq9&t!abwF@a25b){5=j`M$%X=X~$F+?_KLsPbLbdhz>J z48R1*jV(dPh7kI>Uu31p{UU3+kC~Mqz;YQ#+J!?wbxL;i-InX@1b9EP4pAMs`dOb4 z)f|{o1C;ROkl^lh+k6cYj9mEb{s+L(FmJZ27)SeXmmi!MMG>uJ!k>31z;kJZg#j{KGLO*B|KOz8* zs5LymBIFuZHp?T7)W40;LvvN1>9|%hl*Gl#NoS{K=rMyp7DPG;h2GUxE>(|;QW6J9 z$53Oo;`mH$14~Ra`1Nr{~yvnm_Ks zdW$6hn6_?%T44FfGn(cH;eH$Z`{Wjk?W(d!G2#-RpOfT9cOyAs!pQIu;om;;G^#I8 zw3;nB?Nkr!|4Zo#L9wHeQV7(AZmB7wrT)=a&_r>jRse{8hprau*793Iq9ijh3@NpV zDu#5S7XG#Tg#!Aytxb}R3ir)?jrda~h=RtVEtzw2KRJ!^JUc(~&>bxd9oA~-vAm=) zsgh~Lc zk#y<6T#iTEkv+Go(o(s4ztB0i_O%r`6h$#RO@PMg^wwv4N4s8^T!IO;z^1|`HRO7Y z)zIRFP3!H7qB14K;Jy~vBRNL-9?HBNYy0^rgxgTq${M*LO-Z(K^wG%(OrPq7;#!VY)CV#ZPJJ3Sc!#HV`Oqs3&-fMga{Ew~2-S2LykZYVfw&^_l5IMrJOY2iw$CxxMFkxjlAOP9RMW zV4OYpZROI%s(5k=5hb3R!f8RAu#ot7ELE{Z75UG_GheV7c?pSLa;pk>d2F=CXl`j& z;VR$^uD}w}6$oyF%A56A(=elqT;;{q-Q>Og_ldEC8=1<@`ywwk&Ev{!lfn{i7Vh%- z3d+Yx!60^**%Y|m)aDN*OAXwrS`o-~Po(X$x&HMK)>Mx5gOtP;m?$~`=)XrEalK4e zj=FC1`j>b~*;nZgy%q)V;{!9|V9?exn5@|D{*#=r#B>cYAs}}L5sI|e4DGt&!jfWc z?IosyR-lZ&v7Pb|{$v>Oq^ZUi!fQ!ORS`i_k(9kbw9|oQ+{>N%mV+nSVui>AeC*jI zcEA3hqH*3O?Cc4qH?S{(xz0z}$#_YzWL1}@nEFU{b!5NJ>T2a@9tEZm*nYS!h^Y-= z*peHQ)2fGudV_8iFVPlySY>08SZrh{-)+p%Gwf~M%52e^S}pA>WZ5^%{HhCU?7!kj z;Z{kNBd+HlNX8J+04=|@1E+WyeQY?agIhM@h&(mN>2E;)fl=0{ZoV~9dd;rfWj+So z^m1_;&-`$w9RNanjPX}A`EA?PgK_{~Qm%PDOcQW17R~4DPCfp2O1k=*IXaulLxXx` zRsxD7aq`-@^{OOEf{fu}TK5IUXF?L5nc8i4bM&FtLJkiFB0~t*SVLK{KfeD(DA|0d z`+Jl5-3V~`9L}6q1Ke$1c~mfi4i>E#Ie(AL*zuLYea4~o`2pShGQ4eXTBPSx{Z;N( z==f;v3=?Wn?p=-F!7Cs%`%M4FJ{3%E27^AwH44c{QpXO&3Z+}B(Z}dQ0`LDGi}f^> zEa~EM;@6V0_`9tdi((UfS`aCx=eA42FDMycP-(r}4^Hr3XIk@C?I!z%$(C;`$!TX1 z2CvkuwZ-tZ{6Zdz71MbhqFPy%MQ}=-|{6#k2ONqlgmXX{(Efq)2nZ00|Qmv21QIaq{sBYs=5*pv2C0sH^U8 z-XE;(OW}xvpL7MDHtH*#Eg-BYH+?_Wn-z zmp3oZN_G1k3lcXqi_v8L?6h*}-GKioIVY^`-nqGzB|O9D$`fv&>QXJ5_MvwR>G2n) ztY>IIw{(UluK%rop$ZWYNm;+;1x(9a|-7`-`pOKlBG5 zcwwFU=Pz!NkjClW>~8UB{grpPdXaU%jmDFNbGt|MqZPYBTBhr#0?oUS@hDE0URUNa zXTG++w@b8Z@!QxiGBY}DP*Os=UhlGL`IA()>)kx^4@^g+f|Tu|YCf;;Y?Aq4bnanr zFG1bQua~YhEfcaFWLtkg{wFq+yO}g44h5&|fj}}m*DE80niaUfM>FRltm^Hp!cp1=Y8&`b!eI-EhTbHm--T+YfpMvCxakP4=Eo0cP*b4+r%mi z`-}k0KhJ~)|2z$jMx(J!O`UX-$);A`llF}kio(ZAFoD~AmU}Dnbe%_zFcPgVrbFZl z7v~$`ee*Y$v-B7z%KQbcoT2A9EIyE66@v6t$2Q~*(5vkmf+e&br-|#yole7PH&-_- z6ZdoOZSaQ7`Vr=np06H{)UK=1%`R~Ic7ohD=QQqksb*sZR7p9~_>GUda7}Topgd+X-rl4mQoH!47`UE!KYYXjUo&)cR5i(Ey5*tYq zDPc-;76iW#rXIx$Q&Zq7o}kVT4XwP5lHhL$M5%)*aayQ_;Hul-)Mr~)CMKmU-2(PG z3gW;`^>Ri*<=+L!HRR#gFBz;6FeT0G4VUc>#Lu$ays|@yz+Yae%C`%;>2`xhY#g#P zvv?f=+uvD&4*0@qM~0+ZN3tI2%NoK(_AtiivZE%uh+AcloNWarZx&FxksRZxVi@ty z$_c?Gk=w?W1;pgwW;LFo_OV6g1gIGEid+?3AxT~ZkV{o?cdEnsosqrscddOJ1Z`oo zTXPBqvi4)S6GSuV))1YX-EIVH!;GdLPc5D;=4P{gXK|!9iDE?&>s36jQTH-{J{W}6 z&-0a$Rb2H%PJq&Z^UuHb8&~j|@qTf&V5Aa>9W>@vmNj7SQnPlX8CzN#%OCL;xZi=ps}AY zH2k03`v;P^$6BCjlv$`LceUkk7|bm?Ku#=to)|ImQ3_|u9*7TClAfAVL;#05{}2h# zrnVH*&ZOgcVE~E}h%g;Vbmy_o>FeYF(;|F|tgAi%tU01B6}#ebRvq9n04S*+&luh3 zBd0=a{UaTsA*9L&l9#x)?IP>M16Y$Wq_-CY@dTer;R^4g8>YjFCZ?2N*oNsJQmGZv ziyKg7O#r8MFq9;SpOJ6d@l+V=oz|!72Gfz(zQeuSoq6ox*rdjb>kuOd_nh{%I^k$- zkHuE!Kj1O7-aEW8P_`IZ*u1+=spvR_et{ea2}Gs0azY2|N|Iqv5p#XhiN7RED13H; z3^(`rr;jW$ox6-JpmXQAZp!&T zWy*?Q$#F|sB{yi2Gc87CwRieu0EpD__Do>2i6B@OYp-J3AI+~4BT)mJli?ftomU5_ zYaj5J^NQAvF=7g`06IERV7=J=$^P$r33?uVD)k+fet9D)W9%0tK#=_0LVGx9BW$v% zV8uyk<(yPPI`p?6Cvw8Pc2NZybLAk1B_>ECmb!RT4Q6+nzL;d$%)6eu9vSX0JBB`+ zVIiaS5avnX9RP1=cs)_@;?WF9PM!oDf=prRyDK8v-s$2+%GVrVQLw;}?b@Wm(I~6? z+nb>=bt@(Ok`mjtWu-_P?Uw!sAGj&`)xnuY_A?GYq9<}uSB5Jg&tiK7WI50KM_SSz zk<6_xZW^(D!Jsc}{r5tfyuVxRm1DB5eWLQ8Y1wR-`(^B(?8nRuG^f%OF<$v=cy6qY z-$;S)I}G**AsUS0LZ`zp;3$5s--6<8!Z7h+zRFR=9ZC3d9>8BLx)~Ejk(dR3npeTz4BcB&t?+7rn|#Re*}{?tQo*7nmfj7AR9-S z9X+cMG(D)_v5zdrq_NsqRu6F}HJd#@nEs0w&~YJm?VkEp#8uqY^76=WbBuOk61QfA z$z(n`j?YA&C6cCIy^!?0;WBWU>s^SaM9MHLdy1yBP`0RLr@NrELGpJUd%05a3iI$f z&txNaUBgCd%bHZ{;>Lohhnx22BURlVi znm#t_&e5!2?|NhzvA@79J(pvO{BDdhf)`d#D9#$s@$3D_R9*S1q$j(&%a`{}``7Ks z9}>SXyt>>Xk8Zng5BYimHp@q=Egp#d?BOL`+#A506OMKO!`gJ*8nb1=KW!?dyWN`q zw~fg4nopH}jEtozo|Y~vCU3Bv1e@%634dukB}04}%OxHsA#mYpKuRLaoH7~5g%lSx z`k4Hw?!2IPN(tni+bd7EQ*#wVmbReOU6iFCD8s}Y3qmD?-nt?8!aMgMi5{}8My)^4 z_F!O1A~%kuG5-B5DOP4JVL%&2-rJ%dygZb01gE>Z&m{2#v6q%m8U&^QKVm!JE<`3s zbqdVZ*RE-_6_8UjjaH2-nAUOH*S6;RCXJOnTu0durH1`9I3stXbQApSUl-O$j2a&u zO`~DaqR-PO@2kfqfR21137qdW6P&A}`0S=~gWcf@yAjh4!Pyv$$SM~i8B@1#FkHj12 z%RuHAY-adwhGV@H#C<#SBQ!{fi2}a>H$&d=uc>~_)VYkdncr&Qii9UZh>&B1q?s)Z zB&*AwRkYJxYqgQ><&_5TM2qVfa0|g#sp1mIb0Q_j=S|?6C7moNmcs6WfsVR8ok zJYDwdsT1oyix!&()!PRQZ?%%y9;O^nsO%m(DH(PS{)ZI|cpe%_daOf=f-qdf8l+o| zzZ+vxGMGDGj{rw?^6Xz9cj2}?I^^`kw;|M3(CgJzgD26;XroBX%t=P}p;KwZ4V?~Y zOmP$)azHD-aUth!qeJg-%KmTYdF{$ala zk0%XZb{_QCIFYf8BsFa&)wXcoToMV_CUbl1js5QC?g5b`7NrgIqodKifd|M_fmv@o zha}y>Mo9W%c*>58MTN6V?;`$sTFqifu5cahH1^B~h^YtAvlzm6b>Y7d=F2SMQ#xOT zABjaR^2kYUp*_tpfS(Yw#`e@>?@G3ovq&iOdx>UPMehyiG6jc(vc$9Z?zBiuUZrfl z!MQM`7LOcCagnVkViYd#D$Z1!@I{Bu6)pPAg$iB>fL!nZAPr-xEJ%%Ut4N5CzX&&c zFoCe^sh%SyNiuRDd82ow`6CSi8Z!HXNC|vacjMIXLUT&m%p0Y4)-W?CjED|toeHEB zR}?v=PkQfhafRFNhznbLoHIf&j^3wkZvV*8RlG<3!+%xl@sB;m%%wvuy*i3OgWT>D z!xtu4Dc6njuNco>DyF?cdHCpi;2Ic*hxL=kirzlAbr&hpeOIL#+)wVT>{<D5o838Lz5^{|UDIWzskjP73#59_ZmWNb^u~ zt*&TlmO%vSMoH*FYiFUNdxa&w@fx>svi;QA4=1XyaYyKzpSdz4$gru$ZXWGw?gg4D zOLW_~UZb43Xn({-kxZ^1|K=k1^i;Zgr<|0h)^>`OE83HL_BtPr4$UQ{W%5Cd90EiK zjo@--fhJ{I=oklCopQ7LT$II_LY_LZO8&@|6yK7%6CLW__0m@Xa~hZ^KhrC#M~l5h zF`-PKhA7TP-C&hWs5u*BROeX(C4T(e**tQ_GSg)tm<7-6=K`Wt<&-4SzkkVU;KEt1 zmfUL9Cb4jHd_0(v;J(qQ6k#*t1+|$H5S~NMR~&T1rOvk02>$9>nmrsRPc*&4{C->9 zr`N#?^69xcAD#QU?Nu;&i~mks)2!Vz>gdc;1B!Gy#(k9YT2=>s;Z&kKWv}y}cqre>*zY~|_smZI1|Ddi zK!ZsTk~Et$85=%Hg8Vh_4i3UTK8kSb(e#PPmn@~MjXH4@yg|;SQedTo=&Ltop*e#s zdimCdTjDjj8(FXnNT@BtUeA;Gb~h~;ZDjG(=*3Ep@GLiR2C36H@DxNj>F+efX;0}( zMWjObo5PD`>-AO$C?%6a1P|4Mm2>R$3aO3U0wc(akq0Gu-z{%Wd*oauDdaNwQl^i& zzFLS#JkMMyKbn{iG8MuRX;_1Tzo@Rj#zCqtMh=}bZB#74l&BcA?7h*JhF?fm{; z^tfhOeRfCts%m3ME0f2MTaa zkNVYuVP(8srSU*4#0xUAK05MICljsz0T$ZuJ7-e<9_!lHgJE*#mCO3IY*ig!68}ha z)gSpOOSu*UZ8_C8#KU-4-@MF8ac*ikfS6MH^*=~5U%n9eqZyb|I0=$G-ywqcxrK(< zR11yr>i`k<<>d~ioV~BDx5-$y<%7&m1R>>4=;wo#dyD&bOJFMRe|Pgvt>w<>PWIFX z#ZC;1sj)^>%*9Uy?5Nm_UaSdN*(L{lnSRTicI##DpqSE=e^KZ^?q%oaoF0;G80!Cp z3);S3iQ~f>^4#;9_q5fNYy@hGQENIF3ElFJcfgvW{)Z=M)%ab@c(YNoa9sE zjf~;N4ct9{bxTu=aOxUmWw(_)#?|c?iY0g_GR70T{dsn3zdP9e{<#y;b)O->`$GDp z-LXr_`!v|!fVAF*LMgPn7|==I5L#N=)fq+NOwMJrxC{+%OG%|GI<{f!TcvzijYXbm zi05WB(0lg0@ey>x4J*^pzNANhFnG(fE6FcZTpeyx#g-^ROW7Q;OoEk&(bSG(TV_bu zv*>jNu=3Tr{;g|bIYOb7OU#Vuxz+|?vKxxv|tLmkN`YU_2)SHXc}C_ z9QlTvCvCcqbhnKW2TqQQ-(7XuBRbUHzuNLcr6;*fr2tv%M9d$6199i8s!1s-|D|@N z0O!N|?OLZ)5>@Z59uTz%GDCZEOGmwJBnvHLIxsLL!$sClJJneI_w`c*_{$$L%>^*s z?jA4Mc@MWEbKXNEOVGpbS=|}>g*-Z)dkig*r!1J{ee@9V-!*4JZg`!J|Mb#E71cu2S4t)l7e+IWf zAl;uz=x`VW52qrKI24|X%FTmA<9VqVJ{&(@fGQ}26UK{BMa6L9cnPYc6iym1LzR`o zVe#@*1;t>cZf3Ed#{O-{XH_188icB@fz!lmQMGk&x_CXR{t=u3-jHf!j5EO>rJ9=I z%<&dfODmi;-iB&xhqK2!P#v9c&iG?gm*cn-_>$e_&J%xz>gA2|!TVBi z|C0~!0o1@CTrmDDH6#=lhCfHehvUxUBd8Z5aZ&haDj^0Ji;tsTjK?M5iBwV|E(xDZ zy>uCuf={KUrQl_rdvmrxEA~eYHJ&=9p6Fi?80^9d#JsAxPJTqb?_r@2>*%t`3vqVewaEkiW|d! zqmEDDCh;`t_bD75KTVyP#m(X8sSAs^CHxQS&t=>%JcG(y!LjhG)U|coZ~O*za|`ze zzfJwi#_iyDssHwH`}hOuAqNMfDJMW5Hi$oz^;O{teUmCvM%7*seF<%IKax~M@f@}k zKi*2cEsHs~LMQSX>u_PXE9MwhzYRp|Qw;-n%~t@TouL%uGtE|nS>zCtA)V7F+V3EC zU<}*3#AfWSc{m(AfdnqGL`&gb}jhl$h{?~Eh}tIji=`tx#4pfHa? zux*SMmJCX*}2s+Gtgaf_O8 z7thXf3P*W;NYbkVYT@#lYfxFGMsui!zq*V*OpV5-uDN#3^IN4{>e>QS5bPPzxRxrUrm)XdoRL;(!X+P<7POUoR=p%UpA~apQ z1n!|>LgPP+1_xF3J97{7BMRmL=X}MP^qsHF26y03;(Kmo-r5H!TWiyegvTs8Gsj*U zRv)hEcq~W6?&jJb#xKuyT%Yg^{2?pz-icr9jVHl%b~k0ue?<VON=Pwi+4E}xI?Kr^WcTh^05cMH$a#vhKdo?I5)7u8%E;|-F$Gj8|3jT zwB|o=VEjwAC)We*z?8CVwc$4>|0@p+wDn1mEV(y7B`dA+9aix~*L?itm%p$3#IMds zYdHwble73JUz{Y5-Q}_^lH|WBLr`Fe_sLysL5sfN^|^cVVQKB{UFg@EnO^HJ!5k*} z$A#@ig=C@U8JnM&S^(zXI)f<>2!`*YYoN}gv8Ce=hovH_$?-i^;sX$iyvS3sK?U1- z2JLnmL|?S%NS^&PVVBY`pm0g$VMp)h%ceIa%Pl~;E|kT}&rglMS(sG#7r{0vP(;yn zKDlgQBsEMG?}ULe$_A^`cUOL* zy}J<(VrV}Ho*Xh%0cOn_{L0{EkDYbyzTuc7qo7sTw}J7gVKJvh1@B>SqFeRIo|J5+ zwC;1t)v4Z_r|7iC!VbsH&Oh28C?8ek)~_Nhl;Ix^)3*zR;*_sZzv$g2@mvBnf-tNP z-j~=#L0^XR1kUK_YMk>s!ZI`k48r$wR}H@xfg8?0j|?? zwIi(Y%yc#rOZuocG|{urf(1p0X`9GRuxngeP-&_}bSB4zrP_A_vX2RRV6!fNP=xtxQ9Jgb#5>IOHAa{P_NOWpmA(i$QtPh;8{ zV}m6rPvxd47{UEa3mwu0Ipukw=IfQ8l`Ey6m-sE)-?!#IUe^K)mOR(I!_6|KtL#*% za57K9P|OQJM{N^#VrozgR6d*nVcnPt_slEkTi|-Q0l)epcf#=$FhFp*+Ae1ik)UM3 zIho*T;X-oHS!%U5CTTQ8vr&$QtrTWB=tw%HXs5pK&cTL$8NNE zMv|)6H6LW1&TAYUNt|o+A&OY6yOM^(r^vIm%2{@O9F~!V6RCZ`FBIF+BpTTBRM5+03fP_#faFAlPHrad3lwPkXBD-eI z4Z2mIAnNr0aY8)UBmT5uZRTR{&moV)bbjpvBKgp2RK&ixSH*VeK?Lft?sGnS)cz8< zlcg0pAP`dBt$rwN`Y$6-dm#Dq96d%V{mTr6^~p{LbziAjCBGtlPQ;48qz6$(Z&Eh^ zI<~5IMqFIU^sjlDN}GA?G@ST^hJXP08_>7+un+mBmVKHmXa7)blk|p9l#g*Gr!T6U zL$ANeC%WNUz*l)wA;csR?_=!hyRFB%BJPVCuSH%;-OU?JwUPRQvKuQZ`rmE0nY)4P z>}VA9XUa5_4}qyj#A9gxTEI#&;zA?7PX@G4(TF!o`!j6zi!>(G9w&M??(PZZ zH%P+tgd;c1V9predv8h5ber<9i{S6dW41=XVaIC(^@*#w9pmH9uM(nKYqtA=cHnm? zV0znA&b(8HSmQjnW=e{+;gRh-8`Jc&63<_+Z>ioHc9vt#5D^L~7QJ)&?M;CQ&DqUq zmU~OLmP))MLmF99mdNU{j1Kcx<8?V^#adz+bVaS`H2^&&XYaOrV!E^*)a<`~*5e=o zk>zk;%p(V$*^a+;wMYt?^b9F4Y5!VOo+c(5!v9WiNLW-$JobG$6dWN4mByelX>9jv zS6&K=S)A@kJ>@N>7$gucV0MrpW;VVb!7Hi5ewDsWk;@tRxydZnYVJ>CjX%~fM`rX{ z6^)T$XR20(uXOy6yu7)D%f4nd+}i&aw%L9A0dJpde%9jI4f=DG!h zTE3i!Dg-%SGdwOX%y1A-j@cHu_5{V+5rsY%zAwm+|L{MVQu2sES!wJg9nkR*ok#6w z`;e%O9x?KmS--@wj|lCjjT;D3=Xy87@y)8ql$xdbf>Hy}aN!ZAU^!oKu> zdKLF&hc8mi2@2Kq1xP^!UY7}G`{ivPCPo82cYFjubK!Bc6MdMQJuDe2u*%X6th(135cg5c zuL7IsbQM%n?eHa83UZ;9U>TE%xIMoG%1fx{Ms7g2{o(8G!=K`IQIvpk`HT1LJzv@} z8tTyA9%ker-}{U;pU<7Xt(A9LwKex$i55N)n#+oiuEyLEsn4pAFw_Rxs1LOz<;b*H z=Tz_~&m;rPyYtK_AlNPS2CMKdi)Uw!mY*{h@Jfm!pO<44Y4Dhfi-V`2ZLeTgnKOr) zw~hQp?r2ilg4D(A4>XzY50iou<#JLHrk$|9n(Nr3<-=Oov`it(&R-o|QEhV?^P1I) zs=N9mc_&?|G?K_W_-Zd-JV1H8$yBSypMfk*K=By~ffnKMVO>e*6j8n_J}&)nH-bI} zLl6<($#=WYev*m$M|irIV3BRbnA=r-d)xx@+j)c0v2QQ1;>~_)C6k0P;6hwv8n-KN zgPF@<58Hgm!{(K8#aQB6t$5%{4JH!^8EE2?P)&d8u z0qrIBgw6900|XtNqz2o)?u7a}-BPs&M? zl!1Ghw&G>cX(vN27e5sQI@^vm-R)04-|@xivuDD~wiVv^zTxiC1%B3L%x4f_>>%-mg)_0(*~{2Y zcP77NPw~O!YL0oeRAF8VT`ZRapZ9NYVP4ARBsFSMQF%N1I!6tqUM`0kVyYsIb4*I% zPcxDP4m13(N*9zOh4#CCK>RDaSwYKsM(J0q(Mn*rLf})H_+@=CU!`SkYp}o3F9=&7 zpxb;rm=VvKWM(Dpa_!=xYB(}bU+L3~{ST-z$biZoGmz7%%5!q$uO}>V`L1Z5F9bAbKGDI9%*@XPPo41ylitm0M$`GNIrJGEr?ZEU-XhxoC<+}$pWOJp@)hfiyMy+@M86kpi?f-GUiCHr5{B*c=ZPFC*UyRPwl z!Vp;n&*Pf!+S$Kz!nW&^&KB=oM(=T0GM)kKUw5Ynf}08Z?uwI@-wlgVRb8?-o^M?3 zthKGXBv+cH9kh0z?V;Ekh?9J-n2?v8Ul!eS+(-T9;=RLwk>wUhSr?up+H<^a8lTd_ zukf(4{MGcMfyp7`Rd{faArDAfs0EccYheg^fCM?5`LI05?&7X8w1|`dv#fR-%`_6F z-#OR5v#73D^z@fM%9>KV1r~YK*K*&u`+Mi@sM6c5f-^+JH;)8F>=PW3p^;gFb{!p_ zryNMHPIYIN!jIe`l&vz#EzpPma+}Y*i8AbvU}ZjCN~B;E=xs40SqtT7To6ZDFW%;Y zp2#=l6Z(y-6*W6eG&e`)g^=*C5iTh2JkLy(lMVf5dL8hy9XirY<*%gN%UgQjyX7&a z%;?fnyLt2q$)#!Yr=CCv{|aN*`?QLVSzTFE$*?^Tj(=2lYO2`8{+scu%5vdH!8nV)P>|A7?tf2ocx6w~~)w1B2?qZK8X zqLlKfr{xa1Ox7B?aMy@yn6F8d%E})MoIwr2pthlW+=t->-8F3mT2NW9N>X|3txT)s z|5+#TmJ!jxSKeV*2*5%iUj3a=oEVC=lK_pl}}&8Ap7SqTiXLoH(s--G88_3TTl*lR4dGLL%IPhD9ouCF;Tjj&2PX?G zpw|}s8ooxxQI;r#R$_ke!THNRuNPGoQ&Dp2zo`?qxI-ef@8W3=*IKr!tvup z)p=d}@rE~{&#<qn$3ip+M2{|LT{c7JQ#5LK&k|I`s`c3>s(`3>+A+zEGq(`eOtQ zfNf!d^KPMVtH??!&H76?_;NkhE3s1*E$ENKne)rHa{WYwzXlo49-c4*fL)+j`{ zZi5IajsWUC*(aGz`jgjl<@ZiE0OVeo`wrA<63r|1r^+MVzh&V zsvUQ&5ulgRXky+Mkh!-EJs!iehJmg#Qf0m=d~<>?3)2hU0tI@O%Q7n>l>XH;2Wxsp(0WSu@%zDd16XdF4Md>r2p& z`*t}pAgdv#Gb>^;06{trO;VVjFXcgMNAcQvYi64*Mb(IO?!LR)8B{<{Kj?Mf;W_(_ zl6d+>2T@B_4g82K5GAKwv)m@C<2TpK;vv%#MQYz+{f&8iA_s<%ULCi-K^30!{i4K6 zK?`~wpCz;2f4KJu{jvB#J>u)julnSnBm*lXi*o-8pPMD*{9-ECpdIpe&*XpVle^@9 zH#FlU-q~a~Jrf~+4Olyk5;$>+onIo7)h@aJrR_tbsl(_in$Z4fd~bJjqz22pkcJz>tyQ3((~PrzhxM~+u&FOECs zera&<1)z8?hiw5O^0+{Z|0`BbZm|B}OI4>27{S%UZf|BN0rvKtEM|bA`t2aEsk8V< z{-)dc?$xxS#`mJgg1}U#!*0Ap^3OoI>*o??SvCj^Z%h4XlloN}P@Q99rNq&`%{MQ} z*C$!mDNyL&BA%6ZYB6UmwZ-9b5hnwh^NV9T$MwUjR5M4dGDuJu6E|*Tzv3Sb89rmV zrhnI;JIZs|b+|r0QGZ(vtUj`G1opWGy?zr$(K(Zx%{6r~NEpVh(mS~;3{DS!24uc9 z!1K#Y>Jc1-pQu*p*N4juOlc03g-L&YYjb`#z4i!JYW!=gqjeTXrsjf|0#)Sbfj`Ac z0h}mS_O>tBf!5`ey^c{T99;&&YJ{mCXOn5!`-(|kXFKathwensRFd0x-T*`u^YqJ! zbxOP~HtDM(4`7tnX-dgc%!L460Aa$j!)cHG#@zfLsEBF5K3n<1V=i6ELJ1sOv}^7} zFzY?@oAN2;3fM<1DeI{4aJ_3-$6;gdA}uisy&(`|&^EY-HuZ}Qr`7dE8zs1K&m z&j@>4if^f3;Prhke2j)tAM~WV3ZJutZK+%H#=RFN(hk%I)#>rV$(FlY>LPrJ#a*dF z^IKqE;~KR9{+n-EHI>3t3#*iG>RXeQiJ`(@{iegzJF_bpgF(I~F}@jo{yN`KA)y&` zBr;4eNNd_)RN&?GDUacv2<_vMh2jDA^Qc*4i5a91tWe0Ro`IUP5Mo4ms-EGo^e&9X zg_(NVO7|PbCF+z&$rwo?cW>py$e5eoK_to%Oo>&ZcCB%yrO2YfmWh|lH)~*K9i+Hg zyf*o$4l3wi)8xb@@U=P5`j4XLaIWW3|FbQ<5a!-7q*^&{qx_yqK^f{XU!3@SPuYSW ze1cnXskeif%)2)VKcgS~aE62_ zN^40!`uF+-HB$N#EH%2wX&V%NtiT&k)RuRQbQ1BUSeM5HDz!vv1%b{h)?kl-)cjQg zqu+)r-b9xa1?{3FN(lMLCx3#}i^5Y?jbKl%#H_J?>x)6{4#48iz}DVN;!FMv?TPS zV{9{HwRN!s=Rb5Y`D_C{GrXBob+G)}p{8<9Z7)w!Bt^T*(uvdYwhYg^{q}Dfb)ZRe zr)}|v`pKPU5a=K&6vTZoJ5QSqipSU=#FC=VVPno{y^B4Qq@tXF1;S(Fu}Se4h-(*O z&dcw|`3G7m%cWp1#v!Dzd~dXQqPa~Ay<)ZTL}ESO>H-~U=KKJgbPl8~@cjrDB&N2m zaarBG`kXqnEC}>C#9vu&^~kwE!|&2kLLsUrlfz=8-CVEfghfP! zp|L!g=c>~&atXwc_%33)D?;R0n^;%~5zp_jrXyY8_-I|@rGI02iEr(!yP?}`gmJ_S z?=F`%jeQ_&${*9y2<1J$7qrfB2HhE50F{fbrF#~RT!l>u%-56W)#Sz%E1ZziWAZGt zsAP<%1W}F8EvjGa@>QpGuhUZIuo&K>pzhOQiIT8j|MDW=c34!X#eOpaq)me5=klY#xW|v)8A2D0Yjm_T1k&9AVPV zb|ZvOagqF`2r=Jr(61exX>;vHEEZ-7Vr0gzgI%@%dw|%jd_quVD46SuVIC#l$>+-}%eYt)owvF`FXO8uHygTL3{XZ8?C9V$SuL(-7+JO5F%NEe} z!G1V#Un`ZEB=aXF5>`_I;@&H@eew@12A?AY#@WH0-d^=`NTHsQdb25L_S4o$$AA~| zne!7eCfh42JIXSubg9BAnPoBzcTdeIYDN`2T@6gpX8H zU^dnD^ImUF+8-|FH`A@=TjKVDDLO5tvhOm=uJk82Y5IO|x8!1l4dA-k176DPD&#J;+WCtWIKV6$$ZpjW?mN(k*tzZ={~QQ(`LHwYy1)Zw z3lYf?XSu1Wq!43n02;^9;LdUQUtX5Y(fdU9Tc7*9`9~%~)}cN4y9k{Bq*Y-pwiWU! zuq;6<|5q{5R0sB}UQb*I(~o^ph{Ui0Gg+Q(#iV|B{#p`mQ{HUoYjYSH3Pz0bV4idJ zqpX(@kJ9p)vHk+n;v2%#_&<0?24D_6>bndCWZ~eyqXS4B(dsiI1bFx2i(6|0Ktgt? zG`>4!0c|TVfJiwJBbm&O0KVO!&IRPwq0mpqcJS$;()D!a1JwkIpdT%Zw~LemUIZ(g z6Ppm`FZlLGC@$_5afVJsK2Q=V}mYq)z-O{kPjEga@< zox21I!SWXW)uIS-)Z$)&@f8rQmlGYDif+TP1mLK>6w~0b$vrTesQTRi(?#@n)?C)K z0b9HS+0x{(gqd6A;%Jm6)`8}^K^m4AF9fIC2w8$4** z|NgSu0{C-x`UDPPCI3k+fqmesp#vTeOF)1Y4^B?IB@oMkmHFm?#VYPfLIp#Wn6 z)p4O1)i_q%Lu1KZp~wbI;)KZRL-r>IJlyf*!Ion#?0Mwp?gNEF;$t8KGhymu8Q=~M zO7q2_&*0t|^tV1v<_Ke5+`)lkVeUX--eaWhIp7ZDXCm5*2^?@GV+{&~eauG^W4Ri$ ziJOS-_KDB`6=pNLonZ)7n+pbGISo3+TKX9OH;b;2Z2zAGBE;JdG1Yoj_)|64b$U}% zoi%rdfdq*F7cL{jWn9gz(5w)?!n5E`^vzy#|4qQ%W*^b(R`It4_u~dkVeg>we5Z+@ z2#07E!~q&c_Of4E4X(LCxNMBt9pF8R*qxzOh*PTIh-;c3b_N3(y($2sc1DM$0l0$f zt;~$D1#93(+ZCuu+hHhDjhP8ohl;pVsw(@C?S$w2}=>uEK1KZ;F9O&);1Na4( z?C}3xrggvJGtl7fBoBxO+y90x{@afD6|)FrVL`mW=}-SBX$E$qxtSGdyAnV>lWKg9 zKn{iw?Hrq#k$E4U0P+c*5qUM4Ao9DNMdX{ED)MKYL2|r6~qi_dD zyYK<&eM0&i>XgXT6Q&_BLLe`By_W<~jQHOqbfy2HoCiHt>KH$Xe&-AbdEARDh7gDF z|A?H%@CxYk>RUzb1W}-8{7qK$1!Ps=`2z?&}2vvOBn~)-mB@;vbhLnc} zKN8|$K3)qZ#X|OkR1r1o@&t9~2bT}z68v}6PXXN_@-&_4JcjE-9ieddY(;T)t%P4V-Dq@sAF zAB#|b)?SO}+s6mwD<5!<5WJwyy3Z@f9YSte5Q`mCmJBqQGt^|yE**2 z4uAI8Lzw83p#Vn-d@m!EwFu5JERbf{T@Lk?!Y$FZ6#rl@F0waUy~mY+i!BRdiU?#~ zu`We_1DBOpQb8&GVt!>JO)rQ_(~IkBWIOnFXVEeCXp~WFRvUr;I-1WBiWmF13I#yX;Gh}3{lFO=E>jSj@bc;F*f_E0PA1*C3}AV#T&H;j0694 zyYE|56)RV@+MQn^*K{KtMo!=vR$G4Vdvh#Y%_#`Yxc*NoJn8ygpf`cKmFu>+gFS9} zm_MZS(7{69@DOz>Q@pBpO?tQgj$U#z0YDHHt(PkVT#336`s^um))a{5DB8!PuoCf! z&{dC=|oCy(Uk|E zL8J%Ys#+tFwJV2gSGQK^@>?$GK{Y*s=z!k4nd`k0srdJjQzKEGO;8^~KyU_Lis(N5 z=t5dT)9lSDEh{A{859Xl7=RXAlFrK>0AL0QHQ+OsOusa=H*i%ZNQl@N#}3UpX5P7_ zxFih@T20mf$8w?qOCSbA*y_6i`}N`&oiTyvKY#)4ALjtD9Owo%sYaXf+5&YB(Uy;k z0{{q(DMolU@H1cw1Y0z7+RM8Y4muPIQOEc9^M%q_V66griu(gCs)NwK&o@6uGBgqo zV)g=|IDM80+ZIOA;2kl`WHHb~LjSNYwnK~oZA%q$EQK!-YbdvF%QUc-W)S=NDV8?m z+L#3>+=G7L2LHHE4athQ1!+V!SpxqR9u;k843_zha@Yd{`2*Lwm5J)Z`Gf2knfW;Y z0|0WL02i0om5`Df`5c3l5MuZD`ovgm`5tGLKs-^Ek;ym?FO|YJ*!g!CPhZ0}1#>i> zVITS(c5jEoJ8sx=V%`Mqb6o@LlpLKje;eiJz|ql1tsth)`qz}ie(D1DVd;oajT`3| zwkc)M;Xf@@2Gl}ODoR`jJYCQ^uVYoy2S5!wQFN1MWB>OD>CFCgX;sq8Cnc32b?_4qvUh&F{Ka1Ko{=HV5~2#J9ZWA3VSI07jxrV&1(cvb2KYfEHw%x9;6=`1RS@L2f4w&r1(QKD>f^0a30{_}=D-TI$944v*E&!^K{4MjMFBg0-o$q&NCY8$ zq~^q5|B_W>{{IV2N7C!p$k7N(g1`hfsC*iAb*uQlu@ZyA0Tpd>JF$0vxbyQnm#uQ{ zS0X44^=F`0L`{>dg!&g1H4O*LZTYtx|Cn7zmFt0lZ#fXLGmR(1P(%%6$&3hn#mHH# zwJ@NJ>=&_-C54Up61%NW+kD;?nu!R-_I)BN7lkA_00TsY=ZMGExr}pp25ePxJKHgv z4qy@$+e0+_a$vf&IoV+QtPmMwM%_;HLn<;$<|deJA2kqY%BtF~_aMhIRrd?*Y8s`k zg#}HEl2_sdNfo1YHBwK8`yC;(D;#YSD1~3oY#bDT%?900NfnDVNd~tJO)0lV=rw&Q z5WqcDQZP7JQvEBVY7RQ=5(2iE@q!+O>Y#Bg*7kQE?au%VR;#-N^a4aPu3fM((jZ6d zI|4abGK((Z2Rm&62361q`k+sAT2#0HkPL#1MnOVtJSmWn30g4lBkJO@05BJPfnBx< zkTMwHt|D9fHS>=YcuNpn8^|;y30>^bk7=+XTP%5YyN@<^!Zm}#?sl{9g9iir2T#KP z7)S|cVUb@ekY95DkO0q#<&%&L%}`@;)o>0x5EQgSn}?7Pga9cf_D=S3LHEBE-NN`L z2HZUXk0d5L%m6JAn>dj;?gdy&)F_83`ogt2D{}G&U z>=Kgx(Pr$?R_+O&tzHhtPVS>KFF@?%(FOzL3{=rL2bdsN9vfIt9Bmhb12e-gQ3sCk zvyTR9po3O91MK(%B-}XM4DW&N1_>016J-L(iO7Y0F=iL=+)-;KS;PI&@QYuHz` z0ixR0*#;-biIGAJFax`~#RiEK zNlgkanXo~HXaekE(cxP}25JP@?}=Xrg~@g^ZDa+ydObfkCk2Dr#{?DF$p~fj6x+G> zP?Nlg`A`8UiG6Oy1hhwjs-Ij;a?KexfBflrIC^dYKYD|GHzRM=himkK>=*=i&hHG3 zmgM^ctJ@ZaC&E40;CE&LIKM9cr>uF$L$N3(%ZHf{%)p!u8Nd(>Liai`j3mMkEzQ^f zV`S*;9gL6^IOGX!Ko|c-B4kszP77q~x~~QhU#PeP0A~rF|BHs=`-_&~`w)ZU*NTe- zQ(Qq5EfSfE-ku6|HO8Nd-Z24&+=|$s45D5Cy8)apr_~H+&<=cZ$CijbwemZL!HbB# zUq-g%|Bm>E=Km_>Cc4p!j#iP4W{L1KzljA#M@e;5YH&Y|c2>EIVi%dz^nPsez8ALx zhQQwYibZTmii0XJBOHA2bT*4Nj868QO~Srg7Wfr=(e6;r+Y9UwvEThh2kp}TMcYR^ zR&uJbWp@Uf!9w5i3hT)HjqQ6Cd;!88e3@Q5hE5bqn&|9FkO^=`dyG8p*98Uyqr4oO zh2f2gjt=M&qjGtQOzNI=IS^@?MF#F!;33F8ic;l=1|#fs|CV~`ZU2Tf3vcQ)=#%as z4DP;bu>*_bYD!-eDgdt84ck`oI^qq2@Jd4$Qq$%ZzdiH;)>AKGgXnwP8FYj`d>EE~ zjL~lJC&3JY1++{K7UTPt4+!1sa^*nvJ<8CF2;7A3a-f4JBfwb)e!ql}SPM1})`$YU z>bL?K^g89FX*@rP0R40Jj>3Zy=&dcw=!K6^HiJnHW zwBA5`JDCz)#+d;Zi>inu^4+orRR|iX|6qtg_2}@42SWt`CzT9-b+dzrXqE!I80d2f z1b|T85(nFA2m&Z6Fk1rXwq6SZS8(>|Q~zm9A&V1P5ZlHQySfT%3r&|62oXqO?DK~f zju!1L-G@M&9S|}$s>9&20RV;osLb**LXa{xvcXci;5 zdo6Hp1zR|C+jVdVa%~50&O{liWUZY4FflSSG&MFi_&7OXVq*X`>AzQLYHMt5Zy|7T za%f1mb9Z>`d3pc0|!Oy z0{{Xpq2ZbW=jr1Kt9=Uw1O>DJ0(YkeBnJBk0sjq97IqC#R1P4J8>9g^hrrqIAh$LJ z&oxl;n>Z9pmP}3MC%S|g0G9#mQvWIe?_w4%bSC~`7a$2cJFeecFMe1gO%G0Q0u5Ab z{|q)%0h9r=6!$I=F98*Q;86i30TNpOAqy`^0TGK2{cCC}DVJfs7Na%+DwhZ(BmXL$ z7$cGzH;!!)EZ;3d85$T3+YuWC3ilja0lZRww-y5&7C{UDRx2qu5Dy|I{~#3_8XsF5 zl>H4H42=OKA&q=2kwb3CH%{NC6FM;*|5Ya@8z^Kj5dS!F6dMd25{&=#4#)vQ0STJh zJTo5)`x#S8P3|ylUIOhEMmhxD@3TFiVMf~xnka1rJ-_1tl7)`}-c>6Tg*@%i0j383 z25J!(7XdZ|{Q<5T1PTWH009{R00000022SC0RMFZ=v@GE_Cbum=tuzLS#$YGNpuXs z;RK1ziX2Av(=o~DF#r+CbqGP|DFAc(K|z82(Ex+l{we5k4vyH30Vw>I^Q4xJ+UvE! z-~?&_9|70_`0MHb|8)cC1^^Ms0RMFbiabUT|HEAdAC0x={;gY)t&**mtpUT1!!f`C z$0h+StVnbfKy~y-M<#$hnsxamIaLF9^RNT!;(^Eh0OH{X1|Ph@+Un8)006++P!1Xs Crbhh$ diff --git a/test.map b/test.map index aff9e16b..ff0e99d8 100755 --- a/test.map +++ b/test.map @@ -1,7 +1,7 @@ -Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) +Open Watcom Linker Version 2.0 beta Sep 21 2015 09:27:06 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/02 19:46:33 +Created on: 15/10/03 12:14:30 Executable Image: test.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 07e7:0000 0000e800 +DGROUP 07e6:0000 0000e800 @@ -25,25 +25,25 @@ Segment Class Group Address Size ======= ===== ===== ======= ==== test_TEXT CODE AUTO 0000:0000 0000018c -_TEXT CODE AUTO 0000:0190 00005a4b -modex16_TEXT CODE AUTO 0000:5be0 00002180 -16text_TEXT CODE AUTO 0000:7d60 0000010d -FAR_DATA FAR_DATA AUTO 07e6:000d 00000000 -_NULL BEGDATA DGROUP 07e7:0000 00000020 -_AFTERNULL BEGDATA DGROUP 07e9:0000 00000002 -CONST DATA DGROUP 07e9:0002 00000076 -CONST2 DATA DGROUP 07f0:0008 00000000 -_DATA DATA DGROUP 07f1:0000 00000248 -XIB DATA DGROUP 0815:0008 00000000 -XI DATA DGROUP 0815:0008 00000018 -XIE DATA DGROUP 0817:0000 00000000 -YIB DATA DGROUP 0817:0000 00000000 -YI DATA DGROUP 0817:0000 0000000c -YIE DATA DGROUP 0817:000c 00000000 -STRINGS DATA DGROUP 0817:000c 00000000 -DATA DATA DGROUP 0817:000c 00000000 -_BSS BSS DGROUP 0817:000c 00000a33 -STACK STACK DGROUP 08bb:0000 0000dac0 +_TEXT CODE AUTO 0000:0190 00005a3d +modex16_TEXT CODE AUTO 0000:5bd0 00002180 +16text_TEXT CODE AUTO 0000:7d50 0000010d +FAR_DATA FAR_DATA AUTO 07e5:000d 00000000 +_NULL BEGDATA DGROUP 07e6:0000 00000020 +_AFTERNULL BEGDATA DGROUP 07e8:0000 00000002 +CONST DATA DGROUP 07e8:0002 00000076 +CONST2 DATA DGROUP 07ef:0008 00000000 +_DATA DATA DGROUP 07f0:0000 00000248 +XIB DATA DGROUP 0814:0008 00000000 +XI DATA DGROUP 0814:0008 00000018 +XIE DATA DGROUP 0816:0000 00000000 +YIB DATA DGROUP 0816:0000 00000000 +YI DATA DGROUP 0816:0000 0000000c +YIE DATA DGROUP 0816:000c 00000000 +STRINGS DATA DGROUP 0816:000c 00000000 +DATA DATA DGROUP 0816:000c 00000000 +_BSS BSS DGROUP 0816:000c 00000a33 +STACK STACK DGROUP 08ba:0000 0000dac0 +----------------+ @@ -58,56 +58,56 @@ Address Symbol Module: test.o(/dos/z/16/src/test.c) 0000:0012 main_ -07e7:00a4* _clockw +07e6:00a4* _clockw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0195 __STK 0000:01b5* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:5d98* VGAmodeX_ -0000:5e40 modexEnter_ -0000:5edc modexLeave_ -0000:5ef4 modexDefaultPage_ -0000:5f4e modexNextPage_ -0000:600a* modexNextPageFlexibleSize_ -0000:60ae modexShowPage_ -0000:61aa* modexPanPage_ -0000:61fa* modexSelectPlane_ -0000:621e modexClearRegion_ -0000:6336* oldDrawBmp_ -0000:649c* CDrawBmp_ -0000:6600* modexDrawBmp_ -0000:6666+ modexDrawBmpRegion_ -0000:67d0* modexDrawPlanarBuf_ -0000:67ee* modexDrawSprite_ -0000:6854+ modexDrawSpriteRegion_ -0000:69cc* modexCopyPageRegion_ -0000:6b36 modexFadeOn_ -0000:6b66 modexFadeOff_ -0000:6b94* modexFlashOn_ -0000:6bc2* modexFlashOff_ -0000:6c92 modexPalSave_ -0000:6ce8 modexNewPal_ -0000:6d38 modexLoadPalFile_ -0000:6e1a* modexSavePalFile_ -0000:6e92 modexPalBlack_ -0000:6ebc* modexPalWhite_ -0000:6ee6+ modexPalUpdate_ -0000:7456+ modexPalUpdate1_ -0000:74d0* modexPalUpdate0_ -0000:751c+ chkcolor_ -0000:7834+ modexputPixel_ -0000:78c2* modexgetPixel_ -0000:7948* modexhlin_ -0000:79b4* modexprint_ -0000:7b4e* modexprintbig_ -0000:7cca* cls_ -0000:7d38+ modexWaitBorder_ -07e7:00b4+ _VGA +0000:5d88* VGAmodeX_ +0000:5e30 modexEnter_ +0000:5ecc modexLeave_ +0000:5ee4 modexDefaultPage_ +0000:5f3e modexNextPage_ +0000:5ffa* modexNextPageFlexibleSize_ +0000:609e modexShowPage_ +0000:619a* modexPanPage_ +0000:61ea* modexSelectPlane_ +0000:620e modexClearRegion_ +0000:6326* oldDrawBmp_ +0000:648c* CDrawBmp_ +0000:65f0* modexDrawBmp_ +0000:6656+ modexDrawBmpRegion_ +0000:67c0* modexDrawPlanarBuf_ +0000:67de* modexDrawSprite_ +0000:6844+ modexDrawSpriteRegion_ +0000:69bc* modexCopyPageRegion_ +0000:6b26 modexFadeOn_ +0000:6b56 modexFadeOff_ +0000:6b84* modexFlashOn_ +0000:6bb2* modexFlashOff_ +0000:6c82 modexPalSave_ +0000:6cd8 modexNewPal_ +0000:6d28 modexLoadPalFile_ +0000:6e0a* modexSavePalFile_ +0000:6e82 modexPalBlack_ +0000:6eac* modexPalWhite_ +0000:6ed6+ modexPalUpdate_ +0000:7446+ modexPalUpdate1_ +0000:74c0* modexPalUpdate0_ +0000:750c+ chkcolor_ +0000:7824+ modexputPixel_ +0000:78b2* modexgetPixel_ +0000:7938* modexhlin_ +0000:79a4* modexprint_ +0000:7b3e* modexprintbig_ +0000:7cba* cls_ +0000:7d28+ modexWaitBorder_ +07e6:00b4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -07e7:0000* __nullarea -07e7:00dc* __ovlflag -07e7:00dd* __intno -07e7:00de* __ovlvec +07e6:0000* __nullarea +07e6:00dc* __ovlflag +07e6:00dd* __intno +07e6:00de* __ovlvec 0000:01d2 _cstart_ 0000:02a5* _Not_Enough_Memory_ 0000:03d7 __exit_ @@ -120,23 +120,23 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:045e* __exit_with_msg_ 0000:0463 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -07e7:00e2 __curbrk -07e7:00ea __STACKLOW -07e7:00ec __STACKTOP -07e7:00ee __cbyte -07e7:00f0 __child -07e7:00f2 __no87 -07e7:00ff ___FPE_handler -07e7:00e4 __psp -07e7:00f3 __get_ovl_stack -07e7:00f7 __restore_ovl_stack -07e7:00fb __close_ovl_file -07e7:0103 __LpCmdLine -07e7:0107 __LpPgmName -07e7:00e6 __osmajor -07e7:00e7 __osminor -07e7:00e8 __osmode -07e7:00e9 __HShift +07e6:00e2 __curbrk +07e6:00ea __STACKLOW +07e6:00ec __STACKTOP +07e6:00ee __cbyte +07e6:00f0 __child +07e6:00f2 __no87 +07e6:00ff ___FPE_handler +07e6:00e4 __psp +07e6:00f3 __get_ovl_stack +07e6:00f7 __restore_ovl_stack +07e6:00fb __close_ovl_file +07e6:0103 __LpCmdLine +07e6:0107 __LpPgmName +07e6:00e6 __osmajor +07e6:00e7 __osminor +07e6:00e8 __osmode +07e6:00e9 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:0482+ int86x_ 0000:0605 int86_ @@ -146,9 +146,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:0650 _fmalloc_ 0000:0650 malloc_ -07e7:010c+ ___fheap -07e7:010e ___fheapRover -07e7:0110 ___LargestSizeB4Rover +07e6:010c+ ___fheap +07e6:010e ___fheapRover +07e6:0110 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:07c5 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) @@ -156,8 +156,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:07f4+ __null_int23_exit_ 0000:07f5 exit_ 0000:0816+ _exit_ -07e7:0112+ ___int23_exit -07e7:0116+ ___FPE_handler_exit +07e6:0112+ ___int23_exit +07e6:0116+ ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:0832+ __open_flags_ 0000:0af3+ _fsopen_ @@ -170,7 +170,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:109d __doclose_ 0000:11e7 __shutdown_stream_ 0000:1201 fclose_ -07e7:0c0c+ ___RmTmpFileFn +07e6:0c0c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:126c _ffree_ 0000:126c free_ @@ -183,8 +183,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:16b8 __I4M 0000:16b8 __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:7dd6* textInit_ -07e7:0c10 _romFonts +0000:7dc6* textInit_ +07e6:0c10 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) 0000:16d0 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) @@ -193,12 +193,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:1791 __FiniRtns 0000:1791* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -07e7:011e ___uselfn +07e6:011e ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:17f6 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:17f7 __EnterWVIDEO_ -07e7:0120+ ___WD_Present +07e6:0120+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:181b intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -214,27 +214,27 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:1d2c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:1d2f _nmalloc_ -07e7:0122 ___nheapbeg -07e7:0124 ___MiniHeapRover -07e7:0126 ___LargestSizeB4MiniHeapRover +07e6:0122 ___nheapbeg +07e6:0124 ___MiniHeapRover +07e6:0126 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:1e09* _heapgrow_ 0000:1e09* _fheapgrow_ 0000:1e0a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -07e7:0128 ___iob -07e7:0c28 ___OpenStreams -07e7:0c2c ___ClosedStreams +07e6:0128 ___iob +07e6:0c28 ___ClosedStreams +07e6:0c2c ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:1e9c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:1fef* __set_commode_ -07e7:0290 __commode +07e6:0290 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:1ffb* __get_errno_ptr_ -07e7:0c30 _errno +07e6:0c30 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -07e7:0292 __fmode +07e6:0292 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:2002 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -268,26 +268,26 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:2ba1 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:2dea _nfree_ -07e7:0c32+ ___MiniHeapFreeRover +07e6:0c32+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:2ee5 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:2f45 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -07e7:0c34 ____Argv -07e7:0c38 ____Argc +07e6:0c34 ____Argv +07e6:0c38 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -07e7:0294 __amblksiz +07e6:0294 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -07e7:02e8 __Start_XI -07e7:0300 __End_XI -07e7:0300 __Start_YI -07e7:030c __End_YI +07e6:02e8 __Start_XI +07e6:0300 __End_XI +07e6:0300 __Start_YI +07e6:030c __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:311a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:3493* _heapenable_ -07e7:0296 ___heap_enabled +07e6:0296 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:34a4 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -301,94 +301,94 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:38fc __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:4a6c _dos_open_ +0000:4a5e _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:4a92 __GetIOMode_ -0000:4ac7 __SetIOMode_nogrow_ -07e7:0298 ___NFiles -07e7:029a ___init_mode -07e7:02c2 ___io_mode +0000:4a84 __GetIOMode_ +0000:4ab9 __SetIOMode_nogrow_ +07e6:0298 ___NFiles +07e6:029a ___init_mode +07e6:02c2 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:4afc isatty_ +0000:4aee isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:4b18* __get_doserrno_ptr_ -07e7:0c3a __doserrno +0000:4b0a* __get_doserrno_ptr_ +07e6:0c3a __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -07e7:02c6 ___umaskval +07e6:02c6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:4b1f _dos_creat_ -0000:4b43* _dos_creatnew_ +0000:4b11 _dos_creat_ +0000:4b35* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:4b64+ __grow_iomode_ -0000:4c4b+ __shrink_iomode_ -0000:4c8c __SetIOMode_ +0000:4b56+ __grow_iomode_ +0000:4c3d+ __shrink_iomode_ +0000:4c7e __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:4d33* __dosretax -0000:4d38* __dosret0 -0000:4d3f __set_errno_dos_ -0000:4da7* __set_errno_dos_reterr_ +0000:4d25* __dosretax +0000:4d2a* __dosret0 +0000:4d31 __set_errno_dos_ +0000:4d99* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:4db2 __PTS +0000:4da4 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:4de3 lseek_ +0000:4dd5 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:4e14 tell_ +0000:4e06 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:4e25 fsync_ +0000:4e17 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:4e2a* sbrk_ -0000:4e3a __brk_ +0000:4e1c* sbrk_ +0000:4e2c __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:4ea2 wctomb_ +0000:4e94 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:4eed+ utoa_ -0000:4f8e itoa_ +0000:4edf+ utoa_ +0000:4f80 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:4fd8 strupr_ +0000:4fca strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -07e7:02dc ___EFG_printf -07e7:02e0* ___EFG_scanf +07e6:02dc ___EFG_printf +07e6:02e0* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -07e7:02e4 ___IsDBCS +07e6:02e4 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:5020* _ismbblead_ -07e7:0c3e ___MBCSIsTable +0000:5012* _ismbblead_ +07e6:0c3e ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:503c ulltoa_ -0000:5179* lltoa_ +0000:502e ulltoa_ +0000:516b* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:51ea ultoa_ -0000:52a8* ltoa_ +0000:51dc ultoa_ +0000:529a* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:52f6 __doserror_ -0000:5304* __doserror1_ +0000:52e8 __doserror_ +0000:52f6* __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:5310* _frealloc_ -0000:5310 realloc_ +0000:5302* _frealloc_ +0000:5302 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:53ec __ChkTTYIOMode_ -0000:545a* __IOMode_ +0000:53de __ChkTTYIOMode_ +0000:544c* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:546a* _dos_close_ -0000:5478 _dos_commit_ +0000:545c* _dos_close_ +0000:546a _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -07e7:0072 ___Alphabet +07e6:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:54c5 __mbinit_ -07e7:02e6+ ___MBCodePage +0000:54b7 __mbinit_ +07e6:02e6+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:55a0* _msize_ -0000:55bb _fmsize_ +0000:5592* _msize_ +0000:55ad _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:55cc __HeapManager_expand_ -0000:5ad5 _nexpand_ +0000:55be __HeapManager_expand_ +0000:5ac7 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:5b28 _fexpand_ -0000:5b28* _expand_ +0000:5b1a _fexpand_ +0000:5b1a* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:5b5d _bfree_ +0000:5b4f _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:5b82 _bexpand_ +0000:5b74 _bexpand_ +--------------------+ @@ -404,6 +404,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00016670 (91760.) +Memory size: 00016660 (91744.) Entry point address: 0000:01d2 Link time: 00:00.00 diff --git a/test2.exe b/test2.exe index d10a38672dae9abeb7f3c44cfdad06aeca362375..dabc27c05193c4b2a89f32c1242d9912cca3ab9e 100755 GIT binary patch literal 37012 zcmbTf4M0>?`agc>1qKmEGT$;&Y>o1*pjyiX(F!lsCZXhLFOF-eWiQ&o3{+nmac3&IWzaZKL~dSiZESRWY_hzgUXu(;e<&L;soQrgc}9nc9i)jt5AM19p7&f zggfU5!V#2lq9E)^6NFxr=-UM$1;vfhZZ3&x#otkP2*Ny+-=X{!v5`=3}R--(FQipO5W#U{xcmU;bl>b3_9Ysbt zh0=rayL3Uwy;~3hfTb5@JwA`bSaUN3p=h2UES)b1Polhvl9(w7PoTVkvTUIsJcaTy z%0`qj6b0oQlwOp%i|`IgGfKm~f-v;~L73^pTu?qov0@A-aJXlQARIUh3c?*IqaG53H_>h%$`fYH3FSMBAk44|!b2$gQLY|@xuG0I=|hQ##(V_K2W4iA zAY`K)8Y&1st`vmLj|#%As{~DMOh% z1!GPVgcg)R*9pR934jab4=5W^_MwbS1g)YxhEjm?EsAhG+Mtx6e2!9s;<|-M znWN3F-ef8+8zwNZgE=}AYgh;Ctrpt_dglrg6PuZ%MbBw39W6-CW_zNZ!91#69b+o? zIa|EDBE7SOT#NrXq0-q=Ep~x`X9+p?N@Dv4R?n*HTk7j2v6cUEw6QaGwN@y`&^^AU z4NPYBl2y9LjB!2{nCxpRuq8C>Vpm4#Fu|UdAvrtn$4XBul1r8fhErS?!ABU+}Z)i#K*#sn}yn> zUD;!&>>8I*S`y`JT-4o|6xkr;Mitg2MQ#>c=JB=m`$~UjDl-ulPMb4bOCNeH?(UrB zSt}l2ojXD3KHPo8dswi@-g-egJZ>BMI9y<_7sekrWjRm_{9`q|ZPp@3tmKT5((NOe zqp!%(SDI>?zr7@?&=Ewh>Il}h7~s_k{(Rx?Czr2^Te#Hq(CR1RZoBTbDN_=s$IW-I zns(31Czi)$Kb`Gb{=~I$8LP8gD_5_|j=OF3lTT-@eE1Pp+%>mNipzZZ!KK;Dr^el} z>Y;1n5@+0e^R(*|60W~?^5n^J=?m_J+XY1D{k3x*Yby4%a;l1t6g!+ zSFLtG{7Bpr%b!@C^|bKN>Q%y!=yNocon?oHc;%sar#j-2ZlXMvYUm%@+rHM>a&Ibaiy8&!abPhho-`Esj2>y@jthvEU2S{ zJ%ZF^X^bz~EBMN%G=6fYGNJIeulkF1Lcv`9`tSR;#+`Y;$gKlezKVD$1wgPA4Uj~B zFSsnXoOx?<`Iaqrf0Cd~h<|gR5T75vUy$}ETe24U#!VHb1U?r`l66R2+)T`H*t#|5 zn{RSoowvq1(>=kfn6$AoA79}fBc+;S&|s!Jwjk9S6PLWoZCm%P9`sg4SdwosP4{Z8rp)m3XK{_f`zS$(i#lL1|fNa~LAzw_iOxb^Z`I#-=lq0~z z=+Z~6n~n&D_OFFa$MNSIVbdx6`Bu2$`vp>4=}POS@9@qZo3&|I7P zic-LjDz?Q6r6$vv4;HzSq{hjYMQA`kQ&F|Cw2C{_xp19 zIceXNJ$HOETbY2zE6v-?0)1Y@d(2DOB?zt|8E;eypIlWrvP!^%j2p_g52dl68nNh; zyOar`O(55T7GKd>`8)^P$qwTA=OfmayDZLoYuRqrN$quf790yXd8cH~D~kr(2rx%a zVvQt5OO6<{@%PbQ4%ket5t6v0dqp`DcdRdWUk++t;@o2uXv3AqS4VD*u?mUhc|+s0 zZ;f}$A_Xuf^>2N})B$?5Nej(oQ3U5Jyia_UZ0j4`L($#f{_#>V3=IufIB#3oS7sGh zHSd8xs1|#{%+z`4SKQ4G1|nv=E&d%Q07q}d2+Xsq&{@TDnwjUzLgxwQ*#jmh2GMJw z^Q2w9tgjf5F|mO;2q@kq%c%oY`Z>wDn={e&{s+!uWp;t(fUACUu9!bQ${c%{vx7Oo zsoEr`iYb)49%Eu(k{Ha1l*AxDR^~)Y&S)vUPx1t$8+}X6KCx$Ez5mSHm)4wlYl+Vp z6T*h%?8CUvW87$F1C&6Bvyb%@R-pE`=X}mOFik9oB=%uVCW%3l*gMIFA%HN8|4qT? z+$%Ycf{#AwbGA#)){AhQc#c(p%mK!5>F&P$kwqlcZDloL#>G3E5y)falPf<$fpPk^*e=Ge!o zA)J_akU1JFod+R$+(Y|&?~Fk2A3!=tInnx%O3aH8TP4aufiN2$dx`e{fp%Dg0Y#t49Vfpj-Hjmp z4MgX?z$Y~UOxK;IMa16Q4$NRBdr|j?OTC^x!8MlliP2GtYCB7fB%58`dTH?>F-Tk> z{7mB=1Z+{Rg|urzV}I3ym7|e-O-#Os>dJU~zSS|>T)WTN!*S8S`PB`07sC+=R;NL` z9XQ&v#%O7#DA$m(k|-L^cSIlemW8$z@tb>VOLblAq6c>M=1T!Z(BB#i@i+CoAe4|! zwi_caAHf>YIx~!9Gm%!1D+iU+--}@#g-wY^IDZ*8(lv$9N;RZQo$UsA6;gUIUb4l5 zMX_^swRc3Z>ypZ`?3h-C@eN4suO)(W1X+(=eOA|6YcuCFnGdjC7xZymLTN0xRLjs} z(1&Uf?z_KsKC9r*`9pBcMtxk5!0P-5&a(0lEm$+4#vSt=W{qqQfTDF?*MZ)7lslP-k=#ek&4dLhu_L_ZNItp(^PvvozMOE*eoh z>hI^F`~qBZ5WFa2spw<=7CIuB@~+mf-vHU` zyq2An9MQBP`|N7O@M3ST?*1il*Mu-_?J;x0qPg4?3$FJzpcdTUtk<9YniCKS+d+a> z=lAkKv6X31brxU|d%&oeqj#oRa6LBDB)Ep-{bl?u^d5TgwMu6f#!nA!U}u=v&Kw;i zVS3f4&!ceyl>JKVT1PZH3j|%B-eG{6qTYKcff_}iTKx0D`Y<*1{Q1Ok|LwYVi{u11 z024`3AG{R(vzps9iRc4ChcbD@G(8en%Eh2FpX9b9!lH5`$I44mhCnrU;KD`s<~E2>n8 z1GJUH2DUnPhHD3gy201(D(wGn&-~n;+JA`!Km2L($J3FUds6K4t&!Lo}4 z;*jk6m54q;#c z-T;UYXP(IfJ@rNPGmV~rdCn~FujM$ks~v-j{hdMonu}?4D2IlGxa+PjOi&1dfK`Gp z1#gGSK(erpB}1elLxM)!QJ`F~{`+M%KFL4Jb;`a|2k=nj3tkd7T~QPe=wkq zpdBOCX*C(#DMW8{)h2?=m?MJ|7B>euRu@!YxEE|j-fFb zc6xeWVmauCGy<`QG&(4#HE!$rBd$w`@s!6WrMzi&5A*GeXR`{d$+_;>y!4>izgj>I zk-XNLoaK&0ok@Ejc`5(&J8k{|PM28D>A5y{kk=CsTnT=sknD0tc|ARX>nd$NY`ux} zzY&}`a_YO%*80FNYH_)fgEgDYm%-)U^h@KU=HziG7l@t6B`01Q4i6E4!%T>Y%oT-f;7YHm*x~%Pg4PR(cg0 z3)o@^RnbyTjO4K!I#WKDF`cwM^32e#ef~734Y0_(tNRAo3dCRnydi%WUYwi-${KD; zEDwl%W|yVZEZ_rKJH0~QUUTA+Og$$$gZ1h;u-EjQUPH!IjKmUncSX~#oEGjmz-$KF z?h-C+q7-$=X(CG6!SE4bC9rKlY2EEVsf7?vQNL+NzXSC>F41bKZ3SOOmZI3_$f2{_KJdh-u}4Sj++t0u6 z^s-|tJy=8nFIZSl1CKw?c7p3fCpPdQA&e8t0YzX0lrTph>{Tlm!dPsM`I4hIqhKr+ zA>li$0qaqRk^<`JlN^1lQQPTA3^?rSi@NiI8cO;dC+v%qQ1!LJ#jYiMVK{lJ?(aG7 zpnw@VsG+;N2D>5+B@~7P(GRDdJ9t}g7K?ib>O+VkZV7fp-vRr}XSC*Ov2Sw>tcjAS z${f&iAJ&5mD83_(pv}m^13^epe`uqXy$A%gGrHIn#d7)zok49I`rurbOFkQB6%SJj zyImUjUQB$+`!Vs16z$<~H@zPNeaXL6=QB@i2>S~B_k{NcHV17H!x|+0j#i`#8-g#2 zT`fF^tut^;C5)YeZW5wWS?9+3) z3dX}qOVHKd^l{S*J!zZ!Wy4rj&9#Sr2s2ys+@1)FFcH>i$IM6}JEB_bh!l#%wla9e z49{z=hR@u1?MkdE64k;6yZV_{41bFwz^5yT9Z8W1rkuy5>DQXp2VB{xg#mZO*N7RQ z3YbqHK^(K(or$$0op1Rle1QQB9kvZVA9fSVfmR0tzYQ+|Cuz~dcYCSZ+zFLAy^_nS zy$#AX=oKEw#Byo+RMVyeljhTY312QuloWOSXjZ|h(6dMu%Zkg2xmdeT2lg(ZnuV8< zHAR&>N}|BMLUV+}xJYcq``@$@O4&`4*ep3(w3qqpyeU&n5aDd(RFnTIz0%ps7FvDI z0IRJQRXF`eRl+|4nUH4o+jR0#5a@sloY7x#87m*)SsgApW2N+AAFfh~IZS+sszD)P2(|5G*sw;ZE@NRXp|3q-eNB3542;S!QzsP#>%h_jhGGi; znxAkK=5%0?>fikaZ?|30BWib7IjdFS4NsIbey72#Ovd!2?bK9+0JolQ|lL_EoFj*1@m{WJ2%>r zJu+{W*&X4PBejlSrJ1q<>=;Z1?ex0fXp3u9QL337$WdyZUS&0F)rC!}4}OU8d=4Sf z4E3C^sGipRdiapbMpN(Vx^okM+I#MW-X~gI<9>CQDLaDtRYK!LsH1hQ9fM5LweO5U zK+tj~d?LOhc`1J}3vO#-IXns(d$q`gjyqE4+znTNcbE14+S08tAnFSeCz`9epx;Aw zQUSwy`K8~5EeQ9OR3Fw;&$V#L6HjK68Jb2b)ZXW~*${s7Fj|P*@dM#0K}|*9J9|03 z1{hh>oQHi3H(uXBZGroJUvB~ZRDk)$v$bZf7LXXa;1_f@z3 zSX6kgA$%KLkN6Jf4UHnO$?d@*xQ%-5-+82CB=VWSBE>er#)gt}v4`Oj{sw71d=Y`) z%~qGKI%2lqAF9I;G5e!P?e*U+Eve#;tg6Sku-#j{7DLRniyE$u-5F3WxEq0hpbbfK~co4 zmT#;bhW&39v=jZpZgj?cV4P#n^erhMVh6{f*djTggMQy^_{u4+h$RWt9zrb)CUC!S zo#B$l{NY^gV4}(#?c}lq{p=8cyVC(1(Ndxbz9=6I#(2pZPk} zg+sKu&>4XG0}ngNJa{SKv!%|vnA6})A8*r-*X1Pga#Tc4Ww>CwVUzMOqg}NJxz}d`!8z7ItFa8~ z|M32s_~;yNS_nhDs7p>2101qjfcKES`|DG*qrmTo?fD>@oh|Wf6i^KMV~vFsSX0p0 zRYof!c0!s9(H29W25o>P@XkO4>iLr)?rm~?9Re%HppH`~Ic38~eB0z=0{e3yRx9l% zBy-06FWxiMOWJHu;wyhis-<1sAr!lQJ3zPHz{h}twYOing&*usXk}yFq*#8z>6{c? zPM0fb!Y1h^>25RH08g)X`;PE_>|0{A-F)jHIyO)0l+F$e3b!6O z9XOn~Gxk7>rOMkNIPv$G_mGfu$ZbxNmpCb+_J4h6ihNlw-U#_Sps`%DzGiKtd-(bz zIkB7b+%b83^PskoR@gI%tA}dCxnjJKIS&*S@?e*r!!m|to3|}8gHM?6NvPoxY2gTe z5o6{rs8jV~*COJcA-7SA8u1OSE~sjj1dE`DG;nh1VpQ#fvF_`|9`{hvkCL$l3_jSP z_7B7~XqUTG+JDd>H=irkj)p0VvmJOLRv21;$w8qy@*slsP%urkpO};h3C*>JH1@WQ zkvs$_eNu zldwyCPCGy)2w4Qzv_Cr@!lnq!*cpeKH1Li6^{qxdMx*-Y`|I0`dO%I}tNZIajCw## z^$U5ugoqi`D9EJ*DCG8uCgRC(*inmLhFbJd3#420Vj7I5L81v&Er#B*CrX%aXw*@V zJYo-w7kJdK8Cm4$nGba^qzo=1P0e{9LcJlycWTD`OtybuKrBU-kI|gL=Utbwp6(Th z)ADaKBF65B3zWlCPu; zk>O~+D0H^k z)x%#y!jR9L%aWd3$d_pc-$E?*468_}$ZH8gHkcXQ+b5;;Iuc`q+jl1#o?WE2 zK*p%>EP=-(q#=n7k^{kBXenrw`!%A|oW|-X;4AjI2K$^x!P!ZxW*5)=*IyfW=jt}% z;uk-i#-B=JV`2lT+`Zj7`}~iRsVRlzT~}D%seYGUnFAZM2VMbZU<%AUpes0|D#wDI zSqbwT0oU}Pl+z&1^id?F?&ss#lnL`0U^N1-28u8hlg$UQ=;qWAESP~^9;DPR1ObySI85yv}wgijUTO-cL`-y7_ePPA_e z*b>}PV0lTNUbh@VvjI!>k$;Ef<0Ax%giyB4>uI7;F3&&!U}3rT;t@`>VszdzQ!ncC z?jmbyS)kZIHb_;~ViU}?yG$m31RUbz4#m0+DgCP%em7hcYIX>hsA z+nZLiE_mpecCCIVv(b3WJ2@&iC(Zx1UGvI!)pM3e8WWeB|`30u&$)Y z3Uijlf3+zf_F7!Gpa!~!YX-D?-Yy{^p0m0J;b9#2l;Xo|*X2dnP|mKxy2Kh^BPGsU z)&PfI19He>OkPiyj+WVQ8}`OwQDRJdBb0m@gMgVETRPelQF(=wh9rn+3Px1VL4+Cr zSxWOvFsrblBRkC94HN1Tk3c$GFgcnB>wvR@GC6kq0&TY#d#fM#kD+tHIsG7jhkS}F zo|w#F0^#!$zu-QYynS(bD|*(#j|?R_Z;ypLl<@(;_L!)~3}6xd&)bv7>SAVN$`;q7 z_}AHZ};8RbZvgC7U~ zFLELsASl@~63a8l+d#g!Xs>M6bE5Fsu13LvjRpW*nK520atw!42-54{{6gyQ&ZFr7 z(;LH(K^97*NKq3TX@7yaf`P=rYuO(W>my+Ru?f5lX`~2_6oKrX3A7eXfDcQq?^ki7jCF<9SOOIjejl-qyUyNn4!r5`Xsqh$hUD<19WZm%$jEWzT#b`H` zP81M;u*}AYuWArXfD+Qd2vW{8Ay<=h?{$YDSAy)|wqXL2a(q<@<=_+x3r-+y=*V?j#=M%hC#~Ak3$p;zV6$L~ zo+C#s6h!P)o*G2;oxVn5{3-9nY=Y((^3g!ML^TD#vBKC?M@V9h+#IuM3z~p+5#`GV z3EO}eO1jGo0N}hNS@8FRoDbTrvdoTwB!`iGde5>t1%uw2#60WR=#M*-*B|ETvgls+GBk0NrMUi9pn#XiSJkC4?HeE7IcO zi4EH38Fe|dbC+0WoN>QFNL~<4?X-jXT)TvYb4N;Rt!#}|`zSmU;;!|8wT{nX=lTFx zSFYe~HwUaH*N@V0sN;DjgY1my0P^(Qt1P3~@TA4aeBfP~F%nyBg~tVSMMD5;q;yzD zKqH!Q&^^-58o@1Aw{yhM!0=-u34*wV)W#(LFcsMBIP3eRbh)FyI6DY(k zE;#$E#WwCeK77EC?5)gJnS&f?_#KE50Q7MtZ#9Cm=4=ZhvBWH3oxW#|pHZD{iD%Ux zTD{dn51d|)j=qqRESWmo#l1jXqbw;D>4(RjD36(yv#&~bS z!1vOzZF}I_IzWy%GO49GR*KK2S-E|^z={YAyJr|Xq^H^?>!cpkPO~CuHzFyOh#)n( zNbHiv7B*lk0mH8xXx}T^w2gwvPLNq0~nSji0~|Bgw6_& zA7r)CU&PY_J*#TTyEPWbA4~Ek}qhpaQSDiK{ zR)}cPS=vZYd|`t$KCuRKEduX$_8R!ChgXL*JPby0k7LzV_}3_*jgt-uCOEdwB$f}b z%)uYPyY86Ts^_ML;!1tQQEsh5l3!wjAzxrbL~b$X%#x;WHX~j%4U?ap=Lrg~n_=3i zA6-sz>M?pj+aHRH(^%kfk%IOao4&;?O@GI%)ldxR9rLCwW^IR-V)T4hG<+N88E3OD zLE29R_4Xw)Fe^a2*YgHR_ATbzXrnDcO3yKT*E+Nj)G~Q2NqI`i$IvE!rq1fL-_g`~ z^O8M6M658e<3lT}IM50Yt)1tPy9SpEu`}v&ClG|b$h~k_{FrLdbg$JZ0ihQ<+(~`t7q~~RIv~CnW7|CHzEZ@XMS^u(;z)|lA5}qyo z4#AK=$4`;(gzRf1`>U3CC;qbFVUa9q?!@8mCgewBhPgm+q&z8KHLg_l(?0&?ewy6~w5Hg%zc~mM7EyGd(5@b;FZNH>d59Pj^-mzP zBP2>=KD03Tz$t9Bk|>jmCW26Z3KLl;UvGjc;q1CNp1r3H9wwkgf^9{tKb15B>>cuB zZP-U^fk^_WWatVM$hE89eSGB*cHrTu&9mLs%~7tA-AcU+)$&9m-tZcZ&^HKcI>ckPg3omPA2G4%r*`|gqdu}gZ2doYE#BjU%yS<#Q2ZS*1 z;UP>SeJmx<^OEr%Plh5#|16$(*B_gCm%ktGlIMRIqd=qNfjT?1VOae}lV)0T0JSf`%2(sIDg|_N5$ZRL^P%SnA_f_@B7#Z40<@HPurf+%%NNyfKHH1%^Y#?r zyQ3FJUZ6bc$m6=FKsSHrhJ<}Ro`rOo#ao6kM0`l zYmAqc1hppQbRub?iP^xmHqUn1@p%K!{r6v@YhyO&xlS529}WcvK0s*-Y+)qaO*9R% zOxs2l*3Xx4!fPLVMI$kr`Ec?THWZ1^sgY4=1coTVlZ?5vV=9t()FK|Uh$k%KNsHKQ zfkV|1m?Rzyhz(J$gd)##h4o&~QNeSI?=a9-a0MX_SOa>&qi`I>uD)DTtfwCZ6Ry|3 z%JcZ++yE8D{Y*Z!fG@UyJm5P70nIFY5Lr((p1}4ZJrv#}GUF z+1|XKX2FB?`Pt{q+6k|x<);9*Xsv`8tnvEue3somon?r0p`^po!7ihuias9_na>sO zjIWw=d7MgtpJ-0=)pMY~v8udTlU;LAhlIj}tjCjQB~ja~{7N%$NKZo!-_2(*ah65K@%3V;6R$*Jh62H45smv@nQH<6-Y{kJ0Z2 zBn_&^tNCdTdR{#z8p)Q)*Sc5Hn)0MVsv|9Qo6#ySd9o`r4Bzd5FWZ1G`ai?BmV6OE zfiGIy5#PNyDn4(nHOil*Ytw!n+GzM@oc*9+t~XS3dmOn*9R z-GDFh_}n1CDqghD{uP2TXYIAzk-nz9 zS&z7v!bK+K1OOO3x;>hh?8+XO{J8rry!$Jg`-=XjdD;o>ZfzNI)pMefpK;xb{LeAK zOFytQHS&zCtKv%!m^e>@4+?!g>TbWN?Yg6;2!ZOB&4RoP$-BXAw==d`csL6r6_@=astqcuKnnf1K(6fS@u04q7c z9T}gWdbzfZ`dH$`VFp<9hgg&Ecw+gCI@kB?j0kS*`gXSVL)hN8!q$hU6yX5xIo&;( zyk_L}7|mp2Nqycb#Lmkup*XDTlxe4S9LWXTUX{Zw$Idvr_M+BBM(g8fePqU2&py_p z?G5cZGt|88P$WI%$C)>5S5D71UB98c^ZoAnJJQ*H7_1Z52cE?lU?YUi83|5r)$igm z2loNTecI?Zy~>uL zJs$#*C$R+Juc7`u5?Cu*GB8Eh1gTj1SymcP3Vz3$IRc!*Xw9zP zRSbTG{2kY|gw=p{vfJ5%r@p3cq!KuLz%8n*)9k7)bN_Ted!srn8szt;F^u3^fY%ds zyjf*M52#IA8~yCBpj?vK!R^l;+W9+F8i$6(5iG7BB-U6H5M8jcjx@}CqihVn1Ffp8 za6#*EA^T&IrVVD=ODXoGAN#&>T|>~r7u&%OBmaw_0&zMpgKJ%Bd?qv8tE;RDT2*K` z=mcPL)X>^#6jm(qBz7{I>RWXBGro$-~?G|9F$r6{MTu>Ni@D$8lz53@bSnL$`2 zRpL344VDTl0Va>*?MNr-(47$a+z&QXt0uF_zw%s&7RN|%S92dO=+So=90fdMG$~kJ z@lxt&XgVWNhNBEaiAAx;7o?5>T9IG=G&RQoWhf-Sp=sEn45^a_4_PNtIQpPV;SVUd z9e+SYZN>{@s>Rl5A$eK$)Z~opDamuPCnw+LLWWCpbtT z@@OE$E&%U|m!1v!({=4;Z8q!TE!ss1cYW1#9AU`V{L5aP1Wj{KNI+ zm4NSY-5*UV+?f9~gm&G(_r4+2IZ(?EZH_}X1u)LRkSNIq&l1aNG}>-?h{kjZI6)Wf z^>iSO4%Z7%PQsIf2vLmZeul`Jpd(=yxXi+N=Kyln=gppRlvTUMs2A(S8`(c z6ljHF8&WARhh`|Y^AFI2JNO4U!8`rQ!OfHj^^}g8{>lHCzFuGRl2)hvF=EdxY21hO@cY{4!6k z_FrT5-(&S3v?Q<2UYxul>!I9bAsofI7WS_r660qg<2(}7;-H0*K`%ftJFAZB1@kbl ztsd_ISlB#t0IfqUKL>*X+|1AkqJ&&Kc0!ckpRW76O#T*AayI?pUfLyEM{@tr}$&@B~1S#6AnfkEa+Q)4iJLH`IgG<&J>vWZRC$ z$cT~YD0dp7ioI$LQn3kDhSNu_{hUid7`ME(%J!?W2;wInf6lYM5Gd(U|41{+TQequ zEG~{_=x2lZjA?4>_uYKPcK=`<;~AnrtIo|LRS)PTkP1>GU=|T7Y0vR(wHRTCptP1; z&sLmZiDaHGD0aq3neOZOHk)c7PkJC)%{K-aMk|U?=o>+Jay{_OMEhR~`mZwiD^318 zOyCR_|MN&qh$d0;J@cQXIFF2`$wHiUg-)XKMdGn z&LLz7EEstF0(Wm5cKD9tk`bJ#HT!GNp;$ zgOLuz%!Xx8m<%zf#pZ&vAbhy6FWGr8=?wtDEcg%f<-C-f=JG+SwD_+(?=SA_z}BpJeSVm zzvMi|FA!Vu=^TZx(VNnT^PBzxXG?-!@cf~eiKc{RFe$hUIq8vo1N`1yMmQ@FzvFBl zo_hbDK0dp3{zyR3GpGk(eHaX*p14Cl>WMiZ{>gi|c!hr2%)gj|;lwBO93;+@gbdN} z{_V(nl+xP~{BFyD+_bAZqo4s1sW0j$b@h&@;tQm1{t?fyxj}qumIGEIu@QYlOLDDq88RUOb2Zc{b z9R@+{|HnCKBA1VeU>M&>kPn;9r zr;4h@KNiES&ja51a)O>;fh$yEW(ub4U+1Ol6D(OXlQZ1bkL+4x@|9VWKDxB@eRKYS z#2WbG5_G%z>Nd_Z3T$})Prf6Yw#LBKLoV{v08LBY&%Qn)@B&&9m;@9lZh1VkJC}r)> zyrk--hNhXU9S!L^NJyq!K*WL$q0hBC)Ry5}F#svOpCCawl$kua5>oOk!ZSv)MHP(E zQ8Rkr{U=&BGK`?hl7)i2<*Hz@cE-PC5I_A98V7#JD&Dwkm$~q8Xdd+~7&Gsn>qd4a zRD%Z|;Tu5co-bH8dv{C8^$Vi%AVV;5%6vA%(2|6s@3-bs0-=E<`Y%graiiGlkA$mOA zghdd8o7(Yj&!!49)R}OQWZ>K|lXt!X?Pu!Ysi_kb!;gzsOB!(Wq0PL@OdTX#2vqU} z2P5Q-`OT=#8j4dTo(Hy>1k>)>b``O5LU>Y2g*p4zgdo?Q2rHzh$LKgu-rm`S1gJ!y z7PO-&!E@h_TGjL!UwupghfMq9pNTcvCPXu}??Z3?l+J4Z$>~fCYBg{wqwhUX+F^^S zQOHsyiVdwWf_boK3wI-S#*hKdEu9zsN&E|<6F%2jg^iSaSxqaJ`_HgU9eGq0=$Oy+ptZ02t&=*&_sT%CelV zA|e$Ji+P+BWzER zxfm0(!V945IFX~LQ9k1SZ!sVLJblKQoKTDui}vRb24{3+Z`AzQb7PV4GsQ@GMlaY& z9k}U0pks;#gOefvowgx#kd1bQlQKn#EscajKz9!(i5|?iVlW^Er_Df~ICcvwJsGAe z;JEi=+Hpo3v!FGFHrO>8pzorb!|)vKhVwLGcj1A2vC)TQ#yZMEboLfGdv9o%-hI<_ zMmh#Nfb4{Vjakqn^2Z_v9w|f^5Dl^axa?Tsd_bq%!qKA2kwe%@r9 zG1qE+hr5q+!=JPq?w5HOld}pNg*l=`v%+#gHm*rZmw~z}X9KcX-`UrXG zbkc)My3F~2uQY>)I8)R!pMcWflHin3u<=k#-YFA;8jVEy{%525FJhTb=tLrDmH0j` z-TR;rU?MdZaR7Li$oFk8%IT2A_oVdAlH(oZW=PI05>BYPu9fN}`GzCYlZIzSON~+m zq5Q^|QC>uO6=gcoWza-AEbaGSi_9_Hs&zoxdBc$#YUrINX*a#2?UU-I>Kkfq(5bRU z>fx0*a)HAyoopX4Ail?(TUh#L@D%2Fhn5*mpn~VKkcZq0k5j)#XUd0$uL6mWl&3sL z=X!iMqLXLf*gH+@P8SvQ(faCJVf#^X8rgN|4bBA2v?m|?azKxVx03A!Zxk&w&T3G) zF5kj?BEt#d<_u6F!c9;@>8aOak9ONhR%W?sS~C25$Yq zRWGzP0&7N5`aM^-`zSy@obQhwjgEC=iyZ4lqR%nfArbIg&iSBNs{>V2q9+%4oW?(S zq^JLDSPok==CflVhVvw-?@@4+HIZ!RI!{kYl>1@O18__VG~)JTW!crI22FaLF`S^mk z;~Bir-#kVA_8-J@&?-)QgX`lG^l^;-MAv<_3&zdQxL%iP>rYt@uaj@Mr?AGiQ=KYg zj>Fx6mCne&ypT2wfylcl-pF#J+1i*F(xP5Sv%ipLE=-HXk@`LdEJEBDCC0$Fj$%0gLLBrEsI%6+nO zzpOkUD~n~tDJx54Jz4pOth_HPAIQp9S@}>_K9ZG>W#toD`BYZ^DJ!4JN~x@Dla=kVQYI_^ zl9kV8WrwVMAuHvwvQt(nWJQ*hU9wUsD^;>mEi1carAAiv$V#oO?3EQoR`$utep#uL zm3moekd*_n@};bNB`XJI<&dm2%F1C`X_A#AvT{^bzLu3^vT|HjPRPnPvT{;ZPRUBM ztb8jgEwb{Rtf;c$mz7po(PX7fR=$^&c3C+sD;=`(gRFGQ${AVdl9eB2B_J!^veF|f zXJw^VR?f*vpRAmhm7uKXvT{|n<@K}j>v$X={v>1%%D^7X=~$$hr4l16?hWX)s;kXa}XJ)n{2rc8+C+JJHGX)F?n3hR7_DMQU9 zm(YEtl(KetIatFm-VVk(*|ZVdc;Gcie`Cm7)7s}Pg#V!$gRiST7&B?-R?{tMur86i zz2shb-u#7lu+4<)TyRy-!I({b=1oB}w;-v(efP?okCEHq_L)K5b=%DIx;s*>*8SUr znSD6Vs{Tvgj%$Y23F@o*_CBHXgn%>XeEjOjKAg>{jtrXLviK!(XGfB*>J`S_P>v%I z57A|;+2$MId3Kwn?(txSz4%DEOH0&;b>DF>LStcLoqA#ieaGlrQ*6OP6K+llBs8lN z_1kXK{KV6|ZBf)~scAVx;5M6pT-zc~&qy0?Fcr^Zlyd3Y#p5~tF}=ico_qzH0{T0` zide4=n~9f7$nc$JhJ8TF7}D`HTm{N&?yogO)jIgpz2C1Pv+b08a zVkPG@MPeOgpHg0#a|LtO89A9VdEe}&N+`YPZbo&E#ea=y5`_Epbbp7%|1$2cTY)$% z{1?#hox{l-6%&fY;U>=tz;^y!0tS8J_)|iqb9i9`a%`O|ig3@UlT25{f8XVj0}VXa zS2}ll_nX+Pb+}W-z0$}O_8p_XrIdFNiTdE(V%~o$bBJ^~$V7g=Zo|90az1nXhAJEB z#*p>sbthcVwan8PPvAF_&Ekqr@;s9>vC^5!JZ-4;v`NoewI=^J7Ox%&TyzJ&h!p%k z1pTAnvHgwYoXE($qN_vvSXL2f$LX5!(BIcpk;uU;;l4Aw#6zRYX&)y1d+^A!% z#r~%(n5plt1!z90+64WNIaf)4%}3%%9Gk`Ge*7I{J~uPRuL$(Ld_Fbr@cG=y9CuM= zEY0WBP|MlOkwKN$@Rl2mmbWs;ja0ddT1ugo_b`WpDo68{ZlmQQ=D3|Iqp0Q5P|I}Y zSVomr-tyN*%O{z`MU{Qj@}^MBEarHMDzV&{`vjw94qYx#B%a`PLyWrV%<%wK^4nX^ zzHQ7hnK@FZ5_fFl?Ne{_Sw6@d_fh3h8fX98{GJ!5;jssBBb|5FoUbw1})k|&Ft zcz6%-7t?W~sXadDGRc$9oKaLAB`t_da;$QXuk>IUcClajoVQD!Ma(&xp6CW?`W|8uS530v9@xYq{s(n`o4_ijj9`vOyuFcG z#YpbA6*iy+HkNZ$kvNq(rxHQpe$lrs?AJ#sol}kdiYCp>*-TB;DQ|^*NwlROuXHw} zNg8h1MVqnAIhMDv4Q%shr4xDWNQ9T1k0Z%FoZEhCQ)u7gV7mt~XS^@qP=(}t6pft% z@8^qwZ4k#nn;0BY$F`z2F}%&6!)<8d5;DhQOt!=$tg&dt8rTHnrhr{f-5wK_NM5fsSkEn;D zerTy{dFaY?-s^)aT~921GLEk3zgFnuJ}Z9yXk*DDx`Q9r9FS?dEsvIow2X|>i59#1 zueXZv7hjRCO&KSjmKdjsanE>SjqwDt+E_w42E^xaGz*MDq#F>BbZHeP!MGs{=-Co3 z8*yoJ4P_X^Q-fP%_&NNCO8D9IUgBP%4%NF$kS|UhHZkN57(Mb1BSRgk(@Hqi!d@EK zp#}TmQsYV9tSGmwXhq)==VIAjma!xgQ7ga^Qv$;TR-j$|d~M~kg1?gE1Wb(jwf0@;q%Z@S8s)7)ZcvEQIelZ}6Kj zaPWlx;sNr&`RxZ7ZOR))wi{5u?Liz)ksO_54BFM9ZxkcJ4bT9A;hWaTcip|QSi(th zj9FmCfnVhJ!sJg_^REyBBu{&2X3;_+xm^+ixc_n^=An|~ggLqbwpe$=x}acrcCXPA z`R)I}N+f*eb9U69V<;OKZW5qa71(41;b$YQJdXhKoEB9?C$6&4kaRs#Mri`pZPdo% zMVa$JiDMk_0#%j$&yqsS{|_Hxbo#jm80E&X!XlvvvPHzj0i8(roQ~VKo4Z%EAc={e z7ro?TCZ1r9lOadIl0>9XQB&wQ?-l36hg+W4Tkc*qaTegirV}!n(=Xy-YEu?!2M6}6yt8uDqQ5~&w)!4 z06?Eh)9EJUuB^bo**<{T(rhHpG4yoq?ZUdEoD)9itZ#?@lf+|1D|(mk>!kV&+};Y@ zmc3rQ2$)T9kdvbmsb=h02){RyXN*cnApHM2w4e?IUC`Fk z1-m9E2f=Ee^VkL{=LGk#g)pN38B2oSGC{&(D584tZ=D?fGrWMvFdX<}CpyC3xI_5Q zQVzc$2N`y0U>Ca89ifZJ8AN6RzZjwm7ef>vs$)T|E~?N&@JlEBH%=Zx-V0b#ThWRh za#sUOA&R^b_?h(QFn(e)=HnMz=<=x)HS+`TNxCzhlcZfu16e2KYY;HeKnp`zfTvhfQr-sNb534FTf+we|ycKqE@0Jm8b~lS@W5Z zA#q{WaKA6t4a%SsO4q$+%sgX0c$N{gup#OQO4zG?l^5TE#_m<&4CrtQXIt@KudOv=?XxU-|{nMYLdo9ntbIuqD-Zi3SqhQ_S@=9dZ{(?bg5uEz4g@g3JdZH zEqLkl)=Rd3X=&fOcKcVB#6UYfOShgx7WipzaJajx)I5~huzd(Em-kg8e|0kW^L#EPGc>L`iCznx&S z;5cHSv;$6)-h^`24Y5V+zKz&DPUO!vg=fO*NOPgZ2yrtgjOzV=4CyYT9bn>BIHgFs z8~Y<M~IQ&JC& zYacoP?=jW+NE)=@5G*NpxI3T?J^KiHY$M8&{sky+VEolgmu;ltm8l*sRefRhd+eyYU^In4s8mOqJplU zbKqSvKkNIS*Q*~|>fyZTG6Ao)18P2<{7NW~!J(-@!3z2`WP6i^?QEOe3>U~*uxAkP zX}0_H)=S$#(D{w+cuWtYTxYl5u)W-ZVaODqVX%k(z@->&kPz^w{shQ5%+o`(MYm1s zFBfxTgrdw8?S}Rivc)EGI7#sVZb>>~h9n&?G5Q5jg$$s6TBQ?;AE3Ya`Mb87v9sE@ zS6cFE+#nIOfp2VYRSJzch5}6#n8ih~#&33f`ZAZuP*Z40J2!l2K5+8#iUaUNB4`{r z;A<=0MS@5*&*xkFGN0+FdT4}!`qpk(PaPJq%Txh%MzYnb_39L{^>!?eu`|bFPo69p zhku((;!IKw5q!y&CT|Pw*-#5^J(&-U(AjBmBHtf%1-G_9lMjfU7T2JFY;jwmNe?X* z-az1PN1KjWZZ)^xTJRjsQ}|l-g2sa9diC}KdCMKHK?RLlhvMUzEmYgXYb`t4al;Q% zYa3{rI~UJI?AO4{RNy81C1WkFtn@^3I|Fw&wy=G`P52beD=!)MNU8oC)?4A^PF&!{t0>Dt)R}FwtBT%JVtr?Sc4|c3rGnAikNsbp47qxd5}N|l6wT4 z!=)br;H%<)kunO8`YLeH59+i9ucx=0D&i3yr~4D=@F$|=Cw1|dS8R@jX%TO^X%~)| ziAQG>1b_F6ZAe9?COAOo6y9u#|nVo#o@*NU%K;pgqbm>f9zV;G&=zJlvj z|HFES;zihviw2G(FT(N3i*VffA8;Hn za2!cEK1w*o4+*wiz%kG(e7y8UHWIIF8NOCZ+ZO|Zz=<{_4+l>Oo(R?*2H8WGEQr{<}j~1(Y83a8dE1#PZoQt->0* z|8y?gt)U%7t6het|82F87yaC7*YMi^`)V)Xqx`4U{vYb?zpVECMPV%e-&gx;-sk`K z)&AFiS?vRbVK{z%wLj+1|NpG^d+E4n+m`07GWktHcdY7yJ(TTOK& zO&qjWL$`~RN!;2UOPe*y*sSy%qR-H({w#64Ne<|tHd6%{2jR~U@uHnRle@?oLMnuP zCtaZ*68b{7KN3Og4o7$%0#tlGiRL(voB6qM!C~NY-~? zTS4CMvy?^&r%QKPq&gD(;|ig{OTHGJOZd0$fW$xZ7T=I>jhCt{*jZACRBd?d=V{b`}%fN2H5o7eIH)D4mV7k=;3c^AO-I5c{^z76Og8{YiZpEO3Ax zMeT3-g zX0*;t1&%=esJz~_xi{tY&dRY=*=C947tY#__Pm{HD{yq_>79b3NBax!8n^O5A*I~K z#W({^rycf&W>0>RQJ9b6G2_YLUE8ZI3FYmHf$cSrc!3h7duvKNqh)=qZC?$@BB z;M88&)#|{H728YqK1^e~FgAA{t1lMg_Zhk3jO&|mF&&vL5L1wcGxG61&WVsciQj*V zbx$V4kf=>tM|VU zimc47B`^6)n5bI#`~{!#yDw;;Us$P8&u7w=OXw|wNN6_x=(?J69`N2r&ch2Wzklwc zmgPEcIsBrQv`G=Wc+Qy1ILe|7Sxsl2SREjgMD#YTM*BhYmrk+=zqEB777 zJCbc?o+-N)$Mm5nzHw6Nl>?Q^BizR6{3y9jGwlgjC52uXN8O18Iwj)x06>L!#W+>8@A8CXapv&4| z0)()B&b{yLE(;a^cQZ+L-+OoOx!-&5x#ym9?m5%3VBP$DY%jjq#Q*I=Gk#iZXbAN2 zcPX?bSBNNnd}m*$RCB_qCbriT&!9PA|0_Z(CL#|tL0$mmIOi>|5$MTnIbyv#tkR@zHdy<%V^emTxr*NTTJBOZhxWs^!x=g&5jEYiPvsTnSn}UO(?5<+OZs zXK~A?KU~Z_Sihe6dMx4l z4%41*Z2X0;BkSvXj~oDALxNBU+Cq3nVzhljGW<@~^uZFleGasgcE~fh?b1v^ZWF~xr&XCr$!l}(Y#K`uB0?8dR zV^74Gv1K{(CMfcvVTU}QV6vbpm}z+OGcbw8_O(h%ygOsEGFFI5h7RZ)&ei+Ybfa*#o|LctAE2nV|GKUaimzP{ zBx8zu@8mk?t$U0pXGdWcWv=wVp;yfrwDzQFo!Yq`P-#HajetE*({wK>6vk(x$CP*&AzxElE_j*&FM6;E!y|PK5aCO3a5d%&OLtP2=4IP>e{-V=^Me zj^J4*(Bq^Nv1VR)KO6LOz-_nqd9VFq1X`~c(J`?-=3RzCrc@H9_E*(j38&c*5)NKd z{`iJez<&LjgC=GeAGN{xNDv(CnF&=FIqlyH*OyRIcC8!vF0tH|*F1)}GbrmI-#i#dmMsaf z=LFRQ?QCeVdHR@AgZ=b9lci=cH^&6*U$--giH4fHDKn`>=KSV%W|B9M{A7{(8oOPd zET?qGGz2HBZyGRXaq$Jmk zoQ=Tn+Dhw0o0)?N8L~CE0%@4u116rHwkkCc@nBqJf7+@>xU5+jY3kwJ^BSRg zN}%*BC^4s~#8R{!j>3u53I5c=i>X?iGgw4O0hcEKp?+S(ItEOx?=3z^dOv(kWPl9z zb1&o$jLIXH9mKaBjMAiI{=>>TId%BByj z;K2Sbm6|3SEbnu!8k>V9d1}tBb*WKus?v*VYDQ~n5Jt@U1}as_}z)MByT>J_44y*>^9#S>U%7E% zJJvP*?v+GW&ro8^=^-unBLA!rWk4+sxFfrL?95RCmqi{>^r4V8yFSFDVk9X&5c1V7 za}m$0l-2RtfK>TTzDng>@F~Oa?ZhsWW;=Vco4U?szuqfb_rxYUJ9x$-o=j=n6P!6K zf@rNN>*SE)qNwJ&W4%Mf8^|<1hz>)-D>0iOKPzDdQ|XX2 zCyOhWT{g@yvLm=S%&cm)!#n6ClW->wv*eXsICy=+vwz^{PtWFi=Etjb&y3CYj7`s6 z%=OF&r)SP~De%(3ND{epzK?!_msMdOJ-XWMBf<(geKd7-u8+>^K5CqL6Vfvrq|;{C z=^~_upZQFrp@Xm^5yGQFR^M_Ilo!GKJb2qVywkVAJ8eXt-eD!E>gZ`O$Fj>Oe3N$e z&;^;JJrjG0Q7rPyWfO;vf$o?s*atI>)eiWKUSMC538Ao|3qoO?n0O*MgYcXTnABa z%PgJTtVci)<$@~(IaYZU&-7>$R(aeNE05R>S<)zp9eio_%@PBCgQm`|%Od6`#T&`4 z$>#XMnI@?T?@PrX4)_A%m}$S;r0U9F_G=%VH;SJ2zjZfHzpS4=;423<&Zx0r$1laa z!^<#!fbZ*q74?xti(Ow;d|Y!L65?!9(a;!<%xjE<7c>~bW%YB#ab?2F`XwtGD;gpz zSJsCcl>;qz<}a9UOsxFYq;Eg);N&S&=RP%W{(^;z7B5-)^s?nE>Q}A`H#A0~P0i1& z&O6L3!=pfc-B;mzxmNtyAh!0MUdKilhWyIQDZkD8d0+L2@0On*{rZ?wV~xAc-R&Ls z@ukF%#k}+TDeeD(9%?#NvK(U%66I!8!YKA_5gw#PPvbVwdS|IH`KOZS3xLqdnNOc~Ym)XLre)D@mJg3cBBRmsbEf zmau#(PT9BZS9m;0*$&XR)6p?BR`%Sc#OWT7BI(a0olgSDr$~Cr?(vCRt26<&#J{ zarI7ddJ7S!oEyR+5uh=*cFh8EX^VLo^Jr1j{_|UqqjZ)9n4YToGuaS#_X~N zO)*4Lqfqf9>G$|u(PG^RM0Z*YbN)v}*(oMJUY$3X%z+jZWV4J76ZADnIU zf}{rhs%ZdA(8sHk7 z?P}#euZp@T05QF|Q-f|fkxR4WvuTKhkh@TuKaY0zwm*MNvQ&jFH>$` z%%O>ZU$G()q$6nQ1%dsygq4*oX4=HEEr->baX@pJQ6m!OJ7-_881z75GzsR z0KMno7)V*;_5_4>s|iDF1Js~8U-q^Cc|Mb4$q{OmZj6RiOrZ*K6QGHTDZ~tMcIqc+ z|AM!GKHnH6Kk*C4!>9mCLAQz|->>USmbmkK$JECxttVES@NM#7@SoRy+hj93yV2Oh z`K>Pw@N)Mo{8BvC%sB;-vxxa8ov9Q+U)_EQkb)jA>Em?{QewA>KA?M}P#A zC0oT?*H5=|R9YR*Qsmw*6sLWFfntDmjXp#*HXdWxP8EnK^M9J9F%cp@cel9Dwo9xh zJdj&-UkDTRbpE>63EY%;-XKMU;)b~vZ(l8Gc?KOX61B~Y_oMX{SC3sAxiPx=F^=ou z+u+zcBIt!%=dSZw!FMf z<~&`Aas5$wKuUY?yCVC=Ytq3BlS~{jE7_YlvsI!fGfw&_RksYGJezM2<#xio8e$0B zW^v)k2#)bCy1pmboD&Rj1GecI0{VgC5CBr_xZyj%`!oAk{5_u(Vv>s$mQtJ8PPu4; zrXiCai{kZ;7IrR3p50<<_R}M?OX`l7OI#yq2Q+{;wUG%YPaCZEKJ;4%{-~Ta?o^c^ zbYt;1KK!oX7W$@4_;9|&XSt+x8iD474E!NB+1H1>4woef4Y!r;3Y@^OSqeJq?FC3O zEQ|Dh_m#9!{ovaTVUuLHV8OhrrDU~*ULi$8Sn^``Oud%scaF>lQ|VlnrY?7cP>L|` z*L}9AHU}@a2^bEpC%5zZcbe#ZW!;newUc)zpMsM&2_Ba>3rk4LyxNfitEkS;b2)eK zphrk>cD2<=Lh6WIklQLe^7SDFI^hH$+}JZ}<=5-j6?hUB?_S{8d7@&H*jYHnwpug# z!w52LRMYYaJsxk{DNM;Z=*P1-L^_JB|IA$d=O7|nWo4IOY4qxv#h;RYN^7zdE$RQ1 zxL^NC53TUzfpt~(y`#q|g2y}@Fh>e)-PEiK9m&1qmGkc0W&tcrxLU2Xqj^s{PZ97? zP8mo2?O%Dh9w>9(WV=BN50+clMZb`Z#NTM(UC%j)4zyd<{Nd4?VW1Pa#ND{H@oV$U zu|3Rd`u}j_zP zfg<}d5%1IHziyO7jmZuu=2GUF8?NK{dEuitt=OZOt9#%|H5&~|*?qE?M_?pmy2i*t zTu!2oZyTYQvj!+Wg7SUhN2;13MjYP^ZlsO8YM{5Bz9 zddUMj^0Y~Q@5fA9cLg_L%xi?M#7V#Qn-t^u@?dCG^WlIud50z%@e6acA{FsUTqvk6 zK%b%1NtE|TaW+!=J9$Hy?Di*zVD7TLle!n;_R~I0|F;tpwO;b7c=WAfNl?nIZPU>U zcnUn;c{53dn09lyfXN6vzDP$_N2(r`GUCf(G z8In)st_ZQO2qawn?Q-k<7fluJCY@B1jq1WHL`qCYwWn5CU3n9FEf2NCXJTDz?n$D3$0X5dCEHdRaEX$fO}Jl{ zQP8YGpvVVEHHfu#96vhwxK3AiVX;+wK_$ZTwji4`4s#<$XBW78ti z*7!dQ6GgHF#VUes6m;{T(d~w@wM^mfp0%7mhKsR!%SvIJfvGzbqQQ}Qj?%`%+fU_` z<=oyZKaZpPi~oC$>O4H}2|Tpj*Smw5fy~*RTcUv_OqW`2kaK39|kKfv@ z`FY4Aot4Ya+Fpfn->4WBT@nH+mC4vj1Q}J{_$SD;r&>(ieCv;<4W{NZlCt`NyC` zw+A|xOw@jd1RRd8IibWb#Wzu}yB!b7vq8GAzs$rdiDbY#~<8OL4jGNy#L z3fC^YP@yN%U39FQJa(il^cU%cIv?S%XJ(%TW_#P>*J{a$54{gJu}&65;hdB{xA||X zU4F_dRy?0AX(sLp^2KWSGy1#*zbsAj+A7X$y8XoqO@|gu-a%j-q1*@82k~?PoB-N? ztpukkd)Bl`@Tu#UAx+ia>va48dT;lCs<;B^c}ufZ<{f(&t@ay!|0T@`o%X~_?m3~t zs%}IDV6~HpT~&h9y_1dpu6(Y8-?za$-M_$l3A&pLw$lHylCCCrC8hZ60aEA%_2s!X z0BH9WRIFUox$M#SGB~H9m12gf$GAk~1xU$>TsSQ9S3ag%+M%G>xSkEIQwUobm8N~I zwF!um`KIqg)jTix^@l8yv?0^<<%{)K0Yfq{+%RNE!T8qS%hcg%r`mh zlEBjfa_q6`Ni+lASGfv^RUTh7!<{>@RQ8?%%cF7j+e&1bSw4hNcw)sJ3XxVJXUtP5 z_$n$S&O077Bl>+c#LPUAf=Ceu^G~qN!-W23^F67&+Tidnto9V4YLuX@#DEtJGA|-a z#bP(=)wQXCd^Kr+;>-^-*Wpm4F`K&PUmc)HdrQ;#NWLipwK2^Evu66mmy2)0a-}$y za9@CY$UHo$-25b)((d&b68L2>*~*$Psjda#EU#IT1ZMJOoH8a}XkBxc|J;yG;cl?A zEFVcGH}GJG=rz?m=ls3!h2dmuZ4^#(e*xBqu#btBVI8`-bhNI@-(9dRguG;$M~jvG zPgX`kD%oEG%-I#lk|5~1#uFbH=@PwD_4HZ@8XiQv&&HCay$uo%SGnJ0kOKj2ov43l zU>+1OyqYYKZQOI{tW>!`W|=I;kz!JnqJDzHRMr!IU>n-Gda?X@=i_CD?(p)%W6$4M zpCkGB=1mrL>=y0h?G_F!pHm`bbWkYhfJU`KVg&wZOS z?w90qs||j=&pBsZ@3E_@OMvJCY<`E2p};5J?Fta=m>-}pC6gP>y*+V@93qo@flFCX zHKUdPtHz>|s%Qgb#O>Th=W|xQ7A`$HDgIE3a00cxU1;$r$&L6g>l>brfIu96zsn^Ns=_3Mxi$pEQ*m-oRgtH0cuWFqyA@wYeI;|7@^orh$JYvSaSTqHYwhY=$rZC&$otjrG8J0&>z%Ny-A}l}t*K zm|eA-q?ut-m>08T>(40yHft})tZTlRtZTCOtn^p0>GAmka^g;CXv);4PfDK3N3m;F z)yw?HhV9vM8h>Ljv1vqbgWCwp*>dkIAmB>Gbwr1O+a+9 zXyHlUl$KTw1bDVrPz;wf9Qfd8JYcLEZybr2*p!2P=~gpHf4GrR9K|bKc2ZTqFn>dpU>M>6RPNSqduki`t+f_n+7jQFE{xH_$>>Gt? zOslqP`?2K-ZjtHof}fR<2Md?vRDemMNL?yhiBsNB8>;rMDHq;tRadn(Cs zjj#xxa53O3e#B+qK4Z)K8>$d5pFt`VmgmMSmP<6ZE_>~ZcDiqmupq>96#+uMlC4*d zl-p$^(le;-jF8+b_?{FM4L~VlkB6ry)AgPrz#aSDCJkkRcA>Q0f_GV4Q|Kfqs#OC- zFQ;o6!CyX9qmx+IHJP%Pzm(OmD@P_&6}8#)TchILfL<6KB4u5ndYuHg*C=&K-zNGi z6@21maDNvJnAkC;F9dNJYECKYEHy>`p(EhH5Z_OsX9RGrbkM|NgfrO;?W0w~* zUYSF+yo;8sUC}bu^#I&I@)5p1F7_P`$D+}B#<60J=)i-+GH1gd4?67iKB`=rD*mh5 z<}YPW7+^3LeqICgNuyfsi}bAGky;y0B7$Fmy-<^!3y?oUU#*+7M1ZCO5(A|uD5{9n*GOEF zJ*i=}%*3Yze*IZu-C4=E6toHTxBR>qXLaHabj*wQm*))#4!`TkYNf_+)LMO7P>~tz zH%dx{#G}t`z+?4weK$leKlLEz_~6Pg4ycD zeHsqkFpUh4q92xdo%o5?(e7YQ{0PCJB0|GDDemZrbh{d>mcmYhA*kIg^~>|P1HuIZbjOPttPUn_kGU!^{oSU;Gmt%zYKXnB zF#A1673s0JwWPj9&X3;+yXs-$+*aur92Gmj{@P>oNW~Fcu|M)`J16#5xVvuXri{Pw zw&eItb*c9C%YKDzzoIwsz~uRUW&;-b#N)(W61*^ItvqJfo~-yPjU;5ROD!D1&@`$i zhLp05q+FY{Wp5A2r|a*1M+!7mG|1jlI~+K8tO=r;{$Ty3mJp>3- z{fCu6{vJZ}8?rj^z|b7DH)jwOoPwOp83jOQqZEi)S4eg6{+xB4E}2b3$fu>YEN!j4 z_n82KB9X^Q##jEPQm~V)R9Yv@gN)c4uE<>++$u4M9R1-T(=p$^#T9kYVY@Fsx_10) zKHW0UaTj*X`#y;Xuy_23A|mh^hy~7y{z}v{OG{=@9PpSv9Z{-F5wTP1GS7j2^~y=+ zR{>#VslHrtCdH<*@fLr)%ik|Kdo1ttwZ9$ZBlXS*XdTEz56r5q0#`|K*{m9htj_Mk z{)COZ`uY#(IYd=CyN)^lOuL*f8#$KuvOJ6npZ6l@o_@q5m|>A7z)xgke^N!mp z5k@lG9hkUHaxZPVMX2M=L$eQ&37$SK=$!KbhhM$5ZvLqyRZ89Pbi%1(5q=_xWFicl zqeVQ-uX;}Xf`5FkYx2xN2!|}5wZ44%Gp!Gyia(S$xo`IE*-3Dos+sb7I;PWM@`X7} z-M|>aHkb%g`;vTL08`OvV1ji(=2E)C$;=I(ad_C;A|D$Q#szMlH#}bdUHS*;z3|0V zfIhLh;<%wP+aq0L_&XVxD534ghlgx9UDO^b>w2u8zZehFrT@`?ZO};_pWCgyq18Di z^_|V@H}AC!tW(SShIbsx3C~xU30}jLe7bL1I~WYApWpptkupK+5(%H~M=VErOTKjQr|&x983(zwoTutMRL1 za@~$2_M1kI{f_hV8y3lFIWp?uj(Q0`(_$@)Ot95<;m*xN)=PNuyJC5tsbfA*B-X$@_+fk&E~g<)xbZ#&@eE<_o-@MiB)Ro% zHTBw*6Krb6%Q8g|g5Vvd1|wk=qMvBAoqp<%O#XmRnzD?0RD7eSS`O6MtLroc6D-(>wNcY8 zwZ84P)NF?6gybcfEog!ThKo?Ljp#8;Q;(N?O_G6B(UyF(ki2r1_5{k>mQov_6+Q8- zu)iwF4!-Z;e+19|g~4>?b}aZX>p^-FD19GgTJ+1kVeIi*1IpAO8Vv7IusuA!D?~4> z?CZao#?U_3NCj(WbVhV8D=!SH1kyP7UO~sx`WkJbUp`Tp_^7J$JmT%4Hz1L_jVDDt zt2UHN)XaV8n1gAm7jt&0pOvYnH!^daj!&pjKR}W0%_wjbcoFiFiP!u<#eBpzTCeH~ zMYqEPqan`5DT}{Vkbn7heD5&Sb2mQbF+X1q9V-5u1*=C&OS*vd4Y-y{V$845&`d!m z4CJiDuj5y1VZM&$j4W!rJ-2eEdhH+g@}82n-8?10FZrQ8^gwuo>sH8D!0YB{US9&_ zhB;2(rWff>?r={aJ)sNGIb9I=I11D6vR8nsht<4hNfQ*^#BeR5R|qTI>>U|NWxQov zpSp8Msv%qdLA3Uab)5prMO0S>oVbMrqS>NzacN5`iuAD`4@MJ}_oW~ypM)Sjzk`pU zr0z#fD3-r^_qKk1Tjk5_cjZ(L<)7K+K5$Rrr18PqkoghQYBueevXXYsx7rD??JJ1?GxuxYDx2YpAY z3EYi@^M}~?=5)nJJ#!)>EmGKWt2JN9`kI&SduQ~g>M|Sq?^?-S+OmFE`9qoWj7A_J zRxKLqahB4{7083d#L#QX+g7C2|bJ z*!KOGfxqsBY$c_e2Dq8&yM@FMHF;aDo(}!hoPP*R z<^QCKDbSH}nC^|D2W=uu2a-KZ=5l)L@Xg;Oj?&V#-vJIW>Z;^K|JbMCfGO1rfxJx2 zDUk)*1&9qP+P(%tnWlRC%W51PP8#UHPmy;Qr89j=63;jJm8Sj4nN1-u(?4C5vWO*b zwB|N{1UQPL?{5qU@S8e(0mf&*BlcvO#0|Wt>F){rC{Q?<;;OqCCMDn#ZtaDHsXVcQYr{92nLl_6Ci9Twe1o@5~@CudL>xg#N2Z9_K7*KV&@R z!5klVbKmXrMfKxs>tx7uX{E)1@{pX3_-#{mQn8wuK$|`A9le|EPL~J&Aw*V!lSe`U z;{vX70T+J+h3DGdE@55`D$kf2%6-0)XIC+3}+ZYHh zY$i3t?FRR`rr=wXMT@x}Th9*G$#9s*E-;h>QNEphrD&^7vxE*<_c~U-nOKG<`NvvF5qeKin`L8`3VwGVt`f z2LnIIf0unO#M^z3?IX_dbEBPrz3DnkdqdJwcP;zGIWzk-7%U)27+EKO;!DhJ>RQH& zw!sp-tjwBwITdLwC*4Q0x3A?rj~q7Sf95@Cqv?M0oDgl%37pWRVl*+>A#Bj4tQY&}D>2*q{KJgQ?HaD`5r40cwbEEC|`@Y`xsIX0q*?nt&yR6L~@q8MR zY<0Z>Qz@7r>uy^YQ9FH3bsRJfZ(UH(|?KCqBjJc+ee2tiH4mN<~~~sW1Q}M3R-74&NH7jFT!6A z(Aw2LEMHL;izS5e%0hJNdI;lRJ`*bR-|bvbJ_EOz_#-{U@=vC8fp=TN6fxrUSo-#7GG#YL zmU0FgRGj>PsnZZ!h;iBVR64i}+Mn4yHEUp|5n^9?shx>*V6PH08(ShWuXD{hY3X|ChUUlg3CVU{+!lxT<1ILDW)Dcl z7Bc#EpfQaIJnc&1@>Bq!)2Y~+;I*!y*;|oiavnFGt}PDCzU$2Dil!gF0iqgwx%*+> zF)UvyGEc{zTD93Haq_lmU-hle0($R%hUN)6G10p& zRQ*}{I<_9ZMWeKtvCkz51;n9uY*QpE)<5}MygB^$6E7WD5&h-AS6P2Y;v5OfO5FPB z_3Qb^cb#XBdr(cryG;J)BaU*dD#6xwR-b;fyMFpqvB&YXN}SPGw4*7`{-^v~^hVY8 zcQhG_WoUAPPMd5fBeD*ch148_$FwOpt{2?Yx5-C81`0kVd`o?h`^QS*BNHd8JZ=OS z{SzwiDwT$7jF};6U3nAZbER>iY3Vbp5~sC!$4#=MfA1ysqDJ?*e;NhIV#k3{wSvH3 ztkl;UX>T+x1of3aWG(X{q%n=;EO+KMnMlILg=$T~GVso~$J=qr@7=!ge=6r3^kz9A zACiJEBgxG(1&E2x?jhk+aM`zIMW)&y2=-?9oz2+rbw|=0pUBNxQN5+jo-sIe25>z# zCQ($5s#atlsZe(MxBj7#uHia;={M3`J?=)vTEVm5RYlff$T5R*LMagZ9mju5SSt!1 z`YbgggmkE_^!oH2SGJsCzQ)zp^9&GHQHxuy@X|1ntb!}yQ%)8*a`B-d{a18wCq?V^}rX=8WFPi5d6~iQQYIMLOIHNripr9-c#Eo zr9C6BiHD~71n*=#_8Im$Nq}j<-R4%-Bldp}Jm4c#@VzwOi(E5!v}C%9q4eXOtlbZb zxhvIUbN=$u#P78~d&x({MdRHK5|h@Ey!oK|X- zc~|me49@Ib)qNZf_Tk<($73@lF}Or=+$NxqkT({ zlt^^6=aVgSJxY|4`fu@G&6*zdD)}cR0dsb@tBrY}$2^J3nt_`leI-nA*0Qi?)uF9kzW*hqO!LSjHS;4oNnYkI z6c4ZTG%I7u-q<(+YUcpimUkNTV3U74g()>_71=`x+iSo%C%8fFyd3h#(VU#LrDz^Y zIbv3JD9}eiL4#_d!avr}kC1Ch-awhBlKm@$<78`vEaO!1Y|W(J9#p5e!IdDdXf|b0 z=V;dCF))81*`(2zyd`PwM(IDDRn>2U$OZUad?Ry53ZpGR8h+z^MTcq@%rpLhLN)Z9 z)ZOkp*Pwz9AK%}5jEg$Pe%dpQFTr=rb;%0msyfkXsYhU7r=G$w%TGPnzbX6)=_0#J zKaJC|TWA<8H3GJC{2K;@<7f!%V23ByrEUnrJ1B@&1A94s)0&-a({smvG-J0Z-CLe} zzmpgI1|8+@kbh2F^H&&sp;H&TeY3;1fP4o7yL@P#bY)1{c1KPxdx0qmzTQfn1$Xe- z#CO=1*e>lu@;ClucIrkH%}q6M{x{MD8zPAEPOqsOX~fid0J(Vt{T~#S>2b zB@wA*w*rx>YkR^>jAMM0-Tamf_!k`qAqQ%YPdRSny}w|M_`HJ3$!skm`!=J88zKop z?2-|}_1Q}9Lh_TRC;k~CMBd0mdrY@@o|-4?Ii2HwUmvYjFp6LzcXQD06Np}zZj0th zSIIr4GS_IdLdL_>r#Z&nmJNo0jFHA?8_80$^h2VBUk#B(pEjynxM#MKeKt08KRuEi zfEh%_ocO%#qy%)G32seNcX5v{`RWW^KC$!SQf#8zwx7%vb%w0f($gs$81&6MKJdEa z=aG(iJ+jmLG*e2vwXGt%H%{+o%qe`dp$u>*d>8Bsz}>J?EyvDXE9#Y786V|)bj1-h z9D?MLV&#fUojn%xaIGj|XHEVro4-Hign{G;wDTtBBa`Q{T{H3eMQ*1<4~D5xR((k&e$3Q6^mVIW*ho*{`%XYt%K2H$8>+zl&w#x7y4O~JCDXF#;ZP9lSWdr0 zyI}pBPnLvH!UL)QwzmKM?J&rGl7t@)^@-H*nYB0zRG0N(?cwLCTL#T5$tb^D#g`)w z8%p%sOE1T*>0CxRjIK*Tk4lIgz_ak@F4@aQh$2Xn=k=ZsyrSF>UFk)}_Y-JC(7tl# zyNF>g9UH{OjuVTBLk{zw4P=c^{x*p*j{uU0=I%*4<_<-y;B^xTShWtSq>o(QI zWT*{xsfbgmt25 zfV%@1uJQ}{nDwck{G7b0=bdu9dD6y4`}d^h2<={>CpsNd=1nkmbdHY%4Cn;YgL&Et znmW9dH#;dIY^9tFa;C6e#FcL4*$Sd{b~bJYH_a)MpaY9kdmvSe@2c& zk%Z5CYdu3KSX;*Ow2V(3ABT)U<4+|8nQxp<3{Q!$QN{fB73$Nwiun-o34-tux!HyN zsAAUgk4xB0GS9Z;PHkwuK5SmbRb5u)xo?X42rw9A3hs!|ID%&!ul_$EHarKelrYX4 zx*?Nb=DDBWl!BX!+rl|HWnu(3Bre%ui?kKAGat%Q42`! z4PbzJLDrp8iLtX_@5ijX9n(!(zr6Cl4rC)>AcL-=^rs}w{0`2SzW}dShwmmnTQFLw1{Xrb3bBQVU9uPbh)LSJTbFDO%EhK1 z2}}LpHa=Va)?c~L;7s9XSj4CF^KVO>f5-IR=*pid#UJ1Cd$n_Phez0omY&cwKQnv> zOT;CcF|7`^p@V4Oe9%siy2Rrz!ua8=X^+F&Qzm{%X!B+-vzCc(oXxLddT;&1DHkr$#6In*Q ziL4EwjWPe4-FAf$c`;Bu&@5J7ui?ZS3EI^}1*HCN-)lbrG~2@SI;e|;2lMXYCMI7x zP=zJbS-;PSst1(F`mBe^<%G*qbtUMP3C{;#Ir`W zsK%ln!!|0{`V#ofVOMba&G#sZxXV$386jT6x%wy_PCV^MUDejUE8gF#4j_ZzLYV3G z+^y15o7|5lR%LEBngt=W>*N!+oIQHP2Ee39t)jXK6blBmFZco7T`uUVt0<_pP?T~9 zK;R@-s^#Yu5H!(Z?~{=03>+T;nD0r{W{5Ywnt>Dyy&*O0N9qKL(wZFZlv`EZv=&rU zV;f`2eqJP*C)OQ+$4^o>#kZ3`^4}Fq+y3Crc-|JfLQ9Vfck@IYB8|t4`={rf+%Tu^Xvk?jT)!JLxQsoM2-QC`=e^j4|rd_f64xi!^*Qv zts5p{64i-1=4;-auUo4VL5wn;GEAY*9#!pA%DFME`FcT4!&e|RB6 zv~~w-d)ai% zH9as5K9sLf>mtz%G?eHhRP`NdH)waR#>l^_c58vLZc)_{A zU!ZM-o^flbr?;5%-|zpZ((r+H-1sQ>zrVgCdrLCg3g0my-l5j@W?AmAi-uP1g=}cv zLo1-ZBvhK*j{T7}T3^_&l<1O1vwDQ{D2TrJ&FABGj$^Xo)vp_uI%+N0G^IM3|6$nM z)KX@Uf9(hB0~cv}n;?6$iuZz|&i9b$Jd@)OYBw5(?al0*Rln7mI|iz1mjvGBkHM7J zzL&4Ui%HOcj!>kX+>^z0X^tzJ{!npJg+mqwvEKMtBPq4|Ysd!SCi z*Ct%DD6p0OS3aj#>S2NUax851kAV6$rF^V9%3dUyF9m-b4%YH^SApij30Z;C-V`b3 zJ)ab&P@UHelT>wRmW0N`kRqT5%x3)}M1MFfguRH#4}1glqKbGecjk0LrA}{|b|%4P zNd6v}wB}8~v_FyP4q)sE@$!mhgl~y+-b*hb>M@3T_vK!QhJ6aTw_kg$lRxNnm+P)V zXr>AY&&HE?DQNHP0Z7=nTBSkHxMunJyLpfx0UN5QHem)$g3FU3cYF?@;j?|#nY|Vp zKuYJ9X;*Tqc2(fsq6VkO?37A|lb7QzDpV)SJSS7Hj^g|q7jkR^Su}QGcfHh-a;!E- zJK-k1iU-wC=!1)Oo3QcWSa7^e3>@#_`T*!~!mcm;{6gY+0P}y6(du2bws&kqOqY{* z5BR*KGWo~53>^nA7x*>KAMP*O%f4v^I(+gT|CWc(Rmqs(8#pNp-k}B~VKuN@00TR7 zXM_Fk^90!C0(0kzE!Q`+URB|Wb8()bI4yUxsiI_bsw(zMo0Y-4!l8m*M|NzUV?lr8 zwZNdFc*y=i*vmmV}K<@}PRjg66M*+#VV-Mv6+WE%YLD=fZTS!G}$E41?oK5uQ zx`7K~%kT~;+S&wh_8^}%Zm~WkzR?X5giX@*5O4Wp1#X&?&FVt){>*V@EY~QfL;J~HZM@#-)fVWo{fUtIOuuS z%~5Kj*YOqTg!k)0@db$RXaV*|&`}{ANn*MB$4e5tvbz3>?Xw2a=k)x|?oK^=9MH9& zV{9goC{>=faIrIS;=ncNl>?<68SxT(s^~TqUrNJ4|Fufehv*#>hWp>o>@SFaxBol; zGo3TsCzi4wkjO&DZb(G7JVk$BrF|CKsCp@s_xxyACG*1p>cP3Zn~r<)zBoCZEF2RX zNKmNpdvQqu;XPL809@&_jad;;{?wSy-bg!bO6YnveB+p`z}BYh zRw0C-jQjCOLh0NFzw2`Q^7`a@aP83K9~F3@Nfy7S-wRcjlP7w4wl;Dj0!PIbmyqsH zh9>kSqz6TpUwDkjuFV?L6QZ0ED*i8F-5s~bVMI7^`W&VhNsFnGXLb5zJ*LmoGPdol z-_krb=nJI>zq_$*b&c=%n7!vrE*gt04x?=!w0Dc&5&rsYrbRU4 zX&5G#gXk{HSfTOXe!hi0_d(?%3C(-+%&?Mn$5j_Iz`uRb$-Tk?M7|&t0inPCKKhwA zN{%dN@X5$mK7Xy8z}A#6cJgD#P?M4q>m{<1ZAgXrKgDdlIt);irl;Pl%rCog`4*i` zAgz^7cq<0#?8Dq;0RywpZ&_V7$BPPWzjttnY?5O3uy$)9;_hXqs&rTLW8R(V?s?3C zOe~&+f?UVR2|GQ<6WW!6zOxWsktDY9XB@;wUyDVj6Y0(L_>qb|4@(~?v9>;ex;}v+ zp%8U42@YdG;4B1^h++t_&=?|?A&f^rK?BOXBe;y_YwCq4zP@ji6)GLEYm|o zGln_K!jfpkux8oV673lFEC)xT6T_M1a+v7KIKn#WMm)xFXL)!My%@(?-abTMh9Ap6 zfEdU)!3qi{hA=`|VJC^|?xRz5hUDF+Q?BeI|Zk^s@#Ai9?KG z*4Gi@D1*)VHb&$y##s}S#3{x!Yvwy~mhpr2bB_3n!DaD&6ZwpJ*1{rjiLuODStb5q ztg-$Ii0h0E*1t{S7UO?!NV`O!R$bTkUW4>KML!Lp$O#D{cY~bLi+=oJh)&(fB&lbD z=;ad30nczG)M?~^IQq2E!Qq_$%yOjE&L&z!?$FO%=n@&?+chOKL3r6cSukn5j*oX) zq(>O?wfb4n;0fi0cDZ(ntQd^y{u>T@bjGaw%<=yvR^X_I)cy8>S1K2PtiBPfc1Jb1 z-Nq?0NLackq?VNJJ1*S>1}n|B#Vb~9Ln6rijqbV)ozcFO^2Q#F24}ufE4$~ zyeK;vo^`t>2@-ka)=J#Kx)K82xQUjW3J&3(0g88~a?C+w4Amv4m|Q&R`|}+Bk}p^* z#7SWAgS(~%*x5x-{~bc#5qO7opBjTSAtil}sKOt1OCf!}EIRI-LZFs^1}V`qCvWpX zCB)++ZB5$GBY{etKt;7(UCGpMgd&lR%7yq0qoE+`nMZWd)ibAFXhO(n)n zz`$tP)4}6nj{P1(bV%T7NWX+?x&FyOi0rTaziztUliwO@fwhm0?*kwCG>N?iqtEDh zsXm*j4wjG*d@wk&DFMznT?}MDRYNYAu<8x$CHghNBQ>X$#@^{bo2eM!DVUz7oS3n% zqL1{&VcIHdms=L~!UX^NVBj>}@(KS`xsG25$G+1M9JE)>!;(aQ+AHDdA39K9Kd3#C z;@x3Wlg-leWo!Ix4Sz%4(J;$MO;)QCwjR%zmz;pn(*qO7I(aj~GXMg^T;FXQ&fu-= z@(5Wqq|m2vo*8PJ=4#CUHq7cB5B2QrcbCW+&Jb^7i%ikXY$4qy55JTzQF1!N$GJQ5 zuoB)m=mf9${w21ac3=NLz%Pz|zW_wCQ-1k{){L1t@;4#No>jVtNO5;DaFf*4pV_7q-eeG-g zNr`~8aqXWSHvM0Mt4-pnGXv`NhEZK7GwYGaUa?@m@iT*>p5sR!_dkpbbdQ#nIYF96 zwHV7Vk-ji-1xuR4sDiop7}sk;(-%?$k1g~!jr78OmP<(X%@$TDGD3dX$T3kcGjl~G z*DINlN2P3o6HNP&a@R&up7CCSIlMYZwSx4y$b&(s;O*ZggiP?1lGle=sR)MK7ZeJh zdn3$z;wVay=$QO&u|Nf9qTd_o^6q4B2)qv6sM^yyDQpH$;+w{*gdg%CDWh5rs#GCg zTgOoxJHDQhV_2MiZEK=RRgQ)RoY1sucmB!oQ=`6mo^ zjO)=xA|y8rIlPnXmHFW4P94d~qmenA2e`zDEiHH7cohvl^j@h8#4%gqu_dcVF0Yd# z-3N)U~B6{#XZUJxBY#yiLeKSaByeE2Tt_zouH#ND92kQ1(J z^y6C&)J3hfIqG{bzf}TdlC9wgmfJT*mb+~m_nSiS$oFVhfl?HVK!mHHs#wV4rQ-b( zI8W|@en@|7oLbhuY15-lZ(4^>en6W$xhnemghKv?#($!o-le?S{`A5=wMyq&$SYZi zG>=ynj=P<&vrY&vzy2#V^q>olp~?_vSFgPQ7uzF{aVs5$=vqE&|x)CGJqD z0u6dbhMH&9UxZB0+s^iM-N7+KL%eLCFiG2GzPCXGNQe4?%5OAgAX1e5tVBaaTu$TK z^8a;k-tkaBejNXN?sJPfoO!k*kxe$qCW&Nb6G>!bH|%+ib0kG7Bc-7)4YOetD&-oo z>5|SmmvnZYUyt8k@7F)?_n+@SUXSPFd7-L6Q1nJC!Ux_t@`H;W$&i{bx`!EYoB?bn zV;`Eu^Dj+so`%(@D|Le)5nV~o!Sz7ZP?$IY=Cci zyKb%PA#*CP-DFI`=Es#=b^lqMpXy?Aaj=BkTH)!uq&v}1X+otEI^|4Ce17~+A@PKY+lMy_g^8h< z{E_h2d441F3Gk~l9=fgfeN;kb45xE>&L@fcc>NT1fnIlIs4#&(Lmhrv2jHXwr;bN& z`5$BZ?NoYO2PoG8eJ%e1i<-jQz6txQ@ttibIIiSMuRj*ZUY`aniAve%cTk+-+pgXKX^c5(Lin z1b(pXk=DJ_DGYT)ug~3oCbMSOWbcdNKXO6muKfU`J2ny1CW>0v(W*4Jdm1yPHM2z* zzTT@u2(U{6uOq$<+rMQ8=y)kXVU_%oVSATxY+IlPQ@5Uw5L4FqV}_Mg+a1yM&XR6< zE24kO=Uw|>e{Q?iTxSRO85UIz`df(%IXQb4&z!EbHg?!#Amuy_+|O(fz$8&T!W?(d=*+Gk5uWSKU(7WUe81mS+X*bLSpH!ky(nsMzuPxrm4K z6+*Z>(%L(}4-j=(`BkAWCYMnhC;t8ERQ1L=_LmAF3S!7_u&o-Yfn8l!1bMa}Lh)4V zET#^6&5@&JJy2fpVS#B7A$_ssTF@UgfwZNQ@aCMrfJ{XTM++VyZ+PQ5m1_kiSCjH= zBX0gjTk{%1&XjOPMZDxY=|2hpXA>il+-JK03b&xFNFXMW5`(uM43uq&HZ$29B||zJ z9fT&u^Qjf$xQh*6MYp<~jB7pSA7vD4OFEMXWOwlICjoN1`;^Zs4I2k50Wqg}w9;LU zXr&*Ol_doLf`K*OYVSvbeRUp5>Irr$9$^PXovC(ImktUIe~l**Rf1Cjcy(emB4grG zs<|tHZf9O~?neC}WvNHtRfe;>Qb+yN=WPD|tc~Mp*HrzycoteSp`BV*SO74k`VA04 zX*Iai9WLV~p~dgbSK?M{k4D(to|jUs@~&$gSDY%}3Q0{CA`Jhu_d-=y z{7DpEB*>;nqd|?mFR&n|RS>8HjxtMNxD|v9HZ7ij{d#ju3-9(sHY z@ZbA9r%drPQ*J4ZsQ2BZuFUy-6#bCs#FM+ep4IJW)G9i}=j;`qRyHPLT$5)V(+skH zE}5yL&A^_%CuCvYHZRY?XoZnP`?AK4i=yt-vCjKp9OgOUJfpVmTtnN}PXr}g3Z9HX z{0fEYV4H`m*&93Kq&0=!^}UW4mn90ueoGl*3(zzpD9YP*azgAysGEug!V z6nr@pU31~s#-lJr+aKhfqg8xumk$DRpWXTiv;#ND<2~6{w9Suex4x14BFvToJ&TqvhD!H@BSgdK(cT zo;Su`-P%d~>m?s`d&<3|G4_Obrl{CzM(p{zO9=BTd>>cg@+QP5hD7(LqK%{x8#FK9 zLI+-mE5a!161MLgeE~DKu&};t$cC!T60E!4pBx8O&S)NT8we>EmyEo`sFtphU{sU5 zk0!{ls~K`&rtAC{WPZI2&x~MQDCD>ISF9i*Y%Y9&QxE`=O;7ZU;gJ<~e9gX9ciS(# zSb~3CTVOFQ@^i9}ZBrNE{1L9yEtr+xbML90S_i(7kGtjfJ>mWhHuQpJRr9OPC+nk; zHZK&!+4I1vU`@IF> zX@mmvDsZ;<{`Fg4$d;NZAPdM0AHxY5WpVEsO(@8SxMilLH^l94PTBK2?V0GF%cFV4 z?Jg6#^H%pZ!o{G2&5o>~>iGbS?9ne$cusse-0Yo6`b?O%P=NG%{aRM>6K2Wj_iM4G zo^oRpu1Wj?vR^oIJrw>s{0K3=5xdoav%TQP9fTo6XKSOMA%oCxCMDON)t$o~7j=-(54vt`Jjwxyr5*IAC){6V!- zQ$0cbGcmj#@i%BQNmH-ZS`RwTSp=~r(w%&yhDaZSSdufjv)Xd>uI1?NAg2-TWXJWQ ze}(oDd|^j!Q4pxFL;e7Bhm?0TUdIl);qw2XE8#_6JR730e!fleojzgmW(1$s%P;O5 zE?VQh=UqI^pC;y}Bgp_!UAyTzu_HMv z>8p3xhDEZu8G0yC+=lbW--{<5YcA1HkUlo^DSvdNdIaTCrEm{j(ISI}!o`%o*uUil zXG#NF?=QUzYD9_8aBPLY)UTTUw`agBdl*cvd8ME_y5X9#*+j+jJ^giet`N!F6J2Cn zEJGygh@-c@E~Oko76f0W#!zxvJjpp;>CL6H6HxQ&6Y2}kTbc8a+S_G_u`Y>zybNui zt}L-(-`nvoziAK6u;lsjFynBJ`rmQthVWx-aG?3+M{Y-X&?B`2h3=;Xmd#8qu`h0s zm-2GVmSgT0TF-tcg!5{lIn39EAb6+@Ns+dxVmpcc&rVSC;e_+ZX z(@E~N1)Mc245@QU#=$*f?LlDWeF~PMG6HO&Nt9sx5L8V{HBGQXMkqXMk8;^0DRZCr5PuMvIR52zEciLa_az-q# zGKVe!S+*}Uv=4h>e`={73OVD8M)j*5YZih7)YTv9dp}XRLIJ#Ruk*13zE+oh-uX;E zo^O79H|46F)#vEEnolUDyx?nw38xrZoSk4qT={PYF+3Tc`Et-Z#W+DH*&+S)a!icHLu+#2jS?0sNqvC_+2pzaHXnKr`k z1zb_YmE-Srtxy|x`a1*5qt^@HyMjQoljq(A0tF3|M%X_MQ zwC`D8{@Rsm?XgCnZ|O!3^Jf~j+%FQ~BM&(pAKi$(KJU;dW|EqF{TtS9`@eRBz zMmgo>F$*gmWq#GWZOY!H=p~8kn0w%kC>%X%< zjvQ}5Nab4`NZTvo{xYw@@Vu$v{wjf_6CD2PS86%OC7;@eM*z(^ZwIvMEr+`_MCg`9 z;C41GM)kI(?U_jOTb;(T5W@E6Xtv($SOqF=>`6*Cy|XKuI*^Z=JuTG#LzmmUV{i+< zsU{TzHA0){++{>W2AiD@~3WW}cW&MXS|tfrbj|M8Pf zcNwL43{JXy?aq)rXyeN|RW0m@fUyAQ;;swS>SXzn|f9Z)Xxz9ML zQEw!~5Cn}+B9qv$0TzOiv6+unLBoJdD1(hT8JxJ=vGdAr)udSVngF+xdw%tYw4pXNvZ!ozGHx90n4jLQ+fG5ejJj`nQJwgiPr`Uvz5n@IKx;nDO$ z&UBhs8&3y*_mqtkGkRT#U;;Wjbs0E^?yAjZ@KzWLaF^kJrAfOjASyF};{(ed0KEJC z4&)jF@PU?mwLW5Pjg1k8BKre!RdB{2Qd1n1sA5NZA-bkg@p=5hPNLTA(NvneS0_7f zVYpLMj(t(zw=I)mn3Y7Mha0--cCPISR zJen=qxzVg--LOoAVGFbYg~-nt;2CR>>B+0R^YdPRCpAtc+YyJ*C&>@Ka*C3lpLOg! zR~oM8@dQ)~)`}Lpb;?_jWqxBlFqzAYfZswKn{^;seso0N4`H{ysUswMBR(FhX-yqLai{nJBJa8AUy?BxgG9IRfF@ zUp1l0Ry2p2f2ct=((Ktm7y37ED|8rgWQFXH$sS11jtEAzpEohpS43rw^&y2v2C%_@ z_{SL>r%TVsn@-?9a&qKr)#ZF_c9mu9uhvI+M1#?{3-a9r z)xnWtdt8}IgBNH*2D9X6R)Vh9a=|XGj=12(_W#fX|N2%4IK_1XrsOcTDP=R;gv{@` zX%~teqRpMu4wtLkxWFB+dsBj?B8LT}XukoScFKtgk3Evi8}L zo7vw?&Qp1r0<|$p=Z`Ghh%+RdF1JRrJZEJ4m(MxR!N{Oep%g{KB-}d_Y##AW>Y7`tCV&15HU}Og5TaryeaZZ-iR2rFO`%gN zl8kc7m7wb&j&7VJAaO$7$jZ}lMls3!50g#CSfW71%lMS|oQ54N~=v^G&hQpEKg ztTxU|!0RDY$BaQ>UjQI?m}M>b9cw9zj6Nf4o)Qxu6c^ucayb^TA%=?VX}zEp9fk5X zh#ilQ#>PZoBHvqyr3F(CrfO>K*VfVftEX>Z7rpV@@tX0K%dAV=sc|<&ld=n*b=ti`3!d3mQzLh&YRvTBut`4<>6z3hmnY zx&fM5(}IAN>dh_clC$!eRAPW@ASt2XfZhr&3?v%2JVa}xz{llRMAYLHGXLWBc8kG1 z3ey52pgdT27`H7ir;|wKNyUJr;A0_JouCvOrmS@3Z{Q4YU35>$w8)WT;9eLM@RG%8 z95RN*WxORyc_i_0;Q=vmQ67GYC?vNeINt&)mf~R5VoUI$CP4?*k5@C&l5|ttR*={S zDBelMia?Sg=Kn;WDoO?fJ~>!{B%J?;w;yb=5LK+0JfN-j1{RXQO9}{yxhx-cVDYs0 zA%tyUBaoj@Tt`Z$`Cj1A2k(W)@yM#&(>%*y?LO4Fi855Oom~XFsR#}|521s%U!u`r z{6r!JoKFQgSR^+(833!K|1U(Q!M6Z79%A|eF&y)PPUa!tyJzgCon0Kh>l>0hm@jF= z`J^?#)B^Yi;<4sTB_MX&+&q1k4rGr0Rbs~QQb!8GeLH2pZlJ@h@jvj4}pn6%&M!#>9!ohszHL-_4}S%{i0=l zyMMs1_}r%Jo_o(d_uO;NJ?Gr2Ub*2Yo64$L3i}Duv{#KRfw4Cj!@YjJB@`O7@U;o$ zUISx)M)@~^vFj*z85w&A@Q+dM7a40q`D+|w6XO}X4`nsVJ1FfaPna0nit;0rCY0MT z&Nm|%o0rJgz9hz4Co#5mGGo6$SvHli?b8^09p!D5#lWA9at`0KQW&$NJdNT*382iH z&e&@xZ73h0-Pk)A+xR`k-ba~wCu2KMK0rB!av7!TF2+u$Vm!2c4CNBO@528--pyFw zJ&c)VGWO52fD`3UD4i%{X9E|Cgz_rNK@>KJv1F8KC`(YbpuCLoYn1UGJrDne#T~_EJIm?QiM{2@=KKWPj1ZSiesG zdizCzH5}c22g_T7`Zdh6Uz9IywA(g3{=^Y#-!T4P*pUVMT~pHbPtDs^X!LeJcCC9s z{JX3=q2cI)_&zikAX0E2>DWB(K9KlsvOSEr4`lFX(Wu}xhOUkBrCQg zCD%M&E*NYq7~FM+t=U~@Y$ynzU#Ea!`JnzfwyM%mp0d7BDyk?;S$gl%w6u)*DQn#2 zv)7iCIZ|BDyCg^1oRqu@r&Ll=?n+r&QTe>HWXo15<({Q8Qu3eQROoWdN?BfBG$$n^ z^T7va-~F?w1@*PiW7m8ZVTQX8i3WX7-b8gGYk7Pap&rK{tD{+C*t z^$uh1MT!}WZB9G5pu;%|tl4#|q2Vy*`M#l{S?TFGtN*!;djc8|yv39rV|VK7JDImR zt^31AKb_ui%GGae$-e#$FslQ{&=N6;$G4Iq_EUoX)%%F&)Qc@OThGVvG6CQlPeRu6PaiM#< z=Tn0^Wnt-N_ar4-NJ4{!?&SJxF)77T?lx`zS_^pE;%Y7I9rpk}-MinhTJirk?g4L) z^563OBdj6*Q>J{S9C+i8EG6|pK?Y?X^%(ay3u&L<*L>w|Pu72c6BBpMGQRmAtik*d zdvg!|{h7VF7ytILxqn-yT-=pre6tm|2iPu+qh%)n#1+hQ zF%j@DW!r$9+qWm4WgJ4AH{<12Z%@V{#iXnk_9QX0@5k{?RHc{}8kjVa#_^jC?Ir`; zlgQ*=c>vvfWqKRxCJ&^atG)a4JJW$tw)V@tX5Xp^l#nLSj27^5aI2Vhbh=0FXm*bv zWPm&vA3>bu8_V0ZTL)nfa}1(1s&@h3K} zJd#glM{e~jV(xgdEl;#g)h0|+ujcb=QluOqRhd9M0dL~a1T9jvY1AZW9OZpeeZ4pK z?iTjEA;^KcgWIp~7F^?N7YXh-Piwr|`%sR-*(aZ@&oQXqY!B=fqzR4L0;x)ZuU2al z1+~4Q$LH15tJC-#$h_sR=28L7b)WivcB8HX+OlUiVfSsn-W-d)I)c4fkfuJg!r+P{ zyq^ZgiStd=)Jqs-hNk{i9|U8x=I?>_oT$xuNMLR;qxp#=Yw|u(FAhh*!Z%q@P+WVg}Z$`tFMQV`gKujJX_QIw-e6 zlK`56#@VC_im0q5-AprLZY`+iV zazAz5!)JF&klW>g0spxGnfH5$g&3jZx__1ifd=i?LH~3u!sev+fxhc(yS1;-XlO+f z#yZYoBHLS!GH$enIYS=pilvlWmgp7}%nS4L#6s7--_%0BM~=;F^RmauV>b{TyLU>zu#WCM(?MOzm?{3XsI7My_i%_0?QqB#?-ST1G#QF9a zLR<@(xGdlA4MbnGiCfD}9IZcDIg$Vw{o1`feQ^S$W08SCuy6#*@|E%aA*%@k=X*jQ z1)9ti*$hQaL>DkA%U3{bV4|m{KM}@s8;c(0dwM@=5Z`B7?6yP1lObLQATe#GQTT>6 z4XrI^Om1_CisrE}Fy+x>|CTku zG`3%GkL8vaH=Av>hk&z3`3{V*s1#9EoR>qjMI*BYy+uU9PGMv zP?{AQZ4E|~9^9pAx+WeW?QF(vYI}UAAhOy~DeBim3yA03p3G_PNmb$&n55kxB>kMa zpItkC?S$tzGq!p1LZ_BbZ^6EqCr-6!{^ zl99#gl8J>Sg8T=XNMT`F`l5!+@;%FOD%L)E5lrI&8w`+ONaD%Q)XFuwIz#yYD{?&hQX?gp)JJ?;wz;Heq?&uVZr*VbFRz@bUN?h(ZU zmIE6H>#dzTlcj0()}A_(KU>==NM|^dEdTx~-tJVz9#DIBrbr1i9TN=g&c%`$-(QhU z*dP42XzHY$wbB{A=KayA>l%>Pi}Lz-|F;2}MnRy_c5!?|#~KBJ$!D_l;&{wvjcmQt z(Aj8x$rqo1Mi4Z`dXeUG2~$z5Um2|@jn>mf>lvfSc8m+b5 zW9kJ@%}G|1yeB=X_N00NY_quBc^Gc7JH=CTn$^tl+WH_ZG}<&instz)IIW)6s*c@WzU6l zLzmo^DsN0sKi&`X=`jhZ`zOd>|;H_#cGo}0xeUE@Xmrh^e-=*)Bfnn%NR6kC=wlN{KcBPo$cWUbF z;i*mB(YehQCdKSqInYF;?h!zI{W$1dL7<@rwB0{IjbJ(oU}|VysGB|@x7J$&maVQk zEh}94mgVl%u+6oL=DTO^xAo!6Jn3QoW~R?s{Z;jNZ%^%_t?ojvEm5iJ17X-M`&Fw& za!s|Ax>um@Lnim_VQ8&-T3w|U`#r2G!SaIixTV@X3493?OH*5H_Wjd$oMNJh+oTqe z0q4{1OGDajUn$la)Q+kPmi5xDmR$Ey3?rYcT^T2+t?K<2t9$Q|<^YK5o540>iux)+ zjll(_fos(r@=3L>U_I^N@=5Q$)b?xq>ba#AeUG`-4Bf?>~wPG-e0(-ot zGMY1wN`I5DSYeH&uNSNTtw#h%kjSUdrU3hi=ep*eiJe6ev7JwpTgfC1dfLc?{mIyc znkJn!>{Cx63MGI0@zzkwDVg8BIJ9*!Z+!}_Ph@^q^O@YQeiGC=0gmZzxV|-mX5Y+l zP5Z0*Khm_h&6nT3*0DTS{(Oh#zH>+44<1Jh_6qHi+>lV*=)Xq#2nU&u-MUJSfgiet zfKcxBwnTUH{rffSE&=Fq{b;#0w{Eohs@xmhAj|h-jyJc>l&-!UB$49gf<75ee{TPd zL)#V~uqAk2Ow^?H&?)$?AWgmkib}`Qu2?ld#=4-7OBi3XMb}`j5R6H4I3D%PHCsQR z-kxi=fXCJkYtbgoM*j)dboguSIf)RzH}qkcv<}@fG*Hkc0t0FnyqNfdhu{uVpwyXh zsNLGnHGlqCG^Ak*uziv z~fF~NOD?TeGs-BXmDgtQ!UT269W&X}~E zacMc@({d*6Xs$6Da+05bcJLHoLf)GE)jWt8L*ZbS?;pM;X(|}>+6Ey%2smFf`oFmD zMeJhhYqa(^bSl$4);`jU7n0?6(u^pt70P{kVL%9*%S!#ZYb5 z>)0D^{}xgSyG`PKSt;n%Y}oW^AZ@hTPVSq(TM zNtTS}GR; zkmnThPv$NMNrmX1%LAejf&YP)vJfpP{{OrYZVP9+BSN=gBdV8%TBiB`{YJGN^5NMA z%PF>HPfo#&l5GxJUz#e~j|I(FA*_6UGtrj0?Z!TN+@mS`9y+&u zr}{w_lt(E*UeVWl9RUTbneG!Luxc|jA%XelYyPVS|9OMOv1UGVMlVJ8~&7 z&X8f~neR})PA9@VZkNvXl6^yf#$~0=^w6F-*pp9to8%6;72V~2-_L1Awc94;QN+dB z?7MSR6Q40n&G$EKPnfaUKT<;neJfDgtDWTPfxSdheRdqeB4Q=!99OH20#WqhAP-kH zDPFF*3dc?#Dc^lB)-MIw0M0z+$wXhBKFAnaQ8>1*1r}r{)*zRZ=>JK;f0x03z~EnQ zfMqcHUuHl;(r5t7oNOm)&3gte17o-hBykx)3~`Z3`N|5jeDIYe@C*uk@`|9!kiz&5 zeZym8?Bl=}ty(u})~nxOj`{|bJ*m!w6;5XUwKurAT6x1i;kua%PCZAsUWZUz^0 zKJLYl(eVQBDb0FSo4Q8uAGuL&^bg#yJmyNY>; z4MUs^8mNszeNNzjZ2=M6%ZgWf7f8uPb@i-7ha{&fA0-{(*W1a7a#`FeGz`{ zIDr;F3h;a$vUmRHK_9Iw@jb22zk_;U(J)}6^*k)%MgiNEozA*G8-bk)oo~5;@$0SU z>r9lV>Gou85SZkzx1CSd>RU4@UgI{<9s18BI?4ofJ3<&P7(F#9@3Hu)2z&?MJ9hLgXrc8LC4{o%@ZiUIZg$N`+j)nG0Z-%+X2*LZ~G1hNLS3h4@OcphGY>=1-wzXBLlUgQ}`ANpFem~bWN4p zh`C?Runwvp!0?>n(u(GkR7`^1=);sWP2C?$@t;+a)fR-;a0ciAio%)4L0IkG-(boq z?v)2@7ZlSga@UAfX|mULNvR@fZQX4^3Q`ey64LA|9ogg=(A?9B&M7*x^=0sFyz6Z1avsIm(32;r2SvaTI&Zo)~D7Ts;AQjevHH9>r^j75m z5z{0x-+G|+#1SM;IWijI)CI1IeI|qSpFy&c^xfeAG=}orX5WQGk|_6|!B}+{PNd{k z&b!?j)23z#yO8k9@?HE|?l$|3Yy*yIxa!XJmI`-3AxNGv~vU0nF&sm&ZD|ApTLIYLPPk5n)H4G5ub~s%T<#}F zt}n=g-Uab_Le(?M{9NLJ3$^m)j1xF*>6cMu_B}NM8W6yk`7%FQd3jV5Zn~i+aPM%S zBR?QlVe7x7*e;?I0?eF5o~Rizzg;0c(0 zzcn|3Pi??#*lqnh}>JMo3xriT3WNc-ef~N$Uq+1S5LDb(CIu3$*!-=3{ z6v*TcH1$GcsJu0M_Y3^glFl=5{&?X2V4kr1hV*RoSbiL-(^vz+v5ahMa5u!6Ta_4Q`#3{zWhU^Bm2kVQOOgG1ye z^>bv9ONXcYC_Cd2S}NmPgp3myE&GNv{lHXo!10ChfU-(J8?*0BQj_-t$7600)Pwv9 zrd^!^v^c_3SWblSpDpfB5ilZ228le0y##(DeQIm-MgIN$pw3ARD%0fTB+ z7*f#1DSw(q7>*BF7!+bF_NG7!kakg8A!y|u5Cl~HVEqr>3V?9x8z>+ zi1+xlQ#_$V-m9K(`|VkX{86>*r+Gga*(?dy&iokv#A|1b2Oq;n2VX4mmq@SbO+RXu zCe13e+*U1$ODJU(I|NGa^@j$KPs*P}*+g=P%#BsOiuD~Occ)VDmJGMo z_O>zrA(*2aRa)nsn7?40Gg0YQT4*We{S@WLD8E3NFOQ=p%5mj${~WgCgmkOYrRk z{2YU|M}{$!!CQAmw{38#uQC|jWg3s$u3^i+f<*2&BoBzRF*{oKyU*x}EQ;fS4ap2BVp{vKFS3EI*n8HB(5KaFI`jmnR_SKmp7vI<(t#GH#wZX zbe$fmFP|v|pXlroqS$o|4AUQy@YE!d%JglUKo3&s`E}YK#&NyrS6e|4ROjS1+o0zp zX-*tvs>@ERM}xc&$<_=KwlB@T`Vmb?EslFnkgrf;`oo7mo!-&eaTupVGY??Vzmu=f z18}!>!UgHvHX*ZL8p#zyci*8xbc%2U_;-y^uSD=S)(qAim3z<`_~y%T^W}9y5N#4S z^7{UE1W$pDXnIAx>>n5*H)k~Sr$*_?QT+c)&H(4mRP&?Y-X%)aKY{xVz2HvOmJ9=or|!9PjMr}HtWDGBC@3{9D86<{?-VT2KI zyiXDOZ@AD>rzf%5NDregtjrQ#+nAlKO!2ghZ_h?--JX4!KKkgRAD(dbpqw4>sN?1A zUKw+fd)rN#X16`jDpQ2BM@L&NsQ)LQe|;T8H%sG?{R+2V@JXvPClX5Vft^Qd!HMkkINCU{z=!Wf;`v04ObCAXkC!SJlf zr|=5UXGV+KnXf-cr9XN4`fEhM2v62qEZ{~Gn+q&9v__cdPCQr$;6>mBOTFF3sc*3b zC@y-CnBvHPjpsRxLvq`~eyzGCv$g8u8Lbe>#+*QAcl8JGhG6%tfgpo*L*RK)WbQ}6 zZ#ySdF*R-r7`ijt-K#v-0K6Kgg~4R33AD#)n%=@1NHWMG4$L$SPaqW>09vs1*3h&r@Ar(&`;Y_Kf7qjs;V-xI8HPSOl z9#zcjmX_n}3Z4eVv3kFsM)%7_rmY_j2X)b-Oyn^u%S4<}%Q;AH!+l;SlG$H7M*b4X zKSi9;kJ{Pvlvo_{YcLAgiH*oZPHE`GSVY=Y8p4;+!(*5rYp%*yND1~Dpdxq%(*HJ2 zYW)8iAb(rm+!MK==sY+2JJ`b06pv4VCRo5ia87Om&)P`^SUh;}OpH#RJ%VPj#J_@8|JUdk#+D+jHW_;{yj44jQpqCJ>w{68DwYtTWoQ9U)Sl{Goxo5mGQ*hTi2TmM`zRXiVt0y z4=E`zs_zl2u_d;Cm_<8tM&FLk7X^2+d@!REkp`Yl?A7BJN&S?G2_q{~< z7)YGBeW1`N-Ns|0r@rIUSb(&K+l*O~Q*8EWLX&zK&klsw0uOkbeRp;9wU8e;U>gL{ zJTt%(CGGSiK`>9C?goi)p;h8y;`vaz$rx_li=F@AB-ylFN;-3_LWArO@gvX7JhfST^ z?Ct)rh~ArU33GAU<`$G|(~zGUz*jh0lHIpO^PckHae$EXLzv`a-jhgMNXhl<4fUq< zzVvgxJGG@tRsU4Hn&7#ZKv;Jf9B>0aGBIpqjWzw_O?cVBdIO_0D_?J%hM6L*@}!W_ ztUvioQ{#0D;%$P{l+n!B@fGqJI?i`=yk3VewjPd}+4t%(9{VYx`W0C_`O8SRI3Cjs z(+RS3jJ#0UqJD)yGvNq6j1Xgtip|q|qOLVvt80;`b{iKmgX{aXS#L9=bD<^AEq-8D z<1KeMXM8YrSA$U3m2n88rE7TC0#xuo3;loTJ@ICzfOG+^vL(eSeh{a2)DDcT`b0fg zcN&j|{udIIcvS&OyA2dxk?j8JGF~j=!PbXc`@^@PL?*T}dHid_h9mT%kGIE&ebnp| z7F&9 z!`p#kQjj<|OW0Vs`R}I45Ie*CV@3!=R%Tmuf-=rG&6?v&sSoQ6K>mvDKK^a|t!FR4rX#ViWpeH=90P!sh|e5iNP z<87U|sy9$ivhu@koA|tB(7yo5SJw!fa8Q1?dYlcOf!#lAi>W?tj zh&kd~|F2;SKHEyyd-rRXqOuL@j@L33Plh39R!qBnnDe8< z;CnEp-AKIuT0cxXYfQU;M7!vDg}SVZY4>xq8&3AOqFuC>{0i-yAtX`%Z^IzEehI=9 ze80E2!gcQp_h!t$*X1m_S8}-AQpKDi-od~MGUlYGtY5Nr>8h0x6!A2CT+!A-CrdEI z(Tkgo;uL-xZ-{f3M^_MhD~t?_6Cx13#w5hS3Jn3!J*rD9%D1pMG17ron8Y}{9WCb1 zV{r))Sg6jF5NCh5qN0?U3~^NzCB@9deq@-8`5DfD+Hpo$%d*0faQur~f)+{Cn zP183`!u>b~ZsFR9BCsd%DGDy>ne+$e&na}34Pk?k-q|$}KD#}C?f03HFHrb?Rl%|~ z))lMP+nJFxgmFMgd5L5PKE|jnG(e>j)7cDOM|0UoYsS&*U_0J!CW3=R6}hBBurs;` z7Y6hwG}`Uu?$Xjir=yUGujy#0vZAVVb7|ogCcYl2!^q_oOnf6!gH~0JB4!L$VS4&l zn1~w$=rhKKV_(MK$+fTK@8kmdTS!xoACBiRPiAb3)D&0Pd1ZBpwAEhb+F}Rzdl1uL zhn!_=v-6oT4K+Ha_zdjUyk&N$RL+dEVgMkL1K4VG2=k<@qS#S-^FqxDkF%*{i@gYq zFnG9fDehNN$CV|nGQ8Cc{dp`3>2n}9c4@+W?J<*L#<}6K?3)XvLQv^0m+)e=-Qjdr zII)O3q8HIwU5rLUSMjaLQWUwJo1D)u@!d#O{@Nu=zYnou;(IZbYggql@q6L&@cm99!A;)P*aFp{M$4vFLm z!i@LHnYbqcfrlYgI33Z@y%A_pX@yINkypaR_6TT2<hVD{N0ecl5(nhXGk5EmWjWQR6UZvwPdrzSRJZDl2cN#DQ1nuqmdqV zfSK5=3o}jLzLaKBoG(Gc%3Ms`9|fYf+;_leG`S^W+C|WjG zI&U@xYeMo*T(eiYr6P!MX_Tx{CrH4rg(! zfEd4y^jk(P^cQ&TOvHP=A>p;J&$j15A%KFh2cmEimuV?uCSc@ll)i@$i>zqk0y#y| zT`S0t#&)d4@i$+d;tH-}SR|~@!i3;DY>uiV$1J!wo3Twmx^*kYe?cRx5}QfLVn@jh zb>&bHwlIpY%96sHZEqSRCOBB$@+Hi8XY_r(?g2)$kcTX>gFYPTQc}TNU|_so7bbN$ zg*iGhJg%-9M#UWD5KI@}QeBmfA~4rD@|GaLQB}w{NUD4;R7nDj*s0V}j@q9_*H%bd z9Z@jDm>`{^Qlqr}+0#JQ!4iJ!z&K`hA$3Lz>i+f@3DtK@~4@kPA;A5w@=6a17+RL9{2ngSP{ zX!2!r358t(7a|bdNdQ;xn;R5ik4;TC5_H7LjPQXwg^Zzjr_m>XLa zq&Y9DehAG$_`85dZ@U@uAf~gUCQu2}c9TD!ct?nQd*0fm2%V!k==L*4u#F2NJ%VNz z^LzPwVKlC-ZmGDUx|}o^o&tcchk!alO#8E_xvVK$TUJRn-wJuli5}kRaBQxO9X{#K z@JO3^0}Sx{NL{5HtGT(-&KSfgEWPkU%PFpljvS1aBE875<8ADf5;l0TGfW?^jd3xy zJ|jub$71_7f~|-*0146YPIZw~QFhaQy7sQ%Y;-XCSfa=-ktzyf$9Xl>1CeSW=DwKn z4zzhvWAu;SoJ!`E-Mr%Up$>NY@_8$nG3tID?uQVKC3IIhV@Y@;gh2;m(6T6^%PWvN z!*&qcizi_CE?-_@52oMjdg=`vUlZmytcEqmuE&2&EQqOh6&03m9=d~;Z7OjUZz_s) z-b6gQ9@eepuIz`GV&|=mB9!`-Itq(p3B3@So*l8#rcKlYe5U+PP=m`hk(;s#9xqIu z8?q+;C2FXZ7-+d`Z6P`$5CI@sDep&uWN{P?rKQ6xas1uECB+_~FY?lRf;GX4A*(zb ziVs5_+(8V^86gb1m_$2>&(gLV%Z27p2fLla5k3y_MeX)dm&5T)Y`2t|!Eu6c^qLbj z-_5S)@grC8>k+xM$W?<~piZ(nbS`nF8Y*^gvb= zx+Fr1+NETY^&U%#pLV;XC1n+emI3*Br2VqzDiMxm=d4+^E)TPs7efjB^;klF3oZu- z%K@$V@aTu~k#DBMbi5r#D%g?p=|l;F$<$;r45ZZ7u$1mxM!#Z zrXQ)~8=<~I8k56K`Npo-4zW@8A_!va2zB9Z08{YI*rF)HHq+J-+vnqO9}Wgd;TmcV z6!^Mq^@KbajQD zSF)c)k%j2ic@s;Gha=i|#I|1l|Ib=cYf>Rp`!gy#0wl#n_ z-MT+lS|~BLB8n~wbb%+9U(3TtX^^078rKi4ttcy_WnrtL@R6oCVq<^f=5R+HuCKrN zS`^m^V0V-gq6kGh-ysK^ ztfB6>vivBFUaD96hfJlUvAhgWm>c+@{_#3mYbJ%sO|A5#^D#rXcTaVuy-&LlV^*eBKy z9=*{>kjSNDZ{WRozK@lmcjVIHUvN0(@EF5R9d+sOkzk!D@N6B!<91P(4*!6|cLKA{ z???k3?&EMuiecR&KzvI@5!mfWG>HNwzjW@rJq#wj<+HpcWwh|as%S;CEi#e|6uI;< z-{CEJ3X7eL0P#(Sk40BepyU&NE_Db|I-GufMieNugr9|_kMO0#U*d4eBjE=thw1+@ zhf~T3KkIr6eTFW*|Dh3tpVBCZrAR=-mkz(d;Y40zcy;wAI^2qpMd6*l%c&PRTtBK^ zgpTj>mV_^I={$WEG>QTR{*3jY{E@fhEDkp%-4NKlNz{_UZ^o+7>QCX8q-(=#STFBG zaWG@p45BW5^y#ArCq=#Z>0IC=OpQh^is82Uj9=p|DL}=K;f7)KMaQIaIE9@^<)Ms0 zY2+G8HTtDvdJuz%0)><~i3&oZ;pH4o!6Ree9|ryghf}D?m^uvn42M(Xho4Fw2L8R# zH2xbL4g(o=>3m+#;rfyKSU}0kDKZj^S~$mtuA)AtgS^jS4i};@hO8rlnSu`UF{#Hm z6n(|$8~B8tkxR$M-^ceS|L^e-?*ROv4!2 zxyYr%B@UN*IChTnt569sbQ;l~UE-^AhMnd2v)hk>_qI62$83`Cmf z<6q}+a-;PeK`cBAODhWGHR~LSg+I&TbTV0F+qg%*0y^V+?agE>6TgH4@F}mT0@hU-YKNdR_PXeeL)5&$av=7aWNCFT53RFWt@CIyG z`OlHxl`tR&+BPiy;=BfBL-AfyZpjb=0hG}Yx{$K2ogcTi^>dTNMAE;Udt8UXV30~E z>~j>*k&^2_?C%|+~st-mOuvGFs3E zk7k8@1Z46}nxR_ciBM2!7!%TZ1nB651dl;MKVnpLBF-y=7n2s7l#;2u5r2%FzYH%8 z5TSzMf>ciomJ-miElA#O<$M5q+kG}l0(j=h;UH{IYbFi}u zs`G|t-1XyrzDldg8*lVsizXi($9F_HYwy=B8x@Gp?k`I0 zvp^CWm{uI1(9Ff;sRuitl1uOuH0U6hChHJM*c6ykdQS=8qVPO99Z10F5R>#^fFT@MRNkTILB>vTdGE)}FAc9bXxW>Yu)3$sz z#~A`Qz~vr;v~6Kpb8@{xkGD!55NG+qo)NdaUp(i48G@6h@Hv6>+zTitGqs!3)JfpW+KPb%X*|EmzWhCh2=!7Vqt z*z1llJ~iIeid4m+xk=0`XwBw7&_N_V^b;<#8OOE$c}KSVg;SWvu;25HR_FZvlc#4M zh3Y?Xaf z3z_ug=uC8PuU`kwiNWsKC%Hg^n}v${g5LkIk%0lO4&#nqdU+o?p~D}g=WZZd*8vat zjuL?@Ybf}3cIPOt_t~ai)mDv6=O#5^E30NR`^F-yC@UE2SloG}whvl6@C3T}N2}Q8 zsSugL!8ITU!&E0rT{L3E*-RU3Q~17dO5{j#x3|#q+bN;E4tP#BlR6SyD{gw=YDWlV zztER(#&*p%brahIEemI$QFf05Wz);$&MMd|+N!~uY84+{^)GY1Lx>z$v6pR^*LAoq zK&5vc%D$olQ~7lv5cr&oY>Mtcb%-da3pq|o4=62n2$Hdi%nfLHApMW`NA}S=W*Bp+ zgDEe!SfApa$+S($;K%HC%2G8TEZNy*Ee|kKF z-c2)bCb!+SMRV^i@DZ`XGfH4$swMd^x$^#uO%D4qTT60ceb~sNhY7t5rZGFTA#G9h zFxOLSGU-FnLhM`JxrVcoy{!m9Z(k2Myvk#0fUFdGgsjW~Bku=)&xUBy2VTAT!0dhr zDM$O0>L3*#h7O$tJ$HVYQyvUTH6Wl2h5clR>?b5+KS8B_UV#}}6?61u$q?qffH87U zl3suf5`ec{-4r0jXP=3gQZ;{pLpGk))r=s15Fv*5ry7NB3-Q-?Kq^6?u)MIB0?#>c z=!Sl1=||Ws2Kd`rl93D|G-BQ;*-$wMbt@F5zF_0E_{E^)lL~)VYsAU+#tsve$>CAO zGnwjrS^TZZC?Qgodc$$`>lG&f_6hZq%j(%HJ^R~_9UF|)D^;(~h<)F?Ow=VC&OOXx>7OpC8T6zgKKUwg1z{Qib2W^Jf$-Th^Lvv_9B=+ncW9$Ol^E%O$4W9k5<OPR9hpeUxZCgrI+7S{5UHMev}=ZRq?ZC# zgyH!U_<{-7PT?*z4}e4y8NEOQ)y$G#ovpp%_mC%cSN z-s-hn-7>MwoopbZ?fd9gd;)zRPnXdCZk=U{X3nWQtrw%Z@oFyysX6OKiUp;!wk6|+ zlA)RoEo=NO-!DIK+@3!FiUN@^Khuab{Z1iP8JDL(p^rEor z-KN~V*F_tISHvf_n&EIL7s>j`8|Wdov@c@`gT8hDpwVhhiRh6!UsTiT*B9%BObNb) zJtJ{s+ZW5jtDj#XxYIDFS!m4sg%6*CKBwH$a+>7CJ&=kuET!iZ9&AaP1_pBM>6Ky3x@5iKqoyDUYC6Vm~P2D+sOT&)J zdNik$0B%XQ*lC`l9FCa){fXJG9(3d*jtr!9X%i1-iWkH2Jj1kfyk%y}&lMBYvY>h%!Vn)(V>frG0C2|h1 zVu7t3c2y?!>&N|Zq$pWYwdCmx&INTmHUM%0mTTs9(kRpfLEBnxqZ=N#JIa(k4xLiyn&bcOhB(U$#9 zIjrtJWiA5y$67uYtS($UcJprBw6y=-?dt9Pfe0n?xgK%rKX-^9A>HhH-JxSiy-`|R zdCOm9J#@v`C(ob49{+7jh`rK&A$S|(lK_eUFJuAY=P zEd{xI3g$Vdg0U5sHB5p6a#tJ~8#dPlP=ZWuuNOs0`FuwfX;K)AVs_u&7)#_a7{$Wa zEKhQga6qL>y?IA|pqyt=mLdCWJ;CUH<5#HXX2HwuY};qA`SQsrrNqE?x8r3mQ>*+P z@TTHksu_7(?F{`63Z!4yXyfp6h;ar{-x<&Tu#s4=P<3<$#mm1du=;cWuD7DWzi~~e zI#gajA?IdnEW^y-rr?piOrpBoHAyS|Q~StwpO5wohK5b~ zH>Pt+r2}TV^@+}ZzO*Ez#My=_4kRN+7Gnq^M$OjF(P$oo!88#s6U09E%BoZoAqZ8Q~+F}OVbB?p3D9vJZyj3qJ>!aT^oOXLg%Bk1xT+R zl>Y~PoEv$$_G`dkZY;I9?9VtiBZ*N5>9yo_oj>^;-)~@H9ui`EMTSMP$i)t_DWdoz zq~lrow_~KPM??;wHu?IbC=&{Uf-awtel>Q7k^8z=7m$;V%Z7i*HXK>%OvE2e*TsY0 z={k7FbZtD_o~T8;a)M8%{i@1JeG#fu45OgX8G0gcl!bX9=$^weN3bLdXqzd-d^~9> zC0mM=Mdbd%2N8dIW{}8!e;#(r4QJKE4tqbksvA=KR$pJix=h*ik)vkICh`=oo8|dj z#e!{H?2g@=(^9Hb=69sYOLPCV*Ij$!)9n%B8c|R=AC1gu+bZH9fkRG==*YK zcm;+@rY0~&@-jbN9~1{sr|MN>*-ErM21p+Hqx>iKG0Bs zv#1@_#hrR?{N4cZ)Or1((Oj#xj;^YPjS)#%)Zm63NiqqsTrVtGgapxWR;gx8%OU6_ zOfDv!7lw~bi_SbMqIzJdCvedH-04?8BA`h7fJ?pP-hcQ~R(>(1whrUpoMz5ZHXo1U z8_@wV>&z~$jc5QzSA2lY%Ea(rL9$BC)V9_ktj~}O5@}fdi3>8RR=!`ij}Z}t$OE%t zaKXt=ov$Pj@heay+~Yo9$ipu(=lL5x{&F5QRQ6Y?-Fuj3r_CrQ8TpQ{qS|#68}8M4 zcF2-rt{h{&e7gC!Xi?)~gLi94)12YNiO=>U8K|Drjg2x_5@0?pI!Zn(Hs(BMTm4MRK_JH|cwK;XuD(&N*{vVi zoO}BN}kxxIEQy0BbJT$A80WsEZ=guvV4MPrHd?CEnrCX zpW#`|+#X(F9p`20>!|TPFEDzoPu`2XNBg`t|525hs&X~|mwWhR(H(MAvHacBN`Cn^ z%^|tOmfrBsb%v&!XWv2hKqOU&w`h?k`XM$kp{t@1Nv2=;ID?>V(yQ&6=sZ?f-sTMd zW^ltpo`O)6Jf11DimO4ZtFl>PJPo+siKR$wl^}4X%4AKdd(}4?v+S)-HqUrdFJO{e z60~$alpr9plm-3yPeDd@cFe+q^&^r=H92zAr`k8>`LKxf+vsIOBKu90$Z#SzS5EXF z!?&C3(W&hE_6mL1Lrl^-CNq8`9|-GmI*wkwOE+cOu#3}R&cre z&(^rB0n(C(AJ7GXYuvNqg9#)f^WKVO7gW~UfK|;r8{HR_+}5ug)K;od6FH@?Y|yCq zWw-1HX4LXCgwu2J_aXX>lu4<`5{aiyRt2qy`L3DD@bATk>GI*^GY<%l5h*0nz5X<* zguGv+p3Ogeo=E5jR@hLr_?dmPyscbe+^rv`GUoFvwnepvk5+OB`^i$~+?kRPeVrp! zj4%7f0mh!b2G#z@Y!wihm~pjWe7>P&CHPWiuEy8z{PhHnKbw}MJCi2(dx>%c=T(8D z_(B0&^QDccIETmNa|Fkv=G*z<`ILyi<@pbsDdaG{BFyNgbX$VthLFQ19XI*xj2y17 zD6wCcN!9XQ$Yy+^d=|49l`@IPIOFAyT4N>~@e0w4btpwu*35W*1L}YQf$D zA^MG!o_-~&JfGEp%j8kkhYyr4h;nBxQ*$uI#npNV%+FK~wb&!nvfX0MNjo6(VKDYMp`j<`%; zLn2(=vd}Y82mp^?Z{jd9v6^|WPt04)}jMZgl+gJ6>DH-Zpa+ku}miL;4I18vY&jn;| zDEDV<&G+0^Eg(uOM;R<0Oi-K~VVklFJAifOH6gZpz9?m+0sB zzC>Z}Vng})&rQi0TWVd1;|sIwKvteqHHnu9c2?j&?fykX=tvpH^!-p)IeACn%jei* zZK46Tx&+klXGkdv(w;2Tam#~P#%OPT4ZY>45IlUKbcwxQkvaI}SIQb|iHD6k!F;Ip zDM4D&{jF=N`_=Hf!LCXEYe~G~rH2{@4m<%H#eg-{YgW$r3q6+pqx(@dNfho}5RO+) z92f2P{yEDYke1i!d}ncjORhXsnY5HpPnhbwAN9OfYQ`$2-&FF325pB}|3@O8v3PYW zpGpZ|S$U!+SG3VmV#V$hDH`jR>8@M61d+HFH;FYrj3g%4QV+A5WFY^n$9IC%zSI zD%UJ^#a!Y4~PpUCBu0afXlU^YGfZ_N(xt$8g`XeGwM23ZNv~*LZ~euuE|74^YbX_2)G+WFd z^v~|Fcjll?8hf}UcwSkZXr=m!pYsY}ZtR~f4-w-}f@@1% zbj$tdOLlMmMMGU@v(nMqLH&ll3$cFwy3UW}LNfXuml)5)Z3{l~uoq!qB-WC)9}-sX zHov|jI=ptjm%23_rB5v+_nI)wtR!mFD(vcGd$`4WD`9skE?v~SAn{FwO6Y$RdKL)K z;t?gO6V&b*Ba@d4Ce+%eQl>sR^SVArN4x(+|73Wz%VuQT?dfFE=LgGvf=Ulhi${q*G*v%Oufq8koll% zsLqFtD%)ah>gh!K?EATZmkB&240uck5Ud3Q?DrvomwOeiy*_9?qR^0rsYhV;-_Is1 zkaCee@_K)~bSkW)zN37b(B^49cuf^*1bF7CR%HiFJ_+YG@cYWYFr;A!68RVrJ>GEoE?+* zt-u*d)x_-Yz7ne)LrDrN)2yn}TB|T2lQ{C%6T$gPt>(?|cPk9aZ{io`3y$~CqtR0V zXa3Ru1Vv6t8;Vek!{P;uH#yl-c>xtFC}E{r66RT(?B2)q^Bc1pVPW~RcuNE?VxB#E zjvSa6vF-6b$uv8pU+Wb~qP{Wrhr*>s-hmP2vGK82EtG8Sd;KePtV$wAW-FOIAjXuk z+5c4Kx!D$axBA#B1%r8ipU;amhhl-Y7K#og3g~NgEI#pr0UT(1TXT7HDO9N zbkZ(?;Q%FtSMDXrB|zx;z0!GuA<1i`55XR1>jNb^71?(!M>FCS&ATJu-zE<5P`lP9aAi7F2sj`qiQ2b_z3m-#||l?>&;Z*%8=QY8pK zb3Z(H{sEZ?6C|;cVNyE;tKP&tZgSB0yt-v*D&KqgBW+D`X7GD3%z+4=}?VHAAm{e zUu`!&k76z2)KqkJxh$8^dZo8Z(g78t=^5tP!-X7*{u6%zz|fIk25k#8Lp;!`2=| zTS;I~z4++}cz>%eI6UePUAc36jU@~E?OTkx^r6^ij@-1N7#Aa}A++SlUzISo8UD5Z z&dT0>%>{oQShSi9w3D~TNQf1Y}4zo^dTzde>hLtu5 zsw2oY!}2x~-PChtd%$`V%rs#1X1r+9s_W1umpb3hqGdk02cI|rkCfQ`+a)f{Cc9vA zBb+r+r3KmFc4(G^B(MB>E$G3o(-;&?zikjP8qDvu&J%>(Py0*dridPe4LS=Nv{WMB z5LiB7*N+VKRoTWXB6iu9Yw0%#xcp{&`FqPO9mfmyk5cJBm|vXX!S*-R)*wF}6gyFa(0mX| z$my)=vq;uEr(X9-q(wcu0Zvd54p~)KOjZO$-U-pwCzvhmc_2YBV?(se$D1p3L8gGA zPS1XVW1LvaOqiIvaafFkN5T0iZf6?l?jF6 zDm0>NZJpzr(6(ddmAC|N4{eXeOKANGMB1xss=9S>$i2T-65!5;{%{zRaVYD(#Dmp+ znOd8Y4tnxx&87~|CF`%Ockgt-(9*)vNf^$vv$51y|-- zw|P$vMGI((TuP6?j{(;6@V9yLqx|^p1D2;B6`EWaSbYY%w~C;o?Ohb}CVP|_Bd0n1 zRa!+?$PMLFjsiV2%l<1Va}xn~yG*U@;K$%2NG#tee!*XG4CJv0{|hl`iA}gPtd@X6 zUX(?AmRogp8#m4K`!U^vi*O z9jeLnr-oUFxSD+}S1X2Sg09pX+iEn#CA(0$?Z&(;^jX>5!B#7*%t?0p&GU@CfJ1fD zOHOsmTU7VlJ`{P=hY|>TG>8ZD2H!%py6YhWcFvt(;E($e5y8C#v%neYHV8y`sxw)! zHe?ivUhbh8cHx>2^co$DxZ}dG=E3d9t#>l}kfG+R(zav8+tWGBXL5UQ_;zyh0Om!$ znC%PmWlxO-P-E_sSfpq7t%Em^{JYx>`GBHH;t5(KIa=AgDIKbPAZkcAa>GpuvD~&L zAQ;j}ckDDTo6E-oG$PuS@32$ZEcWgWQKUE!BFmL(H2k#1+ymO%)9)#ws~p}e`4b{z zgf`o{QNYkpIARlvIz5x*v@;jeMO_S2;#+TQfaGNZ6UNwQ zD7hxLh~8Q(U4;d^CikuQcPF$qe0uWUjEjf}-wPeIvYkA28(+QGJcMsJ6Am-#$|7mV zyesN`K`ZK^C<;k!S_6=D_qm??04#068t)A~@dU$;Xje(oda^CvS^_PaNJJB=bSU8A z0!YZr{!2-=wS;4>k3T{WGg5R_*{ z19Fw9W|J@lK^tt-eWz7cTsnW# z1Z?a`rUXaEI>~KkgmnspRgX+DV4#OhDuI$$dEZ2BZW0I0*y`fnwptgSgu4Ji56*zB?rbQtRyB8lvh&!NOR$l zGBUG{p8$q{?}C7c8AQ|%8Y6BE1)$}k92fvo^@G;~0H3bA0fnXn!0G|(MfEE%U_s)K z{ODaC>hAq968hi|fd54b8VN_t08m&$RZ9kvj{yPqLwa$BBO5q=BqGy7Oa=W_NCPqK zz(>ZBSbled2U4B; zpG`cdEqDt6Nib#@kjAkq>0-J7AA{3>xw;YsyBFY;kz#o#&Vbcfcq+jZm{;F7Qh67zeJ&X1TD6Gcb|;C+?X>~?Xx+b~@j(Ool` z006{fL?y*0MIB3z0APjx17oAoBGQRzNioU0Xia5}qnw1W*#9}i5{P@_VzPFLCzYd) z@_R`V|3~=65JO_pQoN&%?sB*Ohi0b56JsJW{@?Y3N9xc@oE z5~7lJ--})Qf3bfNvC2i4OAzVG99JWVdu6?=gyLVS|MQDTk0TL}rer4nu5Wivy8#|o zesDb~DZA%ili0MFnAp@^rlZo{%@nd-dECtd3R9~ba@z|zQn}-1BwDBTf5s$YO4P2Q zXXSo(L(xm>|Auhg>jA(nRpz=ILFy}?xSK*2E1B+hA)A#I2PL6G8kKhs#*0g6{4YpS z(tpGFRPOOG6zkFa7ymDuTN&$N2zgz3(ZdX)U-{a@1QJ&X_1p^~JT><`$S;@r`#%1A zey@^3ftUOM0EgOEUJs1eojEU1Yj=Q}L0XWTmA*kHyQ3)#QraEOon1t>a&%YUu3QV! z`rV^ku;Oly`+}7qb(JBz@>1oAU0GjQwJR4Z+k^dfd%%R4kbf5s0FNO5=G|5Pn|es( zck16w0D$2Chv(ld7Y==`^_!3UE&e_K^|$#Czq_}&d-nay{Z{_V6MyrX(768?ApSoF Rcm5NA@E;!blo9%(na7LLo78l+v5-s(tv<7zxT8D9vHfieqWzIe%70r z*?aA^p7pF}J?mM|de+);tL|aHVb$vWY^=`XDI8MS#Mqx6XH3uJe-9mG>@i?3&<=!F zG4>j;5BLaZ1kw*NHnWDYHMNW-|Ch0EfvG1LyZ01h4+2|&Ujx4f{tozm&X@uC5_tRz zMt1^ z4vYti=<^(7H=akixE~DE;rq!8j7h+;uNa#kF*f58W7~jt0SA!oWbAi9Q8Q!HzhUf~ z7SQr7V=M8zeHZHY6zT_D-p$w)fw9klfMUjW0$%{C62_c%#^(Hnu{z*uU|1<*6M@;t zV-`N=KFgR5Soj=ce*kp9W$bPs1?U7G*uz-n?-*PDKe)G-u`xi%3&;~#1SA4Qz`KC< zMaD(~lYqI%|F}|#ZfjPiYv`;2JF9A#c##lb^ zDo_o43l#4I4}eEQbP!Bi&7vL9)GJ$X)5||HU0FMDL0)GcsSVZVBAQG4hn1OA; zOTgcNPl0B@4d{kMgpL9x0P}#gKrXNwco}#f_z3tCZ~&KqQ9~m_?*-NYCBPYA@UV!` zu|Nj!Z{Qrz11uUI5tVKOpL?9KY1_bi;IB_G<^d+R3JU7!wd z0)u`HJp!E5?hU&LOvd-Uz*Kyn0n7%Bz&(HoxDSY@&uQo@(@{Q<2|NiLox#|7;Pq(6 z@?scUuz)e^y^Lib{lh>e@WwLKWjSaDK3@TyxstIzu3{{FHFPhqY7P1f@WO-0_aVr0 z3iL1VWEx{H0)GT9=AnMz&)ZwkmmfnP03HKcfZ^cj6x`c@&*dy4bSLmG&;txsMTDjS znLr8fJn#?T1n?a&OdSz=7q9}z1O5Q~75Er92WT`Ap*I1Ofd#-yU<2?R@CHx`Gy+{f zm^LExR=@0M7$|0Nw&V2EGPDgVDEug}|f04xkwL9q=k}05}Ys0y=>~=-amfk-*EE zypj{uDZ;{q@lmHe0F3iz%rAhkdw}->@jVFR127s`1Dpa_FvhY$7$UWEzX@Z*NXA-$xuY1{2>dV51bh#K+>AaB z90a}qI)Sv&7_$Kp7<3EzGVm7A1dJJjPw@LRa1OW#Tmo8vHoygR0d7EzzUL1F13F+R zFaj6_i~+_2;lLfh6ad38s{%d&z66>97cg9fwoyR_fP+8{a1{6is0U5}O~7g39B>i1 z1hfEcfD7mX+<;mQnt)(H2Mh&90Hc60z<3}WxC591Oa*2DvjHP;4`2fB1LA=MAPHCn zBm?V!4B%lP6UYHRp3v+8^GJZ-+_Mu2Y?FTAW#Dw z1wH}lffGOza2hxVTm&uwEkGOK0=fV zss0DW-?3#3_v7{w{FJ8dmc%|-1XI^;QxCoiABGgP>^|I5Wa^4O zYcWTEX?>u~9&li9Sb4CDB;d;}TmotXRIChA+W5+o0pgf~fSr{PhEtY7g~rbVnN{n- zrG5GXde$N|uUr{0c5U*5t5>gjVCAR$nOgtP169oVfj46kEu-|*7QxhsVujCMFR(=1 zwmtHK;fPREcd@QcG<6D>ks^Hy37?@-2;kWmDqs6N(6Pl+wwu!@OX$2UrR9F8j>~?~ za74Z%+FUwml1#VZNO+MJwb>nj!pjG%kZb4`p~l{Xg2iOlZf*GP0J&hHtFLIuna695 zVyWWxMqW+JjI#W&qU0`nW2C3(DW=+Oau?*gnN{Oh>rtu}!g=;r`RO8)dv}0izNa9+ zYqoU+Xe(E<;wD~qF^^~{n66TuOXj`JDnC~^hgqU|Q9W`|1q?++&&zo_dR1PDQhPOj zVt(%vpyE+afyu3RJnSXLCi8i09&r(wTHh>6?uq;wIolgSKhHEJ=Wz66d53&6HA>&u zlqW227GxsFn>@0%7!Cb!qZQg_X<@UjB)Mfo*)*1ju5OfuJYJH~^8l$Fu9k*V@BYTJ zG70H~nzBK7?tKr`h^bxB+E0ommk@xyo%~>xobM>y|G)w*l(d2#I4C5y+E3P+S}^LG zS_D(`&U(~tH!D+vWLHtVYoDtA>~2%r&U(w%G7YYE7R7hU*Vy z=MK4!i2V3FPBX=o|3cX}GW75>@m z5h_|dYm;9RP0eC*s}KMRs+0YR-mbODP@G30c9jmB2O7+|vxnCf0+53}VbX zpiWA+mI{}JE69Dd6$)9XK?nH^zv8T1DVtiN0&+Bt1u9+?2#wO_`iWY>)Iy0{g)Yi> z9P*$}bc9&h6%kM#hR)I=w00!7ASaDCr;drc&tm*AwZv>^c^Zer%653FQICLfe{WVs z>Ax1a+*V%hQWfM`DaI(h*LM#@1PG>9-zQ(-cZmp)1tNxmh*lz^RVQt?a0+qDOGGP( zusy;l&@7gr=uHb|+fvGP-Wx_~iBdA%P&nQDm{BtLmOKI_gUveW=HA@AB@>U)&@b|m z_qf3-UdgB3vP^TEA>z@MOA~|-sXyIuVdoLckoWvqgET?IrfbmMS3U4x)q7gz{6?`w z(CMWs*`ytKKh_Edj&w3%!gh5~8Cl7D+nd70P@&3D9}$Wyg=3^A-m;cLP?1>4)F^$O z?NuCdrlbgTQeAc_b$etAox(FUO8?HLOb^O=hWBM^l#;Bxt9vt*p3PS3m!Zk{lvxIKpI~`iCl$iJ#EC(8>#iTY=b~tgcE~}J&w+dB4op58hhDF4;8R~_@ z9hd7ILOg7WHsL6&kx5{=ssa2-?i9MvsHo%bvbe@b>J)AilPIAk$>p1fBs4e6%O50b zMgCxQ7oJ_spN0NRf+E3Xunn{{Q`zv+)*{_8|4Ewa~Use)(SNx$?YSG>k>dkfMNx1HJ^KPWvm1i$}bbV!$qE&)< zhb=Vj4qz6I;}}DF(k9SywNd^n2jwmiI`?7_ts`3wN$JDhC|rIeVURdt*&x(>NM`wd z472v8_t2}f;xaX^-LWu6McF;YA}f&dj54kql=F_Xr5ghiXxB)s-DDN3843Pt zcCsf89-Z{z=2BQFLQ)Gf7*$c|l)iLRHREp&u-@8WNKe1XbU~+oTI!t zwf?(dW%i10+%YQ8k>^3pVARPrQV3e)g-1w^6QM{?Km}-F1BXm{jcI0B7h4=8S` z2^d`-N|y6~H^~}7PF@pmcX^l!mK&ufrg$$eDj!Oz|NMj0_m>Yt>ZgC0I<9;OrQWKf z7BL~{stGWc8%a)6`Bh=2B6-K;B81DNu@=fXQAIS4t8RnKG1F`L@~HvzAAgz>oO4`o z%g;h1ay=Z>d#}dfaTAZrxYauIVej~*uo04G9puwC$Ob|{c08KjD1G<{$zKw0?>eCd zQXsc?J8$pT9-;O&R4UEgB;{V=*XG-1T#5gZ%g~z>mttOQIxCn?3ntj@P=U}ujimcN6O;b)j(@|~ z4K;?29TzJ-h7LG%Xc{5iu(1@~iugHbqpaHlV*FWNkWf{3ev0SMZ9||8s@0Bt{um@= zYb9fIxvu}D#3+5bLC&pqgRiyn-UgmyQGnq{L$N__?_9{qp4S5l0e|E4A(>2ui0GNI=%3Z6iF zc&UAMeJM;gY5_sczi^J)>Q`&J;PLpBz1ds#fV^C|zL%Hhge0<}?&_t5SEjeC8Ks-~ zo&LOR_44wZm%8sWc%6xt*H9UwRGlGL#we9%$Xt*sqqvHSv}k|sTp?K~Zt5or@c(3Z z%YCA^T#Lqo*(=6$MMtE{cMKlGks@;XL|!0~sv(KGSofJunveVP40)D90kdceO)YA4 zEp!c$y2jbweqlP%zh9tXl&8DXktt0DMAPZ=8BB)_!SEWKEB7y_6