From 88540434895cd9c79e8b2c35dda149adad428462 Mon Sep 17 00:00:00 2001 From: sparky4 Date: Thu, 15 Oct 2015 13:14:54 -0500 Subject: [PATCH] ok getting there! --- 16.exe | Bin 44915 -> 45052 bytes 16.map | 320 ++++++------ bakapi.exe | Bin 46279 -> 46410 bytes bakapi.map | 324 ++++++------ exmmtest.exe | Bin 36374 -> 36375 bytes exmmtest.map | 8 +- fmemtest.exe | Bin 36159 -> 36160 bytes fmemtest.map | 8 +- fontgfx.exe | Bin 42126 -> 42253 bytes fontgfx.map | 300 +++++------ fonttest.exe | Bin 37002 -> 37004 bytes fonttest.map | 8 +- inputest.exe | Bin 35874 -> 35876 bytes inputest.map | 8 +- maptest.exe | Bin 44689 -> 44836 bytes maptest.map | 302 +++++------ palettec.exe | Bin 41617 -> 41737 bytes palettec.map | 304 +++++------ palettel.exe | Bin 41751 -> 41877 bytes palettel.map | 312 ++++++------ pcxtest.exe | Bin 29924 -> 30060 bytes pcxtest.map | 300 +++++------ pcxtest2.exe | Bin 29943 -> 30082 bytes pcxtest2.map | 300 +++++------ scroll.exe | Bin 57254 -> 57555 bytes scroll.map | 1020 ++++++++++++++++++------------------- src/lib/16_head.h | 23 + src/lib/modex16.c | 20 +- src/lib/modex16.h | 15 +- src/lib/modex16/192x144.h | 8 +- src/lib/scroll16.c | 8 +- src/scroll.c | 5 +- test.exe | Bin 21429 -> 21569 bytes test.map | 248 ++++----- test2.exe | Bin 23170 -> 23322 bytes test2.map | 246 ++++----- vgmtest.exe | Bin 40389 -> 40390 bytes vgmtest.map | 8 +- 38 files changed, 2054 insertions(+), 2041 deletions(-) diff --git a/16.exe b/16.exe index b4364f91c6dd2884dbf0eac1552dd60c742df0f6..89e526cf3003927350e6a7fb0f19d954ef73e5b9 100755 GIT binary patch delta 44722 zcmW)n`#;m~|HogOoy^Rf&y0|qLe55xBSmt~Dd*%I+vvb+a>xu(?^HUG>eD$&P78BB zb#N#xBSK|{mNatOx7+vn;krHlg2(N8-tX7r_ZR5a6clt1+=2uE5C96?mlX)srTz{7 zf0X{+a9|tV)aBor|D3j_^6(Y4s1FVYN?33tx`es?``(rTH9-QG_T%F7r&uf&p^B7g z!2pals_&q$XMmchkdW{(an-wZ(bDb?&XB=pK$s5%xVC9wXkoS&)@FfEPQ@F6WuPT$ zpOulZmB84tjClvlmMmd0reM7Y7ODX%Wl_4fgk3FofTGDfLRHMPT@Y=AEdg{D@waqr z{gsl&y*mJw@dV}BG-+DlFy+(;0dl$~Va+ymlbN$7WyvtC?ffQGl~IXQZ!=d-IrNA!V>O^%bJ@?we+zBW=~Conk#js4kTmd zJMb|#nLobg3yNcQ0z=#fxr3#txOb|ui)CD*vMGe}HMjF(F`U-$Iz}{cr ziQ}<65|A2QJ>qvZQhSdTft8e?WTUqf^*a4TRJ^*m)$7_M}b1RJ9lCSws5&NAIQ zvO`A5nO(*i{ zeybO3=%I)Xx(8!I32k*acQE64wI6<;1)t_IVbg;+ZEX#y3H0qnTY->H8=>#BThQGU z389!-Vuw0KUZB8`*aJsBuIOB9!_Cl=W^VW&*#aJnxv z>O_NFFVd~?uRMrAN1-5PkW%!0j7RIx(k)^ZdP}va?*%YR#0-M|g)prGcpgD|N4(Gp zlG6iaW1JC`UMOyr{QX#ad#q@EHp8KQ0zL5?Q)Hm}4u+dOGe-Lq?`Q!xJ$|M~1U9~t zCm+emIcg9^<} z;N^{w+3h6LkpM5ET+u!RHKc_20_y?N%sG|<#*15rt|EJ}DuSrP!6<4bXQ#3AM(A~Y zY!B_Xj!qU^4()KgG(Rg)Rp)FCOFYXj$`Li$WLP zoS1*!V(rIDtJkfGSb|$?Z&3IG3M&eH%R{;G$M(QEIHQG33Ragmg~QYejsD0RJ-WG; z{e4{k55$>W`aK5o?)+=uuo#DO1WRL0L-s3fEx9|jLI~?W{M?O>hI{x;9(uPqM$EaB zhl{O|?Qjqz$!^#g&rPg|Ua7bLwz|2n0{hATSw8dS=v?&o;^}>P)A-u&E1UdOBw6|= zWhMQ>D`P5giF=e<%NNwIqE^`Ha{`0ajvC7u`7e7alvj_gT&Hts=OmBDfbp9I3+Vam z%|!*M_mP*$i;d|W3|S$CmEMkuOV5St8s@teih0DDqLG3(*UxvLI~#FdxKLosq^|8# zPMkq<*+LWW3Ce&{@@KX&d7QP83Of-e_KNb^SyM9keNYUk# zZ8iFL=Ogv%8CP&BIqvuw`YZ7FMY~{JQPe#^~&D z%*%~bk#;sx=IE#Rj%JADUA(KKo=B%B&r?wUrNy^?db>pH3+l&+Q8CJ`#RM7DSJwn# z*U;wr2E&|_tN(J68+NF?!WpFD9CwQsqLvnzDSYv~hW2`o8CJs>mTt;`0*G}9^N+C9 z2GIvbBga9rj%=vNvf#el>kzv;Pd(+{UT!>k=nYIkY7A4Tq31}`%S8rAnXM~8ubq7% zPa8lC%EY53?H6xlT;F;wkJ5Zrpuu<_t;AqK0ke}G$K$m4wEYa26oMTAlV(Ns^Yo5E za&ck^gjkGuneZDs1ys*&cpKg1>A>R zNr5P-njoCozfC|>u5&xT5=bqu#vQ@M&?XmQ&Ht$s^Y-1}>Ccfn^+ZbVnn0f?=}`+Z zP1-u)fBi+{4&ek5$I=plkMmdE^fFH6fVXrYoi7$hHnWjlV?Cr5Wvx zN!!#V>`imddZw>~GQ}?y`3WwCfZNf31r#C6=W{MwLd6JXlEGpgGoh*)bXru*B(6d zR})n)8+LnWptUe(|38Uwv*qZHnVRuAY0-sAzc0$F;eSpy6dY-M_Umwu668uV;_p%6 zn%&T}3A6C&CBDZO_yo##2;R!~o0-3!FVv8!HUr4$KmC++A!k3_ug+DOghgYWU=ArH zbK|@lf0c5-DdZh*(gx!q#^USAi0ns;0_5XyWNRHlZtd`gxl~f$$h7OU28j`@B*u(F zKwtEmzx*US{p@y_|6UoMslbERy3PYK@3OpCN^keZi7M}eq^S~uNZQwUj4NMvwh|Zf zF_0-5lH)31bIc`eN}y7CBDN)&QeV%ICnWR?H4M9WDgrv_Cr|M}vrf<3gg6lwmNc`3 zt(MZeeCo6URiExd*?E5L(6T&3&DuDda%ucc#ZB<<3xIb=ac z;|>mLn6R5%fyw;w*dQFft*54}_iJRU+Zl2~5;S@M26qkG7zB`daDjfO^8K@$j$gI6 ze=m+n*k0E=u)g=WW6%E7&W0iX{E^Z;Ez9gqgrB>{0jEO@`?5d#$;Ur;n}SOrn_CHTGak4yx)?AUfK*j!3knK6 z8t`7~`b)zgH*O91GHMR6?#<3ke)xb&S~k=GuX}};?Ah)a{yscRllvIk`-Dj=M7DP% z9pJ>lTlfi(#`S~h#(ZLSiI>uew{mjxVp@eT3a$XToXHS{h%D*t6-8un-uWjoGomEe_K~@X^8Q z*~!a?WL}i@Zd0fx5OZuOP?}sG*GK5z`A!97Z)TPe0@I6g_|PaLgS=NKPqb*^IdSKU zC{gO^kB=l7{x}-%*LKy1K9tjoLOikh*k;`$omS0DDEzr`N4j6yGFskj#9K&$^jch5ek%Z7*s&43 zdp=bJUfBIwU_2)W`uSA-BuZ8eAE-ax=}%H{J*7>d8h+@gC-5|@G832QA*->ADXRgy z3fS+V>uvl(4}J}VJu)r4qWu08@br#wJ-9Bpet7jpvcpC*WCXgXx^#bKv+CJCa36o4 zz*r#gZ7GmkbSFs&3R&tCLca?K(~*-xn>)}!@qNpWVws6okkGW;j4etS_sYh4x? zKyT8AC<+#k>dNIHJH+#)4e83jlU9xW9E#7wk5YNNx8=fG&!TBPPp4u_yH*v!rz#yx zh#H%28(O_$TAM)kqQe#fJ@vDJDG zFGM@qiq}{iT|qL8`;hSh)zHLCPe=a}`pV-7*I&V?!&nq>{4>ukAokjZ=3T{=Jzt4D z+EM)G!<|MXiBtWx#2VP9gfp<&a^XG*bjK-qnY$|*Y90os%4$0w@Aw$awcp=lcv7Pal7$r?E8dQ2&f(E8S9 zeh+g@2Oho*O&qx1$={Qj1Q{K`)v`AZ=qFhv<7DZ6ptg`7KTIPP6>8MKsl_|i0tILr zxiWpreb{8r8U$T_*Sq*gV`J;bi=e4%O#b%&@LzBzw zqoMjr?TP2BGKx&^LOs zcIkJO#7On$@WQXQj4p`EXwy|+MPZ*i(BLYpt5A@shlsvm6Vq+X+{I+c+SX$|wRS#Z zQ~suZ3u&IWsYOsaFLDi~v@dTruKX>+?aU0AG5>Xkf8%__4~3lb4b{KJHB3ZZ6bo|x z2%xD0c=LvL5FlU^1<_vv(*>W^mZ@rI)L_oFa_=9#yd5M0S&_4tvLAc4A^ppfVSD8q?T)#?&u`_!;8}Fc*E%w|OQ?2|?2-$EL z)<8DvwGG}lZ!c7k0&zmA(Jf(+GOewBbFt#}irqz+Cqk#gxG(IVp2PQtJ26#)pEJ<- zXR(d*ZoKJU#OTwPq1Ve#xs1!R^r{)-Y9b>vB+7ye95g-}iwJ9=vxur5p1dJKT$0c<+Ju zp1199s3)U|0DJ8xIL0s$B>=S1_*ev5B{;bp0d zO1x}G{)#}rfIEl9-}wGU_ae;TyJP8!cK7bM!baFj$LO}SqVe!nl;O8#LGUaCglGs8 zJKXG_7P}Qd|)|T>2R??oNF-4@EeoplVl|j#vG+i z&oQik(t8YsQw3FYCcfcdy#~Z?LJzAdssYW~N1WguHrOXbowx}s&#UHXST`GfoA`cI zT`DiL##mh+IZfs}+O_?Jus{;THSG6G-gaXctT|gUce&YaXvmT(FMl*dW1clo|zh~R0v|mV{C}9F`86hbVm}dLBNe_`r;qcq7og6A6 zrQ73a$hq|n@0G<=cmcD~?I5Mr>!>EZt1U$wXI8#B zs4QIG4IVyo{2aJbSFLB^cL|Xow))~C>S4e)1UsD|l@+a#`_>Sj8i?tMnCX2+oK_3h zsP`Axs%$xJwn2@7GLj1qI zZ~0nOTk(3D$p?{xWmZY5WHI&CY)$)DNgg%|Ma0fn7qH6AlptI6rZCPxPw{FqtnEzW z5M&NQ)Ojc@hbfY~tbxL7*qM@?0U|WheQ+z=G!+Ao<-%ocP>nkh&JuH{Bi)uA)MfBz z^m=5HS6I}D1DA%$4v)ZoJ?iR!Qc4b?-r5v@kHTzROhoAZ7Je2-<}-6f%QwsCNv}|< z#q%I}ZI%0#i;aAEce$IwJJ~@hk@cDms=5#owD(&BM7ReAp(cOa$`;hH@KoEF9jHK^;C9hZxrm{Q5?Wi_7 zW$y0s0?)j1e6v4HV${$t$@NKrV!?v|ci_S(q`lEFjCu0$SCMf2*Y;L0l7s{lG(1%6 zntm`H;(N&{tI5KN80XuZD8ObgcS&DTC=73XVR6?0V*?Rx68;BXFk%VONrK~~SbTB} zK5ZkJoEjOuOSvs7I*oK9=~cLpc#C6d^zltZbcRA^bW|F?+@M{~{*1BC5xJ`Q=o7`2 zHK_;A$A+_$qHKXQLz6O<|E6FdJ~2Ec@<3V|&T!Mo-Ck^OT68_>WaQXE+-4Ff;Z)pS zz+We_bjPe5NuErJj(L`KJyzSi z;Y36eIYnyf77*a>owyU7Xrq}xMsFT;&rNg|k3Rq_bJbeL1BQwClpJs@y*c$>_$S%J z6ugmpS^XQzkFwE_@PVj?s9R}iv5$r6pHC(^b&!*kf__06Ku<-og6VO#=qahHM5l(N z6AsIfk+JoF`f;{&I3p`V7>EcT!&I|VMGTuwM7`N^R&UuzQl95N_VMWV4(XZk_@umK zg|--m8i2a0Kad!93VhpxXd%zk%a8*NV;Wub@G%;yOZXH;)XV%I&S|OUF#JkhU(#|! zv|@ZRCH7%};)!IPBtY1E{KV;0rduM=o`8wb$3#{!bOZm4^?KE%>1td#67(r4Y^r!ztk>5~SPTSE+i(Ca2ee?hf%Ek!IPo6A8jmW@eG( z_5tjwgycBJFg6FfyD}pw^`vCG`Z(JqAlBJW^4S{Rz+i6(DI-$utJm?Uw z&rcr``lL;YA5VL&5*01ua$?A@9W9bf`k@rsEvWS-XJ3CHel>CKQ2V#DVNrQ6<%^06 z5@VcOqQl>T5^SDgkYVB)mM9(QNtgIqGwU#}m#TC8xk$0H(%nzFRfys6S0%lPPcquA zPSiw4U8f)YOlXKVF<-OZXP$@}G&MCyPLRL<)@|?0RCPHqT}gPjdWTU}r^6P!jgxR9 zY8C6uhVPaqs_zL(NlIoPkBn7>KWY(eOP9<@Omn4!CIMwd3>lZ`S`u&ZFqzar6w+UQ zb@Y$k&1gbKtXVzY>T7wu+^LU$($K&~)Xa2h zvfFnF@L`>n#pvIvjg*h5yOL1$r0HxeQn!5B za>M?0)rpuBs8dcae5AN#6}i}`p&KS4i55QcKQ`Iv$#<~A_@oPl8Jb^$v^68gA$w@F z8`KvPiHTIyM&!5q(QI_uP!dbuw(hPpBD&OX+(`P2W+x|{Qior(0Z@UimktV@u01lA z3gX43bNO=Qi_%whaKV>}fihk1<*wwOt;udtJFb=h##o#kR)1!AQ^e=^fzo&{v9uGl zr~enGL2UeZ)%$m$RpMgZgNOaT#g-*`^>yw81K8JPnL?W$1oD_d2 z)+PD{mNmVvTyA&0A9Gab34(^y%n1=rgT(y<^#;1JX!@%^jS}JeY@p3lg*WClZ`Jsz zd+03GTPOT<_6+sQ1BFK9HbxSC@PA^Hb+*F7tG)TFf@FIR0?0xEz};!L*qvy!S>WGm@e*rCjML;l4J)d|MMK-gt*(L+rhRD({&r5$ z2%pwjoUgMpzpg4%Tt1HUx{~+P+E;D0QC;HQVR}AsM?f!k5-_kLR>CbjDbM$#_{D$l zK>@Mg5G8?~cZib0E;>ZW)OedINXp?UD^iPw%%?Rs8f0X;kucD6SHFyBuKYM{ZqM_4 z-xmaao%8X$K(TmI5kjRab}1m_OR!RanU|ts{H}slM^I7i!2`g`n$JwIUeA?1d={2z zROh5S7eXoQOzc}PV7Z}BeB5}#CuROK*)G!T7UHVm+S&IazSppBYLi*{UV>Vz+7~3i z6mPj*?kS)qfHO36^JNf z#EutX#xS@7^N#c|Em&(KZr9(6#Ggc(N-TQ52ob0M?a_DHeGH8F`ECV3&SQAhxGChe z-~3H!C8P%BpT3rsP_tF7!!--y0gneFPa`*}n>Az!@uOHaN$pl(=BuK(tMpOIKSBM8 z0t$kb9k2`YuBh`!PL;67BblxC>O%tBa1r7Y90Zi+CX^y97vcEG9KK z-_bz9Asq9K&rlkZm>~G^qznD49*E0dE_A-FZ6C~_SQ>f@A+49QEO=_qA=$9Ej5=}p z(B!lrQMcQ9>KES{mfDLVyLd$wd4h5ORo{8)cfQNSE@0-M#2Na2`g#U~UTk-2s-hR*ZB(!NYw=FSKy`;U+8={T%*>6YG@ z@s&+-6x~VlbTGCgG0_>rPN0Q|UOyTA2Cx6O^*9=oeJNbB0%uhr{}8VrVO zEsJ{kM|RUq=nsl9X0@>UEHSl7a{6hwIJcx@Lj)e_joH#+Y*%*I3 zGb2FO!^F;^7x!9GyJ=7^Rs(3Si!jfAi-13LNTK=ODHoL~w()wpvUvw*Ua(Qb94A(p zWAa~qbkJdZ8j~>&2eWqsLTA}->sP3w(}zD1Tlhyj$CTFc99?Sc@*^E?s}=hnp(6FX zY!rpAmUXy+3%weVU*rvmr}(rU_}k}MB|gMDWf8r6t$pW}OM{GQ`O;`*O=y_8-T^x= z+g>N5V7}UpN|%zBvietqx*x0-siaqNSgEH0V_$(KxUXb&1|tm>Q7qOny(Oz6MsgOl z-iApGt9aawkuY0EN1q%!7>-a6VQGagGi9DPdP4`0u zNRyVWE2qRNUb$M-acD^PBHe_tEo6=qNr)9UFu0vZ;K|OAV6t{ItC|G1m zcGWqRJkKPmyX{;dv^RyS%9Jo@7iw^LNMjz!oAzh-+2$mv&foKr``eEbE9-GsEjd8- z$7+XwVkX%nSqh(MVoNk?Z2k>D*)Rq!YnovIg;Aa&nt?fR%grGv$^@4xyo=RQ4u#4` z(D$L@c>3}QP@go{yz>XF^BBNOoGx(i03ac$C@$u)xxis<)j(cNzq&u@6z!+YoFy|* z2zdu_9g0|WrcYrD7F%Mqy3z$vu%jpx1g?Z=W$n zj%(rKmO&fH8Top+`cT;2p%X(tvN`QFUAdY$F^^?&SLB{bwcA0d$5MK(r^qoKR^5o> z?d4;#V^9Z97va_waXV+mH7S~G&FAhjo5ET%M@$W;MfxbDe{`g#=#mM{?RMU~WW0cc zPK2VGWvWa~FIB2l=|f(Dq5B@hCT^d!$T$2hXV_+X7L}kNJ-Kb=`SXf$+MOdxbaT&I z^05qQi=u#syYP8(;WhnTg^RcXX<6S0Ra(^FeF4@Hbn^GfX?i*aGh}r&YfMh}UaYhM z-eW^n&+>~I1yY{3Vdc38C{N?x51llHn9}LTw3S2W^X)Y%!xvIPOl(CMp~{qJ_uf7V zZOuC7-|REZ5}ApbsU08OU!|&5LJN7ZIEWT^d}l!qzBg79-vGj`iQ+RPf2OIC-pBa^ z)6>4#ko)ea@k71vBuz0o*ks&doAsUEf%hA%p7Hp8as)wOq!H(3?klmsbE20+LF`FS*ek`Y(tLpye z<6PX)Dx^70v9&j;0M>{{pi$Nn=Ar6=d$S$y0$N{|EC)zxTO~V|Eb?FI^ZU2qBC44W znkOd$EG>!86t4xF8t|Ce9N32+c_FKSVv5nHm0n~TCO2yIBzXU{%&Z}s^19}>GigO^vD zJ}g_H?W$JqQZFoUuhh08$H4C&K37)+umT=m07zI*zkI8zh){ExF!Z^z_a*`5tiR%{ z2EXqe9cy&t=f(u(My61Eo`m?X!w4ZYmX9&sL8$BT2k+f<;Mjd3j2a?GHWi_eO_@q! zI{UK`LggfnD?${0q<4??-=q1U3WJ~ly)jSsyoBgLS>$X_ywgIGhGRyflE}y2(SjcB z6{sZt;NS&rvuZ~IBd!T|o|*{Gl>M*7IU9W^21Yd}2b<`S6~%WT9NUt>M^-x}wy@P( z;xxXB`8MZ>fRp$2(~~m`r1S$fCi1<;7qp781JvxPV`3EDCMLimLBJvSgInyCB#Eag zx9t+D@3q}kr4oPf#i%`EIu_|4^$)?G_UOgx__gYtlSvq2>VEAqW$v48lBq?(f5pkr zjs~%!=;*RtU1PYYr(H}P`CkiHFGR=wzmErH@Pe7aV`6kcAGDi78>xvnn+4Lv+ z#eZ7epN<&YQQrb5`luE*KTDYZ?bH7SWAVXwo#iwhB?@RbI3grbw#D!S)_%j!{O*c8 zJUufq4UOx_zA^INh~G*Ck>v2}|D^|0Qqlr7Jm+%IEid!nVB2L z#aQjJKX>JG(eOjhv9@B$M1`(Q$1Lg@hNV^07fEom%9qytFG6kNb~G}r{y5-bZMa2Rm_mCO)~O#fNm~iNmAtzmSuR%cI5I z4kK>yVVHkwrnB3?(mNoQgqfVhHa(Ue4(@%m<=F-vfvx?r?CeZ8@QR8jG&=VEy8c4{ z?tOTvUif1*d5TL#sfpS}T;6}jE#yo)zFcsDM#V}dmJ*hlU&seIdI1OBT^3P>BeBAA zmXK9J3G2W3Flmxze1T_bl2OrcX$Y?Te;r!#KXHWEETQbK%t{-nAVudqoVz4v=5HSR zOE3p~^;g(PSf)5j!jtw%BuLo#EWb$NLDnVf##5~BfphOJFBBXZakYyZdY1AU>*s4| zcVE+9P3sP!7aCjeHAl&I9Q#X+Ivnyu)xTUgs*d=5*GV>;WUuxe6PgcysOD}rw5X_j zP-ZEw|13HOr@JK5$6F~1UCmVH&*;?b1lUc2okeYNX37{wD2X5lTM@h`#nyPnphf?; z9A0+_Ehy*^q(cq)1O9azT|I|wb?!4^sTuilbp;&}7`4|{y5DXlTm^-K zzYTv(ZF?9+W$$5`+6_kAoQyey_M6zZ~fPr0Z9%*4X8k^q(KU>%W7e z8WLhauDcCe`G&95QZv400R?Wf7@N<_5Q4&JpqV(L)1W)-0>x8N~uj^JS zkZ}KZ`yJRvt=9c&Hgc_48cOgt8Yd`1=33SaR2;_P+R(c2`-oj@S9MQSnt_%WAZlf9 zmC(KK2JZ69O!BVCnmaTDS=x$(7P~L(+;-yS-`1rveQ%lL@@exWpXEID_{WYU{^3ul z3Be?Tp+}xnAp>BO-ufQrOdZF4)!ZMy*%p|^p&3H4Xtk*MHct1>mUQ?)M1y4k>)#q! zmgWOHbw17R)VVa<6WNSF7}IMt zg>>*XTf_P$O7#ewb0z_LkMSOUaOX}cfnxIoahGJxhE;RwTTH-%II|{a_AFF%2f;B% zw7QxxEuOAqTuG}KxmwF_?Xbwe8N%z)d!gG$VXgnO%q1TyN^?kn(RN$Waf)?4HRj~( zytk}|HK-c2gd)FIl4?b1ax67Yc(TtCABmGpw1QrVDuH&iwl(0aDKQCIxv7zq$ZF=& z)#-SNz15MArFH0Gul$=)r7_tZttss|O5;%+C1@I#@xi3y3DSxXt|#PGYMtGOF}Lgc zX+LHU!CACE>mZA%n4p&JS(bWsbB^ZtR5$?#TK?FFQjC~JN%`eSdWoYS>2ZB4Pd@n} zDm|POh`U&#ZKu2c#*F~YHG!DtO#}tt*+74hQ9X`m3P%wTUlRpVOU zg5E52&_;9~TM`z=15E(5<*G2Sl~;Xd9B60A4GDMkkr9fj6%t!KS1VPb-B<@|-A!7Dvj$ad?&7)$uf?`m+>Pf3qr3||D<#)on z;-@s7iNt$luh;k3C8zcfe@UUr@j{-{JQJz-Z~Y5)dD(nc#Wr4{$!l{qbYo65TcPcs z6_K$KnISm-)ri_(s|psfbKP<1jHmCy7fe=Q7iIc^ji~4d@^Q$=d7|c!Gubd4*2X5` zBf{h7!&9Q4Zae8a#JrJL_c=PK8!*hW#nPB#X|)x`ZWVj>(B z6+spHtrY?NPKjuW-QJ3lTl^hC-Tt>~s%g~m;B_JIERHl_ozGSzVZ{(Y2CC}olXXXU zHYjVSL8KZtrqJFy*X=(dUfIOj?Rg-v17))^PsZ%A(vS$5xT~OJa$o9BV)gx$k|})y z)yh|z#CGucPO_yWjfaY=F*K7vs~?o;f&tKOKWghf#zeL^wQ7_vYZd03* z7K7^(-=n1gdDYhAu0UtNlu~!W+}*P4mW7X81+$?}UwvATbPB)Fp!hY50o!jRK zs?E+ayL|Sh`k;NdD~i@`($6@8iaM!v(#{eCmB-+{1r*IF1LzhIteIxe3`8cbhbKsz z#-2K|@fHp23R4V5fgXpvJ?(wAU4cXP9xlHPf<+!HD#aN=sw6(<%xVg4cqVzu6ipy(o(RK$WPg=F>*%Os*{;cWpbw?7M_b0Qg8>#t82OEtF?X7OzpKD%F zB+eH&h$~B9Dh;6~6^V_@TyNvffeGTt4{K89b{x(q=Rb|>TYd#;rn1MYLGEIEV@62X zFZTS=|32P7`vNNGdx(enACoUTi8tFfH<-~~YyG6D^&ZfyNm;?4CHbuiziUsCggg78 zYU*5*3yWLlldmdi&bph<*B9+=H|z2T>{FMH3F_EJ8ROIo~L zYJi22w)i#MI}gchSWV^*D1PhHzb;t+;LJQH`-!D^uKT@;(6$Q0j}jVXx`_c(=Y~JB6phv8VU@bL-lTm}SoAaBr`o zg{PfFZZA7n>;d<~Wkx%eL)f{fq=te-Lhu4C0u0$AVIn*Z0V zI0z1N+a%tp=N__xK$*9hF1HzGgrwCp93kAU#VeS+XDXI^jq?uho6g%U;G?-E1X3D$ zjF4Kx5EbPvQ`0fX0^wqj9qwTc1t4UYHYR@M4S~Kg{8g{hqD?wH(W4^cQB6CC;AXqX z_8Ya(a|dI=CmbWe8i7JK^2`$9XbIAh%P1sAc~UZlyp6_iBya}iWQm_>6bC6lp%@;V zt%4oV4rY_k@?KJ**KPLZc4X{|9&1I&r7w5KBz$4-k-j%IKXMPKJuo0DihpwU(31so zv$W{VnROl;)4cJg?$c3?|7A&ByY-B&mZU%sovO?efa49_m>!r^`0sTBnzVf2#EZk{ zGd8#%N-yV1m2^|l5Po{qIk*oW5s1H6PPKGebIR2#e#Twt+K;Qcf0=yPQ!-PL#ONqPA7sPfGUi!a=-#aqXihtL0dI)DicLaB6DaqsP(Eh?sFD8^2}|q(^4OwE1emhBUZNo&=2g3N<{9+$CSMHPlyGX?J2~dzYw6@kFK6e^|GsUDSREBk zKnwXK^$6RrhoY9xgp(6~HQ1oN%nl}g2??hptZ|a4De_-o5J-fXyv0ppr|bK39Q3n1 zqQg@M^e9Qu`f_$Q{G{YFRKz}EtMRlgX}s^EqFEeJ^IQ}+ZT1Chr_zSb^^Ek~@*dv1 zLS2%nLRwlt#88w@Hvgn4?27zD>F8J9X{eIshSQ=Q?d*ZKV}|#s;H{|lm&ObYzjwyFQl8h z>-zgn=Y72&DO|8gUMJX;`#W-yrZ^}YHZa3|X?O;KP!(Qbn6oO5N`*ga9zlzXpPl~Z zd<7+P)Z*?4iS}9N0O0Gt_s18=I~I*+6AV+=?9u$4Ngn^=DqRw~@_s)}8SWY;VkOc% zo-sBg)nOFc+sW-i8-5h~*9kSk^WI9l=vc9OzpS!rc^QPIX%j1yBM^XWRpIFKc>kjz?HtUcs6eKzR)oyR{0i#r5^o}c&~jU^pMVvM5tYdY{C0^Rzlx| zldjibI8$1aJva&}zMBm%r6uww!5&~AI0skXbmnZJ77Gf zLk#ZKI76v{JvFylEMXF=7F!L{V@%{?VV}Dqf{v-DqB9h=@SVGUk)xWJ z zES9-an8 z-%26KNCxYuYS`)>Du)-7UBF6%^}S9wvnx0 zw5-Cdu|n^{M}?4ZS-kEW0n60}2BcRSKSA$w(OMPKp`!LMQzMjJS)N&!<`c)g#C7NJ zWTW=rY#KA*e~%<0He16e;XoVrMr(VRjsqZzZ@n7^>bf`?%%t0$mlJI3(2eLv>CJFw z`W*++4hv&pERN)-+$Jm1P{0gGNNvsFG_0C;-Awf+0v1M+}PfR3Y`^w+h@@rYoMb825J8gW1512f=C-xNaoIuI%dfyv#Q; z$0Xo1T2q7aDgf|07a#L}$hhY)o}k(z=>j(3`s_>^!|1TIM4$WcIRX(RrMK^w!x%j& z{GyaKrR;8ePTE;O9CH3AIWi_u2GXeFc27C*Wu6!2m>@)BhY!yr9q^J5n($D!mKfJu zFHVBKlUC*JD8DO~nt!qZ&bU)N-rNx4nV2YPYt1EVRaGjK>_&=K_qf;_brOhO`A{Rsm=v^4X7#&el-j-M^VH<@4+`RkD3Y zF;_ANHU*v$7|w5W{)|h#38RfNR^9_4%6ckKQ%UADK9I0UhwAQtF(VEgCD!z{5k2@l zs#ys;PMZ#`B?I?K;8qUT;z{=MG*}?t(L*KO!&(LF5!6IMAT%4ixa!c;Q zq!k-rRN}p6O||wSI9K(fPRFl`4703zJo_R|2cS{}IhXGNtn^~U=|xfFJE&6Bpu zBsc97{`QZb!J4jf%}2PJ@AqzN(p{9Eiz}D~1b0<6f8{m-fxlk*5RC;KRtM0>Uvrj( z-Cf*rKNccec1Yr;RewYdr2J@`2hTC>gnilv)xqo&qSC{X`(X_4Yp6*d*UX1$tS@9D z+NEe2a#*L(i(i2Zi?~E@wD5D2=QMq47p#?lWIt?Paf47ar}Hyrr~iw2FqQM}5XjfB zzMWoBP=9M(+zB58TUOvkyy_r%LkJfhm}8ryjD?Z&7^g?PU_gS(waI zPD6c6g|%iz)}>mZteuLtbk%H%hW@*-=A@JTD^ul@6dmm>&#%E|7SiH!fZ%?R;QpKC ze_X3Fno<2bGdb|+j&Mfwpc6;z&7inM>OqYAF(iGR_>vap5gndzu$WmDmPrWL4}2`O zzujX&grx-^@VK9bzWbozV0zg5lk6F`_coyf1V56+?>Vcr*8PF2xPA2=07Pzs|ndt3v8bcp5HKmD$;Z3&<)fCs=aLj3KV9N(hE&YXct%Ufu2icO} zhyEPb!VB%!AF#6nQq_qi>{kkpxEys7XlIVPAz~YOSCW_7hxm|wAbhNy3>1+3z+ZBG zTfO3~*((8aREOekW3wh$5u+4TZ01y;A}(GJ93B5t6<8^%syQpn;>^N-0aETu93-^_+pv7$PV|*5N)paj(MyF zyT5$V%Wo;?wSClC{)VDfjF8d-hg~6;JjptkOfzeN>E4Gd8!2CV2RR~wbmeF`r}80k z&6BbNIp=g-vvkCx?DwsP5)NE;4|w1?fO{_|aVnx7cxE=14CF*@i`9dWyb&u1i*M=k zOO$t2z-;$BR2PP|l}-AK8mlY)yx@yr;#F52a*#gSOKW>_^AHHH{fglhc_pK zngYiwiYe=Smam#|_h3&;G0w`}_($SVt9hy+U3~(u>T0nWyQ$<$tS
+@!D7$VuT zP*}J0f$%ulo}v~F0u(kdm0fDrUgIgQt!+AVL?6hw3-RX-a2d>HXaijvtYwW-@Vpo^ zQKFzYzLgR!^_BCa2DS4gleeRlrNLeeJwEH&qoXzJGhJ(W+jA}5`{`UAB@fMi@*!~M zL5!3`Mkg3;flSxqQ}mRG4{tuY%*zk>AWCQ?kU4H1386Uz@vB;73V9?td1;)uh) zLH$p`Jfm;Vu>pGKdnIpBxvD&z>1{YzIV^V1QrY2YH(|Y(|Fz*23|*Ob?$v=Hb`nD7 zP<(I+tgeHw>&KMG2d+lRt!6{%>HMtPx4U$Qh@?;`(Np*R;K2;L+4{dnZUWt$MG*f~ zgEwynQw=}u(kdf@nZyBI2mzMS0A_5s8Xogg6od0bhtjhlP@a9__>Z5luR4pPf^(U zOVWf$ycQ9VY~$m`d1szwk8eZqJ8i&+X1csHs;NE7|LLDh_!5m6%Pk!XPTeSYQDzc_ zUP|O{(_5Qi-z-(JZVHWR!xt@ETdD#FP&=#ZBL4=?d1?VlgA!Q(>eoo#nRy4uM?Udjw=KR8y#a&hGh zPsiHtWZSH(!dL6!{r=I2$5agorq5+)^awfmj}>u#ZrcMPb%AN*m}yV~WGU@CK*2dq zmoizf3%J|o5-;Kq_f#j%W=V0fwI^v&8TqJmu+Q+SU~_Dd_$&{4`TO=G0To$vxkK4V z-%i4hrQt&e)%JCaN&*K`x0NvSz~MLjLez3r-7$L&?+>>-zgdoDc^z9Bw;`$X7X(=_*OVliK-jDMLfasIovZO52Gd_&&K>o%h&zK3KBh zh>VtkQ-@nQ9|snz5z`PJMS(r*=}fCC@R%T7;JmOFzGc9O+du5QY%oz8F*~DBC=#wo z8m6X##zQCJ!R0Ce&H2q8YP@A;RRT!E>1uVkBU10K^91e;u~D0`ti@mIzUwcL-V87_ z&v5aBfd%>0PXpcwqZ$t0kc02+nS>q$lBn$0AMWwSN}O9=)n}J$k5!2tE!1Y;F9WFS zXVUIf{^%zjYV=J49!iZz5n?ZQ^g|-c0dmGCu8G;%1nf)L!roAnm2tw_g70O0VAxEz&>V>LmDtvhrIip`Qr9e%g_FStN5RtzP&G znR#!;5N6n|xax#(sytD!QGmQrU=g@phJo$!$pfV>+Z~*dxg_0tVDMP^d}7}R>eYM; z&+fGo+kS&#nd$iJ{C#mAi4{9sx@>JcU6@ZBVAHx(rxSkN z05NIHj6>D8L&k$~F-jQY$-_im)o$8k6-L4$iZwJ3XBJ!=99s1BQf*9H2a}olz&L?c z+atdd3n2+VxVu8zr;?-@Q%nZ)nCSlC@3;*xe<^R7KR=k$EyRfb$)4YaJN40KxqU}R z0t1GI0A5A>#o4d}C|urL0gc5XvX@iHPDf0WgNci8620n)q{I4T}yk&!=xJ z@_JT;`d92rUrLy5I#d}ZYer+lC-E^SEwr|KM;Gh?3ig>@@Pi3mI&fHB!j83c3ZMse zzfpa>+PhL)3o>~k5~k2l^H=wj=UB`+jWrx1V*B}M!X9GiPsdy1f*@8lzANcbxgP`f z=gE%V?qw1L7DU_17rUxwcFOZ#J9vdwy>%(gSy%qVz zK;ZQcfwe&YoXC&SE!uWf--Wv)^8*DUIP{x3O!@eDfUr65I{{2CcA=$p&PW?K@~I~x&#SJz$)e8jvp3kdLzSbt9f?uBm$`$NJugM&P}^AP z?yg>)6ItW5H4$>!o)Lp6dKe%kN5AW;KG{#=8*D1kbvG(0fo`N9ymw>N0(KwkudBIQ zR;L`ebc8mETOS$j@93&lNn*FSd)cNLOah#P^u)5TlDlSxeTj&|HQ?q?!>&X>yAyH` z+|*k{c`YI>box?X35zSAgqU3#d7&9fMPJI6Yee|t^Y#! zM8!fv2_n&FEtDgZ`#qrDn3NQ+;c0`E`k9DD4&dY;n4UCvFMI5yNyqmnD>s)5yDj({ z4p%Y`)`XGL?<2qq^6ZIvZV+EU4dFbZB#1J+?6iuxc3RC->x|FqG4OWkC+d9eDEJmJ zpJ{YNmy8P^bOlEJ%4-K5eXD0I%#DNJyvESm(Qml{)&o>^Y%p5WghU2QEyRXZ&=$A> z-$u8b$0aWM3E2ebZr-KU8#|BD}Y=R;!1V(7U5B$6Z^oFWX?NUsd*C?e4cFJZZ6 z)w^sUit?I&XKp9jC1Z|Hfzb!`4`oo;%1cJUa zjc~cXglBWla(H^h!bEF0^S=7WdthKq&I;IFI+{z(rccd@@1*|wl( zB%a}|UM;a_=|v$f7XMz_r0CcdwG|};%0K{u0LDK>Y;C(o`c!%K$EuA&lzLsG6_GL0 z!x+T-^qeOURQo(y6YchuENqq@2L@5Tk*A_&1CXql^Bh9oqQpE|cF%(7Y^#hdI()W@ zACUYZxWZE6T8-&*zV)bwN`rC({P=;{66}?^dtbHLpF8khVLwNg&jU?<@&`DZ7FGMd z;Zq=eqyJ6;Sxs$gf#Tc7iY9FjEb!M=t0c>M?!@=8UQAq{!4X82g&pHP03t5g3}iku zbGh{GXY*D}Ze9VMQ2rucyY`VlF6yNUaqp8L1Q z5cSrxehpAGmv~B8;V!6TkAS7wRR?zf2EOo27$2*$P6%0EIYEy~9Per~yr6D2FnMA_ zN*EC6TQoGJ;XRt&afa~pm$F&z893t`cHuGE)zUTNpZzGGbpMaUY=Pc0A)C}PN~{OL z-tI(W!HPQs%1?dG>tZRnxjD*Zh-P?%y` zN({L#PJsk7-ZQ%li<1!QSx<#g(Sm>maiFjbp0WfJ*fj4*gJq^tXHTbL6gQx3@+bEh zCDtA85k=uHY3z)I%reYw<8!ckdZaq+m)|wtIE1fYXs2x9(OLhAZ*~l5535^w+-mQbRAn z{`I7KP{$05*d9-Y|W3*jSY%183{T33R1 ziqc9tyK0e@)tRT$+ zv;Bx!PWt+p&fh@U>^)Z`j(1}W3km;`eOMO-f|0IHg^(F#;sZ!3aN7o$9pQ4N^_S>` zZn~*j`=#5TkADbaWqc-!DirY**gpk<+a6!CIbSxVeFlrm&F08j9~7SY4ErNqkd9!m zO+EGR;#|Bv!hCGGlje^#cB6D9leOsg_Xk0LA4h^}-q4vR^-xFJVg%{RERSjE?3d+? zJRV(plnOO)chU<(1JL1{Ddn$yG8L_x^^!@abaj&wGo}hid6s zX;=EI^z8nKON%?zZdCkY{Z^-UA|5Haar;YHhgMo+9Y-*?^a+`ejJ4NF2|?6Ov#M3x znKpRfs^};ucv@wko;H9y$JBFWq4uM?!ui?vLY)_E0jiUHmPfqm0OB_+nShiSzdZmM z43!Spl)PEdLzY=2%ShetaQa+^=zd}0s9-2u5!9ILv<(zat21@5F%d3N9ui$`U8F16 zmgr&&ow6ED+~h|xav4UuDATVEa)He&nH@sEg!z|X!=Tk`O2B|}a2;{sv}cQuA!S@f zNn4Bb84}?~sE{Un@cGCT_u~lN^T^#YnN-Z9k-|pEk&C=Qw}@G;N_-nYhqNJ}q-aru z%`d3isEfG|?UfL}z=`8gb+{3DT+?8si30qnf#+<)c1C3UhnesxM8^uEg${^V7lLq2G5SR>l zUO+a|McB5|c`Y{6d1k|*peZ8gIOR^g`Z}C#&Bo=4rF6e<#4>y+0+#zpM6= zlOS?dwN?)Hp!e`X&2ocLaR=|!8=%R`2+c!9>{!CYucLzV=*2D=h|mH^_Ea$$Rpj&3 zye{7J$0eRA^rRivZT>Rs1IC(XQbhfRT5dJq@nf3g@eOS8Nvx5c(rJKhhck#Q+yUbk zvUMHM^>(Em{zb#CfZQG!xUm~GRcVg*Z@Y-NYwryPcKXvO3Bu3d-AwRi{sN43au|N>FDZ*UeSBNY%9pQJ0!wzoz=kXV0lKP@C-P9PyM1 zHB-~5>(mUiJw^T1hKqW^CW!0`mDMBfr8z@w^m_k_k%|NI?8?@AZtHRt8P7J*ab+AJ z@l2ToDFkLarv2fsK}qLgV{x6iNL2;4J}NtGQqe%$=Usveq0Y*<=~JBVDPaNGjU(98 zDDG?#{e5u*PKEPCtNW*POuCQi3!WJBA+{j9Qhq|F^4qY??l@bRO(sC6QRk=6rA_pm zvTPmuBVTv4LA1GRKhI!l2E2#y*fli~%P#zmCNUK4VT<`U6J$G7VOv{Ho1&e-R)j$3 zp9j|d%2l@!Ofc9cBkuP<}fJmPKRIjUoQ&e99r$s9DnU9 zWkvkKFwgQN5pGMuBvu!r?6yt~)d&EAHACpX;s875Mk<%+{MoV?yH(tL(ncJ?>{{Am zZgA?3KREUyYy-CX*j>o4Zv$@Z-mta2uWAllwrJ!!)tv~xb?#aAt932Ob;vaP z@Y7oaKuj!kuEKM*dN5jtPx4Ry%0iU$uwEo4e?KDy=}!w3GK0!^kBiU#@iU3u=jGDyEEfDen4NB)i*m+q0O#3s>Uezby+ylcT7LJHBN z$29m`5{jtLlsq6y_E$3kbAmU(M5HESIvWvQsW4ROS z#vRB?WYBZWvcX@MvNXT!a<)3b#p7+i)eY|`P}TuNRuOrEzps4)%+KY|r_6ud3jVnC zY@)g8$oHor2^d!4J0<980(t~;=N}!X9tZA3S1a$PNJ(>5t!@bD`CSi2G#MpycI`Rf z;Z>lyrtw6Q{Pu6jj>kUUQWUiG=XNgF8(hnO&FghWW>R1V7vF1)X~3WO{&PGGAIYC` z(&RS*Bf^`N&g!R53S5|dKQ2N|{$!pkMiQm+)YX_1lzzlfcrnzC-DLo0R(pBx@WhAC zYScgM>YX+XMsR=|l>^0F@X#JBUTWo53;tqtl&*af&s_4rqphH9Z}2Rr9KQ2Q}y zm;H=>d;(U=EKGc&U%f1|&C(H|UlFQ|Qw3*lC}`aKe>5K4hCq(RwpJspqw?N% zC44(%&Oo=Emw4B616luc#C%F2F1emOk2rC*Vbk5)b!jO1_qGUsE;$f4S!Md^NT(Hb zJ0cC3AKVRq8kMcDLE&*$PjXKeb?W^C<~iJ+;-1 zqTi7FKm!ik#0QLN#QX(kjE4WBwGQ7=k!mxpC--rIQ61E)e->-T5~0;1Q2Xd=iF*YG zG*>~ji#!r_dwV+x{dQ0iL|r zn<}S>FJmU$HBHYCGaZ&w1i6e~ksx)@d~aNpogD;H;Q3;QftwU(ASNBl7n!-PF-7DT zNhcI*;D2*4pMQTL)Hi=WdYl**Gd4&l^mBGCCxI?y*Z7HzC*!19!St zP3vFRDv&|c^O+VUPO9NpWs#g^_}Gh2wsS$FZx}09hSM}$Swn;}4=_M;6?I0-kB;z= zh>_bPb%)U6RNX}tCl$xjA7oAgh|_lIm?lFZaj^8Z;qQrkJ6F7pX z57QBku;fg4d097W=^36>$n_(BBVN}aw*$eWM&7>ZS!ei=7l}h+U4`lHm z9-j7d;FDbA3QJklxZ{Pdm1TxNrJ{Mz;!xoG9ho1BTq#+P`-Z|1lB^ZtaQo@QRyWN{ zoa_N++CDPA6Hl=jOm#UA)95l%C4Y*m6ARu;s zpd2foJLw_?Zsm7(4e{k>zh{XIXEQ9L%UiSm1hCE)m#r)&ok+ISVg3c;37EhvPz`#!4 z^!ZdvE6SqHr~QGhV`RCaGxNT;qIHP+;IrpaDOAZ|8-%9FGJ`2!YfO`YL zi)mg6-a$em@J5})N0Ij{?3UXBG0ljcQ~`yjEb4COx#7|eId^l|km>g5xBu^V{;`3@ zQpAUCLAKKphc+m<@x&{@ zCMc=G%kuz=&m(`-bhU}Bt$Jxf*+tw-KOF|tpg4k9%LH?gi$jX0wedMU7q;E(p7m6Y=l`U(bI0bAXpRn)_bByktw8i476t zvV5lL#1JwKd1P3?);I`fiOo6hxve*q_}q707AdHgOyRQLQ2t#GaaAjW>ee8K^RMp*k*G}Yr0=`G zy)c`x_iK-vx@EWm#N~C)g64k{Bf(DsPKG^uCUESYA1*mxzz?@(es5NJWtiX_rrjyj zO-?J6a><>PvP``~3_CWBZF4akhzkOr2%FbGYme;B_+!y}ycveQ+?jZ?kdB}o2EYs% z39hfZGWsycKdabN)OM8tt}QS0Seh>`Nl+B)4`Fhfi>N#v*~{ zk#0(DxUtOpJ+;elGG0DkWLssDv4k8?5MmR6bII^B;CNjyH>Dho&)M%jNn%?IpPtnL zf9BJfg3TCyYG5y;BFGLQ`xzmEq%aK2AUns$z6B^9S!tY%W%vDFv85ucGP_TET7#Z8 zK3NvCmA4zl9{nj5S3jbP91eaQ9{8+62gF-gyQO6oQ_Dk|5U&q`k-roE(YE@0uV>G13~@h z3jcFl&y#)9Zx>6D8}z&JK_(1<>4NJqBSM&UQ=YPRh+*;8(Ia_-#VzePUMg&2;>(GAB5oHO@;6QBQQKa1V!4g z5D`Gb5_}3KMuL888nVZK`*~)KCUq{J9cLSf*;8_^detB4A<;dD1N&-+<10^!#r_%t zpWny~-D-jX0aj~1sy&~Ae@%z*7M;_oc)mbV`;D+V<#+&GiG*;2`)gzY^&f@MiPI2r zg+|0PX`NO$={*mp@9G7l-gt9$r1Awq%L^{U`Ac1e#kk7fy==EIK-W?)WqkzlUp*2R)bpTkyO0!3IO= zU7wmvTLpQzV!e;=QOE0>`4Y_Y`=phmEhwFf)XhTplU`gfw8-Nj-+yUF*QD4X%`gzNwGKp)@^gL&@+@0M;^%Pt@R zYMHB3Qm+1*#5Q4JLF-%OP9t7n2&buCup8RTqpvKsgOG66SRCQxIP~6!o`RgMM)!K9 zX6zrRyae`M=n3{+BFSpC6P$a_Uq~}62Hh=Lxx<&0U?`zJEMw=MLdoDhhd+yr>f!r% zXLmw18{@`pX+A(6FW&gst%TYRFuGlGpLap83AB?-x>@!Ngn(iWPX5eF zSYC1mKjQeIWMQ|Qt4v2`_l0{jig&>wF!-su_KkBJ{cr%_+FaQaNOI(wg}MuWn2U>D zWCg;V5BU5>kN2zdz<_%vo|0vY%`wZ7?vGPJDPz(t+gmDk;_>YnzA7M(GUzX*r_+hv&% zfyengmAi$J=eus?<@#yV$NKXI;Kbj@UMO&2;q__T=+7VN;_PmK=T*5?>#p}B8laWm z#U8kF9ZeSJxfla%t4lZ55r~o`@D|+D4N3-I?NSKtBRf?QSZX#zB}7*hx2*HkGi!f@ zz+a(@#FzdVk*9ZtTX|)67G8EdD8mKYLI8R)E2B!PvBWJN9%YD*sc=El3$+jELv6o0j7CVce+u= zG#U$J2tq&9>k40Fo(ByJk`vVZmHBwy3_GFDB}8j01Jx@~{5k-~#5vvq2+BV7L6p+C*cKGyHCYG07eWS1Q%d`w=Za+}(Y)p7d}jGk>6Q6VjOA_b2G z#->gx{%nJW6@%vgrt+zmrxWDSvlgkVUAT-7VOQqnrk59m(OeiBwZr7Jk1cQf$NSSqH`|62mA3jB1OeD!zq%CJ-ZE2(J@Yl z#eO6nq^Kxm#6@D0ws%!@1c(W1O+q&o1`gja^^{Ko5v1hhH>SoW*b8PgV=4us4WU@S zpx zU-gCv1)IqxPnigUWN*OJeydrab4a41Ebcn|$xVNGu@^s;YyBYct#>Nh?ga#=? z;so9*FxK!BoWvcUFJFERT&|AcP z*IG153W?6@i4c@Hcl?fz$mO2Aya*`LU#>T=4ZUs*jW=u{N6HxKxk@t;1~Sv|PcP#o z5%%DqcbIn?k<@Wr$vkIfpojxL8r>a1#pcd6oHPK9OciLr2JQE)gi=8H_H&k?H>rka)7i66_RuU~3}O}nU_ zdO0HdrH}lj)>Qm8y-+q%?F-W$BqvHZONiTP1&6B5&(I;j=+0fn{vE5H1SsMBQR0< z8|Cc+kln`1c0CnF>irwyT)dB8V3CAf9>ITGcI6)(lS3|eTA3`cm;X9jMBD%iJWs;0 zyEHy|vCl)5eW;DxfmRUcn&h(<*`X&3=DCyn@6Pz=Rkw&3bO8SvDYoB64oitfNl?wn zzG9mH*pHx0dc8Q$V9>~OXu;VY9tO|+*4hs@wDg_s(`6wyihN#VPm(NgpYv=klL;Ap z_9UQ6pbZCYi8^IN-QXqwe~~HQ?vXNHhf!Z}Yg@hC{`<+UcNYn5 zx~yz_C$W3-Z-Fvs5*qWv7?xcRiz*`Xihc^ryv_?q+3iAkgE4K%hF$SchNu=_kYEef zaJV;4&9z>BN_Bt`Ciz6Z_M7LNG+bdqTffMN*CgogRkI}%aLJO2?o#K zm3u_a7zv4Jk#5ezgzGDalL)I8SB`O7Rl$<-(Z*odPin$#=ZM8@g|k+uAhM~a!=n4mNw7F9>km3!ax!$JudkCk;Di98t|L z@Oa|@PUVPQM@?Z3&ffQcWU7}SGtDz>e9f{4>0dqy9xfREy1IcGu6vMSY4~{~{_**! z3~MUk!E;qxI_PJ5+I%bvK!yyz=(D>P{VzU$L%U@=84AHR}7QSgojBg{m005oG&r%>3@jxvEd~O>2^aC zGp8o>u0VqV(~gDfaT`&ZKTM82bNeRn7qSO}+3uiq+X10<%PPG4*v8$gAkp9 zYNqpQ09q0OFSIE?ZWm3Xw1M|Y`Ar5Go-GQLThy?TiSTru=n0I98Lt-3#is1i9A#xg zV1nl+H&3TMy)CEx(srLw-Yb0d^wwRPp7Svj7+3hIgRH{0p&Tp(TP>nKX1MPkv48Wk zUUDSw2y;TkkKOVraUSsDF2}NId&J9Ts;5S>u|C}i>dmTw^K#dH?^;BZZ?;O4PF?q~ zOKXfA6Lh6C^#IKj0}(#LDu2hd%*! zoleFFh}SsmLO|8Um4nQ;3gB$)olBS_^JHEM`5gsD<(mN_%?DVh(F1zlrFb3}_@D3t zAO9^?kLY+(y`gX(%GLblX>jpM6zCU#Y~E9=CE}HmOCX~`J{nJ z?mZbUg@^y@6o3%Fw*wUp`rY5=9krCX*$X{uI$jLgD7C`w3KiD!d19r-uE*wfzgXjw zZETBXEMlecvCo{~s0$W{fx9%ldO6jhQWRsSZHy*wgu%Bh3COR zm*V&nM^jHX$3TDs77+Yst=P1&nK-nFUz$icsiZmc8F)ia%>wYy93haN;R-xU?s(

{76O3VV&Zi*OM7nqKo!l>OEwEz6G)uGgt&@;N^Y7)a{TzQ zIT88(a_LChW|5<>{K&TB+SS%ZQaPFB7Hyl;ZreTT78&>)sWdZ1`0jsTBFOKtzqU*q z%#&6I?7{S`ZWfxN@ zF@>i9elO5iX#L>?a#<&BRi)8lCeecQ@rsP|3j}T!aFEi41KpKs8Nl=?vuaPE@Je$#dcCaze^W`hL<9SHggnVa^ zafqNRPK?Y7e&g*e-kXHsyiKFl6uDc8p1L!y+=QS7~J z#_;!?re5?+3dk;^UYhj25R}tig+6>SBP2KVQYELDktQ9w#(JOv6@pe|;Q)Sah!=b5 zlfM`Io9(DGpNsMr+{#dOngV`bCqFG@=v_|Tppo)0V_7Sp*!`gUX-i?w3Xd1c%x=h4fAO=e=*hKwXg6XB$^8 zjNwtHxkBgs#{)9@V6)i`kI|+NNhbwRI`2 zIAS4qn|g3Rh$)5h#EQ>+Asqd=YOh7Mgz!33>A~`TIg&qCCm+n}Z$FUoAL1n_E!bXm zz@=xxjHiJ^@Uqri!~+iV^Mff3W%!+itBZVQc3k{8&k;h#I9d-pm^QR^

<@)dW?8 z!hdpy%bTBi>9-i=;Wj1i0W#ok+^Cdd0C`uQpKQXD&;^1Z zC<@ysbM7kuKkE<_zPKa~9ijV*>$#-_5@q^dk%R;t&`Dx0Nl5!l}XKC+lS zgr6l*jU^4*CF)s@+1wY-EqC?B`ack%394a)4zHw6cI|DMH4TZ=^3RX^i%90fDZpuj zn@b@F=Dp{2!p7D)y~dafJl=(<7s}D-wX&KTrX3r!)2-lOuhna?nutCSXSi>rh{_dn zS`fHXvBBkipJ;)(eH?mog2YcK$+t|SzQAdGx7#b(`6D2P_9Mcx@60qDhVj`ZSwpZx`U zkk}^}D{QzcdZOIIgt`H`E=AsC7ynWKuFO%LKqqc^b3-fW@`LJ4+U^z9L79I&?4-b& zar!{sPkTP*z^fVjLKX5RzzX``k2;#qA`X}h}!B08^;ZwK-ZHEd5G$p;N;&;9xUKO8w;-wS^LPu}}!6{Hnq2($z3Nz~tur zmii^;(PLgbIZIIHsylJy)Y%2qNU1Nc#4sPfd^=m6@Xhy{2>Nqo&EeOZJI7>}D<1B6 z7>G!JUG)0R-Oue*?6VcGlE#r=gZPquWt)B~Rp>}QLbMgS>QJaP78eP40}5+F9!(<< zcUC|jrDK?z*tf9~-8HoruB;xa(ix7f_sUeN-MTBl>>9C+FU^y@R=AcrAg=#f-r zF~=j#;S$2rWHH?(m}=ngK6RQ4?oJU`n+L)KSHR@NpA?@+4{K_EXq>uz}bzxXdm z)wk^bP9vKXcPPTIDW`ry5X*55gX&e#4kY7OpkOojamjzQEIonxi#myDMSw#Fb?!g9 zyljCE;CSIQNeJuh5;I^D7SEM8ag292IYFv)6_wN@9YMap#~_XotzcDCfM0v;`-%9r zt*}g{XV?f0b^0zWjl8nUwkv*^Drpi)a%34B;g3tamB^zAZLpo$XMydL2?&$R#2jCB zk0!A?1^s5ZAceK7rF*1}-1`NUM+4l$CrRt7r_yGffoz7joe9UAh%<2_4KJLJ=(4n| zPGI9=l1%JKuiE{jpb&Oxuv`bE(dMzlBsdB6keEp=c9tNAdzY6k&C$M=*@WD)5;{~T zN|?y0o|X@D^q9&x-V!^nS(n%=024F_5#6!;?b*y%N66#VBit>M(xh z4vym|kCxrB5&w&+Q8Fhn*;g9v^XKt3yN1KWwL49Az^vC_E!aN=@0^+!noe*0vd=|k zX$HCn{U(c0ySHv0V2db#NqM2H9TxF3&`)3<;H>D|9ip;NF#JPS=P78uKhK;NPaXbR zUGwg^t#X)53r}!t>cMp873>|?-Lkg`x2&~~nUr$*!b?|tkH39| zm%+SMT8f20_(6j^a{7aJh`YyN9dZ$H6!+N%xP+8DvGF%bS~X@BxO%^o=K1qn!#;_< zv@=}oI(~i{zQ)NwLM6^YJYyyzis=`mifl^lQlFQcAG54DkBm6U6}_*xVCnMyA6(}Z zBl7X`Pue14S6GhUsw=MD9lne-086N zzgq%~q=Pf4iXT-(Gsn5bfVe8j@m$EgB^IqETN9ZBS9R0oSy-R2sO`y zJmaFyyNU0XPaGuIynLn*i!GVg;XsykIuG1K1q;^o@WM-FfAA206Md?!+DJjK~T{2SXG)QD8`RW)+Wt02sPq=@1KkmnM zU9Z=(<=lm5Y{}yinKUsA|ptD7mTP!W$g$k0Z z|LMrO?{n(n4(WP$@SW9AXdY+OEt=t*xTx--Qg`F@>9;61OMJU!9^g_}@#7Q)7VH(q zIOVeGog01@$J`$34_xNC5J|u)s*)*DHyCDZE*zoe(*!;l@Wp-|pwh&t&(JNGV1~?J zPnBKU7(FO!?1qs4dFgKO^%^I6w_+0>=^$$u)>bWRMEs4&|+l}fC0RC3$S z#e0oNrYBMM_kXX@mtIo8SJI5rlBNIEZ~{BkbI%BUolsU0lu@WTtSrT+UPkFCCs*7{ zhLx?8cpVQn%q!{!oSJ|SBO(H#Jq$O`MES-0o5OQv$veRgvFg~wQJPPINwu2%?tOKuAfvn77fUI)I46BEfdOz1sp z$$lp;a7W+$evVQaNY#)C)cC-6>HXT@8%0tRk6*dk#^^vJR)`g*;#&37?CsRY_Q`OHi|fdoPt>vcrF6?w`}o_2+sCDT~V8u@mNRgN3OolCU+@0^YG)K?JiZ!@Sd#$6zG}pSoo~!eH7* z@;@MTu3i0~o!apaYcGYJ^jE8$R7BP7){;oc@mo6*Vtv=_Rc{sC?5C!%^@g|E{H+MxQjoHSG zAOjWB&8w2^o%cY`dl)*&(-So-XPhiC>YL$=Xj+7Xs9+r*S+#xiGHSkkOmN7>fLXvbM6*fzGb zlPo&h&YtGLI>mN$qB*l%*sg9gch+gPhbPU8<<0i-rTMY^*#T#0fvg~Q@L5_2E0i4; zPK#hgvd=})qFFKQ*f?4|D}jCf0xgjRCb5%KXdsKhPEDhwvohG3S+s0c4m&rG#$@HQ z3kqo$Sw-wimuXj6SJ~H!X(g=d>>H)Do2>uXw{Fw!u*%rw6|_p$U3S$y+I?0v`#}xu zA?p#l_A%`VtBzgIqBXFdvKyOd&8!x7Ya6Yd^^EmB?32iiwgKYQR4ZIJbuJ+zNivqspXW3+MB1bcFd_LViw{x(DV&YETaZ;tkZ z#bM7c&=&WVhM&u{U#u1O??1G^tX1~fI&Fis$==$g?XY&)|MqB5hg>w`*0}I3iAVBW z`t~s941y=aks=F#j*GRT7z4qz%jhU0WwTV}q#oYEMF~;G+I)-BPR3F%u~odWpYHZs zxp7L_iLrJY6A(=4{8GkWsS4{t0WQjM<$<*k5s1a*`6`tUot+Li<feey^O0_hUF8OpUD_ToI3btdg7TY|a3hsxkOXfM?q9+QEyNm|>-(0OyrT6t}?moSBzKmY7<_1@+`CZjM~%68)s5B}s>k|iLn zAtRkjM3=WUoL}Smk*3T%FULC-Mf1y7_Ut9Q!5mbUnP}vgO=&H$qp|$e7hbB+SuwZ! z`aufRNwA`bnAR|UppwsfQI5>A5%`}=kp6TG{r2y?JE~H>jPDiFs$nQy`*~xbqE5S9 zXRgZERWDLspC&1FnZB4du`0NR45NFU?PidrCxu&Kuxgb8vrvgj_t45Nd5pyQ-_&|B zuqVgt4sg_{c@Jr3v`;%a#ByG%N3{Y*IlJUObN9?Pq}Yn!$C6R(Zoo975a=omJr1MA zp;051^Gvi$4!S)p9e63BW!&cf)GsGIBO0y+L-@v?4%v`I)f;U)g8b_-UQQRfAx;I} z{Sn?8d0ezGZ3^wSLdy#%6#`jw>M(obqE=c>s>uaAE%mrZl_3YK}*9%Z)IGbet1w0mo#6bB0lcy`fh%X zq3OrNHwtrK^~m(X0rX{1LXl3HE{N+L&5hFcf@{3VAEXS97>n6ygQ&ALaB@u>TS259$A2PZ5p^X>(T>rldmsqhZZC<$S2z!*&d>ri;C0i%SqzYGS&K89hA+_TW|>j0w0~A%Ep==jLwq?meO0EpBqq<8`+Jy#)h{OD zn7}{7+JWtXk$rm1C7Z^1$-@*@kC*cW9^zzCB@y#wc^3@!aBek=FslrQ<}kByVw8_O+l-oc30YE@4{qXpsga!?yOo~#KBX-YT0{v8{jE)X$R`ae&_^FnUrcOSU zBNE_YKPAqSKVc;GhFl)G>VJZb)72ft5GZK`JQ%oXY+M%V#D{s9917P;R4bGKp&1Dk+5LWth}|!-xavhuySG3KtPE$eZ{>a2?*~`UDR(6^wQ%azdL;mmccB zr0u(7eQMVU*!a{G)?-V2v5RH=z%W)mj>rt4FIMw3+u8F?uXpE`#kFSHk00fNDPFtJ z;yOGD7f1``(td%B!E(ZW!dk%$?qUVvqF^RhfOsh~-BrMt;=WPcU2rgrmpM|>nx`oA zD#jFQ@g(Puw|NTf<_|M>4W=kM1{K?Ksymy}%K3r6p<|1){Pb(Qb@nnt{vBdCteLszB<_cH3wKnByLSi`g7i`Hc!& zBQC-heUy??XNU;Vig842UzmeLJ)O88RaCX>njCrJ!TYuo7((#T?kZA}dYZ6U_!r^N zUu7v8sj$<&8i?aBka_PI-}J}(=V62EZ_{T`J4s$*5t4t-3;-L01N~Nnl=btNje!jw zDrXe(!j5aWrv(86Ld14?8+bmUXY~EQr6bQUVw@38pxrhU=usP86cY9cO6)j<`C^uh zuycPnnmcP;i<3(5{i-*KHplEPB1h3DFfBO1WdVd2`}TZDQb8STM45H`4`Y7}f6cN( zdSh{8a{qV7?LVINM+&AZ>++LimsR;uvV#As0`$XAa5mqOcwYc_&KvlK!##B)56$$+~D&=E(ao{M~irY`cT;wiX;GOLk3p#gN70oC{f zI0aN{QsMzAV87&w3RtWtDqld_e(F>r1e?@pIw%!sDlJJ#Q2{vU<1VLhz`wym+g~h9 zz}%Fu;ujHGZmTBY7Zmc6o5Vv%@g^vyZ3d+n+YXqg1rqI7)Nn{wrX#~ko@0?#L=+6v z5Yw*t$dgaw3-jX~i*6eETIp&c3R=o3AcJg+Wv6nAIS%7oQ<@2HuM{!r4%iK;TZ)4i zp(tuW!4f9|5V=Z{uVb9`NUf+79k*PLwzD4h{FOpUxl%2gN;H?p{}gCQy{+c7{6kX( ze(>#p8n3-|<9Xa}MeRnX#w;?!Xj1TXa#5-;PU>$j{GrZg*jw8Y2Z>=A=w-EzRygF4 z@hEj>6X~{?t{V*9O*+!{8F8Gr3vXY^E>1Ro;r%OpM-`5xKK_JI9$+8a5s4PAK4{@ z8>@S#0Tk+UXqglM>^c8h{{zwlJp-mkjvZQY3FaV~`5O^}-}K5w~gs0LAvF6A1& zN&L(B4zA>kETgEd1}z%FD?Xs8jGl;WF7r=<=7gZ-Sb30uDjHX!JZ!*9lS|H8ks-UQ zPOr4^01x8>a=~JYrpO!?e32g3eWkNzq5&{dRM`l6*+$;bcmKU7R1yDeZB{ z=XTOzoB7mg@OdjrgK{d%{$pBIeI+Nv9nqd6NF!5TOJ$s}xEQsvD!(CTyYZ8fKlWGr z0ag}w4H?x!9oguYWAPocW}JnsKW}uKpK}$cc2S+lH4d*IBdb@>404c|^{=xS4o`2& z$UEbquOB{qFz7Qj`4ImWu%y7Z*jl&xH1t(kM?dOo*9|cm}Aj%#Qq#N_c&kP8Lmy9 zwy}}cuK#sWZfreelH=d}%6&r_7Z84}CUHSbsC%n!L#wS5amHb}n~`nQbrFOI^8gc~ z1Jlbe>;H)pMt6df%ui*>@Uf2%VgvVQKSflqTkDji`vpBPjm53axG^bm(tb7PWgYx| z`t8QvyPNTQZq^a)vNCIlfzhITN1fjN5r{U8>MtT*-p_yV?$yHwXDHo+aC#=?EZUo3 zxX?~A_kYN#N>P~*8(7eiM*3}tnTC8rSUTFD`sTD0Fb-1*)i?_4s~Hm>S%zlH$L@fr z@(GGuT;U&`-SR19`Wzx&H_vpYEJsCU0a5MVJ|%FIIM>0arfXpk85XIWirF82c;{C{GEuz+NGKFHR`{YM8CZ-YCm`XbeR1z)3>KRIeLq zsHb(5$k$}oFs?V*EEwF1TRMxJ8lD0GzVt_97S2Y5CLXcX=9dc-(f`Paauz>cLv3hv|B3D%9kjPW?$_qJPUH^j->L zn+_Q7q&~!2UTu(WWOB80FCfXa(f&V!pgmS7d=r5COd<(#8IW~TGUif6Qqj-dlKDW$ zySz&|20E`0Kt%=(Re-0atkk>b{1DDplK4V3C#3vl&5~x(@-wFX3sP6sZ=)v?W~Ylw z{FV%7|1NiOx~}_)PL)j~{`gIc5w87sn0R}7N^{jb^wpe{U;IQW$}e--IBUwUXnA(} z;OuQ_0)`OD@0W$^PClc`<#$?iR3Kr%3;huwb4sP(;Vf{@ufccva)3k+F8vxt$Q8kIh*?+qlJ(^CO&PHH*%}oAjbh{(V;Xk z-KmHW5#@dg2*aT-Q@vZtmH_>LWYlz`6`4+7BR-)=md^EMHp0r1RhCK3qnkC@rlIrP zyp6vf%oXg%G$MCjU3}du`94?l-b|TC3R3!jzf+Vp^OV}R+^ojbu&cB6h$ixZ|9QFI zO`k{Xq`yMUY=~L3CnGjd0ZU(2pnDOq-?Vo6r}ysAa0iJkF&Y}Ax z6zpjhchpes{H61#^SEaN38~J>mlM=I667o<(Utq<=E33o#ztdTl9UXK=*5nAoZDk$ zJ#WSv8)n95csj=L|K!z+m-Q5iH+}LwPFCpI{=t0Q(Pt5Hmn0t9D(VSitne$ar}N2D z+kFcsz|W}%?g^NpZ(t^iqKr+XxHDoTI6blX=YBc`vnk<%)4-O4EQJx(&R*;K7EAud{T&!aj`&ANY`9jh;wg{WgOg1}Y2D@s8K2m-{ ztg57Kr0fGDJQ{%Q%+?&tNDFcxY+CHK!kg~#t&XSsL(y<1^;SCXj+ zhIlf6guTXGn{AOSBzl&8X!}ThQ!XB^D!fLBK37;3iZgcXU~k0j+U4q;%^N@2MgZ4G zK(p(dE0sV!SCYEf)kf?GOLrw@tF%2v-Nl1cX$-d2jvO1WZ;xrodoMu z*I}Ci5z!2bl14&K1Zx|NZ`MN|*+-xeuP{d}Q}=*nL{yu)p8}^DBfSbW+Pae|KL7c; z=-?xX{lR>G*x=#`I zoC>E8%=MVeA}YEj-&w;Qim(n@n*e6ESM z_K%6FI0mh&M@&K4CxA#rG32tFmlL^@44vMleIX^rZ)Ox34sLr&r+@5>qUHsdL_+wYXe@iJQXP7u2`7jTgbSSsK z%<_MdO*uCE=Oq`z1HWN`{D9TT&cyt98$?18%==uVGKqG(RnZ-O`_?L)d3eCV$QyT) z7U+oI%Bw31U3Yfk{O?oZ7$@ALi-W>fW^^w;f8Zq)?f^uevZ)5!7|v05 zGaX$28K%U4O)g)FlyP5}=UiAITf)NX8{_qzCy}S5><09Z(~cvUk+j3#X72kEhwsnH zu7nW^QNC4hR6gJ&{~5h$+72gpway4nX{H`w!0zRa{{X}|jy2@DxYb&L^q%k<4$s<=1OF*c zkMVg*$wYC!qTmVm$!5j7+VML z0Qe<=h@#6pg)UZrSOJLu1HGAOZ>)gXk>apF z#tQalsj(|&C{}z*`);r2pWBzD-t$-D=s|+sA4y_2g?Z(8zFpouB0>ZHG=##YyxoX6 zSU9^bn20k{++_*%XkB+iiYX1L6wq+i62X#aq?J}EiX&6;-ga6z6FPH+`dL1tC&YUg z!CMKF+H7sBTDN|kX3TRJkaPpk~ z-@{M{T&fs@u==$4<;`a-KC=@Um!2eAaS5>-$DiH}+n>P3aj`A`&ipm-sEt}aEe+=> z3@md71n&Dh+7?10t={X0p)hn0=sIW>cWp6M5!pu?fPxfy=zvX@Qa)F5?^{>y7X5Xu z`*o@z#hKdM$J(1tCYA4;+r}R5O_uIfnT2Ho2*rv6cs`FKqpgAGk*YJ;^yu*@O_bKp zk|Hzh)*Ww;r#C{qcZP`~2PVU98GmudDNe5w3Ierso)X{29@sFJ@p8=ESON=3nyPyxF^YK$S~Atx6~_C^9lf{-n(DpxmOzgq59z_v*3=QYtZ;s~LSF+-D=9yJOQ! zC6@=ztSk*UE!*7o3?tZDL_D7lEHcls?HmY4aOdK@D=}1!#}bq}y4a@XC&URY9gQEt zvD4H3XV0edR07DSU>^c@CS;h4duA1J=i~K%^Yd@2 ze>Ftc`6*20gNCTF%7CE4z;d9EYFePVF=E?(gPq68+m>A{f_qaZDC@mIqaQ5pGdhQ< zfq4sO0R?}4_=zf;`1=%0zdv)O{A=5Xyp}NXueUxGq4U%g5I)g%=@)S{uJTN-38Vf) zCZcVm7oA)2ra4?Vv&bh1zU(vbjfvU~MQRGJJ&;c9i_`KCLtPj!I`TpRmHry3*|gq= z6<$Nx*sr(HuMjOQR$zbWJK?X8Ru_bS7?hlddXLug+C6xyJqLF2mif&eGR&UVlXl2KKu}mc(Css5T&j zCORkm>>^$7_lll1p(aQy3Nemo!Ma)Pw$w1 z=W(cU<(i)`A*u4;D4W9KVs&2KVNPknvL?!gDq&!lB!QG(A``35?b`p95cOKFT3w>> zPu5HqvqQ+TX?71P%$kFgXSv#*G7Ce=8)wg?8NAO$tyx-G$2vt<7VN}1F?|{2oR3t= z6Ms5(0T?1{Y6oWhYGo-ey;`GIa%Ck(mpQiIXBKbM;Rx}#3`{N4KYYg9wWQXC zO7*=csRS;6v%(Bc<$r5_R~8gvG7bjITbL9tPalnH^&_=rTeQo^Ld6pEVNm6rw21c$ z9N9O^`!-leJ))I)RTCbX?}GIHB2ngVf5&ibF!r5t zen1sj1@bDgxI)N5i!HRkZ*(MBz_fVXePKu9*9*J7`R6&-4=?OHh5RFDUl!l!s_q{` z9aTEU&`{7!cvW*6^0Eig|BGd8@!vC-|3Ec1x(bW@lWOFn_BPB~s3!cuVpxdOay&H% zF%%O)N;lVE@r{h6TDaY#CaFh*QpTCgv^w|@>E;Q?ZQtOSYT>_p&SfTQcDI>-m<_jQ}-aHU=(&g_@Bx`(k?Hr&q3 zv8Baw8^1T9RP!{%N`&*?TPjwU{MmQ|gE4>?=Al#}Z-UUZMM$m6s7EAAw^yCmZ8F# zV6{j3Gvr}`7Wips7a8QVaCZgu+HK8b=<0R`7(HhskTrUe>W|s93Hx)g|uovT`5-O|-n$Z(*J=oaSQw=iO@) zZ?lC&FYJj^CnPE|R?jY^#kkivDnaf#FOl(TbA@9lQ)f{%nMNxi8f*^0S}VhruT8{h zBt4@7pO}MnqvrJL1L!nN=y$D2dK0 z%FC&u{RBH1+ZN0IvPT3=x*Jix_R*~()mIh6d}3@o<`44!Enkc?H?UoZj_%tSpJv+c zRogB>o<&YGCl}d^c@kk3#B%X@#XNUwiW^ zK6U|j^t+F#d)-Ml`|rNhF{QfKa;J!1kv)FMQcWblXC7waoIc=m*==P#g1(S>4;`5e zEPUByc!Q!vwZ9PdO52T=pMe=>^;p47HYaCzJ9eaOyKyE}GS#j|Cdk3#k^@X%0Kats zoZgrd`EKaoVbSdj_nsiB&!@~pFURjY8FcwOiUsJ3ivOp-3_tOlT$Yr&RUR8QJ4GX> zGk^dfr`%JMuOD(Dx-9GD5PuIjKK}vo<#n8*oFVeTe=kgWRQ!_3y}bfO`ZG2=Zt&b^ zo;`kT}%)8>GOuDaB=*X+APe1#zpy%dB-+=~QV;Dygf!;qVxm0*j&K2i3Tz>_U`!j`uS%C{LBhoZVo#Vd9FE1J~nPW9!xlY!C}nO2vCvcsA40h z0PG1x3+!8wnqi0Q2t*=qU1bEv4V>1$!mZ#UbCimgG`J3kDU2o`)i@@g{bY z5NGOB059?fM0D`Edl98!A;H1-0!st@g3sRrN7KoA@dpt$N_N_MgIYFHn%dR_MyIRi z5#skKbp%i^+!ax(;}CrEo=xd-t6+AVzXSh%`i*;U=kJ!KTAYn?9ItQ-zO}#10}*HgG6bV0RF)X7lHl z_VnO{&BzDstifoTJF&4^eS;W5EB7O9c$Ik!c=PdoW6#i|;PA&pq1KBCtv4Zd`?)z} z=aWdIL&HDx5Z@aNDNk)|e&5r`_(kCbB+GaS-1bLn4LUFwUVny{+p)DJxWIilz=HEh zAOOT$nGoa=K*}2+$Nn@4iA9Wv32>vo0W@q2mmV6A9!H`8xXFulj4T=p6aNImg5~Q7 z0B%K}`GoFg2juoq8MvVONI0+chN6aslAH@M;xNd6Bl=4NVzxAP#PTo$0-;yHub&tY z>@Hrk{+^o%)6_z>>h~dAsmjKGSHCUiZ? zg>$Mt?~k*q_!`21OhQmDqevPAO`U4iQ{PiK;Z90jKfOK zOSVZJ!wVka6|bkj#DykyxWxbwzfd8C;=8|iffzqPo~33y-i+?RFVL^S%k-Lpy8!0h<}AEd z9EL=(LJR8)RhArn7bJp*w|3_R1o61R=E;d6p_2b>&1vg)@16M<8)xq7SM5$*_&PLNBB$PF)tHO_KOkcpzQC$N>>w)C;}WV9#I*QlLq)#3i$4 zmMAvnFNyM^ou4A5bt$4Ml_V9>B`M1GV*&t0SLz=p!NRYoJ+b&dFpFF( delta 44580 zcmW)nS5#9A(}s6?2qE;)AykpxYd~s1ks`fI7wJM0u)q#dBm@xk*uaKh`)r^{3(~uy zG%*qoM2-@g5iuey|5^WB%$lpYnzd%;nRj+(p}#&uLHFPcNFV?KpukNjKL4A)8EuZ%2=oBqoya{o?bdI2;bCgu=gu z0Ze_G-y1)#KxH8qOyEWAkZ6;Zxk#NioW=K}$3wk-@S%=F>y7KGGvf+2VTv_uyf4NNa|+yzs`%UH}=ct3Ii-3XPCIImyEuI7)R>G&~pMO%BBP!oI=U?_?XGjJEL zmNx0{0XXIzv{&zRSK*woKte>Evjh2v}&*-#}_RHlky2adP6qf~y( zI?p!dG(c997GC_;tZF^0{Z_xAgTjMxt^w-0(%@|MguTvM3=t~1cNZW+$fxqzge(R8 zL-v3p@TUYfgw8W0MN@HIO}=GyWf=@??15x>%~{cJ<`RtqEdDDqlSbHp_CX0&Ef}{1r|FpK)6o;GBpRdea`Q{-)ON6CVHye(r(C zkHwRL^jL|pRR3tr{pYM$r77|SHmg@-GLM(WsKm;f+sMwuNyeNO!zStAQ8AHnruuTu zdfREySwONGa9S%N14SjJ9e`2fh*>3RKenAcN%m&xt(CE!0TKkhe?Z;}+{>F!|6KMd zj|d}kg_`*MR?^PVa2=N+{V+NUq_c;ZNW+FfZCD_4)__jhqO<)sh3PBYk}2_=GSVi4 zdbGQ2N@I^9!N@^sOO1#=U2x_246ZA=gWD#D* zhMsl*TFRths&vT^olk+5QhVr#rp6Inz3R-Z@X6j)g;Iaf1}Rd>M|+&LDFd;>@Pi(| zBF*VXH64{fg35={G$V)+Mq&^<)wU{5n$5u|m0TGBLP^-vHvz?Pu0|1p*e)qr>;%bU z_d&7FDad~4XA#P`qt`l>;~R3BlMd5t%r9&~iBi`)_*dac`p5Xh2#Y7Cr@!>U!Kng1 z1)0arnLJ`8goC38%(~QA9V*<45k!$!;IKr56IdI;s1jx;i8WUii-Sd|T9~uf)N~^wTsI3x z|MlMS!%l|hMmripJ=An7Mj@l|eR$^Ez&43IP4Lj^+nn6JwpyCD>Qz4(7{PIW%^vtT z26^@0wEnWG+`fWx_ovfijvC*_Au{A8puh1A0QcNv>C?m41Y1@FIout3&SFXUlJ46@ z^XJz7tcDEj+LCTNSCI5!C5|k$!xz{`HjZP5a^rrte_aGe)E%xDeE!(8Lk-JYt zYN1`L4t%M;ExUz~_1uQ5_W#aqEUjn5dFwys-+W%s`BpbqYdqIL__lt4myV)HruW*cbsdgekbpS4lzGOzks-B`hGW8Ii>V)?ALc$_YHY}=w7%FkVq zh58&xez~&N)b*7q1zQj7`dx8JfLFiRv$s@AnlBj>eJg%0s|(X4lKmM9#7$ml|5%=o ztao8+sTqJW*Pw;-yCc{(&RB(=;I`Ac!kIIs6iVXzI4aq%MEFfbV+~H3%Qx2xo`#NI z*4_s@p^4BfZX1zm(gev@OEJr}<7y(q_)DuTOOdh7k4lF^D? zqGlIR0|Cnge;sA-M5kTuicIS9`@f$u%`8CYd?P^R){U_m`8pN88~RqYM_pcDONNdcl#|M(3OgHl@vaa5SSb(>W#-=-MQG;K9>{ z9(WWs)1V(p*nWEX#R|*qx@DjLT5f{M&r~U8i3jC|{?CDsBHwMiX_CrHF!sL9l-z(BvbC zD@eCFJ*FKWdkpbNY91tJlRp!~cF9vjEAnLiVO^))bQL0)p*X6@ug!q(6&5W&TAmdb zB3Bdn0_lT947GB(wVP;8hBxiK;D-meD65 z#XH&|Vx!<<>vMP>Qz1w!EWt_^EUPBaNPK$dAj= zChBK6Q*v}WwM^j(CH^n?VM*Zb-*zgSn7*4KOH3h;UsBjp-(3!&GKtC4N6xy!iAUWn zA~(=SHw@BEi9ake4Gj`?j6=3~Kj7JzU^H`fKnly}#PNyb<PtECNb@-A)reQ}J2C=Y25m6BH{#v|Ozd73a!?m6VI$LX{`Gli8lbFP zb0PSUsh*9-g6jhn($pF&c0QzbLDHVN1wxAFKR>cqISI>Fo}ULk=sx{;z9a8IgTJdn87>#&)KTU@Hb)lt z{LRb1AzOQ_QBxO;3Qm02fUNzW4Ifqc7!^?8jr=1pv9>@C8k2XGSHm&$LWQrz0F%%` z($-6<-*azo2O#$FrhE^s)Fu~TXV>_wi`|y~lF+UYmZ3xp87FIU&98phOWs+jDuh(h zQQI6>KF3`Agbj2=La@#@ds^Z&Wm;XVPz~9msK^)f2UBD`Fz;E5Hf~O&*GuYEIaW5A zJq^AZ!Ff-=7~5gwyEODIX*pXdXHK*UB_zczdF(4wE;I-5Z5<2yTIPZCjc__DqKjP6 zc*nNwc+TFbD)lW)dd;@!_l7vA<{p7O!uhnz>>1?DjqNTQIEpmrwVn9M$>v{>oVjx& zTgc`C*bLleWS??=TFNi{Hq=1U`6er0ysXtE6(` zZ;W!2rgffM_EvaM2wJud;2-vhk0S$`$vs*7^-}}@M?N_{2~dwFs5fRoDVSshFm_Vj zTjhY)F{cz@KIVA3;$`RkAKC$*w8-dKvh~SZPO-CAUg>0?#%SlrwAGBmi6ZROOrw;Y z)Z|o3Mr;6m8}N;c5@}CJJ(&TxCQ3;JYky49%<)SDNQOonm?7=;Cl5;UjD$m?&m`Uw z`o_XM`9ucOpJWD&HN9eD9H0lT0%vZBu9n@%bO-}Nwt-+E2x#I50s+9ml;l|dry=CT zI1MUrL~RxD3}yrF6Tqq)a7ZB~7jT($419I}>GPx+zJ@!Jj}PbqI)FBy!UrS)aX=Ih7Chipa73}w*AHwdP(+%1*Q{h7+G*K~ z09LdXS|ZOwkk&JMf^>c-mbO+juvUyZ2+om1iC-wOa@*+YmWC`Q24eTuKCS;jMqd?= zGmqbp*$KHhwoMkyv-KJY!SL%9#k@PHhlTlIVlAPC0ChP8>oRpl}93H_xFu{8y&5te-M&>^0R}E3hdH7*uhC? zdz}Pn+U#*7@mxo9yS(z6{wg&hp)D#(lGN3goEHpov$cd|Gxd|3ypS0@H9 z9DF*vifl$QiGESM}falBE3z^P3rS)rwAv;c!rj8L^?jt>-xL;)_lIsbfm1#$6@V=3n`i% zAm3bDW1FU@6(f-+1lN1{WjBAHto)$1TqW*HY51B9X{^I1MXXgcPv-FsMl^8CZQspw zL7=I)m4AQ15yVXRYW|oImpy29tovPnEd3+`N1HO}xG7SHhI;uh(xs(>FHe8T0u@ ze81O4;wyZ2vRE-oWg52jtN0CyKLgu_zM(9IjTVtUHM=X)ZNeD(<-Vu0<mAU zVxD9Gi3!KuJN_7vIL~z<(zm3s7@O)@QEe1c1jryLocp9-0bc$}up>yp6s;UwsuiI~F*37Q&CvoWl zL8wRUy(SdrL3J708bw`+Wa6X+(Y|JwlmE?#>&nU=0_2l*Wsmn{e9IQ@?+MDlkd!=M zALG2x69t1w7n}9<#uwGy{7vq|#*oN+)uqkeOUtV}<*JoF#`Z~`U8+gFrDpSd2A2Mg zKC<`#bhGIvBk#0#TmB^f9pobH{@G-A=p}MxvlykuEgFWh zO&E&SR0ht%edm%nQiBZ|$D58G#hMV%;$W8M)NJ?t+Z)(z3fH55TV!WPTjbGB=cDT# zgvNciiKj~F%m)BXdriJVw!rmv=Z4LFuCj%mxO*wK7QnlleI+h%?Uf8ND_J-oE?C%b z+FM)rGyRR>;5%Ol*Xu|PC~3+ysmo_Y!?#e(^*T-i^!@7oS|uAplW)j z!E;wSDX(jiF17uM{ZrLR;Wu9Fl?BveslV1l1NWY6pU(7BwF43JXI4v1T!wul@}M zn#kvK8+dky5ArxqJl?CpiI?})udN|RJQMrfUeFyu73IFztgOyD%^RJ|40-7_HTw0` zjEUQxDrpnli7w}kxe8&V=?0sqLyr%K>I781YkTM> z^YtRPYMW>QnJK6o5o)nBpk+0j8)d?pfoOq!+Wq_UvoAxmbW8Xkzf>&_F?Z_wJq{kMqb}90woZ z?9Ou*_VVP=YB_xz{+{9Sa*6A3eTpEu64$g>^TpuO-nypq51stJG=#^G4Lj1${57Si z#f-oHb8-QJzF2417!M!jqAJ_syX=tABFfJ|nb(A?au3KG7DB&BSow$EPYAF;0Al`u zcqpiDc1E`=buFNHE=2p+?ql)6U;jI5l6Cap>1?ki4E{A#_(j+(kJk;M7{E&@&1U@@ zp<5RAazzlQTr@-AEgVAMF|1q=b*`7|jm1XDomzeYeTpUEBM)gPLZ5$OVxGaAyA}hu z{ebCs!pn`yhLbKbqpa9!)|7HM9d!ZS1yT;`IrsXX?WXt)9rP{BZ~cO6UUY@kwZ*dsRvByRkfBG~rNvVa9S|Za z``FeJ)}`sCd^Sv%#A<|2_0s~?NwR4pGjB*^^%E23S4ZQ<8b{Idn@PcMHI+gzW3kZ_ zB38EWS`C{StxSPCn4_Q`|3S)g^~0&qDFl;_RMW$`T0mbsGtu_P9eLzYGetKEcm2>Y zk>OG%N|jYQ!4d2Z|DZe7%~iUonqK@j0f7K+2%sBNbe%xxzI@w zDBkQX0tg%8^J%A(-l97Mf3L;9O@}%Utfem^lpp}}B3wJg+<#^0?E->PzOul@DDn7w z=0$`y>_*VHx1sP0>EGnnb4mLXY>MGsFBM|9GfJk~(DnuYj`6>K0htg16|+NPLZwNE zppGHFq%t!St7A;JR(ZiQasjr{_|nBB!=bCz#lrNgSyPt(WcffPix@R2C$YesumTG1 zO*5TFXo>TUVQy*-5IdbY+_sP!)c6l++A~nkSbiG*16U+0sp(o9eEfGh$Uvn=H`^#z zCEj|D=lIm_$2J6Hks+?X5VyHa5%86kRPiJ4ZGZWg$SY>_izuz!IeEuhY zfUo9e;qF(}bUHf37A=))Y@gqmnKfP3fn+9zMbV;Kgl6t|1YU~NY1{1P(9F_2bi-25 zcKPIWEsX@kStO)+7W zj;Mm{#qbr>3XB8lt}OR0o514_)qFQejcMX^&~~jnTZIZ{mz&UCsItZb4ZF!-H-yV& zXnd)2Oz6Qz$*wu2k@@n4JVhClnrzIpsJOVXU6kXk@3-Po+$wwB|D~kaOqP)1WkJiTT2msk>TR*!kr=s-7S;A9)(B()W2)sU z(1VSUeuP$rDBC@Dz6%&bSKQz1m>yc znIBnpHWe1)y#?v!UV|50=Yp2&%8zih&mb47$VUuH!rvIYbS>Hy`cA%aUU~VlGmvXR zlhWvvO^vT^`I6EVj+;Ae=tx+U}{3+>V0tmRfA9dQG zB&RvyPx7n?j>)@8F)91V4Yq0f*aTUpT|aj(Jwr2z1{5W2H8w6Mqf*oUb>s0U%0Sxv zTYMnU-6uIV+2)UW5(VSNcF%WC6g?OUFLu!&02u~^q%?3+Av1mQUZfP$lVJGD{X*kg z>IJLu$km~k80M{vjK_8!j5jB!PLvcedDc(KX`pW;b<*^h(9B7RWFe=N_TvuG(Uf?g zLFJevJCd2j69A$ju?^MfGlB*tlR`eypRIuiG-9?UD>!zrZQlE zEpaF!1w7f8jHIm2B8QL*gARO%xK zx$fgyIVpgE&+$`}>4#uIVkb5-4x1d!#Ar|c?tkT7m7x}VwV3@eWeNrO##e^P_+?b( zF$}6`Vae1_G`+^%Na|wb@uX+((N()X@u``hJHz2a5b9MfV_G0G#;hTlGK5asxFSA^ z&5xI+?1QCrr;C@V;HKCvfzA%%jn4>rEqeOo<*X!+#&lqCM%OnD_fS%_p)eCxsM$tN z%ou6q#>6(b9QQB82nv$3zJCkn>-v;XDuwJA*A`L^|0{SV2Cn(?ZAo-dT(WcQS|liz z$(9Q-IG2oTAxV0TFfM;N=O8l`t##=+Q7~TNTTOmbmGS5+Y)1c+lq@Tul2tseLkr zDsCYug{j9_(eZM+ue}o6#aptHGweY|O<+ms4BjP{e4gt`AxCu?!jjj59{s)<8JqPb zzM+v|bwxt8&l%P`gub4XH-;Q8W+cZOY!XC;7#qigUe#TSHne-G^8 z^q3BJsbV)16WzFOr@v35B3>OoFkD)TlWMa_o2owcA+ez=Q?@&)8riBetL&?RT@G_B zC%M-JB}aI?dEa!a=F&`A#``3Y9%G>tqsy&}9*(+Nlk}u?_zbEq@c-(P^ zlj`NZ4=#jO5U4RXa>J^UeLLQNXR|U>@178Vou?XPsfX}1)uSO3`w!FqrCkzBj;A?p zDf}C2hROImlA>$dTThTQi7oUWF?@8oTR)O|upVU-0XR&!UUO48RdysDB-DyNA@F)A z|44Rd<%j;yAh_W@q35dlnf%uR0>=cw$hb3oDy0T#H-mkTxs?ZcXJ;Hg#dshOdjd?| z$^?#H90{~NWcOa;%6S$o%I>NIl#`m?AwyF&gqdH-$#?xfTo``8 zhIAE*GYFD?Vn8RVONY5ZDiRP+MKiQ9PDfugJr*+-ScJCFM&GvE3@fMaXEdor`CFk=b9Y5bVCJqOQ$F-LE$BpExFpq@V3 z;|Kvo_j!CaSikm~-I&MES%XkQN(R~{{bzooT!ufe6WAyIQ#zL*d zO`a0I^a^UiyQScV^`i};4wZ}VKt>^n&$z;M;xlo9R_@#-iNbM^@E?7MkTqHo7bB!E zic1jESH$s>xjwbB*QH^N>kw1GEPx-)gi3wv;2IZS-IS&MEN!cPRVZ{yI z$(v53L*1AU?pwTQGH($=c6s)Cmb77Trscih^@k@83C#EkX}hIZb$- zDJ@$5b35;VUB)hz0vL9472b2b78qsRnDr9ni(knH!LtgVxdw^~+=G}iV)F9-o62%W zYZ1$Z8%l#=<^x`kL9cHe@)x~IyxsAjv24C9UMS$5?gO`3eJ+tkMpo{1)5ufUh{=e2 zb5f?b2B6;7DI)*(V=@V(E5>6=kO}t5|9`}^44l} z|JqH-3uxQzdXW}B5auE1oElBruAQQYiN3>;r<8Af$bMXM%{OG6(GZTLf-U^DSqI$W z6mZ0BVWo^-kU&-c2G!w1dFwaoF@iWyf?`ajfva z%v$-$E5tc}?vnsN^uwaJm%VTAw|8KEp<4RTWKf^hayq%_=UBMVaQ$ad#>ny4Z8lK+oK(Y2NkpsQ{@l&|#pK{K`PNi!;M2x{cz}RGV5be;dX2><@tmpyy9z8*E zZsV!89A6$53bpLc5c@*^Q=-`(ie>oX=!Ksz&n?fx)&i#XcU|zrUgGH(HD0B}uo+J3 zq2su+

LY(lk9xP_DN(v>AOIzIDgK&hB&;!xIW!mZ6)1A+W>Aq2`lkOLCg?=C0pk zc7S-;Y3KF23><0tC}$dom>IB;o2+99yA9xY1%ng}A@Xlho7MxSUA|p~nQRs#2jYM) z8s)lT*a)b5Lf)iQ<*r7L5dHmAp&_a$u4i&zO@kc$XwLzS{wZhln=c51wM4IgUKz$c zhEpPT2fVot7c(2FTH9kjY$Z4Y?^#+;lbSti-tUBD(SXnBxreQP_jl9vPjj~R&N|nw z*S3E4qE9M+p!R}YVTtT)9^YQ`z|~jEWxKP*F35+pHta(fMce;IgS?AW@HoA&U#{qD zgUf8A++j?Fb`L`$7)eq9X&4SnjNxU&|CsNY>IGmpcnXE5lO<^BzvzXZ;Obw6>XJFkr3ustl3M4M@;|8 zX8fhd+^s!6>ow7B@yr&AHh91tmu#v3k}*URV5y6aR{C@5V-?R6NEC zh}Ew7oYK3_=xV6?=GsP^7v4WE1)knN%a`Hh_5*u)lh6R}HhsPQ@c8ohkYV+B3zaX7 zm_rCh_>=NR$6IZv8wk7ZX7jYP?fM&QsLNpovNCm6J62MdXdtGb_Lo8QHvRfmntx?+ zx53Q!mNQ@Vr`kml@8`yjJ#I^<%1mZ^-Sb6ht@^LgHh*j}NZeeyK|rsr?(M%PgeJk}HT7C7Ffz`a!Z5LiZh5DOn-KB@E-11@u84@djWP~FbB`p- zK%;$KZxmP_oGD-eBGtM7Ftmj0 z3M9NGtv2Zb=k-w)&FlE4)Qjth25339V8zP~)Qs#O@q7O@c<(2h{bfdW$E1TTtPjzL z`t!y-g$^r3jHr$r{x00Jqr5|JAIahifwpLnRGTxNC;Qf2(+#c82;2a-f=hSgoS~*w5h?i=^3;lS8RFlb%`GxAv5_N_*K(v^X=}zmBBXh2HS1V@ zuBSrk3bsbP_QPLg@XW$ZAuFbgqk)<$;fwZ$s&|&qV0v_gBN1VW;Uev`V*IU-_6AJ) z&IxwU&#O<--&E}=X_SR|zIYRXDVBNH#rSrw>{Vh5h_E&nB?sV5A@mPXi=K0SIOzQ~ zcl6=$euO$jm;nwO*{1>KHaRbMk?{xa?QuHx@Rb<~*pEa*xT}WE7jJeIY zeZv`G(YKYC?OS^!zoOO688emV_XM?&wfy)5WLNud2taaiRD__R7|*}-A#YGQU?s2j z+=Ug|2j8OoRz7Q*Zmo)!&b5(Hk7_Gd!&=ZkG5_luGm$N1$UgPpNIBK`Dr%Q>+})bx z$=q(BP($DNItf0U%nVt6+v9s`@3DHCMhVk<`86(bb5JdQ^+rd=kFyi2&bPdseWXG! zP7=MUJ^o=il(=ABtatth`aj$cN|1BVaeREwii{<9b_+QW7%6<`{xe8I_h^aPb<)O* z9XsL$hWlraCazpcW?6@&(b!i%A#Y_@#)g#22MK}kpZn+|gPR7~87ew zx!!{bqw;)Y`lkL4fQ3JqL^bIAA|oGpt;@+maretRW7O#VxXKvHQS!Txga13tSNwj8 zL*O6zia9b7^-kcV?DSlA>px}A7{R-66LVPTBdt3Wmgt_a?V+;K|BddIZNNAFh|(1s z%{fPY@Hqt^1)nTUlQR!4Oq+QxX^fPThjNie_k^k1%>Wk$0q>0H-Xg8fq=+dd+=f-h z-s9IO(MUgqX?=CVTAi66;t#9$CF*E7wAJf~G$f5^qk3?rkgwO8YwPT^f8u=)1x>SJ zFhLg-Cq-1BYIG=6Sm1emSL*$r;o_rNIy{AQ5ybY`h;+sS*^Lj#9OQV zc?kVaC8J(GlVB-zxCKhi2>FDfAb zTQgW8Z&KoRg+4!C7AoBkGM!i7Ev$99S)xt;43hGtfW%9mY0J)soW4)g0B*cCr%9`v zn5gE>6T5puE$;`8lCjLMxMoTJ(dPbyohtAM2>Vn5KPe_{t~?SMsvL7~=ett=IPcwCso=|LGRGd7+g%Q8 z#1|OvEq|}oUA|I31G~B7a1KJ>fWYP37$z5Ll@0&5?9cD&!8){3w1;l8z-zGPfA4ksOpK!Y$Jw~*4n3);twM$cM=;X=EwKC4X1Lya{1E;Ix8q!E>9)+ z%qXo^lBcFsy}oE?5w2^DQ_#a4_PCk_>0c4`=UssmfAsgi;@FesIP;G`ujrqv<6F7R z@BeJkFK*85h&{fBEh8%3@&FlID<`Vv%G^qUM=u|4I;cjeH;a-Fjwjo$x7vr9;vlWE zUWS9nAoSUeTYSEGlbIPVbKig4tps7=1%Y^(SRzJ?Qf4soiC!`~E=dVh3oez4ki!9% z2iOilR-07+t$Y;Ai6-ByR(2d_-mk$#MxDI5W>|U(mSI!&3Fd|16(808&EzS=;7j76 zP}3>~3NGiPTkB!!I+al4ZVJBTno%#m#@S2GLA zwydij6{oI+*R^$7bY&qCc#QrmGzi}Ipw^PYSS`tLfalY#<{7YhYM(hp#9+9A^#}S> z8A|;uQivrDVNoz_0pbo ztI(cB*Rf|^eQ7_2juWwHOLOSNHFX8yLb~RJCrqTD*sWL*bqML+)*_ZzbF|D4w3U4q z1l9ITnqvP&(PRy6ZK;EncQnt(A&8&@>btQrEGlviTHKWv<*ocb=i=r16Oy^#@3y1_ ztB933qqR{t_HG2K^M$=`t|0-g&1WbD-KUv?;m84>MTLO0ZRtnIAj#aH!Ipa{=*?nH zJ5AQnYykm6BLG0nuL%IPJJt0eKxcq-)`-9Wh1M;%TJc3*h6m{s9Y-GkEypvF6#jx` zCv~m@t?>A3#>%3m#g6jz{c!n`vPX**N(!}_PCl>ELSin?s#bGKjF8cJt;gpB)fGBu z1e&Z1uco@pt40WA=XKUw{q$lPscHYyid2Dm6|P-e>+90+R#KR6@$BYUDYX?+`853O zZ)e(UL{4j22jo&{UgzKc>aUNFgS5HDF>;z(4j>2XGsUj@6>Wb)pF-OXw7Cs^XjDH; z>d4WK{ueqBP7bz9i{p6jy4m6xI zlceDdmTGdmY()y#FvS&dDLE=MSodBon|2^nRpKDs;EiP2fAtT^gR6^a@m7{^==ZKE zEc!1k(q|v2z(JX%lJMFM_1LAU#WJCOi(*7i$rOHsW{-uZG;GlFvM!`sHwz4_&c>@h zoFFw`*xX+vls?}_`k8wL4xI7gA|*=y%^tM#YRhF+>=Hi8dLQ^2z7@GpD{Jod$BMKS zos`A@!ZjSkgGz>Mue0uuEWao3mNeFB7x6*Bftc7R$}u~e4XBCoQ^gx#pf z#K^Q*$%nfI4$W~g#VU+9sx<%W13IM%_}Mu@W%Z14Wk_XW0`9Aw*%xb7?yLfqg^(V= z(akjt@+LuVv_V`seq!sk>R0qYq?1Dn3VG;3vwb{hbCyq)#We+=P3TVOG3=0bOqSbI zWZYWkGs=og(}4DhvRgcU{nmkDWu**QX#A#-&o)OAur8EKBI9h3z&yI}OYNp39)yS5 zU62I^n>y+5@drkZkec)MMS3OgL)!?hQ|@4EtjgWOYSLsMj@186BU0ew)_E;1m|)ERxPzuzYrVN=(U5$7mM zsL?1#wtwg|lV!kHGH+$VBM$WNrS@8sFm2rnL#HZjis#S^cLmeu#;8VcWfsKwPS6g@+S%&h^1751xQPR&$5bgHmz1 zu;r_hh8^0l&7k3Uk!?fCvs(m%+K??eZAB-bMq;bGj0Es%s|Kiq*iG)D-& zy(Ve_x*pJM7+=GO<f|n35qvyc>z|UJIq{kKbCp&Upva&*|^uVV3vGh~x|mG5uBT ztdP($EfY&d2{@$+E_-r*QUPMt42^IIT|ft z*&YwJxX7m;-D42{^yG*Q-(qAa%TkF#cXkW-;8brDwhvzYOPGl1BCj2H_q=p&t7my4 z|1zPbH;sl7cm1$ymY=nj$;s-q|xhNfC@DSni zCHIPE4m^1xA{%(n6>GwpI(Fd>IxvfY_wpAPMc;Q4(R^66t4asW8lg-BhsgI0dlbt576MaZB9ncS&0_9ID<3h;JPY{w%sD#& z%Ev5xfp4OKG?b@`i2#*fU6a&5{Swn0=|{UyWS;a%jq_7!eLA!4?d<%YY+E_eNk<1Y zGF`_bCAM1^ZKIfyI&vE5G`6zN*l{5I@3ma18Q}jMa#!9=4(z0j&_@Et#`}DFN4e{( z(5ilv1;JXAK5i#`MG<*^8}f-huzw#JbxdK%mNI{?);X<(6(MQx5FZGePsRRWDRV{) zyXK>I44UC0@lCI~Fwy^HDgE?3}Cg0O`Ur;2- zt%5mm$B}-g;7>)tXozOw%Z;TJ0eu=mUR2t&TQJxGrD45%rSoja-A1qFAGnahWu7?$ zgnk#I-?jD?5iR$mGm&kQ5qF+D|4tdJSbb4*YMbt_={WJWbI6aKxG(73;hPG-`<82VB4#3QBC+wjYKPBNqPK1@ zecj7=)$YY|%2^7kHm}mT@m#avE;oPXTI#hD&{K}VBQipv*UaeVjbh8JV>P4p*2j#} z`ke<}>gqeo{T^(ABy!)`Xbq2C^$@z#V}6pfW~ZUPCQuT-zHnLc=>`9alJ{!A?EOQe z@UidDCrKo{X**W>!OS$})4PI(eC4ogeABnOL!RsAqC!?~k~~B`b(Cdsv4*JY?P5(mvc9n!E_@g8nU9 zTxAUuO&544z3EVsWWE`PkV|uEFX%!{9@qyM|2G6T|9vu`A7)N3dM9>JZ)#9yzBIfR zo6C@k>#|=$gf>xY?FygnT9tMDL$qnr%Pfq`iw7!uqO@aG05R4Fmd2D0|m zvGORgf3$R<#w1)i?9dwB-z{}9p@$|~jBU7(-MAq!tUvK+3CN14+;!z$$gQ?pu|la|3muYH~m)q$(YT3K+hIPJr8K z>5`6fy$m|MGyW`5y>uIG4}+_(%FFTNeN%B>3pSay%=*lM{ib-C`WN_))zU&8-!O~8 z)acNE%k6Yf%tV&B{!?>3or=&adF55wT#WpK*1wvm?8AAonGIsv+B!0StGWNE zjVy*i^Z!Z`S}#%y4(sNl^7Artp{8>1v9xml^>&eJZx5x2O z!QhhA2e7QcXLe(n;FqCP=>dna$K`K}V&18izbkv|v3_!1yL_S5@=redtp!hDOb=V| zZH&M3lb%yNS?n`c{J&yBDFMjQx2#=P8#s_@ggA!j;nLe=GezR<|KmGocme%Yk9wrz zDbl8Psz7n)LhhlC-UnV+quN*z)JVkk@{P7mEvN{t&$WVq;MJ;}}(-)uPCXTGJ!{@yaH-O$mEROAeY*5Pw^#Gds)Q=%XR6R??G7bsI2up|7A*k1>0>`>9D>g<5KM6uU3 zG&ahRU>6)w3BjdwwgYlqs9E|OPi8H_<^L!;6Mv>3KaOv+%Qg%%H*?=-?jv(oa-X?H z@|7z#`bIuOWQKHK-^!74q$syyZc#aN&D}K(AtA^1>-R^z-|xr!{dm5f?}l)Q=ELSa zQLNS2hl^pT8Z{N1WE*wNZVP_FYBe=haKTLB^Y5vG7I~rfDspfN_k5uOcxC}tna2f_ z=B5>TVBXc*uD49xtXj-iA#?;Eb7{JIB!5bcf=~!wYhiMUR{X=S?0o~Ia`q+=`+e`h z{9?@3gLI70<+RI}RU3Q1P2#?t{!9o~L7fx-%cl22QR&P$z)(8CW}2 zneTETZ;#pQA!w%?`|C|XAgx@y_=f?GX;*@TT9=dykz?euGieH6D1ax0`yd)5NRT|~ z{bJwRQ8(erlIAI8ciuBy1ZThwdJrBJnx~&f1=O0 zJie$&a1la&?oMzc{*L=M-etarplq!|=yv}X5qC+Qa6BfoO-{$y2r$w()YaD3)l_W* z5u7|vo~$QGBuuKs6vm;6!8_X^r&vq_HkKS=o&A2mCTRu4gMvJ3S#NJ)pBB#O>oXmW=Mfic z{2I;E&-mV?gd+pA>F1}xY%*61o3l*JzBtV_>1B7S)QO`uS$pDxOzu1dzU^=L~u6<$e&R|A&6o~Y6*wkw=#we?pOiv|7G6dUrK z2F>=k;(hX^%I2fD--5v6KY7*&1oK6XKw1jK$dIhG0Z>w)<__f_HozA?vvBIYCbW7Rrx2kws7wD!viN~dI8G2Z&(2f5A9TIVgS#Rd!yq>gH0|S1zR+|7jcJSxy|SJ4mLb?Co$m zHa?h_VEGdD0eNl<-YYVol0f&DN;g2Uryq2lJtrAKa)=hlx2x9JDeQI8d;5+Ibw+ao z<(ag>jMNsZsOeNInDvm;)@^-RmjSo4iB7s*zpPY#NEc)|Uy;{bW!<60Q*zRJ)42Bo zm;Wsr&uGf*UE9e)!~{o1H1s>MdEWN(NTxO%xa*;I3P>+$5vqofi3f3+;}Mzpk>Y`m z52d%eh$MJ*+5z;1J1qR8u!H&G>5U4Yb}uY(7{aC6$>$lPz1qq7sJMOk9tc8axMoLE z*8ixHPr1RwW8*jnt2{ifwl%*F-pM48>Wmvt7~fW0QxS@u)|mx=)Y2ATSZmQvcz0mK z_kAGcm^MxDQr!V7yDdP2RLpuM@QB0KAVYTMsJZL526y=|)E?;B^aHeBTQ&f``Vmpw zyRGr*o%AbyX*7LL(e!8|d}!Ep2x8IfWR}Eep|n`-uEm1*1-BPiKQe(PxM1uTf3uKK z?sN*P<_M=3j>U0MO&`cLmchpK#&=UoQ^atCn-dzh(YCP@(0IQA!h3QBG5~M zW(-@d-s`qA+6b00Y~eki{z{t|GqYcS?2_QGyLi-X@4&5PFJnjHWMk=Fve=^WcXJdhaQ&HuP& z3}U5kXSBn4(ohCa3B?N2gvcZD%OZT>hoTZO5^TV&Y~^&0gu!L)@5(>>cA0$a({f|N zt!|U7cCXvnUNc75IFXIV-@`P32~VZF_*`7B{~Gfis$Mz>0`)WG3(@~$+FqDj&c+xZ zzQO7p;T1Et=2)O;i#^+9w3;aQ!SptQ%Vj8TFJ1Ze(@x_x8lUlnOV|Qe%-dH70=OC| z8vxY^B@T5Q3|-Z8ecpGOA(uS~D@d1SzTUlFaQoc)X&9+ezyHAjtEtKQ*rQVZEcPN) zddfQ*L$6oIPZ!A~ir{$PelCN1T|uWSu-lhIEVCm#ycWhF7t1}Yr5za~( zPq(nhn+>_S`zKAXH?*;Gj!eo^v8&@);Ch51pGU0vpPd4((JVoR7tPUowg(YOSv(6S z*1T|eZPQ$yBOStTTYn@tf&vdtJkBa>rxqSHd*CXck*;$3;ZEu0ozR{HhLB+E>P|Ra zrn&X1cxT?J@70sz%G(6iSJUJc_zlrB9%)lgxX!!o;3$!^d`{@F*p=tHNAV*X0N;kf zP772hOLASr=0dA6r{7yRY<&AA3_sHf{%>C&qZXIiRrqA~MB=S8F2Vz&Vc&P+rh9^Pypn)Qr!2h#ogF z@{P=sHD(8za!xqI&ondPzK?sNl}zbPGeWVgCV4`t&}uGi;xw)U4*+4t>W7N-cv!upXSeB7#>ne$CfvwTR2j?Ar7d(nyt`*gj)chE9>l+M_<>EE`W1N3ngD>Eu z)%r_p-z+R+hNpW%+NTGwEABjU@kz(lg^t{5rL5S1)vba!`SiF8vCleq$W2BjLi&e} zHHeSy9wxSY);3WK&>;MmF!R2b(pzHWT;-cQQIlJtqstJ`Rk@bQhfl;6&t=bBxQHU}Fe2G!=7ly8k_{(hSvRVSdTWAbi*D_LE=EKpMia1!83HqfABhQfJ8 zlD~||(C;|8L3p3AMdF%cBPXLN;?LUC1a35Vkk1CP8?F6sE)Eaux9?muDhWC_s}Of5 zIPwj7h?>ehPD7jyE>%fv%BO!(*Dl+w;#dAQtwEQol)kF16gV);LT@tZi@)kmt)W`H zxnOq2hKokVM7<5bNPB`=!}c*|`?Yt$LJr8uRMwk&#u$3inH59U>vA18(fT7gfvo$W z!g@m*{@$PGU3@=A$9`_Nf!nd8-?-9y6z;VIBIYu-CP1bWT6ax5gNg#LSx|eaLtdYK z@J+kVKa0)jBrJJ9ub5;l_!iL1mQ(qYeWY{cQhHgFZUn$#L=2Wjxj$Fz;qRLa@~Qhq z5Iu5oW#-PaO%Zf@5i@vtk%#XKlh5*Mh;Rbj`A3pW0@8UUNG3S>?7Hw5dmG`5NdbH9 z%~~Ps7H9Kr+b4dKxj@`)B>&6j-}wA0z4(T;z5n(5Cdq4Ql=Y(pR`aRr*G4eV%e3&J z*0l;Vj^6-&+<;&23|skv{8D*u-56zj0|)!DmImkJl}`g-+_pQA(LIkjaDSYhl@!%O zW!mJ!{8ufG`}RjfxTXhR-R;S<+vT!cigPt-KG=1W33okdB_``*p46cZV{P1UxsI+_ z3%Is)jSeRSp5p5B`2igcw64|vaGNkG^hs6PM`#)d+?=Xa$eF+BJAfWJxFCXl_piNx zTueZvDH538nar35RSzHnq;N9(Oi54y8a}{Ox!#gB#Su+pSLzGpUzLE_0c-i z8m50;i-yqJmWS2e4VaGi$18ELrjtn+wa#hUBz8;^&YT@UFxWS)^bdG?sR=i%ab+;T zKyp04t!mTnBq)&hb60TN@$dp+cxsr@rYG9}JAS>Hiw~n;*2c%xDL9<)bAvUHh;pLE z&h{J`Sq}^t7=Q&Aa*DFyFf2&EXT7Foq(dR+Hb1Y-Ck_eS2*Mh(hLU8|8GJc3_~K~? z$>%SwVT627msT|F6B1{|4^`5KHevv9{UrXx0a1HY9uD1)MDPwW>NWAKi648DlgcUP|ok?|OsNZQ^kU_ug=4iKA?D{xJpnI!Wj_ZMfl`R4bpb{%3_uz+m&f-z`_jwdy-2{L2zpo(uyS@#tno>WXl^ zAPHAIN-PFl@kv}|%P4n$pJ+3^;FjRIXoK2VPr?=T$)7TReIosX+zD%X&v&a&Zt&gn z&9OBcsrW^BBi3-eN8-Yb33~&go9zRT1RfcwK-m~tc3MIDlhxfrVQ(m7hTEU03-h_7 zhBxyW)~Y2!Uj0BR82^ir2RXudXJ9HEkGOtif7oEh@ETX40cxH;+!8AY&gs`777yLz z0sEh#xn0b8>>`&Kmn{*Lcb>YkgLRfJgR*ZFw{SQwgBa8)Nq5EUsG%GQ0grT7A}jm> z+a7-T>z9)`)zvA~YD~*IIBZwBWzi5jq_P3a*pDTS8;^4UI9b$qK2eA$W;I?!PcN;c zVf+AA(&bQkEt%zI)w7$|%0Bdu)gDqdfN1jyE4y)Dm`U5r%a~PbQ+PDTK8(mq15HBm zK(defE^wl4RoY}ld&ol>NC}$70|cvPR2hUg zT{&d`P0Hf(%*~fSkoQi%frYVMtz!Mh1!gNP5Uxy00a{iG3x*ZnH$>WlTd@Bkz%YoG zxKfx3J@vHO4k#x6p3b{!;Gf;E4|);Gurln)0!pJezTD)Q*0uN*i5AQ;1HqN~@5hD& z+SauTxNS^R#1(-~`)$NNlH}b2Pgbh>{<`2Fe#$Oo|I`f!*hehSZ`hyw!0?~%Wc@hb zvkT~7CZi=hAFR5d<`9nY!Y+5UiY0KgO1oeT2=j$2Xq)idzof^N?juyjl(|L~HTi@R;OAM;cyQ&8~11rB( zdan^1u|+8ju|4q|1v1?9=tX#Zk!07=R5*2!M-3z%0=7xTQ{eoYa`fFacxnLEKW!eX z2xEP6=K++M9k)4(MI8VECytlJk;!hq1k?RW1gHkSey<_SjH5SyK%o{FYtg=>(g)nq zBoy{@LE6#5uLXize(8K7|8{W4rts(#oH6*rEdN>nYkY^)fsT2jt6oEX8=G@NkH64p zl>7H1h>Ux_Q~k&*IG=Orr-7J+-<&aGnp;5e>1MfXLT*WLx58*(b< zqUexmq1*OI3Al|wcDBGdV9FPpTmn1y zb@JOU1;zZwe=$x%BuFZ)ny)7S{P(7x4oS5wD4{EIR|~#epeX%ZI20nxGm=h0BY3^! z0Zdo7cBRQq8cMxG993!RnGD4Rwtg{_IIZXqe2q`-OF;;ZznjcWzBorZhgjOe%xSYe7>&$fWF*_drB~F-9B$q7OitF)Y2!rgKe#Khwk_} zTFfGnVX306w4^EtWLaPHEq z%WZRwFO$m|q)E)%ixW%AyWyyX4v+X{ZQDBA?Ra|l76qA^Te-$8B*m6TldZtsxn+c_$ zP-=VC;!3C=BJk&m=x8u&TBVQXkIG`WyT&rn(bXN1+pK%enzkUwWG%0NS0%{u?KMkK zN?WWi2;hR15;mu$u6Gp+F9I^sd-v&1pOLvQ^q`#z!uNwJbK{)0`IFPkZE9@H;)`=d zTU!aFP zQ?SgKMZ6gQP^v=-=e zMPK^(ay?m*tU6Y;GJ1Hze|(Ks`ohN9>Mg2vh#K{vYgw8D zmC`9M!_J3>ah8KPY3^=1zM)?sQCqx-Gp7+QgrOlJpzmFaHY%gIQ-RZnL<`lmgHHNQ zQv9C3R&o-+7SFzVtsV8?(5ohS*`z3#{_ZkXb@J7@jYCDp#0lpk0_HsHiya{ldNacF zwF-SyamjPzYF*bK1j9tI&DGz+;N{0OYtTaxjr3~+xghSx9GcWIT+s;}mqBryKNsD_ zSzQA!tV~b7lD?&rVwipBaV66B6kNEqRF1RFXEB`ERuk@o zhK|Qn$(CT4z5TAbyxVI~6ixX8V0q-vpIZlbS$8SD;=JP6F zdsS);%Bnhi=aL30O0AeHUGeLW;o_C~v(~%tBzkDWAPTv^kdabKdmSkF118T73NzkK zJR70RW&1!Rx3Ys{GOd)v3*1M(#Ca5@s>e+i;0QrgcdN+Ovo=t_BbKeDDR>q$*IoN% z2=B&gV|L;x6$DAX2!~r4MXcE8xyxdNP=!-4W;T2HdjLS_ij;1^6~ME7>u==Y zuh78?2BQmlnmf%!!PGtrrMM{)8idRgU!`yted(Nf_HxRV8{-59UvA^g`>3CjXFx5R zxrix)2cB#M5iqPt1>Un$po`JH0?Y*k;d8E2B?W6LHgylC2o!>n?=VzR@S+{eZ-eqh zzWTg;VqG2xxIAFh#LGR!ZHGu~sfObBn7<~ESYH`7CMkSF3w8+K?&R^0>o&`-4xL(v zbA0l!w4JUa+bfZ(8Z4Yxe*7X0@#>cA;{+tG00*~WE~n6k7-y3ylkcbeHc!IN;i5Bf zLocCDH6YLQ0KbDv=I(`_JYu26d(e#6Hq}B&!~`Qi?zvW{w8gR)=aMLI#x>!y<+K%Z zR$;OdXM)#sfnHcy`g{kwY{Y@P5O!skHPT$VPxjuk5{ipf4nD9_Bs}5!uWcfjYnQ{9 zKhL}6HOTvHLap)o_lmP3*eK>5CAueFVrb;}zoAa*Hg7l+Ef|^29JQ(k`XSn?-FF>h z(ELY%u;-x3|G-ujJEjxzSAR!IJ%*)uvnf1;HV#MI+m!E$e#9HY%)pGl*0>PUdT`9~ zjqyZ$7GGz|iQnxK;A~7xdM|Y{?X2X7@d**?rv?i#a`7>Nm5o4_^4vC97vcW(_0m1l1=63uCI+ zL&y$OTNt!)fxt&?n#A?AfYW2l*b%VF!cdkyuKqh(y?X{%v>)h2pQQeAsu}y+G(=#~ zY<&GQw_(}%Pb6?iU5Mv-Z-yVo3LC;Pz&gq? zqt*g6Nql!jWQscQi@({Q`MyZ_HGOwKX27s%dfF(;0(IzYHjE-L2Bo7IK(czW%WjD!M_>19$d!U?TYa*>gvR@$Xk9}IT4H{j2`va$8Osf#0oeNgJ1s>8` zsSDLEEXb6!liS`SchZE_6m&(S6|=`xG8?8r)5q*&9w2r`L|-=uQo?4zd%~33liy); z(c;Sz>~(?qkJFDDajsE-4F{BcB0cIw<10@If7(;e1*x)?m}n=h-liVQh9Y4ao?08% zc6qS?W~^;(>{r51)y2h&UkAom!>7-&Ot>x0Aii#y%D46K3~Y&#FQ3feZLXH<6123F zuD?f==2UDjfv28C8lgZsmC*P1{+Q{ETw9PHX{SOg19`y}5-+fJa#nrHUJq*%cKuh#J2<$=xN z(8a?9ho?2T5dcO{q+c4$+RCzZrMtT-jk<7fG+sAckq6EpkAUaiCfrB9$U4q!{akRB zNOAwBYd@61?ce3w$}7bYmS$MFG1siondC`}U$wRk^(GiNQE$oiQkU3#lqIIj$@`ILiX zQaC+O2&=SLZk3tOaiK_Sw`{w+o|4Y~z}ylU${xm-|7^()xXmm)_9dyQ@Vt~s%Xk9J zd*#DfahR1~z#2JBr5^r0EGG1Fr$X<3W<%3uu1!R)vPwxw_+>E`T3Z~b=x z5=P-#aEbE<87&G0BisAw4dT}%2QBkIu$s#QyqY$Ue`(RDfz;jHGm<4Ak$1~pK-E~;3Kui z_I8t+La^KQ1eb^jgfMe?9t3+t@oSkjlZCpKOeg-6_R_OT7lwONCrfs~sVb|q*($WK zI3ISf2>lr;0|TxJS%F8E@02@P^}REvGCGu_o=X?51w4;U@G4&hent)L`jbP zQ5#!`zPaaGc#EdslemE};|>t!1fP%BZ+kkw44I>MDeI2!!=`y{6^+t&HMx!}7Yd<>P7QXbE6fte-;9|Vc7awGBd_E&A#U7RM#{+$=sR52_ zPbxoA03c}raN}V{qH9M6y$Ac^4{pGbsOdW>$qXNXc=4XQqE$FIt8yOn_6Q{D@yBnx zO?P!`{^iw}CiW#ZdMKOUtCzyu`QBm7oJ^4aDWWntycCT}j1iOoH_Rc*TEOGM9Q*Qi zL~Ksy333g~`jWry5ZC8bUHFZe2cKBW;plpYeE^>!fG1<~jk2>#?J3Q8LS?Thj@8=p z+qUc`Qa#gO&l6($w3M_g=4R)JgJ%4jF>+KknL&O!(N5jXLKhxyBqGihn{eJiE*sHj zk0!2AI~t5dz`Q{f3&k!G_l8cOM4u-w6$Sbz8uyN2-xl`PK-(nwMt^2=N!2x9Q>5o&9f*r3JR_4Ourx_Ju7BLi@NLs0!^1w}X{W3GmZ;@P44`!a{Sa!a zeR-%a$lDVoTlANWzECtlwKCp;0xG*gM2(tjio*&vt57Je2v+Os|NY$koHP7yU=R8V z2x7Whg58<^1hsiN)-$D_Chvv?n+RluE)Y4&>D2fouCde?f-)?n25t(hsDf3hg+( zXjv?JP|#&4Y5IibQ{Y((K^H-8`oN9RM8_$)xDB`Aks}og=M6@?^(&(-nny|J!337k z*PbH<#e3KfV`T1|MG8Q~PS*Rvwu@PN)fVK29s$n_d|a83Z2z7tSoXh*0WC!WI2)1_ z&U#Ug+wSpfE}A;&4;c<)j;QlS+S>#z({$LM64ZYgcxGM*&sEg)xjZeU`iAfI6$ z&8sxT>PzEnWvn2ByXqy27DC=pE_aQoFJUKs|M_zf3d@~?(+Z<_PvQ;r%KdJbvaa6oG4;^6q>4)bS=>Z;R9UJrEKB+0IMd#NIhy+U*5H!TuvM zpNN>aae%Jwvqd^N@1TF^BJU>2T37z=Vx+ak|FSKFOrq#`RUQ>F&zK~taJz8Mrt}i^ zXp~4v;bpeD-vAxY>wuA0e0YWNJ`)eWRbsWK8G4f+n(Z20LV`?CHs6~H|LJl$B~*Wi z;SP@6)!RBMivmGDW=?fW3$k$tm1_g{ICq_m5IYJHyZb|h_iR>(@vMf79n2^N zX~IGDK8x-GEf4OVo~X%&sd1VL51tfV-ROj%$=g5Jd>PdT(*F&2+9IFRJAC*rwsmR( z_LSi?MG>K5r_hM9nPfgBH0^NdPN%M`L}n-a-UXUB_n|iaDP&6LjC3yo1VYYTEQ5L_ zuXYe!#`#<_?cf#NNNrxx=VH2CeD)+gI2@aE^-P_LAC8Wdb&S2!#!u-iX_1FH4(~$y zxK&-~CnI^_`NJ__Rr6eoYs?5F5KxVYoj?Vu4y5|MP|ktTj9?JXWJl*noBP`|pP089 z;rIds>0yV+IUT%b`cs{o5Z+_IO`=^#J@c*CK}U{fwwxwPEYSSBygn}u7O?6%K|XR$ z)*T-{5HvM^mlg?~(0!!E&iNpQJz`tnu3OJ9H6-!whW@SHWUdZ7g&t_t8X%#5#YTyk z(=p9yr#+L`_Dj1ej+Nx?b?g_3zTJX>>`1+AM_T?@w|Cm*C--dAJq=ri(ejr!(cr~S zS&)^X5cT_d!Lm9>HunoNZp0znQb4?HK{kOdZd<(0_kDKwb6;9!XC$Un!3RqyyU1iW zn3H^FTK-bH>bGM@Z5Ua?fb_CtVS5R7^5&@7Z%EC{8bq5dJ@ak8*Udv{8Ipu2uG&dI z=LnHIr(D{sSoi*xkH_%bwt#WU^$+oy4+CKcv(V$|G06#iPfn0o?O8Ns=C8)F;%XWv zZl#FqQU#%bBKnb@*b|IroLu_eQ1u6mLeKMW^JQ6(c7E54=V`$3N@Mf;)fA4*#XNv( zFXKDgc;47ajFB-`6)1r9uUpi=^iOyVWJn3nIPFJaaSu5S)t^b!SyBcMRaEooLO z)^0#)npR*_4A>wT$4y$*KKUC5P7UYcc(#f5Q(R2Y|KcWcM-W}%cuKumpZZ|jK$~Lw z3?WtbZC}P9&}eIs7TrbuM^xx%{+!)@(U^COe@y@O4y zp|}(3CwH)elcg#KMBJc@a;VBxC95c$-cFZFU$TJ*ZqQ34ROO74PZaLh4pODh)xZfi z7zRK?RZNxQqHv_01C>4{gLvHFh22mUex*dG#wo!CiNm*f2Gz>`*em4a*Kf`1&2UGP z$5cc|O;6*_`nJxfw7Ez+2BHmP(10&mb1VvZ+5#A(AwmTL)n|^3@R!X%BF7?{2O@h! z{QVY?u?C_s2ybYQ;8BRuRI8aFbKG4C$Tn>DiV`{1W9(kBNUw`a)NYxS)Sp4@W|T;h zOif$hiPFZ=Bs|$FZq*p!%?TJUU*3KWUao`mR*U#vFcL-v9$YZQ5&Z_{rQQ3Z@L{i< zJ|cOl*q(4xuNboQ5NbTDOQ2rz*eRd0B4u6Ud9XTEqg;3E9FHk1fn^*e6AGBPMiY>4 zjby42FTJJokYuj+o7nfOQB?g1safYhmwF{Ea-N9CGQcoCy=X7x*Hu;r${c6j?zoT& z!zdo`Z@U;31b(z?bbR@=ZpTXGc88jf<3>crUJ*0Qr5b^F5i4`j2dAo$qF!sI{^+Oq zo9i!EBV3-Sznqo*qW&fHH6T9qra(46>cbcN8i?F&2?_h1zXLIHPy(tEVGb!@ zHPN=*)o%gIf6VI3Cma;Lvr>`%HVA!B|m`i)xKs%Vkt1_ak>m9hj z+M+=Rk{ZCusoyB?z^qnKHw!ltLB>*p{<#m1Ss)8%J_3<`cZeKW7?XS93Dq=XEkn*C zM2K#@+$h&bXBuys{O5{+>YldTzQ0e2WUeedYgR99waA?WZNK+3&1)9nqR>TF{kLzw z8)}!n7X688aa|19`ZsRmjhSa8I}Yx3rkzK9mfMkgUV8PXtt=0BXQY4DH8CI5YBt$2 zUntMU@S;Sn3!Ygt+KtBd}n@9~I(L5B>5J*M)THQ6QkpI*gh0+ zd8jH2o*m}SIQo{vCZmYy&FH*qaKmD6aS4wVgdT&f##JvRZ@R!0v2icaWB1&mLY5V= zF38*iXgl9w+?m)~r&86ZnrDwP+)a9A8=7Z#nH?;G&bc8EjfNi^;tfK2?IZG1*gH0F z-O0`w5Vf+eHyj+ehEv&vS8JxM!>Ar*P+tv{vX6z$kyC5g{RM-Tnuo@}ke2H_wGWV% zM~&AL9vg&bOj=9af1vix7NoqPEIiKyfgE1|J<#wgiw|S-b?5`IFzxZYL1y+)Ppy@U z#z|NuB;Hk2s~vMnF;e}OZQZ-g#Jk7=P<}_etw=N?{vf+ko0A>2Bjr-2R?Y?-C`WVQ zTg%Z)(1e7QL3cuU5n2YAJValFhBJ(|~4-{R;TC`(PvPOPWhkOU{XpthTRm9~tf%^PNUUE^{@=Wsq9GmEO zwgEI~&6)vU%AB}k0GkX7)Wer>f*V@3%=Yx$&VR!%#_3@7SrEOoK3Bc9D*kBq_2U<> zcxSh2%P%hsD~bFS7PM#3XX~Y}0qfxG0p+G^Z!O=|7kE5s_F5Sby89Y`yAW(NB}h?^ zcTorG!A1q3rX~yTB1^J|>h%=Q<3>ZYUjGHAHGv0K>o-4-pwIam*EER^y|1+)aLX9 zGm;*EA5;C9c?<8Uv9^$@TF<+l1_86E+*>vF4z9Jwq|I3}1?%;1iTb6DpkwKTy#Vf* zbIVT-UxIL9?F*&{G@*8<0My(+2bu4ReYkMc^QW;@z&eKVo&p3@7iPdEeTPi+L4jdU z0=Ek`@y~%z=~lg(M*@p%EbN2+k@47qlKBv7_DB4*1gba5#>HaIoXjj0p zx6oy=hXhTx1<`lg{;?#4ZHL&kYYV%LM6}n`F{xU!e!D5TlYW=WA6Y7Bjk~<%YZ-x$ zFPWYu3v0IxH zvMsBl7&mVi6wfC-nwaX}1YHOB5iQS+ikX`pEfjYt+$E4sKrU&026tXl4<>S3(6jlK zwO#SR$ycxk4EYG?^>!=d0;|N(p0)b-u9D_p^tDD^bc^-Q{z?bc;7}sZ8=Q}=dxSd? z0Qmvr45SgcGF$&py9AWM4`BlZ7%K-~GdGL^(cWkT`GmB@fC>J0n+xKnG*VZ7v}|V6OCk z`!5wO=M)I6Liwt28qr$%bb+t#-XiZ;SJm(8zS?J}+A3cfz{LAUC^WuXnCKxzVxi)` zbCD#oLsLRr-%9h}I)?x!S)hCf*j$R`pjS!Y&C%)eyFWK{D z!AV7E$NGR>C;Y2+@+Qsq%9;N1&+>l?Cgyr)CZh~Iz@#{M))y4 zU}3>7W&5)~&c==5Ys_=?&y3dvj?vkLi-O*-iM|3!dY-VO;$)|{zAlZ&tT~l$VB$yL zkrL-^8CRALqsq5Pz`@t9!~-D*#f;T&Y%XMjDI}4PPTh&Du3V@iJ@rc|7K$77q1jST z@e>*rGODn0K0?LZ*A0Z+7fS z5x^I0mGz!_qAK)M!OFMp9aIHL*9du9*35hvJhFl#X+yCF@wk@IVEEmfMDW!1(-NYFSemu@G~aSI};igXa!W{gA;EY+%$s zAiSlDVDNas)YB01elX2hgcw@efHieJXj$sti@8Hhx1OFeG%3p4be+5hLg>F$rlN#F zyYdHoX52s`1geaLxNNsra6o*ThZM!dq9s_}NWl$pw=*d#B$-#|$$|ujPo*zrlKY>* zYnp!Flwf_E9b|S%)Nd3wFp71GBQzpTa&lPCcMYG5eM}<3)S@CJyb$dy>T8)m%}*LU z7NpyLrXJHXC2FA;7eBj6=DR4N%(SHPzi|8h!){OOVL21owWlSu zRVRvEinQT)R6hzw#;+IMgje88A^D&h^tajy@Iwgp_Py+_0WMUW$*%%v%QIYcSnw}^ zy9hn3+8q@3%jN8Yo+JTb<6ZFm<2Q(O>blI;Jz$gd3#%YCM|CQczjiB;Q&Avm)z8pz z&#t)MFX}NCEN!S|NRY$?`B;>Ji5Z)M71rSPih{p3fiYeLSh-zh$p0&O44UMDB5@Hz zUa3xV$_w)R2ymj_i6GbPW0h@|zJR_SQ+Sl1jY?!bR7*cx(0OOYaHf{G6!I3#h;YDf zqsZ=VkH=vR+Xymmrc~7Ck2^6%9Ii;EN_Fu)5`Uo)C}2&otK-7=n>@xBd~QaY*UFrQ zetekxS}_H|2;e!}tF0#In`mKpK&n=c-ePZfr-E{u^zsJjeY_Z_hpew|z&Wn=%Vh}f zDAa9}=7ntWpIyjN?TenpDsWx2{74H3I@YH*4K`f+NcHl(*rdkxg=y7$lU=4C@gYI# zm-s@GC`;knn+LWqToKt zMtswd-X3QGKZFM6uzfAsn7JZ@8nXm7*O=>E41(-}x~5>j{6Vy|?D$J{lLeM4UJxr% zZhb4qV1<{$vq`&OSG9DcTF>k5Qehy&oix(pxL{Q;J@7?L2J>b6TebbSh*NPQjL(^e zUqyH1td_;fce<@b(s>s>V}I}Gw(lwS*oqSvLJ(e{_g8ktr)dSlzwW?BTS1$*ceLZ9 zh-gT}XfrC!P-5o?QFai{*xJnY+FJg@8~XeFZ(1n^$RGln89%haqY>6JayB*Gt%7x= z3#TG9|F|a+ zh7_T1GpJ7rmgH4*|9)n=LoO2ZwuSd`%pwxx%}yrlxtkp)yVi&<7?4#fzTuJUdL*mp zD$wI!touoo_$_9HC&OzbyADmi8mEs7yR0^w<_sQY4Y%2ud6R_9 z)||*g;qZ=HOYuY&*z3Z+nH{;!S7sXqWtGTbplF-Nmn69;7aIP{q@HlbOL|uTk4om0 zo4?v*-NR`KYLFyH%;fy*k;VCTYUR%wr8RIysV8ltLcI@(sdGfiDrL3e?GRxc!)$@Z49;(&-zMiXKl#e z9-cRvR{IjS&neFO9cU3GLhS^Ct{+&Qpn!Pfvjt;zNT0!({Dt#S#qCp_D$)|hf2skE zdrijkRSfZ})UW88_t13PEE!7ko#501p3F<-IM>MCT;wgytijA_iu|2Bmrmi*U!l5E z;hgqj0i9sEm*&pYOzHQMTr-ySkPbW?IbB(-s0bDB<%`8TW%HqLP=V@o!-rps^1Pki z_-M1P@Kc`W)e2$qUeMZ8i1>p__h{6|r{3;DCu<8|fCPo~J`<%-vKGg^w!s-I%(@${ z@h?I5|7iBwvzGF9s$r7h(=iBk#t_Q&(;2AO#P+$O0%=m=2|JV2;t%0AV&R2PPc(5v zUnp9_F#pa7T{6Mtl><7iSHzfe*y^)~iC()Md*Qdmm{9FJuX^Ye`_(__qKAR4;|e`N zX@Xvs>55JjhsIAVB`+M{(MKz)NXi>;-5ViKFO7dHbk;Nqh$Yq7zgsmX#}d&}J;5yOH>hc~q#MGSBg2 zM_Hd)M>@`Rd*?-&7Ty(ppR|1Zpr-kyLJ|(8p?koFF6$n+;{{aIQ^~RvKz!0uLix6c znh@_R2zEdKr;e{c%_*n;uc7nshx(7>`1kg?up2D#Ko% zL#aP?Fk5^K#P1)a@4)Pu{WdViH<;47pUS|c;kU$E-j#c!Y8J9P;DJ(_Ovcc$T)~>| zTYi&naE09=`?VeLPwZ7DCJBf5Gv(xX`1F@1kLiUfM*yi{CT{sIrxT( zhPC}%M-zJ5*U-tVoRC5uA zpU=W3N8K!Q#eIq3wcBR5WW+%&k^El9Cgs*Nc{)4FhMh>o`hE3C`aR>2>|Ax~h>Q?0 z^4`E-T42C?P7_H@MeiFMQ`tg;TUonH4n}guD=ic|QrsL)_=7b({g~rHlWqn23kjtz zC*)%;{P>2=V>!Z3tKj*FZ&#zBC7fmNxLA%Jpg=WVOV^@wLk& zwSX+K4H&Pr^SzHtDxuLX@MmL)P$<#Y^msyWN?wROv3c43^z-@Ck6!x2(SvU5=li-} zRg?a3-V1M=>g6nO=C+dV)|lp6JYMH?@3<=U(e<2$QwUr}AbQDAT=Ca$*wSa13R<-! zVpudd&Z+g=-=tI&I@*FC`IWn?75g9Yj{y8W8acCP_yFFBo)u_${>Qe#*n!jnJYV%O zCq?BX1C4T1njPe9^{t!n4u1zi!#X(wTmR|sbDMIEs%`AVNxeM3_jjVUk4aab3Pnjq za=I#q=$YR^F={g2hEK|$=jr!_$*Dh^-=zslcqmR=59ghnQk$^6`3%!kCh-B)fILF{ zcxX$~7rtEq{{8PdZV)w^bMn@)3lZzi$y%iuM8s%-ageDvD~hjSqd}KO#m8>88m|>FhmG{2)FByPlsip}eR@{g_u)Em%;n#mgZBTHgm=6| z+LTShQmVOkph8+_*ugF<@1==aH(M)y^e!Z&i&AxQ%cSzO^+vLrndPt zy*-1$zGS7Hczzi0?<^%bCcq-_a3~}HX%wv0zt}YUTFeD{6@2*bKiX+i+oUoH*C`9F zf~4~8vg-8RUX$(3+mq3e4S~{dHv{{pNsVO-KjIIzj*?8 zxwF%h?;qI2kg#@24zK9)?(^e()`m`ZeH>2*QK+!&&W7{&IFT=W8)Xn>{N>-7XW2`g z*;`zlTb_GlsH9l0g!!Jg_k69}3#IKP-`yT%mm_-3YJB?8dFr8eIXo>5tHw4Y_5a@5 z(BnN$FE{yz3$T};!GGrmXSQXA-r^0aC)Ea=9G}jrIZve6t^RVtZ)hj2ywxT?VDFTI zbiVViPHg?si5p+(sIa{GUsbZB^Yt2W#m1!vajC5l>ajD$N1JZ$RNO*ckN%ADMRDQh zH}iI?s?76V`RvgmHmcoNc#UzwPK6_rA66GVq(aGQ#Ln;*3pF6xuUj4U1m;YV@Y#P* zD&yETC;pqTqrbU6S@YY?zhSGx5GZXB62{Sw=j^uH|HX|QsWHBLG+gjzZk^aAKT?ss z>`Z(T0a-ALTXy&zr%CN}pLnItS}wDiN*;nIZz!>nkKa=u zRIrjHlx)U2z&=PJo3kv~mR4kImJQqX5cx3c2;1%` z*`DRVK1L-wvYgn?E@W4h8{6H3?8)+Cd;5@mS$=H)0CFHJh#ee44rLu@hlP_PSSQ$# zQRHY=3_CWC9M4K%Cnk}TSu}P^Dmjgn&d$grXMwDf>^%oUHYA6N_QkBj6_ ztk3K(9P$!tnZ2?~{>oZoe_JPiXZ>LR{6+rF+F);Pk^iu^**ky9|5&?hNbzeT;<8A` zWhtdTF1nKx6CpF2=lDPY@M{8_#Xu9G)93^k0gw+7xTRN9_`@8WxYoVJDp3Lq7#)t(3&i$!d&tSzbgyy+!AKOEjZUEWVyt09(rWs)eV^t$xO)$7y3bo%uaD+I#Z2ARx% zyH(O=w4(7z%}@&L){nVVjwPh>_zm&s4#V=J(>g<`dunJNhKR**WFgm}1?(};q^{)h zIdtx1eJ`+LF7(o zY#isIGowQW6)48bJKu7r;__6O*Ijq7)|D@v*>zf#ET&$b(JItHcvs>R*VcCD@$z=fg zBHk_#Mhie|)^{&4^*zwmvpKndl%5(=8v4;l-4j~Y~SMF-bnIq zO@~MvqOUhT;IN#JSlKMm$cxBu&w-JgV0Kv`=dS`}X}Pi_^#QNw=QT>q0PUP&~E0Z9$#q`v-*_Rir&U|v+SG%&8dqyr9xjdWC5N}fk5V`bO1aj z13UxL|ZOJt={wUt}u3r(=~s>_sr%F zAiVEx39$@x!)QyqH$iYc`o-;N{e}k@Z@7uK@ij7Gv^-6wuv4Gj_LQNCU;@dF zyxx1jP5;aiqT)ssJ_r;9<(L!9TW|k!#i=ul9t<}pz}yOYT$t&7{SC=?{dN>rqlQjH#wy+NCBwBLe81~GntREl>Idb*5I=)w`seJaXvnJOfkABCYN^qCtZIYLDbAkuDYc{qC-V zXd%FNZtA+*uB2f?FJY*gu$`AFIp|JGrskWg2|UPxkp-Oe$EjAk#Dd+Gd!LfKYe!6h zL8m8LFGSz8$06kdt&F`DBd0WqQ9Abqiav0fm;><-ot@I;v#jKG0ft2iTlYhb#qDv3f3{wNh| z%Oo5D1x%ZUzHJYUBZ#XWynQ-u+f~8NTg02<+S^WXvIIoP>FKcy3 z%c_?ziFo)$&FwQSu2b)R0Kl$h?t$B>PfDt~YGIwE(ChaNYN!Tbnq2{nshTC| z7@7Nu93nwDS}HAugpsZ^@@VorZd?hYj%&HjBUI$X;Xw5f3MvZD_W3t{PBF!Zk!`1?)Llayu ztET_BDi6R{1ai1S^&xB$mJztP;U`=&#+?6aGCN~nvdyQZv6ZMcRU#x%yrC2zTqZoV&{RH&u7_7m zK~m$_(Ko-ILK8a5WSPgG^ejBm01_ZWF^n`{&YVZ}(%a&Pp;_5G+Y|3%i@>Q^+*a+x zcW>11SR#BS&|5Woeu0P>t3ymB4>(6lQA>!hAy|y#%s7wlN#1V24bf11EkI=^vk%*f zg^N5HQTQva4a56r6D94CyNp-#55|K_bFkr;xeKi*66zdp@A^2_J*2Gp-fC3clwRk# z@BY48ZP{O-Ein7r?m=NNGxs?}!9yqUL!6mf4oGlxfZk486rd@!BFq-Shd-Iz zk9jXrDCRhQYhux!f{T<5dS(R8psg?~$cazrqnKVCu-p#^TZ8&CCF2x8WsZX7CA)YvP0PIWbS{kPWD!Ebm}-Ut!j&mE+7cHLLTo|4cb5N4HF? z!G;539ws1TOF6MXh-gi1vp&MtHJel*Hf6Ksc;)bv-#78!C(oG6War4@jRd0ZWovG_ zt?3x6^Sybg$>2SAxFOB;FDYhIdl67DQ^Ku2&&pozu|RkJ9YHb;$4Jp*Rm3A^RUqB8 zV`~JVJ@r?(s<1LAOVPCLn}Aeu{SOO}x1%5Nt=NLrGuy2CH`9?KLcK3Tv8$)|C#+fJ z7%2@s{B`h$St==@(cYh;577^Je%{4h^S<1U-4^bnSN$gd#2xkb+-*mqd%v|$K;N1_ zcJw0FqPY+|!OeUpyVjb)j!{H1=(c;tRpF#rKK%}4tr=1LL&0TotETc`?a;QH{5%B) z|1NLcV!O9uH~78h1s>LzC~ax;i}i2XNYT}|D5RIMt0IaXZaSIPC&VJN#}^8n{G$EWT@O~1|-{dL?=JJ=X?K3j)*K+>+tuN(xxJ2 zxKq4jGE?$(FYL1d(W|9MYM>*!@;N@L^3Rg0XpwV~o0>{l=-5ZU3K9HF05|B&3BiKmfGC=u;dlqG%`I-0Y z?$6>M*fQHEYmz3V-oRT+R@9THktN^Nh<5MQ+pvkAW*i}zg9H9CY37DcI8j=rd~%k z;y4x-&@C)Fe~+$ijRw}lhUV9RlAcl*ntEy0(2k%il*i84>cm2(^l})Sm%mQHXvCY& zSHWpMX3f)QmI5AgD(W9@hQ}LTc{+J*o)Wo4joRRdCUT2e1?&FMKAPxFDW?cwk1(oM zTHss53*x=Qh(L;l4Vq5)zT8P9o+%ut0k5?O50RQSklmXLc8!R98&^9Qx5CxXXqbAl z_8~-p!esC`)VWYQiE3Z0ot{yo{W!QZi_G1#M}0{L85Rpg@GBTZqej)kS%FUC=c1M9 zjglHfDXg~7iH3Z}Gsf$(Ya$*Ja5+U5}*5v-;fG=iYhOj ztg``^7T}MM%#-ktm%#pujFG%iQeR-=|_ zC42#`-<94SY-o6LT>fW6oLbpAy_2@1%;II}Ppro%Y7&mlN>dr=X zE99L!BSU09K`SAQ`enuzb>}GAx5BYwXXPU6tGSmukfg-fkZ@>sj0GRva&0q15&{*W z!y4IHoCjGY0|%>?!XS}aZ8gV1DE(5X46&{yx5JSa{}~%h$jCZs)yy+p7#wy6%qmA; zSl}vOF4%BDr%iuctjr1aS^c^Ac8znN=_VgM>*zBl6+CZwn(*jt=Fj+(Ja zYfjH+HwUjZQ)b@}9Z*z z;*;z?!5luFuBDnT>;AhuP3=c*n)PCKT0A6w`)?35>bG{`Qs$s#(4Z8u=TuhGXGq@e zTJ2%)=AYM2)m@Wj;y^J!=G2$xn9#)-=`x~l&6|$=w=cpa?P6?xBdY2Xu2oy%nKxNNhp_&yC;r<3DQK!>K$OYc=Y z6A_7Lh+};fh@uJfWhfrkqHoRrk6BWozg%P`o;Nj=6k>Fb7N*14c<>3ZAN`5_y3&-y zcaS06p0|cma}$cv*dU$!b|wkek{C-U5~go#gDFNx=pYU840F)tU-mA5Na%Q_rR;R6 z4V3!@J#g?Q;ms}uc`=0kVX!6V29KP=#iYIZYu#642gNhWbN3p)_IEU%of=rHOzs#+ zza{AoT$ynDb^BNpzBZa6%(S`VpO#rP#)P;C3V;w4M<)^QNN~++C7I<8?&mJ}g35hL z*lhRCqRIwr#Fh4&H#FYbp%s+Npd0f*J#A9-pE%`6?z_}Eua23yvI+BxsbZmxId|)$ z6;Bd<^qamEl@QuFoo_R4dP5A=Z5}B-Blpw*4w7 z^SAcNSS3hyK#3|L_DE%kjdct$S1XXnf`7enW#K&A_OOXhV5mzOL&c)GR0Eq_9==a3t9~qOK z+<~I;XC*t(B(Wly+smGM4G(^{>nE}S%>&^!4gMO>H-F}LzVm1T;Rwdaj^X{>KBGpC zPWr(Mmq^;J*i*ZxQ7GOcolYM)=S=iu>u6i*L0b4{xqUF5l{+`dE{7Il^qVV>6L%^#m7hig|B(;B&e&Aj?&y#1{__J92V49eTV{NS_BPf~sPE5cTP&9A z!S-wYD|yn}G+J!G2)2yY7KB_&%<=u?DiFg1*6^VB9`%sRjysed+kbMoZsXKa(V(BV zqj0Ng=-`Kg8ZPJ&3o6mTN+KnS!-(oCcg0YS@_cnn0?%9xhA>OruXe4o;sSG$Bv6h? zSJjdBkA;t4Me|3Gubt7kczriN7tQj`>xwBW>xGxEOLV;8wOSfH@^9SuU1z}A+LP%% zg5&%~_3|;xH~8vf-l5Ll61i!2&&gKAn|kwmb;f+8eG4umYFy*W2U>yv;#sK4!iU5F z0c=8W%nR@ba*-BESg)gfNIBNI#{&BOsF;xDzmJQi3UR-MXQ$-yB6%L2{wsfwEF<+k znqm^*wYNCQ!5jlm;1E+Pe}((x9~mI2uTknA{U*tLu{tHh?gHJud zCZeYnb?|x@rphh#cM<|R?q8S)*tty<4S~%#`~--Vo422@w}gio5W`epkEp%SDQN|3=EV)LR+!Q=$r?G7?_& zrPMb-=bgFjxCwmUJzZhVUW~~C%x2w!bo2|x%M$WC!HsKyIUed;A2?C2;01QC7cCEV zlRQPTeZ_A|d+5sFp)xNmV3T!BE?61rG z@E`&>wATvSOlgK9%9U1M0icG3Qur+>DB?=oI-n46kP{nG&VNU8ePj8x)*%PkV@o=} zxK5i9o5*JcFHNrUpWYohzB=T##&xC1kI>+7{lYySEvr?BAvA)+jk_3t(UZYRt%y@4 zcy-?8A@usR9lw+%Bafp`)Z`A<1LD^a6n@)it{CoL2-UZ{=l?Bv?SiLgeq9K?ER5#= z>Gk$K7#{Z6K_ZPS($YQXKJ4BbSRJKhC|Y?wDXsADFk*u z^3vfi9rB{jBePeBM35ifa6c-oT-@QG%F#NNZ#LeaavXV8Y#gk9ZrMTsP(j|)M$eL6 z!I^diL1J+6P{VO^a@}Xu$bR?Nw8zKG1tk`(!(XbqH^}in_HlsUvX8lot|B(HPaps; z=nAu7VTW1SKffdM@nJS4HpY z8@x5%A6N9xu=gEf25W25?v~I3*ZBr>`Od&uX^nypFPpbrfgQfWbs-H5+9#;ViC9(Q zGVe{eY^t~BgF^Gy|By=(*2oJjGv*ww9}o@IT~1BfXAu!%ExHymUpNyYYgc&I4xZcs zRW2_@L&jIhvCHo`N7v}OYdeuG2GXpD2wuWNXFZJl=sKt@)f81%{E!`Z!E=o(h^krgs^44A?)aWYf zbXx`7szE`6f#oPVia{Vn>b;;^(7*nAf<`q+KbUuH%Xc1w@;IOp4h6yax{9{t6URjv z1QatUa1ap&oDFu>Ja4m&O@XVbUAN=gmi!tBf9?8!L@~o-rc63<;P2r;hZ_^rUS&?# zKwvq0QYpZ(g<6izgp+`jWpBYn-t>%XNO(lp*tY*YtAU zIn(i^awV0%IKT!P@uTEik``!(Yd|rf5VWts>pr#;%9_W(anCws_ZJo`!M&~lsG;ny zJ>T6HFU9YK|5x{@)>?nU583?&yN|eY(-z+N4Q4X>K0rAQHI@u==`62uYtr+8SW%+* z18Z~QLMuWc_y=1DnJZu25P;X$(UmJ|8EITbn7s4614SA1^G)l1E}IneFbc|rCWR|e zpBtN19o^rkr?+coJ~9WcGv5bH6fya&eS#Df1S9uk&m6|lG22hB?@EPVRjW$J6&B6J zYJVwf)*3o8GzKC{CjA2I0{?TZxp8x@<)^=g@W^MM=Rnn4j*Z|L(3yahDe)%m<>`D5 zyU&Zc0pI*OO=?PLkmYe1oljOF)TgD$me6^s+(oR>s^LFwR}D4B=i(kZYHVsEnl2Mh zIm|!l-}73{BJq1+d6DB|?r!?_W9txwgD(X3J*<=9N(6mGN6zxm%#-Zzd@bI$N$vb* zW#W*Wm>9D2b)M<;eAmGsUeUkY^Yeif_KKA1;rr`XX(T{{R&1fBfM!?rZA5$E; zVHTpp~`c`MEf#WO=kxj)Yr0wZl(uHF6yXT5%+-JEyBZANZIKBYd0Uf^9F<6BP~cds8> zI11tN5mqhEesb>cIANiGzveeT>wZaf_A55ZgMr-{^Za(-xgKDL)WFUeQV)QUv28+-9dd>>x_IwcYMIQZS7A-FZNejLS^=+ z?ltt~!03Qi4dH)iY0V5v8(1XR&+}4-1xVMsXJ!?1= zI8O=DW}BfQ#%B$W&kL8L7gr6j(?E%S68Zr8ZiApqOa#?p{1i4Uhr4ftdpu$=2#KN3}s950Dm@r9kou zihfFao|s90b+9eS+6i*;bUl2GnvoR?nmb!rP@qFc?2hi2I|%`qUZ97in}x%Mz3G92 zAQBJAMDd8ok81${>L853E2*}#Z@-DD*(gXcx3IKw|KMpOQCe#YJK^XrVSR{d*KDuV z3Q+q2tFwQZ!%t7&(RxMFYDq{A24K@uiGa4k?%%+m;E+)LuMq}o(T@+t#V2$rCDT$; z(^}J=Z3fL?$KVhAofxklI~;8v8KECG9BLn&rVmc#l8iL>Eg@8I zI9@c;i;%scbMdg5`t8dINqzA#f)S7BFv7sz`Ix@_Flc9QYpriN9O#iBiAQ{vzNqXP zp>@Ok;z9F>>>F9E3_T$Pl~#(uZ+<8gMl*>B@#080>MR1YRVla}@#Kd8MQTaJ^*ea! z4lDu{0lxzYbo@p9j`(ro+r|0mi18b5E*2z4T)mU5*D-(*3isYTi1$^;R2wV~BS!6S z#~2XbgTki}N-s`C8c_hG$X$Zjez7k`(};VfG;w?TD>t;YzvTw-B5Ia-3EZxS+DtH* zSG?u^_`|<_;b#Rl-~gP@5-|ZFT36T~!rrZPgV;Vk`#apS+NG z?=RwFalk67Rj_VKpV!-6ECX>JKXG&)%LwDQa~USKr|pebom zyxUUHnBs8(o+k=iFM_89b!8yDI)D=s&7>-;&-!Pe0B#r>*dp-T2n((V;S4Vui`@lM zVou?O2J8j_p7I#ID#t)SnFnrAMjK zEfmE-L_ko107k@swETVFbFp(S_TtR!%+7f}yHC$NxPJjm^9tDm3I#v_7-*If2qGo_ zUH<>b{fiL558ad)((<8}v8M2_j$YIYg8?OMm@KNKZTt7VEq!{D7%u(C`DayFEEcYa zz`sHPtlRYc1N(h~m4qP>p_oLs3*t)l6k9KlOf8TQ3IaF+*JN^B8qr9XLo^2O(mhA3 zlZgk)U;>~U5$qQjlp|R5>v3EE_ZmJ-;(`}wkJ|@XYS9p)K{NPL3M|KMu7LVjS2D&0W!)KOd2N(UNda}8~LWXD1idI8lg}xf;mV_tR@PYiNG>rF*vvb zp*SW84-E}D5d1!d*i#2LWbNU;h{XcVte$K};LiF3I@wT_wnV#bIBUMId+2+~Q0_3} zcRYjq{FCB`miNvS-lX7nVvPxdr1g)d zh^rSs52Z5`^Qc;>X&K-t7LRPU-MAoL7_rfUH53${smp75x<$|0l0rb{*)amPAtu|l z{@_-`yt{xlsk&iB!KYm!{U{ngL+m!7n}8zCXCnZ3j?@a-Cg#YF2K_o<irdWWyf^5(8VW24v@C_1YZIc-;}8NPbLDrua%% zO*m45lU zwvKt~!}}8KysegAX?axD&z8i=Qnyu_J-$n!4TM%=Cfh}VT(Pf>~_Q5}{$4j^8aUrWs14dJb66ihwk%e2|js)GFUM&g%g*@CWik^%hO zo_f8No(vlhn*}C_eb2=-AX)IpT)CAZv5^(_iWMOjyF#J{7G*;lbFwZz3%N8kQ_ooa zzNMoeCNyM_&LPXK=WHUWkn00o)CT_Q+KjCK7!oS`yFF1pp)x_&@p(f>@fv$+UPl1s zb04yh4M9Bp4Ai$@v`T}N)On%$D;Q-cn>s$ma|lknwjmqCT8YvM>p0-Rf4I|#n4hmK z>97WEQlnT{X>dn?Fe>-hF1*Kzhm&{su^N@f`8xqIv-gX38e);S1d3^Z#V+%(yuN9& zXp{c&kvX;SKof}Juqj-(vMJe*yHpK|#hvS0eBhL1(Pc)P{K~{I-mNkQ=l*N3?SXri zcWzt$BLA_R)IZ2zv)%Y{`Gs<)3>s0_ot?6Cq*zJtNN08f}O8x*XW*jS6P zEpuZyjYNB~Dcp}}23wXQWu0R2^@EV3^Un_Iqd^+;=Yq z!V2xb&d=9Mn*fdUN7SvGdQ3|<(W}g$G)+h#KT`EbTguNqbr$a+7+^Ct@OzhOMcDjJ zA2<_qetBs<`uQ@Guo3?R&ctqHXjU_&RC`e#v;$Ie>f3vz@_F#l+KV1d9=w_Iu;83- z^JlAgcpJwb&i54eN!)+TPqRv8(>6;y1LJrT4Kt&nZ&|L3SEgk0ho*vlpBVNTnmHb7 z;(2$+Eo!|TB*v3<^r%EJptO)a*iy)oD*sdi8x>*i9{hogc(L?-__1qc;X`L;)3xE_ z`d7j$A}htlQlrIgv0sDaM!;e@2pTg0jJ2Cv!+O|)yesrF4BPftnY&MzSlhLFr`615 zZbEKavmkSWyv?gNr_NNiD8?J*3e;X#?=Z@*9NP1>fi^dNcIQU?8JfOqX3p`3-^QZO z!jA5(1vvt6TECw;`W*!X&^KFC<};cEW0>V#J?Am5HPY`Nzc_te3B3l&*#IL>&H*_) zkTC$12)*T`jAju%7x7}1iW*iDX!PV#mYwnGUkvk{V*~Lfl6y*f8#=dl z{uuG+&6UK*p!14f=Vz;T2(ies-Sgdoox{cw;!w3|3i>+eLt{(+wAfDE3i9W@+>&mX z(9hmg_$WY#?>R%+n+V3!m;>4p!Gj@->O#PH)1Qif^yypBcC6c zy;uPb0=2<_^MP%6Q5`eAnU3Y-L4{M3I=>%__s#!)bTISILC+IYK8+{`t4Q#l=aZBC zP7uW~0m`PB_c_y3pLi#L7KezV3O3j6awy0T4f+gkd)mxgH$j;Zx~qR@BYtl z^>zcF@f*nYnl^QBoE{@gM+gkH@Hnprt>y+v4ILbCs~`UGA^!@0D1LYd$@wY~ znD<5zjT%mh`)~+nhxCySRkPKmW(c9OX<7P$|89xD+S>vihq2(Qs!dqpS8(rB+F(^6 z*3J9By9tb;2$hc?KyTbjTuzVji}0K;4;Daqob{6c3(~8|@iDBK^-TA8leFN$S@;q; zqU&H`=Ky-?_RbLM0OsPrXu=yC= zN0oZ@R1$Fc5`Sh{R)EsI43>)tUH0pz22YYI$e~deYbUG<-rnW*d6c$Ke;4BODfji| zImJ9x>t@4mpT8eamRLcujA4~`!e2O7IsDuNvB@Bh->}=f#z^R5GfZMxZPa1VGFJu& zK~03UGnd#O1Mk-l+B{p!?G>ncT6j(8WbseLgxVpcvUfS=wr6C1E@^|a62qfQi5CX2 zQLx)Bo%3{fYL|CaIPLU$hkwoj*gRt#KgAgI9Xy`oza(~K%9PiwI$mu3=cG%PyNV?r zb8i`Im*wcbI?v&6o3Lw(RTMdM?GcM@i<9$ui`?#Yt_ikr{_YQx#8}Mnw(Z8StK64_ zJZ?)PvO}aK*}i^zd}dX#R7S8dA1+{lJsO@);T6B;&gRO{6oS5bG^9;|7Fkf+LLND- zuwEKw{;&o<(*9$lb!{#Cdz&Bub~73*@3HSP&Bp)g*F!sO8U6u_e0BJk$ZBkdl(wbV zD?LGmO})fZ)nMnh;51lyclTG_r)h3ec!Fw>Aj+=7ACArKzL;p%W)rxPcaH=+Pt#S< zO*UX4?w+6-34KHv;T>9ITj-$Hg*9>3AmlHn=dJoU-A{!D`T~#1ZXtLIs#UX+NZsxcWkF8+pzZ zC<1SK4Q!c$XEDHnbcfV&WMhk}t6J~z?mf%7G-cgAh>}w%Qr^x)ElJEWfXjl$VGP$h##d{l)oTr|G~nIatOv4P-W zu8QTw@@7}^vG=Q+LFdT1z8w*U1MM#gRQSR_$TyU1xR+eP7VwM8=my5p7cGwRgoD6M z{B<6`DihuQX#3RcmL^7hUND?No2IN-4y09cL7Nae zRWIypiL>ws!`Onvn#7H&+c1hramj}Sv3n-q7Lz>Z7X?VPX_l^ko=1Q?E*kky;; zuT)4;sm$H#Vdl$*E?$lUB1`FU!1sTl-l5eiL&Alok>aj z*klc|9Vbji zwIm8^)6*-LaCijj*EnV`E9T z(yQ;Mi!+}eop7P#Cd<|Tf@J{RCMl+-hZ=;BNjQ^SQfSGCohD*p5&)9&p?b+ER(Gb| zQgjrCRGG#?8=44L`AfgDdfSK~`-Ja06xZ(0#08Y?T`F?teXR; zeC6ONF(L72cinuVDwOmmwSw>h*ZyPZ^q{ok3aa<>a&(+oVhVNeVKw3Kq;@hOL0|6D+Wk~zGa$}ht^t|wT>X<%w zayyC|b$BKzD%#Mg!rnh2CBrM)Y4jt4lpXzYEn1y z#pRUc$LrmLUGv0Ko)Y!-DF)Aya!zsMCE9zR{X$pD-!03Xs(3S0XY{t`Nn@s!+2OcYaps8wHfD)CCg#?=%t^Gr zNYgme&XlD4mV4?A(v)LFV3Fcalr4;cE1V*?UbQA2j#XOaxWY6NWV)1fLZ3PxN{UG! z;9sppk>bS3nWXd_X7KJJ0-og_N9HN)qmW;BL_;{s2mbio?2OCoOsG#LT3yEJkUkzy zM*)4vgddTN(P8}&Gvoe#%skDSM#T9$I<*zhRqSQ5%T#wLVJOb5krjYy@AJ^n)&)7nE_Ik`^aZ zAgrzQExF^ek&#cu9)jOKw6B_KI%@a6Y5?z$eK+!i0@Mzpu%=eC>`}WpcmQX{XV_% z?(oFX^tbOBXJQpGdbes~WTI=YB%OQGbg~Aab9vcvqoNy{8h=>nm{@Os|GB4SIP2IO z4uj#OsenU2IExu6cPxam&$0|@nQEaYG}U52nOa2K?50sMPfAqM28R4Cj)O`cJdvzt zS1Uo69F7Yl7&)D|+xaSrs#+^=n+>2n{5&J6$9=8Rh#jKUIedzkq`x*eO#EnijK6FZ{Ydsx>;mg4;( zuKMe_D6?dJ{4uZl=4vDYo5ytCXh2WWPYVWD>K#(#;>pHnt)# z;wZoW)QcUlG`B8mH~6brex_XV7lVEedL#&{@`u%RIrP z$btaP;gfB0S~NBt(uA$CtSk{GtaTm<W0EWt8}5?a*TBKc736s>5mxWz;8pyVJQs$KvKnxTmnx`1-J!6b}pBfJMJ{ILD| zLHnGdVFqofvp8RSdv0A3UwpX^;isRcsO{W{R2F-;kD2ebBVb;35wNgmE1{P>iGcU! z#6=bkkcBTe#fo9)oMI)gi%!w_YJW3XacN={;{?L6tx8v;0T0XWYJ+ON3fE-eon*ur z3A6W~$?hMp8LxFcBoShlNQUoH5Up4WkNP4I{sv7& zPbhMe`s9`}hUb)u`?bQ7miZ-;WA9L(zD>E9o3QVgJa5Z~S;8w?IhT9hhP*qK7Ans( z?3C~0DV=nZa}La1C+P61VcgX*#bPx}AHdSbrcG|x$G6bQt6MfzHXHxw+q;MVO=cO` z(8+M|ozzb3coAk4gDWua$cR*j(pnmEDu2sJKiwD#nnL^{xVDfB=ljO&YZfM|tDeHp zK5}GAO?Gmn80AzTh+bG*2^v!CD*b`CgA2Ras#Z!Sr zoJ9qyZ`C;bDOJ$0?1Y_L8wYBjINuV3JnF_s_KYqKB**wI>Rtf8=tt8O@jKiNarxw+)m85MV8#CTBA)MPbUah5Lt9d)Z_L&M>LC?Z|M&lLM$K3;z5be z458+4YektS2B-3aHu+uD6{DBd(6k;Uio9QOkx$h{jRv?ElJ(leA^5AO*a@aRb3Kz~ z^G~wZ23%7JfjjwD{Vwo;#)G(|_!){j$HHi^Eg`Vi@3PW}t4%lE>I5?a*#oPxjVdbhb zOhX}iNnz%rr;D*Znd`gClF zhV_42wEbBf4i04mSsP{+z;r4|;ko@IIxujZS5B4x^-cBH!i@LAA^l6D*wdZ4xX6b*l~qLtwepv^kIxTeO&6I<%K8KpW+Kn``R1SDV0oa7P`Y9 z&@m?;Kqxv@HHLRfAv_y5%QX3XwoEAXuQ>1bMRYXn4i-$WB+9r0^XM3w-UOE zJH2HN6pd%QnLA^IUp#W!&w4tFkB!>N-Vs1faxSgw(?_Ome{$nz`Y!c)ua)L4x;x}c zJN4dn`jpopY=nSi@d=PO=c4vx^)LeYosXs6Z1{}s)8C(E^#r(u$fNQ3>N00&z4Cg- zoeW77R;?Orj^Ay;lo)dPWW-mpS9mRVMqN1*zF+wX6ydI`zOP2Ep%fF3ycBJe;cDQARWOMSfx!!((jr*5KeEIUvx*$-!C zd`?WqCW}(zAVRI9j8BojBYQR(8^+u~rU=XMNAt;hGfU&Gxcf=j!*wmmX)>ezrzFY) zwzUJ7Tj@VHm~K3r5<}-h8ADR4_A)hraOG-I$KFAy^Gp-!HpCo3LnkGOnwYG|zR?q{ zH`iuNE10&gUyx~)?NXIJ3X>?PWjvER<>qo}$H3-v(@RCY5|;Q`GLBg4dBa~+_0Q7D zW{HW;-{SVthcrjaaj&t1(gOQGs{$(0Y;V)vQv7GmcQMuJ&A&(UM?WvCu`xix2#6=^auLVXL946W-6`;VYC-TGEo6%CD$1%kp%0qZ}{se=kr$#Um*!B zblEDxR(5Sv{2uza-+FJ)tT9}gQfaa0C8IxQICbC9-eFqgpvhp{kJa|C)lO=TALFG4 zaMGg^Qx4#^gBIOhsf*I)@{A#k@pjKqsYI|7`L)f!Ezxq<@M{tbw$xac?dAocubS5l zr+iS!b3!Po>X*vuALMtvBc2eDQD71hKQyFLbwLSG{VT%Vv|+31 zNo10&;NsFT3hbqK8>8R?y*M*C?0_iU6{}dVGf0csE ztUtiG*^J}N42<+3Mq7#j&wU7or~aRmBkFOpPMKq`ft^Th98?fxvmF!qfu_=EgpPApbg#aH;CGy*~ezz~4RvZ*^y774yGYb2Xjc>8+ zprDz9xO(I75!I%CwXDOb0?C+15qSdIpK4xV$Db(0sKwto4Q{UyUl=)(bYZ*sj-72h z@}Y!EosX07tuHw`%<9jCIw8M*1KPW4eUFndE*i$SUEkey1(Fz>xK?i2G`brhWFGx} z;%vu`_99%6APcWs}3z_LPg8a zBSd`l(sDTR7avuofKi+#o8(t8tDbsGmAM2sF6b1s8BA92Z<9%yUTCo ztv!Aq(JYaL+$BK2Uc^|gPj1j4y}E`XgZG0#rkx2z*RQ#)9@ru(oMWng`RvUjm}iu< z$r;bj?1%f6dUP>Yl@}y(N7gkMgR|=|4Ob~`DIqHq7JBymBjwVEWe1ElNPqdn`5LEx zz*C(b2j4PS}D6Q_zseUUj|;TA(R%uhbw;jndwJ z7;`JDX4eFs1?I3mPx3P>l!Y3+i9(Kc_a*>rR>9xJhQRMp9Y>iz?&b<98*FS-qB$}Bd%?~6GGe^Qa4fMP!7Jk6YBYhBT)D;KTH(N6a@N4-xo?f_UZE} z*u|+5SJZ(!&~kJ6FfVNiT(oWHxLsh$qmrGoCD8v?MPD%#TFvKu1@n2CpCXD@}NP`ky~af$y_mo+dhO!+C782iu7%_Un2BwkrM5U zkLbOQI^DV<+LA5hx_L>}gE|(kQ%x~5T}`KJK)*upcLEQvYnKn4Qy9gmRH?Tq83$et z)Oye{Vz^*O?(ng?;r5lWWHI$~ zneQ~F8}Ss*7O#$tQApQxM(OlNx2w@WKZTGM6DXNeeu+1+O%mr!)& zGGpX*Ntj`M!{?mN1d;uh(k0|horF`S(Qau?6IJwF?@{eGb&Vx8BD@ykTKR(S_BFG! ztI5(Um|TgOp<`w)-(e4jBZE&%qb5v5lW77jp zD45QXvm3|$QlbxqKT!<2EEId`!8!M%9CE#*(s!8%VVIJiSN38#!P*m_$LTwX!Xb1x z=-!p7qKMT^dA@d6|A%wiR+b*YaL zy|4X%#N{rPu`Kh(cvbAO#y<};R}U}lQd6h0kVmBRw#wJV-cNO!lF#T2UQnf#V&E&@tImCY zl=^U?r_5DCFWg~(rKTAx>Uxd6k=yE*##FTNn72i!$S-pxW70ml{DYdmKOIs!1%Zl( zf!!)<5HV1Hs$Q0g(713wzSpxie1Vy^5M)VHNU2X+)o#9PXG^=IzbnPEfKBIbo=Xpa zuAE7CSUH_;H=NC?gVOY$SmieSXW5do4|=IQY|kznGFs3%e;G9I1Ln-Pg7XZ10MrIY zx9jN*9?(naSFA3QuUK55Y@4+JN<{<1z8sLnStu}po1b|FdjX|Rl$EEuwm@&DnN#OI zZ`TKC-Nf1X!sbtmf$wF!hk0(_NwcBazJlK+TQ@)}=aZI{X`VzODS@e9WJ=PksB=xFB;(IYxy~3IN!;o=ICi}R-15_@0YQyVNy<%&;ZS4X`GKc? zwajZ~+tEVm(sK`qa80>0k@JN~S+zh*ux6Xtvldn4j>B|I5CtjMaxh97(!z#m>pIMa zs1TFh{}>mM_v2$7yfnWu&!+E>bRCEf;I5?L>o1b-ciy@6d%w=H{&X1v0v8xPeDcSN|{x zN=D{hg8I;P>~Gu%Rue3X_}qjq0X$pqR|>K_AGI`307QQZ1F6z=58+oOC4arMM0^F` zEX>suK66lrBSZv%bnw*^Lcmrf+O-a7&yXGz>P_&Zw-c7i(9$w|P`l^|(%zCbk^!fz z&sw%qrwZP)$#YSf?OQ_EJczSJ84t^hrCoD0jUI3=-vCQMpxQA~RB2ggMCe)VMW#1j z4cpd6bP#su`&GL8_z6QC+I{@QPRy0KjfZ}b%q~wkUu%#WfPPi{I^tzqxJT7GZ@8HH zQl_dgLiJCua3nA5Wl5V%m<=uGFI3W?8w+aLJQ2XmYPP2*_GLJ#T?sxrR*gKKv)J2m z;^Sko8ud*UcowC+dMx0g*b$@54mcouqNsPj_VM}do$9~B?)S99UBteBSZ13PDi);F z@XuTW2xrWaBjqkvTJ(`iq223eq3=$#1d zMeYr@!E)u?tH3$NWWbd2SsDJqzmBPfSzyhT2nSoN7s86hPY(_vF~s8f!Bu)%roMHM zkflo1q#8+SL+{-BS1m}|@ou+Y)vJ-+5T7ZYiA3VJz6FQ8Y(BdTCnwwFw>cBBF)N%c z+v;iM#@dL<6danS{cA8aisKx?cEw#h;qklR1+SGeC?F2lj*W|^90FE9x`_?mX*P^H z9mXLOeNXwtNj})lb83p0DO6?-sL%ti_cqc`2XiJBuDohg0{MIt#+J_zro9z1C$X5& zH7qpPb?*k81Ak8I3T7Qdls)GvbR(jaM3K$%aP9xTInwUfqNzKw*v7(O^brxGHe8f* z13`hgerrX*EE%UWL1na(TYN#S{pwpK#dLVs;5xy7o-YYl=M&gu?1_IEv`ct;JfMC?Ru_T{1Q|CekoEP>3}=0LhlF~H7yjqTGHdsf!Wri z)8q3+3)e9UhVa&p-Da{(=%QSjil`ZFPxkVVkXv@7(6p!@g3qM#^|j4kvY?UnuLaTu z`k}A0v)GqT-efxJ<$5TqwvVt>eU(Zpu2lfD7-TFa+e@I<6{{cUzz} z6{%ErRnp+Z3sh6oe)@eI)-nH-`2ET+t0p%6TwVVym?|Y&(S-Eqs3XPQ93k7m*p%fc zN)q*#E$X|UCx`S8C{vT>dC6EAIVcgNYvydR%lL(heL~JYJ@2@&s5D*g6efpfMVw_ktGr1w#IIKjo`)^_|A%q)18W2k2l>!&l52dsS)BsH5iVmUI&sY0?mZeLkY*ir{oPilyl2LGxn;0~ImHxQw|;QN(*cNN$r#?fXvu9`ldfrf8Xa zrrq4%6Gn{s0jYj0_l3#{APO$@dxho44vV%89i$DTn4(i(zv&{I(QhqOh8Y!OyM4ex z4}WBR0lj1JI&7z*YIwC>zn3ZF9(>7?h!y)xhP<{zWUy8E_-N*+M81(&53iHk>r4Nb zY1DSq2=SJF`S}XoYWbLg;xaT{lk6t{Q3fHrObIxFvp_hw9m>p|a$fCm!H?aMLV~;t zXuOtdLURT%kZ606DcUgPoZ<2c_i{TbwD7YJ_or1TfoslW)4)H>7}gA&kJ~;cRhD#1 zVC1vxKa#J8%RtIecRz0iKobU8=ji}RnsiRA;gIIg9C*QsY&T7}e?JW3oibT2ushdE zbu{8QKPDTxihn|epD+9VnK+cpSE;9U9HEv?8jUwBfR3KSd>wByHGG8^)ip}s2KOza zZ*B((=E>(C+dOCRcHNXe)y=)T6+9vBc(V+{*IaX|$h~!F;q%N+-G^2)m#hVRrFnvG z;tkFEi5{aDecgFr?g1!3eVLKyMXUW{ z;l$n{o)XO7<8i1uy*u)mEH>lgCBnT8Smxfxq?_Ol;9$X=ExV@oGp@>SAh%8O%0Ti6 zsJOHS&v0zucLN!_XrLy_-(IGHc@5(oc(>~qO4p`1qT2CXc1(Oihb;}}*+?y@##K#P z{nMm2XIdg+ruK!sof1VWgp!^wbq`rU|GTjQ45m|HA!Tkc@)yDxHEo;u*| z1N|9iJ9P^2;8dqFZ2@{O$j*=^j6Jb2#0fpc*fR-x0CB7^~* z#{;BZFufm<5?=E?x`q7QhA9XdiSewj4~k$Ln(R5R!TDxi7N&~4-TWOB#q z_0!Ti*+N36*f@Wr^O=c~NpGETDB)tC|E;-&M_nnC{&UwSB6!u;bsZq4^*FZxryp(| zuS!cy5KLNE?kVW>)Fk|<-6F-V`KZE|TtevA%BOCdXO$iU+_W~%kL%25pw0kAf< z#>p952Oym}L*{W~3alo@u|fe>1*SMM0~tA_|IfCdDa$8A8~+mw)S}-i8O|QUS0qdJ zz~^LuJkNTN4AQl|*85^gY;hn?wg+tf1dB&&Z>36vdwE2=fX z*K@$x%Vf_Wkxv6ryN#8SUDyHHx_qh!EJ5Eq zYKOkP7YpV-VO5CXTjpJL8ML;Z$G9GXgL(nTWHAAKdh*Tc0LULin(UOcK!esZx)JA+ zz3mE+7~u#%1$tF@4)$q9gm4I06y8xIfT8XLKil`{XJ=3pIYy8|B03v5>*z>?w)*W* zlJx=(lj5{5n0(bI`aHZTo&M;{M(VM&N7v~@&2?ujqW`fwKecsxePno3y4PeudM7b&iQ+l9B@$FfV3SNiWPcOvz}E(0Zg#6rC>x?*>YJaqxp5J9g@ZOu z{bHCo!s_YHhXtRRU3YY0#5MJ&aK<&KCS5^mIYQ^Xaoh0kN7|iwLS*U2`l@i`b`jP9 zMyoU$hVOc7YA%57TH4QCY^)>6S$@GwpD+nw-iH|D_c)QTSqsU?{xYLc3Y0K z73#o3A_vjI(Z2W_t5;xTVS!KiA84%_tqVWFhf4e4AN_0=IkBl{A6&Z^7g&z%9H}7E)PYl96Kt`++Chy@T5wcuEFmv#8#*y z4=>6vgdU-C)54{WS`bfw#oS>|vmH1!-hs{1=-g{w`>RvLj0+xg>wnket36(#1#YPD zvz$tqkL%^Js8yJ6bui3*Z>r*EY1GchTz)X4u2~AAB2Q;XM6c+XB1k8kvLm=Ghe646hHJ>S_*#^V^i5~W7@!r<* zFbT^6a*YIbh$-d9Z1C7&jvs_N0p1BrsmI*+j0ms^Ek6zLO)}rJ+je0}kl_-T($lkJ zKSDI7z9ggI+{TfwUc$>`~DAhhSc5A<0p#!Ssvm)8zWE?7S+v!=NVRD2~+b%y! zY)_S$$0)adrI zIs@ie1~>b$c&FKaSp5n5K>ETO3%om=Br|6RI=D8@XqQe0kexI*6h=)v(qYnT0!hd9 z$6hQ4SoZ4-v764gr;e=D^vl;aYJ5LyIMXm{e*-uV7Mu0T&6$5oWly8(jo5@Zrh5TO z_SMF(z*p*B)D7O$J$GI7K8%e4fa;!9;FfSrN9OZa8}_@q2y1kc54hPuG+pISG=2*a z2({c6RblUx=GRNKl*{sV+Gkw;9G(3m;2-*rnIKG@xXHbMBjGuwBYsA(d>MzHX`cI95>qr?iYj`n=W5;>8xH_h2MD(`LBM z{>@Ho3pVingIPkp*x5Nd%Wm4_EK>rs!n5qi2*KOv-~#V7%U~Rm9E|xHP$_54$USGj zuqi~0Rv6)`n!`iDR%4mlFUvQ2x4so%`}dpB8RVMOqgKSpoIjeP$A>HgFgfynxj^9iv2 zbg#bKx-(~Zz*ATk*T;xW_#h?sks+8Y8ew>Em2e0>D1!K~taI%{l4fx$cvqj9wUi(Y zasJGZ*_wItIf&UKn)&x3mX916c$)9C@5G>l_O1t0G(u+K>)XnqHwqrgLFE4Y_J}#H z(SG`Y#@qQjEWtYmPdi4=nBbax!F7J29KA&AubXf|aregp`J0_h3Fc^*#I2G)lzwR8 zpqqWaX{g8d=o}Lt`Ia5YUH^q=qEM8i3n!%%J`x+R?Ue&eU(xp58?_*=t#do~!{ley zzO3aO&G};d27gVDBey?0l{=`kYdqU$$XCpWe(lBw+Qa%kJqK5FHUtl{G*DBG0)aD# z)7hNET+H9v){>!xrVO6af`#ms!prg&s#g&2_cz`!SZ6f+^K@^I<6co zWts7AO1h}r689k!!RC%=J`(nvFdDwVZE-kTDrjQPI}4(xWOS;F2Oh~N1voVgc5Z!; z8Sauy2;a|toVjs=Lj%u~jXIhuk=ABYlAqo$xOP<0MDgvXme8^e5LOI#HuFyNP|oBy zK1+-{fy}eO`W$jN>R|anZR2d)3E;sU@C|b);g0MQ|25I8pjyqZmUl&8uhwajJTyGi z4<%&9?{9NTaoXX#Z6;^{a$V>`I_Eo$qzcz!q2}V7cI1kuQi*7Nz1y)&u;*hzlR==Ayzo7&l*A zwktc!YYCpKt|Dwigl*BMD2?J-fj!yPH=oi4zHw#39x9FT+PIgU*=zuNCO$o z$K6?)JH*Vo=EetfJEZCk`}68wA0hfS5)=wvAnvsYrnj(JW8#9x*t+fA$@0E5!2qRn zMQ;AH>BWWL$Y^s|?2fdK3Fhn1Xa6jw-h-_mi0T=?9RAo;Up8`D^x;mMy0zWPWoI#E zRl&wzUF*rLJve>`fae9j4x1Gj3rL~S(Oxwhq zKKJgTqP&GIrV&5>0(U7A$-fZP{-uK)EW2)bK*|{SAX+QgPWw=gB7V)eLzn#2_dkZt zGOh_O3gg>YvB4NMx;q6VB}b}sccUOJY=9CQAi@|R#;bxwhynrvQi9586;VREu{H(> zNJ(t3AMdyO``r)cIrluz|14x-d%C-oW4cOL`rMlX4jqQqX0ECIN*8^5K;>YNrTDO& zwNvyiH}%;)H{F}POuvv%C7AI^5O`xNfh#BPH2xTVfb;JF2R<%kmagfKR_3y~sC|Wf zkD=k9eJ#Q->F}2S4gnm2!AicyGkdTymg4?H0$Lv1Gr4h}qgBrl$R#lOJs>KM3!KZ4 zi6}_uzJ7coR}&(7@!Epa_5DIh#xWJPR(Pj1B49rYrxj(C*KtgLZB}?@$Agm*xQyOA z<<2%sQO3l~$d)EWv5?lk@y_IlA|-WPXo0!R{-9Ct5XGU1>2h7{fiQyX5SZ8GE_ssx zExvYq$2%`C^Qb`W)@|1EtT$-tGlty+`c{ArD3%kMMF$D|Ge&Xmxsh%6-TPtTNyJc~ zNkoIgt8^qY_n8>yj2d%jIL!QW%eg*!<%P#xJPDR|TuP7C&p#HVq7?3BKDXeLyYI+v z#y;_>Az652)vX8-8G#0>MQ_Ym0nvm912EvLj^-4(s)uCkOq9}o=9%^)^$+MwEcbJr zOWCWD83#N`?bQJmk5j+tHbn}4)k*&6sXg1u?Z0~QEJ$W|$)J!`aqpRsjakm3037Gu z(Fjexs1kIk@RMf#f7}_?ztHSqpZ`dlE>5CIrc$?Pg%WMbQ5@qm$%*yo5%vv&BOm5r z`Sj&*E;ge2ZLTk)%+%bcz;#?LOb$<5W$^AICN#n?>qnWspE*~k=WFEW2?enXXqe~- z5AP@%ndtm>%=C)aG;$CxpFi^05t`;ar&GGera{4pBwLF`rQW8jI$L}_iagD}Dy9#^ z?>Bg-b$94Y=r1z8-_~t(taK4b&x>m_J(GVc4BX_2;VDH!+|De;$qcu}{6azEGDP}g zxhjU^*xf)v-9Ymb3=f2JTk1}FE0iDL(~*vrg)Gy@o#oo7cbWdOO3-MoeIZON7vA5A zJ437d6&K0TO9t78a4*jb_fEfXjXFQU=;sJhXU)_L&NCF_Fs4dO5(2suEFbR3R(QS6 zTft88;LcI*1#%{1&7Ndp%ZXgz%V_11`GuF36zE0JexgR?SiAmBl~abKLe8Ao?9`n5}W)Pa8tDFXE%>4 ze+9*bj1zfYe%9uOAY4R~$L}``eC-R(F!?9T`M&MozYH!B!kN&Yb!;X{12Z7#YSeU3 zSV<+{ECT5EE&IK>&>02fAAz<>ElI-XRHhZ(anPS)e~~rZB2cYbQf3JM-fd2rzgxOcxZ& z-VD?VTlURMCCtMQIq1W*hD=;N+uP_Evem=@qTAch6vykUifiXF4yoZ~pVBSv)5E?X zAg&gX{*#uGt`iU|N0Kgze3_dcCR+8qsi814y)nZDpO9(vQ6sANn9=8 z5FP6rCvD>`$JKGhpVTE*yM_o}g!!!rj1dB66y6iOaBB!VMs3m}6&ckX7`{d?viGs5 zY^GPD_*Q9CPHB5sKuQeL3qW9jhy&IKMQ`<5c<)GTiWMumMYa!~6Tb{!=krIm?b_{u z9^EN3oce13xnVisMQ47O5vG5$`TH{7^6XcqCc(TLBL_&oYkN_tO?p`r{(42`F|-#N zM~J4$0fBcDSa3^3SJO#uJvsYEH9+$)xXw$sT%3&Ncq0_$#jpkEL53Bk_^*~~l#J?p zW&>iQzA|SO;sF}J@th!zt3)n9#IwyN%i=9apGa#cgH^o954_|pB-2K8mF$HmAMHW+ zM6( zFCGw{RRQ0ABz|N$+t>~VUIK1_YHZGYg*%m(Yx-VXpb@VzvO7!$o}{^^2Fa0rvOu+C+jtRpge zASzsN3R31PLcWean&>^KydmvHSKY#FII&-=KIzKZys1f|gj^#w(M>(zQ!#1}`w*WW zpXN$tUta3&0adq)gyj(w|vkt}z1$*G_Kj+I+$QZJl~WgOftU3Oaa{pxx# zk*!FWxpY=bX+D-7o!p>Pr6{mwdV1%wp6#FhcY4zw6_y?5Z|V`qZZu!xRE2K*t4A^) zD6eP#^czDvhE<@LHF~blk5m1IhBu~3>^o2N3I#kRq?72|fErGOi!$$_8;1?r`r>C! z#OAm?U%i4ehJ7RO)KJ;xZ+ImT7ra?%f)CWTRR8?!)b+mbEx(N>x>50<3)Fj?I! z^`Wc;5u};E^#mdAnkWCT6IfCy)})@fNEOnHZ{by7R;c%EiN|Jmr`CN+H*TbJ(Q~9t z`&NFU-*ryV`RJyPHb)8;l+@By``hh0<^y$9y4kAA6c89 zl9SDWxs4FdJ!7lR)yXgDMoV{$VtaHgetlxYdvfuf9w-Kj*B=zjk_JE~f0F1+P9bKe z?scEf${1d7p?LME4n}}4{F^o}qy@TtXYqVD%sPdG-Lpg|dY2(BZu<>Jasqjj7ulnR z5=t!Rvk5Pm!}i~}qOb2{y*>|A4VqoiN>FucI?JsY`!KwXLYjA!X!^LL@Fi^FmA$!5 zDc}Mp*R}lkJ$O8{VfC>CL~-UrqEx#r$>86L-FginwDg|LYFGaocg?~b_YD|=uy zJMVgzas#wZGhy1zG@ZLsR2lS2UriLy3ZXme5($OY2A1$rLiK_Sz(M=%{L*5d2}%Q4|>KVP^p#B z=Ql@6abcS!wuvMTbdnR|P`px2ECxK}Plop{nm|6LYxO?r*t0&tl|uD26VB#4bFv{2 z!_!tylH+i8Y;U;OJ%%&{a_Tbe^b#nPXv@(ymV3sqqo?$WOTi>&iHZcEuj{OfzjSIr zG3Tz0y|kFK@D#TL0B3n?95Aps+{4RYtKzh9;9{~S!?X~ceSuQn>gn!Bt^Wv3< zZ&qUVh+cw>!I?Ye{`c6~efqi$a)NuNW78|ND-zw+0Sam&X^QE5)5hmk$pqMkyOuaz zD)`ppPl<-(|LL%%I8JK&0EmtS*rtiGr=8(v>8ZC@Nt>i;n_#} zCi;nBm?S`{#F*yB+!H|EMAgm=RFMs*=q1T^|ILW#cTrwg#Dt@1y6sG;c(xRk zrot>Q=@pz6DBx5}cc?5QuyKCpxjp21F{WdVqky=$v|u6EV^}#8@~q_7^;-AkX%)GQ zHmcy5xaq}yyD%+g{b{!BZOA;?Rcrs%baNFXW)<1_xdssV5GY}sJTfgku-hv71YnYL z!o7D)WEb!J2mW$Tu9(i|4STDT<(K&n(-WxQ0)*5s&v{{lI8x3NICh1Brs5JAWdq$T_hFUn z_y~jn*j4?Gwu$yYG!IxLas#P~d&R-;mnT%S%Yc8odPZU9^{=9H&9ml1&jkAE0Chgw z!U;XLdi{wDBG-ED8<3F(}3SCu##z6{AgRDubSh4N#^+5 z=2g@E88Ytz$FO$cWaTN-wcrW0!fvKnxm5BYzK4wb&^0dlavXgnXacZaGy@o&v4mqE z^yiPiisIfUh5!%wqKG!X9CcpE(}dqJxKuvR)IH|mwDw>^q@`964h5gVfs-pu$}%v* zYF7uE8<#L-dBj79u$TXApYhL~;6<*CEKM+2h(a1;OZy7LML^!me>rDNnZ2{MOc-x+ zLE?)RV8UcBma4XwP@&oa1TI4a53VQXb8dQR&$#UwJ5yLP+|soU4>`Nvt!zG%=qXZA zARG$}>-D6tvR4KjG4;0M|K2yu^&@B*9dtiHYI(=1wJ>igWWkwxDY2OhL|SZwL(Ri> zKxjzAC(Sdsv5ls71HcUDKD$uMaeCL^y0O=z!1o+fI9{piSn;48L6&$wHK3(=z)*=;Q?)Fb%1a%Yx8SWnaz!guMnHn6#-95|{-CUME6% z!sJXFYuX&heei#;}=CeLobiQY6X=EJnRICQz<| zj%g*zeHoTk%Nskex4uFiTU4T47)ytCv!94_PL9iKi2G_A%8B22$2DcZP7F;kJ07*~ zYIAtw*jA%#+wA}>ffW-p4<+r+A=+*OCte-Dnv${?T_2U6_!kq5;4&+m|MX0zkT^&m z98G3fV=$RQ(3iHha;#-AEPwjPqb>SQ_6IoF?htVK?#1SOL6c=ge^}J0^cC+>g`25d zoZMPLI!e+l_@!b}Y0zvVPuDPWG$b??GFoUJV55fO>3&|=fFty)i3caoj+}6|Ju2v~ zDG{R%j1zDLY0#PEdA!#axVww5hbGYAd#<7zG2)SQr)HSMmtekz$$=v;kxK( zmePg@+4fRZEJ4DxTH{|f5%%S>WXbdwxnIMIMl-_K&adlx%;B<#8zkBl=Bdb0GlrB0 zt9+rAFhGCHiY;6iGi`qlD4V_#iekCjqX=c${Q}4pZiaLsv=>YZ26CssvboFtZN_Hm zy$0U8bT)KdX8ORbw_jXI;>W=A?4srw7(SrA z&xx^QoAg@VP4nWO9Zm#%Vu`y$uUWsi(7>p8-|=OSCdAC-hO^7lm+TVGS52nz8${xD z>vRb?7rtyFlU_ylNq`$%rQm$MPYVW~(Kd0{2o89eVZo4~>loPZy)-yCk6*JU?iy?3 zzXKLiiH4f^*+3&*Vh3chtW%{5E8pL8VW9$gL1ZW$=u}k22ZV&B!DqG>m0y~dAs}Pd zMdSc8uhSS|_F0bM)_ImQ^m*~S-l!=A0ik^Ob?Bky0n-;u|1EJ!+BEX5rJ1FvIrBG8 z5b9m1(U%EBEXm(x^VPPs(!NWO>dx|kKtes5o|%~m^vbbpbji;<&^Va~x^SF#$>JHW z(<%uRe-OtMc{7zk@8?@xqK}pbzx*@S%5?WTOjHHodz+a0CJ}m9g&H9@8(0YN#gQC{ z75%Bn(v=sl+l}~P3#Q^ZJ*b<^;oR(-T+%?bx*dmllpRKvvTt3LkJv&$S%)3+jY56Q zx61rd$hX2F>_S~Md#`DD#ZL#>(l=J80Nv+F<{ae+`*$-4c;-ngY2m<)DQshi>@MQI zkkRsFg&{db-x?xkePymvydYO$X>8zLSR1{q(!-4w>VoNEoR@QPj8O5&G+o0f zTvW?5=i=1tO7nW61v8DF>5~IYhBH!WaVNBa7P$GwX_tzHw~tQ~KBZ|#UQjbP<*WF^ z>3+jvn{_VxN{3jz8WWzR@jdR?=TF{t#)GQwre%c#Rks)bK6&vwGMu?a%A;>gnbH_lZp{74`Bl<>TWH?&_jKB0J>tI*jyn$Z3$i|SBfH%@kA7uLX`$uZ_Q^a)f3 zwGb)&Q6$jkRMDQbIxkKC`B_1(i@p%T$bER9r|tY}aTi}r(M8KnE=BbBuE!QSgOV>V zqvO*zFApE_Ca^LaD!RT+jU8O3j;Lpw;0Ffl*>V%F^bl7F*I^2#yJamblR6<;#p%F~ zQn+t4*dhDcXjjgWr+w=5$HGiM-y{4}TGY`~sA|<9CuX8|$2zyK1LkV%$Nk9sKc3=8 zsHj-}Lg3`s?2U8e2u?bmfOuOUkBEfd(I^$l?Bj!|UHH7?vdn6isTVmk*ljYEoPaTW zCViNrSrANk?GuZztmWvmN@I14P(RLZIKbROMActnp60{0?PNl{h$278(>Fh! z1U-HV41xS{SN8bha+-UBPc7z;wP8q=VtSds+{SyRP8alS^x);!T-cqZP3l6kyfY4y za-vM_nZ;SZ@8$5(uvtvX0)4VUj}*r8iENT>8cyIzoX=0V%VQZtb=zo*PgwT5v!HT| zG<85N%4m3moY)kddaWqCT`L~n=3IA6$-}<|_q<%$5?sF2ga^3%9u%}zWyFcdaGJ<# z%L{bW@u0X;y1l;8@2b=U?2icZs)S{>KmaNUxkA^aTTIvrJPLnQ%F`Sg%PzIRdwEsw zN)WVHB}o2J(6i|rR3b>dJ3)VAsseyctM*lChz8wYk%vh*f03`tLY`<)ObXFk z6xVv{q_|p?!pL3V63Ic#rL{o`b*^*>sxpn+`Ws~Tm#trTFj^Jg$E)5gl>O+&!LWmH z&KFr+#IsJRIm^u*;BSh`I#@~x`RaW?fm5`RleK_T#@#+)e}zT0OC|O^dwa{BhFg4o z4C=V>p>yQKaR|%9M#fG3`)_4c*Tlqu(zmMD-P!@SKV5i*>*$~(E%5a}9&tns1l=1X zetPg1)bqJKQaw+^MEtrVAgV$_}`$JeEAkI)UB> z+}zAq=-41__S*_yJKB@!w=;7VKTYZGs9^DrH7?B#+6RWM9A15R06dtrny>16d6Ek; zP^}DEd!el6kn}MFpRDtHf3OfpAXFUE2U+!no?YrfILHfS#JY9u0ylr2d^zZ<^>pu^ zIe`Ga$MoHJxX=2u-H@Nt*a9I9@L>IUSNv{{qmQvt!2_KyBc0Wx}e2A?dv>ntf%puj;=OPTXH-yeKy58qPVgf|AcQSb>*@CBs8Du8$)5=U)Fkji`RN zrYWS-=fibBvnay#N!pk-$(OCH^T7&0Xm8~_9v;<;W0y-?eh#?ms{jY?-g4M)RO9pA zQiRtdri9GH+K#EWqeRy9O9WIbI|+(s@D0_HSsc7QrDXS{18O5-7x}*axTt4$8(1>m zszG(b8LaMmMd0_gh?E7I)*Z}oTP~zE4RcNrlj4??<^mI<3hO7X#?~%Rs2~|qt3JxXS{lbk#g;3N zDtLk)PzA_qFec&gvXNoJF{AU!_Kwz!I1uomesezaD5+KhyzGI4^HsvVBYvoA-LRy- z+euZ=Y;gst+18B8qWj;iHAAMtS{Rn@`=5gg&UVY7T2KC7gtXv?{8;=YPQN<%N(*6_ zn6s-QkMxAiF)z9nz{A61d6skC{|Rb7Sx`PVH^n(&ZcdPtm5ez`*ru*t`_tD-i3Bpr zR(X7N_Dw1 zQ(BX;J`Lv?+2%W};@_hFn6n1!UlYOd1fE$-9n3t{(l6bVhK4_-(VIw|p#pol_0G7_ zIBq`U7QxhP$qUluYqe&e>2TPkvX9~Kag$i}}F}n#gruBaVoZXednG#YT?(<(d7SWr+DS~9K;$ijYu=b&X zfJ}W(w8Y=iz-Xpf{*&$s;6b`wT(9dP5A_R6%~Y-=d^e3-!j+59FS9`;zOz_ZO1kp` zAYRSTIRf)U*lcA8s++VF;qXRq!{9K$(er!no=1{TOJeYvUL=!DD%4Puei4Z>P@? zfBLL35ENgH8FS--ILqmJiL)8s-=xARxfVvNR)_1=v-U z4{4_*P}B~tgDoj#+~bc zOs8fXTf&>&c2d8KOi!-1u#@8GXzB4lEhm(mS`w&U@z!>f6|WO>lIBHqfcgN zRGPo*Kl!fn>!dPORUh5nf18EW0jP^$TBnEINUg#3Am6g{;_vU;_BhYOd80nx8Ss8E%Y( zGcbdK;VB;GWzq51{m&LFS^;kMnd8{na!3oxLg%?PFM|EHf`wA2-Ua+uYbM*(0l0xr z*uDuz?2Xrap{Ct(nvsZhjJd_oJMfdOg`g!`P$yfelb-5zu&iLPERDT2D~ zSA>Szq(mBWGw*!|$kJFWtF6#P+Hcc1Z_4=^@x&scEuFuMB3z;W3!GO!EEZyoCmCn! zI$yo=3;t|O+vH|k7y^xWZ*qBPHZ zJZq-W8yo|V0E@$067%o*E7dxQYQqY{ z<8Hm4gm((SDOkQ0LZ>&e5x{?O*^&_eMM~yv_6of_i$nPfENsE@Q#yU8^ak+u&obAo z;)yLREIOA5Abm`xCt-4v!3KOgG+>3`QAEyPVp{3Een}~e`%+qdS?>?D5+2s{0E<1* z+l+rgr-@_;yF{%j-Lfcu61Exc1}K=!>A!89@Q7YCyz5B3k<+*n)YV=yOvZh4hzS?V z9-!dDI$|L#K`HO!{H5JLM0Jtxt!Ms)hULF5VCr=xo+rD)3FHG`sNP6|M=N7Qs#PAw zbHSE$pzxk)!3JL~_XJ&TRD0pQLf8oA2r9dg(!u9J371H|lOQ<6 zAvvh|=0C(&39mm?Zvt=F#{%&g(B^aht446Kv00R}XVXta^1%1>t>6i~8&+_xNCaXN zQDccm6?MU+JK?^+ykR*QD{}uJ?0DWM zUK(0@q%bwIf(S_XTGbU67FLO4Ybav||(a8z#&I_#HxbFEq7nN9^wu!dP(%tP*hD4G#` ztpWJA&n8I+ftQF+>uxq#tVuO@X1sbarLOZeVT}~qTK<%{btnqyHkBXIYdGKe_%B7a zctG|)ffDqB_CN!8L4;%Mx71KuCjbra_dX51fTG;l#8I`@G_9j^ti9dHcvbfwj`pyZC z1Ki7AztSm$X_{9j$f$yq-DKl1sw2opVAt5sBk%dXb-PNIVK!VAk|vqve0pGo_5)s( zySuVyWXui0)!;ias!e&LzaokkcY>(P6^(_0z&kq}dYxpTFRYpYsw8SY71&EoFZPm&h*WD6La0>w1pDOHhDd1${`CUVirK&ncQQQ-0qp!yrwoEJZ1N*BbA(1` za090G+O;+yiYo{cgJT0Fc}NbV7ST(#!wv=u@?tGQbb|hpi{9pRlSDbI(~u#l)^wegYLgNW{TRk*qthC<0Scz`UUL}$X8z&gw*FKZR#nS5cL}jlv zP7^|Bjt~#I6F$-3n^*}2(3e@yx!SBWg=3XW6nsqw!DkP{Zai#;k#pwc(aF1|8*el) zn@|symGXM&hnMMdW((7k+NltKzjVzMYD_&a|BpenCRp}l8wv!t-VFpj3d3gVVS@sp z6XKhf-H4k>Z6D}eu_(J7~;#EL5nU-s%j*{@I$Ohzq9T=cUX1mOsKJR z5thGxo6@J{DRF4tfxBEv29ET-eB^NGnvFfi^NN1yGG7(N)w!C)+yTqxB_c@#9}s5cYzQ8DDOv%cJS>c5PS>~N zB>G;9s-Y!~@<-#PBEoijJqu>@tx%#e`m#2);+_R7!{pwmrb-5Zp)Qkm^xrF5p{0O%N>R%r5OS{xCVZ`tg|pupLjit z;xi^#^z*E)*0&0iw#Dx)W!1fKfF2+YO^*@wKIuRg@-Q?BSumo#?G zHvB_lwq(d^7N#D6D3B1T;p5}qmOoJ?88z~kR~J6s_a4N^w}CXIi+yKBKVdM?atDxz z=vHl|4P3h%2ci?VKRx1`mIpN~MxC&^5ppO8f@Wfp2!Kmy*6ScKfKEA%FNKdQyHp;} z7SqdU<4WuK(afdexG4u;viCubG5{C!#vuvMY?)%4q%H?>-7a;&j4KE~^XI*idt3c+ z;cymtTu1Po)K%0H*N?0G4o+rLeIm7DzcyJTa#)WZU|BP28{Pu>gf)1#|I|Dq{QGGx zd&SL@?8)4%A=?I1T@FqG(IK1WZ?1&`f4kJ}A+ywvLFQizC=(?%egG9_jmM9S(w~C7 zieIEAdrSO=^PE;Pq=G0G?}MKCSg3St-|*QX`JsdJUxJU+tNQrvuvyDG`tq%0u!Xp@ zpH%?py0GqNoj27ra39LKEij@^sM(7>pv)+EWu3w$E;>HkU}Wyaj<%zE-#6^+zbJkZ z`u-vKiIC_0YY4lIZE?Kq_zj0zdgQ?vYY$FHo}QM_88xC|p?r7Wr0g`yX+w!a$9-0b z=e@${;xH^T*ncJ{wXZc#2%48%2H$*|TgozS82aLVfuvz`m~u6bDv!`Hfe+%|h8Wof zR?R6+GEd6@W4m#hjvt+Bo)~qY0DU%lfdZ*PvY4EC38u;vmRSwJ8vpi^FKo$po6b?$ zCf>D{AW_VUidm&SJ&~0JdtTPp`5RPt?o|^zM5yiq*xQQ7LS+DL(K*3J{6r)XyHj4w z0eO>hT4Vke!agR(vpNu(PyTw)K}xMI@pvySzJ8>mlyAn_bw4=08lf+h+m+LlMH?22 zn^%Gr3p>75^!5B$wat4|@Q?bx<*ys z0{*Z(9!G*s5vy*9n3%3u(uK>b@8)lmZ$f4d%JpcykxYyc@?SvtgICjoug=Us^bz%G zW1%eH8L!gU6NJxv&8H4b)R={Ln;-Nq-hA^dj>GU&QJT-|XfW_SyV^9hPHLfcDyS=>QT~FV6w6*oL>ISbBn%CItWO$ld1fq zX-)b75L+N2wS}qq?!cyEhjlIdlRj$_@V*b^c>6gcz$=+2x4_d|7$NZEt^Zvw$yw%eGhwk@P(1lo=Zw={ zFB3;7#bk@68_B{lpT5L_ywdywSLthJHlq%Pkl_@8F0GIt zI!D_;x9H(LgLh)`|Enkru^9qSx|~&dXLDe==Yc5|I@@MM&h`JC&nk0|7lXnTLe2K-zlb_@?(20lJT*LSh zsD3kTlPqk&mt(h(hDlpdtg8>Lbe&-w^@&b}Ngldfm7kI6NJ? zg)GqO8R!c)dtJF22fm*+T*H37 z4z_t&pq4J38zH*ZIYoFduk%1`%6pJ4UM~Hr7|{p=LFxlr2VsJce{Oi@gV}Enrd8!( zHcQJWBTFV`f>lwNZ-QN$hx|^~{|^%Ux`!@B|M}&=mOoNkvt_MiQR-!7DpWUSWdu`Mr$+E| zA`YSyc~8m>)7ZqN{i48af>j**_9UJ| zxbpgobW$CEEsLdz`_5PD3OwnQXw{O#^_8bg2kY#MB}}$Ez}rCc3j-P1%j3Q(t6Z9tMT+|!S8|SlY>`#RiR85}?gJ1i>pq?*6pz1QnVX9Z$nUCGhS9I!hA(csLWd-V>R)|z2z7^k2|idzfz5g5Hz1X4!X)f#*1TLB`7} z8-AR31py6TTy;=4HVQd~ig;S;U;dF)yZuJ751ex84n>*#sa-mX57BAT#y~XrVa4N{)Z34r zURD@!IZ?YO;dF=neM;a3ZEu|z@oH$Xoqt)M4No<+#S8`zIPH2JmCp=Ux}p4Usopm~ zp~tzVxCo|r4b0i{S{LN)1sEQco%ODL^IkM1d2{iR<0JLM9Guj?tIF@*_esA@*>#f$ zP*bE~?5jumZOLo0DScCUsTyd@>Ah)|u|{RFr}&0r z09Vo++l6 z$~%-b2v##BpYo39j~<#1X^RRM7@%L&(?miap7FX(xlW26AeozGU_~hB9tQ4Tk3_?( zr_mxBN~-+M&x1SI1W>%6ZYQy1ie6i*nZJDUfNye{_Wjdg+gSj@%G14bg+@?jzJ<+E zX%bACizT|b;BaRa(Jf#P?Bd4AxA)!YJ>k!0OeJ%`J*U{7Ly^}pVOgMX-vj=6tR!p4 zc;HIpP7pv!(J;AE4m^7!=rp;b;>xDV_9hYAAG?KcpAY72q8c zc6(_4_^)N}U~$xrl8mBPgv@h=tIy)!8~>(v@nk@6+>NRQM8-0?v~t<+9MQ_@B+3l* zYL@#PqJMZ^V-zqA{`$Uh=2>a==jNLxxywI>lB!g~EP`%>WO>~N{ThnpIh!HTiv}_Z zNSAuqoK&&=GLzg8!9)Pl57kS5gB;AC@%uc?!wG52*mMr($_I84*2y~OI}2=b*wSaq zH>nLIFjlqYwyKa4f?VL7~y5z-uVdXM2T`I~2oj%r4C+K^a2?qBWNcehl zj*==3qr{*&GdhmuOz6io+=_@*Vnq)+xRg$u$rpYL|J*1kv2TJyAtgEUETVJhg3#1g zE1c%y@55{BlL-BitGCz0AFPnUVMtdQ6&uXQA=l?FLuNZ$*QroAtQAiGxi+}5@sa0L z28-oFBxtE|W$^n?n31DGOYh!`tna02+ELeSK;VNpKpIwaURyg?L|9i%3wjyp@sZ#0 z#JY%SH)p>1i9SwDqm_z3c7ch6rS+EDn}(^X#E4DM39FI33vbXJYQ&EVLfQuLPUdaV zQSRc6l1sy?9u@DR#H=ijgO!&K=u6B5bVybH%w8ojy%$d{##gSioYr*XUf~g1ah}4B z+P+_Mn-=>XA}I0r`_K3F>pwkF{6gQdPJ(~zO9!Cmusb9*u5Mu zeQycEX^hy;TwPZKj+vRiX@S$=eDeC*KN&w%rlwt);(wL))+$>;SsI5X8{TvRo1&OL-D#b@K~5T-?XfFFon*?osj0irD!PRoz` zSg%s6icrO?;(u zOOd0!V(&daW6cqqX-f&lz za;MPk=^A36PPIGkh}Xal zKYX#U7KX)ibkWV0vJKuYyLcsL$OeruIY4M2&OF)v!!|E&^FJ%#TusvYG1$Sr; z%FDQSQ3Xd6F_7}{mbMuM16!pCEU?@Er766BLhp#9> z?XMg!hcU5teHeJCcc}Sqp?{Gtmv8_$*0If~V3DswZ+IBD-f&{ce&xrC34QApDSXj_ z?pC^d#Jujh=j!lf`j+inOWYtDf&}_try=p6&6M zf27Hga;G&d#l#`zLMDNLi2CfV=3J!5r-E2hIl)+We8)UVHh1#!V0S%9aC>FzW#is5Gck17hG@ghIQ?BFsD z@D3VAe4$CWqrV0oxLvyhyz>p8^F%*deZeQr@P%;lBI|ZK%${Z9k^Dh8ROxYm-GZbp z-K5u=_x(c^OYqQ!{dr?KN~|=$u;VO&OP0wxTtBsk0s$flcij)?N{FP-{J(2$4(_M zvNefGbGUzg8REc9xkb;TBlj15uV-c~mj1(#WU&cpokky3vogr?A?(KDwE2?|r7D}6 z5v8|ezrPCK3ZP2z6?_AU{$c@{DUo{MexaM<+~xVou7TdVuL{a+>-QHGl^{|?x%BL1 ziBtSS6bBRhhlC%{E%6TR}L$PMTPv>jP9PR*V zKY@3k*rumr4Fa*VPQQASR)0N^MT1L&?KHG}#Q{)O zHIrH-PAznsO10^^D*RN2S&~;n`lc4z{~4q)^vF2x>|6w)|8CFq<$GwC6OXzR+Y=h! zQ8@p+oI&Wq1GXb(f=I`GW5hyk-n3hm6~McRc_1nXqYZvt;;c>7$?#y!jCR&7m0zGeb>^(e$K!ea^hsKJ;sNV{ zXG8--gvCSHo;r{VF9p4q{kJ`l(Ip44EUZz45Nc%&d7VzlSLm0ly)K&ia>)Lf=%KOd z>TS<1u$lzg{gjoEl5%1B#ZC}!WNDhvTq>_slm*=KWfd}64M+dNkML+r3`lNNR z!ymgwZ@-Bg-6!GYcTkC!$p012&|;HjFDb=DlWe}$9*y6Gv{d|r)j_gYm%8_l?pb5I z37=HA+3xcRAPdoO+DhD!r6ZRra3$)c62?i1Bp#BZ3}Odd7JhnChL1Ui-Cx?^;V zD$Y6W&!R46`oL!zt9e@la$hPpDh2{T2WaNjUNrH=|A8N|DLJ096fT&8Gi>>IJ&ZC2 zjFBWjK;u-eHo}+9k8ww59-9stkJBfdN!wHO&VV@frXA{T5G{?5Zd~boonsmwkzTB$ zYMaICH73#qkMv>&LitU!EV0u}KOHuSIk2(pL;_P+G=t((Xs2dAGLu-_pd_~Qeh&O1)Gy=_jvO@3`@l)Za$4tP|MKEpQOZ zwVLiaVVx`%Z3cCIG2FyVgy;^tU6mgslU2zv6%pZ*6;2GX!65>mX9&0m0`+_#c?Qsv1J?LW|v95PBCbXP&qQ|ZG06`glD)$jku-|w@nbB=M0tYh!J6OM6E!Z8jG z*^WKRti(B1wn&|lPh~XFB4sv=RGK8RL%upjl4SG#`Q3lt*Zt?~y07c?d_EqkEH!nS z23?b-rA^bJ>$3FpX$EvdmeFCFG5rY3#FS=6C$Sjj7Bow`6^m?5v!UCvjvk}g(T}t2 z9cYep3d_lv=0bO6QQc_nbPpEIljcP~!SeQ@`O^JZ{sFW=dJu~qObelhvcke?5%fq_ zR5UGyev)-6mUf_TWF;ihlIW*dXU@`+>E~D}sWb+i$x2J7WzaKOS?6il^c+?$BafC( zFJKiG(Js)7StS=~rSwaz%PiUz`c+ohHCj3SKi2gdw43w_R%I2fntqFQ`ws0cz2<;1 zLAyu4&wB8X_K053YIsa*q(5OjZK5^PTUf1aw08P4*7Fy%m-G%+XBVxT{)*M}n)ZhN zmeu=?_MZNM_3;z!Grf=1|Aj#tpnqiz4$;2Rhgl<|v@!ZPYhsf2oj%2yo}vAq&$9kE zNBc=mMD(x?QjrDJxwn5)yZEe$b=)0`FeHxS^7YD!IEPP$U zL!KjCDhEku7swTa2Y6N%f3fX{dzE1M96JFAu}*2oSWq4rYr@DKFxLp|#tq~zvif){ z=&~AvHnUFnP%5YXn~@qLBju2qwdX=N!bLkCb`v~Sxm3u)Wr>v`Pm^B27nkQphM668 zM+Fg~Z#6&oon-$nT+!nvI>&P`P0yuGHzDNu5Fnk9w;sV$bCp2uD~LE3R_&eihHUmG zH+46_3fao`%V#8NbhLG-O7u_iYm<4M-lAYm&7nW*I=W82Uku;Q8N20cnYg&j+3x-> zpCp+%Er^sxPS5mm7t6kCc~xDsle_q=%!s=W{XLn&y;!TI8=9r`%}Zx7_u3>?by3aJ z6824a&=b*C(MNPk>-pD7Js$o?`-s1w(BZt>=#CsdkvEJSK0b4Sisbt@43`Cnq(~@@ zs_0c=Z%mp`KhUSEv)6d$P{ze|#C!E5|(Q|$lvK{*1AYwbF-7--2)AaS7kE!;UP zu6u7bR%fa$Obedp3m48VuY?@ZckjLCk^CRi)huCZ(YWH3dT;t_RBf)oyMpr_LYad| z9>vwKIo2*kc{XY)Oy14>Rp!}OW5RFUepLK^z!=Y+FCE)=mK5&dDn4&{=;WyVwFmeY zPb*)4!x4qT#XL2Bl;_>Z1&A_Fw4<~576m4$5#9W9P1@Cl&1z2WHe{DK^whLB#e7Pg z4|aOYZ8%>kdN6zmrQ~Dn%fhW7*ryJ=(j-xx#XnOmkIt?+O&kWgdlV#(*p8X(BP_p~ zF!r8&N5wco^Q|+0$?k(qDxXA8n{`50TI1Li%&zLxlOlQF%SrWiV1p-$|BK&ze%mEf zw#lCiKthMCzV{>$zy?mm!E~C;5(@9W+K{x1+%~tlx4$0m%MO7EA4uYBTz*GQbBBs1 z72Ch#^Io}wo@qISg8OV)$kK9gUg0rA;E_Ky%8zdUUn}RA3QwQH6GTBYMSa71Z zq;1cr6eg&aCsuG?Vn33{{RB2e==;0d% z$a;8!%+>y`Zj1B6QblCj3SB|YGvEA1J@XtJck&}p3BL=KO27XB4bNZ3b9dU|OqxqM4tEWpes2 zCpv}R2IQ!H>s@?9zgo{bH`#K4C)hV1n@%ub{OOS#y3a82m>46lH8YZ1p&2jemBk^h ziujW8j$itO#YUH_%4uA5{*{c@U?;AV7EsF#{(`Z#8-%@rq5NIrNxLay=61&4)Xg~M z0>SV6x$+f+rXr!4$p^B?>aQ@3tB{0I1G0AP0t#CcFO~oCMeWSD(?Bc~c?c~jlg{vX zSw8={buSG_+u0uZ5|c9)!@2ouBp!im{)dMxR{GDSq|M;rDoA)#LT{6c1m!gz^wX#$ zP7J%DU*b9d6*$$kiakl%=*Em;V8Xs%Wm82p!KSO4IpxWSyxSkH{4(sD2X_YEWy~N6 zVMv_!N_V%5dyxfa_Pyi&W9>H?mwh4c{Ef7q5F>5j_r960e8w9R*BX?{)$decEbTio z>bUqP5}VRK(+{K!^!M3($F842Z}eAg2%jB+d=>TMy~fi4M0kZ_>uh#GcjU*tb+c!1 zu_d+^(5?^(_^U513JKRg4}GDHuKbqW#0KvzjLa@Ba7y_E7#K{TAjT2&B4Pw(g;wVT zgce{UBU9by`c<0N4|1pfLpy&t#%0|sy|Fm9F)^vy=*e?=Jx9T3MSg-X=^;NtfVWUw zyVALq+qlxU{&&j8AY$z7wW)XTyZ@}(0jA)itJe4aF@yI9=XT%!IOqPqs;1eH*1T0DE7Uk`w@No=OL#dB&f{ zV#y8~6?Ze7Tr|JRk?X23gN*{(Z_=N@oV;SZYP@CiQ;5VG6~3P=hY?x(tC=^XO)JGgOq^SFdnTm7shd|G)E~Zq94HTzvm^V zsU759t}7-J&YvUnDKI80(gCBs9vB+IEv*>wDHRJk!4P$}Nv48hn zv`%G36;oss#L)8Qp9e9ju+|ke2~c(YE>QLCc5QkdsDExL$9mE{D$3<4$E0UI@&`aY zU)#r{n5;Cz@I&ierN+pxV}Kx$8u&>qeq&M;um5P1_3}@rqfI`)mGTc}XT7-<>FWC% zrIDZ2-In9DRI*N}EvVya-15(S%|q0P=RP$T$lU7`^rlAoz3Jz4xmhFY?)Q~Bb-}G^ zN@6H2WNQ6QwMi6S!ETcj!p`t|40#dF=G(r)ll^uXlLpyt7ctGu-x<)3M8awd4A)ls zYOi7KH=5_zt~26qhnaA2|p7f8Tb4UANEmr=2Lna_#4F2@aG zc*mH=@tWP@`z~rG&&i$o{j8X|KYB?f@{$p!sDy|-hn0@hp(xDv>~6V>h8zMG8W@mT z9TE0tXwQnMqKO&4`8V9R*QJFYNOESk>}|FnP`_KgFaECo(e|qgzRb}I7i{L{%{#r^ zHVDU{UPo}0d*PX%e=u@7hnLArk>4#0&9I)z@X%wEBC@%ws%viqPg##v*6{1{DqpEA z@qCPFy1pVTGJbFDW0)rk2j1~P43SOu!(n@ zUd*D|B0XNGNr8i(&VBmys~72FVE9fzc#P})YFt=buVy@2?D6MF_=E8mdGe_dUwUc% zjUSMaP#DN>QNjM`qw=l1Pl4dfxE9&h;{}EJ^>KX^d>8usJ+jGkcUFJ)V{7O~yB-03 zs`cF5e4p#WzHgo#&7Eo)T6SOf@Q`faKcg`JZ|Nv?rU21ggqH^jBZs_P<9IZeyK3eA zVL4SC48xa@TVTPzmF_U}ASGznbv2>bFx}!x(@1o1YS5)uxQCdY!foctY^9VOPmk=b2EL zFAp$&sDEl%fOcCPJF-(7LP4DW!Ta8B022a*Ql)a62&Q+2dLG?M>e^>`#vGSLQ#Ip458cCv9Jq>7dP0f?IF^Voq0bGl?SUE50~YLb zkW)l77Gy893)FfmTnGVBxrY4;2G-%oi@yLz_4nu7- zm7^)h%5j8h?rM@=Gn94j3oZtV}5jJJu zAlNbk73IQ1zrc59Sy8C*6~Zq8@p?tRXg+`-xzETX?Qks?HQUlgArO;K^e@ zDdA7JmRHN@<_OCP+8LN?FkxCcDYNVb7RhTvT|)~`K%WTsm)Q>u(q(xMB0%1^A7#kq zqQ2JW*EI;1?lqU5J4I_sVKjaco(SyrOcjO< zFePUi-Vnxew{TkFgMw#W6y;4jw_O-QwG>XUr2Cb8JT*YR7nbF5AOE5c7TURXE$1g> zpBCIE*}quVerRzc<3}h$`S(F&pB8vNJ3p307%W}C=*u)T;*Al<9*mZ$yLpYnl?`$( zpv_HqQ$#*73NCV1IXB3U^VLP}7J(&%iyRJFF?FH8W(Mq=c~tu(yH_vk0Rv1i&y(;P z*{r*Vz3vzA9_L#-CyW@x+SG7gh-{ENkvn>5hF1nW zL=w6!M}ux<%)>KY!)KZ|#Msand=t5(z5=!1NtkBn^i9c5;BwGV^Lh3CBCm>syv9te z5@BNV2Q;V&zJ3$SH8`|Y3Q)9z2Y*Bjz5WOLEKs>7ziU&JrWi;vV~QhE+(y+{%v zgt@Qo_>yO{{NQh6{K(UneSZ;GrToaVpr7*UM_=>UXQbIPzWKd0U*4Jf4uyxi&^9Z- z!1)x+cI<-7SK{$InVlACWwYWw1elNJ_tJQF{{x@vr`($9La!NI?4R72GZke;;%8TI zkdISgC1>B-LKArzQG2+<`o=R`^AH*TP#J&?6Fc()V<6d&$bMH84v1s zneBuCJQ0ftVq_0mP`OvMLq%zy;5HP$-!1yOec1t35@~ViDeO7O#_rZpOE3Swx2hy= zN#+YM^nCK0e!fA!n;n-d!fRA~YWvLjwojZDUGNXxc*5qkJ}0T-yZT1rt`neW#hG=k zxedEMylr`vT?%M6T+!&wu*00tnpX|xVS0~H)i_zH45-I%NZr88UBNG3l*=P;1mi8u z`O%H6NA)rn7On%Q$sGmqHhDSsLQjvy2XWw^C5C9NlWNKe_tN$Ocx>AT4TVHDT6$#-azMto zZZa1C3-BD~|C&>OhI&YlxeR>RC3jb>vE99j33P*|!)=61UcJlFthU}q_YTHRqu-$0 zT9ISFR}FtqzV$=jGv_LX;6FI9vJ-Nk7eZxGjLKsac-BPq;vtZ)hfe{cdnE5e4HA;CHlwKab)8Uc@5RnqI~Fn_W^Whlo;*z0Ej z$m8D5ypTqiUNx$S)-cNZR@s9fL`^KWCVwcNvzx=T>|a({JF@-GM>*kw`P44~{R3Q5 zGKq5dy5db>9nHokC@V;Vsh2C6dHNySdD`tsjh!RlY~Pf^?$VmvZ%^5f}CY3V|0aAA_@rg}G zVeS2fa%?=SV0J{5i^Wts?6B|5PhqXIX=Y5YJohIMDaPilbC|1NT^~JwUg{VC=gsp_ z4?YmAKdI_;!d^D(#=Qs4KT2B@>`uMe*!Y|E#l!Cb>}=l79oN?x-F1xHwN)NPTKM|0 zog)G3f{?BU-&gJ_K<~=?QY}mKi2rGD9oz!-4_5Q40=8Ary7jDJ{La<|5B=HEYsi`i zgDIovBu5Z^{{%9uuG|a;ncF9LKIYl_IyMf6ZvinTx%CTMugD*Ew@U6SY=LiY0=|3h z#eNqfw@%Kxlk@kt856If{I zC2rh492sS7@z`sWY%a5*IkDbdIK%;a3# zP!^QDJBkD2C1_8tuw5#egm?L7;1pjP5(sUe=RfSn&nEaY@H5EjA5|*-4*<0hx15_I zd`-j4S`OFtSy?Ea#|fD)TZ)Rhayr6Kw!Jm8nm@K@Y3To@{Ze{r*4a1#zEFb)bu9~b ztfLFQ2;TGauT^Vw78j;O*ESSKG{liy@ApQQtKASwj3x4)rW=pX#Q90_W0l-!tH6IY zZfPAWHldG`7@_UeH^Np+mZbPbIdv&Oq{0l3Esh(<$Fp*Ax4=x5dFDD5`0eM3;RMpN zY%-~wIK|yap^SpUcZKO$GeY-Ks^Oe=61I}s2t#qIhKwr=8zW3nfl9U9w5q$kB8HGY zy_4wSf_`aa6jg`vP<8^Ak*1%A6)* z*zTUI^lLXr$lhl0_P4i83=cb}qrLXrA<(VfERipx3v4398V@Hi#{d2`wtEnJZ&~`1 z;Mc~AboX3=`up4ILU(vt(NT$8V$kAMo6AoY(-3~S6~k1Q((ZK19rf2r94P@)G-S5u zI><lnYd@i8r+ z@{bq6GD>&no!{bOxsLG6Hl>Re-BzO_Z4YS{|bGru;*nVTS-oDM<~7o3X`o>y0R7Y=p2()2lpVt7A| zkxbV%e2DRSp-0;!tl+(k-|EV8cn=$dVR*d+&lpQN>l=6Jb#8PgHYR1D;ky}*(~z+2 zL0gl<*9R)Lue9+6LX>*Z&Z9frZ%%DEoix(2{1WX|EP)5`N@eRt>z%vN>|`eaX+1vq zY13wZCwZyi4}e;x{3|cWNno0BQXYRpM$f6rGULU{!pB|>4yg%()|!+y+%jAYQW`Z} zlDqh?KX|F1(2*0YiCu757J?|nR_7f$`lI38xtxC0Xrksr3DJ6r*rwJO_;DRw&AS~L zHhz@w@C=@6knkiIPLWp`;^3ZHgWvpob#H#&bALkPspC|!y@k2o&6-UB=h1QWlaa%xn6 zn!tc7g$lAv6+vWnVRO2pV`bZ?l)RQ^!f$_0j);2V3gQFiPhObXISo&R7ugY`lrt0U%xf76OI}J&*av z>rxuMPAT2Z-J*bhDMzATJ3W6Y{L|R(cx=W;1C8CSUk$d8!G6cb@;?`4^dcnFmV^;D zpNhCw3R$x|$Ho+IaF_@UCj|?*?Wa6zc*D~GfKEAP$^wO0Mhs4*j*mCy>lFv0>eo4; zm7H>^42Sw+ftgu33B2BhH0-&Z1G7D7@e%4ae!Zpz4sbAT!w+#=={nY15%!~Z_EEv#D+HNf~#T*bCK3IoktU3L)C>liEjA;nF2Xs6_SOkFLnVC zetvStT*Pl>DKDc=vv7W8C0;*&w7_9K$^HcivT0B1U#5I|%0-Gc;@o2nOMU?+F4!2i zxWzW4YdyeM#p`(>>{_q?YLt5dcBwrn^C>^>AYjM1#$GyF%yH7KvEaz^HzW#C{p^`@ z*7V=wMOZdpb?o=!#}{tI8{xys$f#x7l+@em=g%tG z>}N!;{MV_So__N8lOH>ExQY`HW)@7TeixsQG00qwtsuTt=<6V-UjV$RcEtjic~0dy zHJzt#5z*JyUi6_nCG)4KUR_(sbpHns9uS*-6IA^}kkVi5$yy6Ck6ugokRvO18+cAV zR_sBbebUT{7HfgkzPLhW;&oQYcKk`}jP;@}J}g^j^74U?GIRLatJ`Ixoq3%Yj(HAO z>z^o%*GLQM)G=qoe_{z+z{NXKpR}BUv3IHI$6)3+YH}2kcd0F!ym>D`m|zc{x8>)A zHw-(_lY2v**LqF*g^MbXBz}kYm5sLAHxXDvQFJLq1MA#NR92P84EEGJG2N7bnH=GbJ-<#y+! zXmu-fKY)-?>Pq~z?=~fKKihyuje0iIq|&qJdT0=xHnV4aw3$lLOAJ? zGCW7=Z#(PD0!KgBnu{8llj5e-{=`dIlh_Q28aw(JTjFN1xp+~VsMz9OlRU(|I8%>6 zSo?$1He^e%6#I38d`;F%I}RR7?5m`-7`9`N+Vs67i!?TibMHS!yN=7f^S8v!{?7_N z8b>K+r1RVkx4Wv0cN5M{7Kry-ahuThO4G`9(o?g#B84Do8*%-EGZj@*itQ~Iq1(HE z+IyebKZj1d%zJtL<=V?Sb2!N8>KN`g|11RjiyGn49=J({9r+#7tX0>MF{c&dV{3vF zbn&^=uD$!p{R$D+`AQgat4d|pS`}ZsWe%7yo}=W>%oJMX$K%>zG#>pVEx+{S0~wRN zf49wx6*WY!Q2kN0Spci^k>E7gBEyUwfhEWCDm@U7fS8W|wk(UOL623xjUBRV+(&;> z*{eBxEFaMSZ%QCZ&~zEMi|W+s_@+uBf3$ubCkmB0=D<-+8wO_%D_a%~T6yku8apwX zAWLbTO?K`dDarc-uBTyti%DK$&-SwIb1YTtjx5W|_=;jc9<48;qnR3%NHR!FIzzC; zYLQMs7APYwJQE*mRN)2@{FF_`%ypUq)ZCz93mbGZ@pxtCYXHrkRbU~_vW4Z0UAC}= zpG*c5*4-_N@wL!Ni(=wC%@3K3-%C;!m+n&8}EL85-pV85YiuC!25`NG*azJNM3#84*K=g?TZqdTbA{VbN;-`E@C4k z8+?ACV+E;Wx^)Kqi$v_4v2kjr7InlOWst`t@+47Nby8e5Ym|S?z#gpNb%zHeX1(y# zQjB0C&ujE!qEb)j2nql1(FWekYjYn>Z_-j1-JSZXY6gMB&XhnC z&p*XXW-?pt@VBSTdgl^-Z4Lw`b5}FilY>3_i-!o}tj%l% z14Ze2-|5w-q(6e7HK;ExVuRIPU4@6{2QV#Y=~I$LCwx{IyncnI)cZq|JZ-pO(~pyn zIePC;;@HPOn-+h2o%=dl;;tzIMCWeNCe@6;NH4=thC`s286$c`8M^5+F9Shl zt{$XsU}&VNW`gP9IOPA=K*=qOcn zQ3>TGfN>xX=lN!;M)p=Yt~tyA0CPxGiX|_Wa3poI|q0v4jve{ogff~z~G6bdIpp5JQ8sk z_iGgfFPq*0F2LbN)pshd-Mk)Fey8l(mCIqJcWTQYHNxoz6JcY4V9?uG$S@wRH=u2=p{gr4 zAZ;&+*X16-c<<{p#lx!yK6~Hh--<_D_vbI0akY7&--ii2M8ey~xo#}NNn8(K!o}YJ z5m-zh;t|*#rTEiJ4*mm{kd6tm_;W|I_r4&n>*7*QK^f$Vh!Ep5^`l6$+8(S?S4dXZux>Ul^NGqQ|Tq+#Mzx<3jm89Q@*kXig+LYY=L>g9_Z z2Dm{306Wb0U_wBUGfv;I{SZKSB+eyRY6n#EV5Nf4`n;SxDETwrV(iqt9`h-#A%RkL z-Y7Y-{Kv3|*uznB&P5neU>}9W0iAu%aoDuUNI*K$3iS)?JAxJvm4pLGi+$<{121Tg zz7(sEQHdxa>rZ&q4y$%72hV+2`(e1|%?qNu{u~GBUM-AqrXcKh7oye}kD*QB z^bG~_)i)S8Ap==KVMvUZUy(rQD4Jh#R#s&6*lOW$0+6An6j!RCdORfW%B?Raa!5Ff zXE6rBDRu}VatKF)8@Ghk8Q^{6hboXps)sDNqhSNuUCh~0_@ zS9CbI)(un~2^{<23@8U)T9o<+W0V{@&@!9M4_eY|7;ivn!86;CVtBD_ZE39Z1T&R* zwy74LcqN(-^9v)!;D8ox!Nmm4x!fQXB||(;TAtWU;B$6jBpuV%#Bb|h3^dLe49m(u z%GZTuE*gTe#}q{$9oB-xs0#9;ipl0XUiPvfI+SuI_og7Xhy}mFO=XcWjUpT$1U|Do zB>o<4FX=2aIXs@M6Z13)7!bGD5X>KmXRhPB|s2?T#nhR zbMs+;hDsc;)lr9oevDy!U<`t_k?uL|owYi!J(fFa4%Q}SI^9cMKLb`PBD+mIQ!i#+ z0I4bve|KI#*n9^S9PV{QXqb_xl&FZ*{To$`NCT*2!mzw%#FFYkiWwn+0${^aNZ|jE mEOZNi65#AmAa>onpaX>Bkjz(@qK^Jj@h3sxz0&k2Y delta 42998 zcmW)ndpy&R_xNAC&&xf85a*JG2uCa|$UUSV1>Hbbv>82|sw-qz@ zq+CjK3#Bqcl16U(eSY8b$9bGT&VT1T&h7C$Z|9(0JSgZL+y#UH5C95P%L;`)GXFFF zPZa-8dx|#d1~>cGGFFwVUeSxY5eT4^i@;+_*;{|^Z5q)NB=KoK&p&^P!{LxBD8d^Q zz)Gg?@7?bkq$&o3i3A6~Tj#1BGa~MRTz(071Veywp<5CqCY5AjFh(+k?ld?DzLF0F z$`L}K6BXnacpz6e@7zURg91&0KT;RGA)e$Z1zPa{s$R$JVlpC^yi`wuEt9rN!W+u9 zUQIrM0L6plW0dnECH3ST#+TDah2@>;7Wr)IhK!K5#_~#R^iLyd)?I9RIRO!cfHf9C z-O2rwSFtQDV4nsJ*c-e6cz+ZCUVx`keKz18?-CSzfB1RABH;AVYxk}Nz!pf2Kb{gC zKYZ-ytGK5}Vn>}44o_a*0T=<>hJXQ}52#sw0i>1y2|&F6$-V~Vfc=wPWu&jK0^jlr zdQ;dG0nF>oG(~O$4Dxy*8QlIQ%4o&XHx>)K7mM(q-4rF%ZhD;>)6k4M1;s$(j`ken zbfG#*$B5jDwK^1&P%E^6=MPerL~|YRPeU;Rp>i!s*--on7K|5GlbT4tB4d6jC}po>#WLW)HLSE?v{JsD>#EP8q-Kl#}vJu80`C4ljwgj_6^WS@BG z$|ldf9u#V<+l*5Qm#+OR9*rdRcG9_K5KHTD0Fs}(%%#{J>)HN62ZVM`spss1(@P=* zBTy63{HMo{CTo#+$0CbIXlhE2$MzZjJXjw1qa}cJD7V}0WO{Xbz!}Qp33}2#xwu^4 ztM6-H=LrqQBNYrjf6)KKsQ&jyd0S{XrtZ6O1=K!z5jro9;Z03%ZTe&?I(7Q*yEcro z;4I3Q3_kU@;FsGXb>GBp9t=s7(2LrCASkN^=(Uy;(8ZtOlBeFd4;Y0%n z8SzB1S?!3O<1cA&TWMil?sGIEThsj1o(?lH!o!Hniqx8#2Y6@=a~hcA7r2I2uCtdk;`3gU!|~l zw5lrUy8O*%Q#lkS{#SGGEW{$q?K^b*Hp5GOzgSr)Zo zX0>sab}R)*y2WRcp23D@AjswV9_@a`{-)38A5C6ZvPGqeN*dQdqCp%y4Kc=qM=oU= zyoy@KLgFzh*Ch0l-0{E>w;C5#E^h6pQAvka?(ObxE^j!LkjqE$`YgEift@Zj_s)`J8a6;hQ02rR9gd zvZTm>Xxi8|MKN~+O@nFmE_BcYE1j#~{Oizg@}KH>_qgCn1N@6bPRYh9m!~g;pXOJw z(3k3am(m+Czrna2n-W@f(7tNBp$WySOev+? zX3-*9IJR7MZU83o1eP$kEQ$BIa>Ao#`tf$ae>30iZ`aGC;R;l-0H2*=p={k^I`8|iG@-)+wEW7%ZZY07`ez>)+II~iZwuS3 ze^dTiPkJ3<)N#oI`GUiWw{|pOVqK=3BV@-|$Vy~sYh~3#LdHC@f$+3(;!Zi;Ql@l_ zwZVo}R-+^v7=#yfADK0=&Fh|Rox9O4YYmP7OO`lag!-njR0y>+OJpkBjxcdn7MqrP zkl-~iVQ1XuL$26i61wQwC8BX#Jc@A|yFp#r`3-NoxvB5PgL=1K-OWZcXj4C`#G@ay z0CauD^8Ze{WZYUwnWn#cV3jJBSb_y|2=+O5q>dZSi%a^eY>a9##Z5x|^sk9CdPhup z0(zvSkQ&|4o>4K*4S%h&fF4OHoJKbWbm}HR*ZfdDj#F)$Zq6w#`4xJRerKH-&K9}D zct-OEv)=%&^~hTbNIubKNzBaP_s7VtwlFm9kgL!mm^wnuAm>5u>lFxClDi=aAAwb>WR zW=1cv)($5uG7HxEu}CKD#`?St6S1s;kyJS-J3FMcC9ArW{dD-EWTT|rH=R&IZl~Du z))hh>+aEb7kQ(*f|MA3Q9rY~xEU9$tPbX1t1nq&Sb>3R#Scc%!z|@yNgT4dbhEJOD zytc`5YmTk11xsZfahO&HNa)F42z>I`KXiw)p+49g=-gYi8LQ z8}DzhR$#MB8(MZMXDXZBW2a4onkEL@44o_To(o^WZ?n_e*GJBPOof}d%JqMWCCd86 z+>;A)h0u?wZ*wds-a~+hjUCC0ymaA+%ObXG274|+?!&Q{KXO#JL?OBB&`H!Rkh=}D z03gBe{+qdK&F158@Cd5BoUR#CW0lsdG=a4EUJZ~^aS$(;a?!@)59Nk&;_HemaR|GN zYg8v@^{RkQ04W|%{t#d@UMwltj5kXHt3#XuiDj%}hn&|8%ZL*ry=M8I(cOk^TU*;E z0-mLZ6b;UE|2of`*(S=P)qi*LgxkeOrSp2=8B|fnYt)8j)#<$LtIN*6bn~J*5jnq7 zSD(0EY? z>Ubap0cZqP6X2c}YlfUJiwBCP>h=FTUYF|m^XO1q#-a0>YrYK_yp0^x{zdwvpbaug zHHKSIEmXzu4Kg`19Zk*Ky+aWSkm^lW1_clHtZ3H@ z*o;3U?+kPIjE0d6V)z~*CiedAkjJuxe~!URCKYEb{|MJ<%S@l8tJ{-hvz%@I`7lIR z;_l9jJ_{S)`&}^EFhA+_XMenztZeY))zyvZxc3I=OzW;|qP{GK(&B7w&aRLW=_JUA ze7L-VNkoZ)Ly)zyj<2+;q~QXY3w=G>1=VDehg14{7s!JjD?VO%GY~sCP>231JstQ? z#Thd;81qpc&vEcY5;W~6^wLFcV-A5v!Us2>OYKR9jv`nLq=pgB!wUN18EB>-h}&1? zb9ahZ^<*9-{yDn&2SAo-JfC#(OavcVxRxUO=!a*jlwYeRu;$4x^zGx!5Nxh|k+Ygz+nCp3nv}2>?s?e$;T7UD{6p9-_hMxBB z-OM&m#R62hNLhPyL$jotnapWq8yB)Np`QwyQO#nKaqUX zrPO5?oPTu~+qe=CVu;ipwQfkZ* zY3jbs!ehl)&CNJx&-izAA5*2Nqp&c~$gRu#lWCPh1p1IBzaidHD$i+W;Y zO2_VJT9)a?uHH^D;)1jo%JI=CuSt9oCE-NOF(KgXeaMxXIF{myja;xHxg>5RL?>xe z91>Hs>q!cr>;6q|Un3I>RYM|`l@b%16?&~-C%OLUVJal-%L;rqp|ppJn&bNG9Ir>fX_Qo*N2_d>dUCeKU=F zKTU)=ay-eELrqc)`3+?Oo#n|2=10cFPDocIy4Hh9N1Yd=qT=cRwIgFPk*uf;2ioCC zY+YsQgs8FEu$aHx7n{Cu6vfwQ=aHDP56-7w$CHwh4HaV7SgL^2fAMc4l1_9cBB={s z2{qK<{8;xk1Cj>R;%`bnvG8TY&(?u{ENMBvJ8AK73^6{L7FQKSJesVR1c>;L9zB`L z_5>4w)&y*js{R?tsL5ytg`bh&Q=bZeJrjljDY%C+5_JXXumYXelz5p|!{~|__r#-t zSPVgw^84qzaAAl)RqmQx$MMfId%h!1V zS|8_ZI@+bD^*lp#K&kI;UP?vmz$>{QT~Ed{Y=}o=qD7c*4qmg12hA*PcUmTbr_kmv z%%_tR?qByD+mWhfk|SO%6{x0YVo>31ycyn-a5TDXWx)+mt1W+6?NUg^vm?$LB%B#1os%q*`>Wy%3+QPEOGH*)!!SDF&Wcwb@e2h%V?RzH=oil zKs37cr!gab&}fKg`ZmTi^5(Y`FzyVw;(jOYzfX)B|HB+H1c=>3oQa9vZDNxY&FKxl z{(dWMwv$F%K40Dc#o)R^f=&wQhdL@a5WY|8IQ6}!#=qD2X5bUDre)_rPfaZF)> zUfK70j+cpvj<07GaWCThzVm4ajlEoeKytiWZLdr^Ut8@HwI}+rH_%iBnjJJtigi`` zcQ`TP=(AlVan)2=fmKT7@R9hZoVs*{1i4D%*Bg_n;-SDfZmmmMy!Syu#JJCg#s=Qq z39Gap9~f=XDg}mnYof4+N3SG!JQ+X5Md|l0Dz5i;Ho#+#;!iN519Z=QE=Max-@YCf zmY5Q-^XHf#J^7BJh~varV=zPWO^A+W)N6nL+HCQz)xB-5`gJd$?47H7`}@~b?&jBQWuQ%WU8N(4Cx7*rYEY`sts&e5cU<_S5i z?H}Z>(c$@qXfIM{7<#h)|>g7r@c{aSC@r;)eMc}e0_ve!GHTZWM!~Xh%g!`)Z>`f=ad!rIQ!p#6br#)a%ecHGOctLL zif)Wi>~fp6PdlcH*-qfH#F>)_9vaBqn1W})SOYib6Lz{3qn=i6^TdF{`vT!u?c$rU z`dnewB7_=J+}-?9Mz`g3aeqm($46o54gp-N@G;_Bi$swtB)78;igg=Dc0rL6cX4vSDBFH*~x z@qaG1KgMpM*6j!;SS6l&lOBUNfC~3G;!6h1x%T6f`2KcXo5_yKz z8@5kwN+6e1Q_va9EV<&}XL5IqIi_t}tojI zQe?ZK4K+%}4kOX7mXYbkT2Qb#6fe>DFEO3WP}bQHDMspvxF-Hs|2o6M8h4CQ88YT~ zSEL#>Z;b@b%JeIyYy9tW87ZSC;UK8RHwgijk0^VaDo)?1rb-S=;7(G|pDsQ=U7R?t z{E#+0iu_CyhAcYcHfBeG8aV!8>6LuiI(z6_ivdKD@kgTF9qQ=^N(-G!5O+Ib7Afk& z9j?2usd)u1Mhec6*zW?C;;`hnUEq>T;Q`kO@+I`$TYgUYtTvjpKd=+^axp7s3IALU zPVB3dc*E>(n>uh>&_P@NVqyzV?ZQ(_{W^<%hc9Z6A-&OGS!Rv~Up3!IGIudwud(bL zf!x=~h($vQ!7h-o%}{=}g3+HOD#Ajc+tzJql8n`2qE0AwjTwMrd`Y^*{|dt$;En2X z_ToQWFo-w3a+(^=bY*FV;>1ICyRondjIb2Zq>heI^z_lK0G5>_DbsSz+ zyR^FQxp5l+#GXY3-vgZ;%%jZAQjXT<4Q*WXWTbj^yJeq^=mfldZaGDEGRLyZ70IOo z;1~4N!`J_G+Za1CdF$IbZq>`xufO;*hE+e&IzUcX{Mc!M&`D?4l~<~zTa!iZ$cOZ1 z>_Zq;Kk#~;qPuMH5Tl@rSop2ZeX^c-N*iebEHHj+i((I8Y)A?2@6gea$4STmGTpJi z-6!Nf2YmN|;$Tg>?9Bh-k%=dA8jC@>I*pv2HnEo3eMm%=a|&bsoy%f`5_`X=%Nwou zO(Iat9wk>;Vhdh=a@J#g9wwMZg4x?b*r_qkwJY?YslA`cuLb*P-9D?``80RO0<3fN zE!EG?oC1>^REvNJi#=!T+0w-b6huBQCMydVola@bv!VjXPnASP3$(Cjz!@ci=`=$I zb4D`=YAL!~ktrSL$~O_H-coLFQ`Ay>jnq>chNILCF6<3btnbF|#O=0g&T8{2KoOHW z$`ep-hR0_}U^{nM z_P_=se|gW=5P#^c$q*5264r|&5aO^vs73BjiTzjF3If?kv`oq0xPE)l=F%=+MtV|w z8Y&6Rih+q78fH{~{$m`q!MM6k4P;J;8~!@{1w zc`+}k+l(|L0=jeaE+guWE$&Q2=P&8h!Jz@x9&P!%Z{)K^0K9&E{1ZS@iYOuOvoXix zZdOBHO})C`>lzcN!~W(RtNP71?`nY_O*{jm=Pt9xs9q*2m4ELeB)6SkHV#J@1h0%Mh!>?qaP0sChF*o}q+>EYDGv;MSI+QnhL@s{yHlE7& zO`?ckM5|w4P&=k1|Nae0NWs)W(S+Fn_2CPubjgoL(WioUevGr&s?cgPPLne}WPYj+ ztss*G8tVG4UQueiQ@W37sS`v!^jb!-*q};+Ln@98>N)H-k1oV^C_PYNF#eVG1=$`$ zP_y4KnCVz-zs-MH6LJWcPFxi!#b>?I!1_xq4N{Z8KH;moX8VYr7;X;Xnllg8=y1bl zoeq<4&*4)$%M0u<=7c3JR7rmL$9nfe2XJ88PtnM)U(b)W_ik6HXqAR(zo_oTxMAN< zGt=&s2FFi;2wS2Ag?YdnXs`J+t39DcxX@BFq_k*oG(lFkP3Nv?U(jzL=!o6Um;yUk`t z-%UTXZvD2NqFTDWWX+}i!#uxs%N{ldoQ}_I)%_DO->MQPqIx}P1{;s~;y6jL=1@hM zarh9^AK^jfy0u|PQ;LK&k2v8%@Gp0%DDtmywaR?!pZdynR5BwMLoaZc=522g-~s2P zM}3_OZnl2W=Q78M!6JUyZ>e`RqaW8}UA5(Jsr|g=23RmQ(1-Zt)1sYuD9f6(iL;U0 zdNiakP7E2;5)J`+^>_d4R>q>s_X{*tPtJFoeokI1|8!0lz&){namK%TD$S`fgD%)x&b39M^{v!y)|qch=aW zrJBTV#iD-vx;MBr$sY0ogFTJ=`Io$C>vl8XqT6TL^X7IBeZTuyI2XIa+;N+n)lWqa z!=$s&^1}S~f_dvxlj|Vla<`m#+d`#>ZxwPE)QCS<~Sg~@KeioeLU#V$E4TC>Ej#QQh zaf2S81t>V*zyiApHIWb>(ruAj_a*>Tmg_%Sec%scP6KoGZbELuZFWlQ9Z841NK&S1 zfGHde3%c|%+Mmw^?oY#1>73lD)P4n;;UxR5+tk<~j56p0o2Lo%auTuUR7dT8K%h~}dOq4G~2k0ku&s=x2Bzlha|`0>*@7ne%i zFa~$v{g$}U`+B)liHL1`2mR7V?%VFA@SzonFAU{LOWr;q?{)s?9Z%*c>1n&i%ZB~t zMDoj!Z`s+&hs0_6Q;ooq4Wu9X@n+(3(y*lRt=kr0<-J39Rp{i6-{SO6aXrQKPlP== zft?1mdiu=g`*$v~#Pxr8$O`lwT;{Pyj zC}KSs&Ae>&ODc!eR$!C;ODQ8rw(Q`U^+Y1#@|w)5wAvlZ$WmG7TVB!#$Yrj^xNB1E zQw_2m=xh9;_-J-mfk5FIDq~{^Xjap-3p4)}Z*NLk=-5XwrDK8dqt#luzeY;gJDvM~ zd;f1_k8ixjqcNl1_ScBOcAR7R0t>5ux>lQj_Pq{MgGNv!=b_Sd^$!9f8RVg;kr(UJ zLsOz3=&^)~DF+%`?5cs~XL}Pf9}S)mO~Qae>f#|jhi}--wbdSkeBXyfHe`>>s; z+-F>o>K9SsX*#O;`Z0&mN5*5uQFZJi67OtxwejdTEex2Igutk~-^1M@KNa}Pxc|3LEDLYSlST90LYN1RM7K~LJcv&g z*AzXXbKFBc|BJFav^!4whMwwZ(+ks}0zcr2w>z3^JQycwYz^ZHOS$nnY(0usJpOj- zgh}xLDNOZ&VV;)hFH%Na7Al)@+TKwo#L_KaC>wf$_b-1egZJv6hKa_(5^zn@m+{#k zL{nx-P`vx$Mw@O!RmZ8f1XVy&dE@(N^4Y%mP%xvcf5L!iZ(z2TEMEZ!AXa!D3|X9*nS%BTR=H3kum& ze&nRvDtpK}sVF1A+49RWC#UgO-?9e1g&r%^)kF8@r^n}8n+}89A8za0Ds`7tU z8}cvLCc$oOR-6Ia=_?fu=T4egsG7*|J%wW&Y-9Ph-u|m^Z*c#EfsNnqeEQzv7d^*@ zOq`SG3O=NM{L+{y(^YSAWWMNA|NQOv`j20Sw%bfbv%f6~#}N$f!PCTCwct|&^lBM_ zhF<0JtfN)9;ZB^A5oV9il?+JNyhNbjGNkBpVBlq!_7s=li-t89C5KkmvwvPRY<;nI zacWcY@qgG-JC&P0%yn@7c*RtyR|!z{^5L4Z`eDsR3CixFM2F?qP9^F%$ZG{(lOE(j z^xcjc;)vyHL#zf)`}qG>VCNZ8l6rTCUbiH&U-?act)A~?dG&->6*KDBl+6_0?fGZ!`AWtazcKx8nbu8UvHzB$&3iAb3I^x*sD~LSwhkS&k3WLu{g+k3M zm?${W->^DM-DC9FU2k*nozOzBUIeBilB6o9vHLf#V|z33EkWNJEacKR&ZX4{z|W*z zam-1(?2vtl1y;eIP;K&FKd^4zs|bG@3f<{TcR$?5+rA9p`9gUuBhdWQKLMK48}hX@ zkJs=^X*RDfQmk4oP%WB)Mb+ZoePv@J?6Ytreu4K5vG@{BD_^*s<_2HAk!nfv^1c;N zt$QO|un)n@g!bP1(1P%~le%C>vqvu6rP#t*mAnN|Ez%3tnCSMc1uBN*St93~Gc>F) zlNndE%kBT$QPaGv6`27;h+=jmLl456xu3PEZi3=8XE=vp12U<{n+4UH)a?8|Zv84$ z6@x{#vqQi(RuE77G;PV=vNye%y@AuBI6iWW7BET})-%q!Lb z9WbAsq#YrFd~<#TuZWmXD*&24W`rKc02QpR3VR<} zfNG31Ky{VrW_Md@IL_SGAtlTnB+WCYNWbCgc~5Z1{=aLEt}4u~Of$P%MayycI0SFi z{Dngm_fbPHI&rlP9B0xrNvYQ{ircm7XMmiE$vQLIm(Vfzk7#fm!FHf_R;pb{IQUb!?l!U)l57To?UK=c-(O>sHZ4Ljc z#!imNdRj_R5xuVy8dIX(!Ql+~&Gv-r>=6za3 zmMlh9_Qc%)$;y4D8Aw1%Y^1n*zd!F&=QjP{G3$GtVWi7H7Vn$27pb_^WC+e&1;)-; z$i&Q6&1Ff8|b*mWp**6(QP-M+yCOCoDXvZiSwkaW2)iQKV0OGG0^l z==!e+{|4LEegWor9`1(wOg4mXq4S9Vm zDnkfP+nMwrN>#>$pSI9_5Vz* z8;_Y^2GPc`WrUCGFO)k`-I1=&O(>-21F+F4&OS#-Q@}M8%;05QiL?LUt%pnRA&-u1dgh_f`*cx zZxgJSq!>%gXk%D}q@V!;NCnY7I|dbU#^|i3%d?vHW9@mUE+W*EeR5R@jG7X5bSc^=!9p)H) zV&8fn22hV6hN3~AJ^sE<0b3rx9w#67KSrUVkBN%MOdu7KpA2%pX~Nd&p}vHY$v(ew z85J3&5<&8FZ1JFI*Lop>`%5COS4{MlB4b{yru(In!4?c`Uq4%}ik|9ITqasF18=Y4 zQ_7?kY`pNZ->~m)Xqj6E01daX#$tlNb*kj-?#0_34LPCD?0-(Ej*pbK4W`cd-r z`|z|*9}7jI*r%Yb;kauJ%04DJt5Fx5Q^1sdRRfJ4) zCZ?~kU_Z3n?!-q^lw|O_f5XgUG1B%pPAdf6NMeGy%qu(q>QWM60+hhE$ zc_k)?MbZ*7c}Z+5`4l)EQe%O&dT;95x2q!8aFo%IWT~;5Nn;KGx`k%k9PWh9avjlfK-HtFF9uEFox*LmzBlN3LqmO zqg&U9f_ks?_B8b?uDWQtsX9U?;-Nth^6Mmam8;r6Xu|p0z8TSoCJi(kK_K$_H<2r;x`t_Uoq$Zk(=mb z^m1k2TJc{NXF|>(Mf#Rm+})vtt09A+Ge%;^RN?m*XuhbGS?M5SB!9Of=D@w58($#r z8B6w}d2r1j%@2R5tWgh{(vk4xT~iFDst8D=P5Nj?@UXO;iC0%!E5Dok2{LWMHfdMx zg9g1=K4`NzqkMW1o~AQIRtk_;5u-K)WI9fx$dH>EQ&KMdU9Q0+h2dz3&l0G%aaCl; zZ3IdJX>Kga33fML<~Lk!)eF)0P2vBV4N2x(GC^p>&ryc0Cf-SYFS?8s*&Gr49R4?O z@NYRp?abYclmMwX=kxP)An|Y_S@OuZ&cG~sj!$u*=WTr$ge(cn79G^wT1K2q#&DFU z#?pH}tDa9U|3OL_ND`FPft*LQ_(_xcxH;r;*PUP4apvqdK@v4475tuv#T_>U2ZTJz zW7nk@jHSLCN`E`~cQ+#@B5xqEae_+cFBK9uZ_Rz%PJ7kj%XQ6~J6LI1VQ~GqP93ew zr00ESvR1Jaaf@lk4272b$9QTfIl|}O?H}+tGH%-CX8KZeM{uytC9rQee#xGu*Pr0? zMywsubb`DH(X)>p39r+|ce>oQ;WJWHfNIgnF@vjT2+`?#}TT`yZwCaia;2~twyc#!cw zj<*w-*a<=!hyQi&FtTiCycgLmi-|V)T@qf6%yuh@z0LUxB8(bn)g+gvSvIBYw{}X+ z;pby>8-srFvJm6^a=R~9i~KwPu=kbc`OnrI3EP4Gj5a%i zB#H!v_ydH+%2D=5iBZXe#kc16e}hpjHjI-;M=AZg9bp#}1`!p{lSQOWAsz%sT{rYC zpp;Cl`B7L=zneUhSkWD0P+tKG;1cNO!t_feB28|_Gn3g0dO%o9^4ysGW2^H1eM8p6D?)E^1pTlYC6n1 z7k&RS$eNFNFZUy3*}*~Yv&pCE#27@`^^-NxjxaIP$ml0M;YXgCL=PSk9C14OTW9%B zG{UI(m2XL75pos1qbo+$pZ8?#G^V+EDdw++_pj>6A{aF9pA6|WCpv$RVIHa$nT^o1 zfI|jf?zA96KrBfXtKkCx>LXCcK=VSSvyLbA?w|1$d3G3*WCG0PLngM}p)5JzHvX@% zbau@cTTN@Kf(@@cys)gbu2qWUz@dGRdR%J@AjbKHV)X9ivPkYBvDvaW!q(;Ss>fna zM(5Ya6zSqx<{0D^ScWPs>yOluo#eOmkusJ>5%@z_9Y%-u|BujE8kgRmU6ONFq@Njp z>8uNCZDjm^BL3YHlW?@wMpT0#p4Hx{H?i+8D*RM?`tz5(B zv<78+_?*VG{hiM!mrgGF=);M_7yb9l{+$aY5pm<srPUD;RMDoD7>wMA+m($T>mhEa;YDNvQ#jo`qc zqW!Yzl?&?rPTUyM-oi4`YmK7g&itK7z(hrwZ8( zCZQW{-@JxESR3CNQ?HbWWe6GlbZ`db5BQBRI8Hqxf1$y>2j9KYsKZxk*NX$I!jaXn z+z|lQ)|xx;CT2vraZ+WZ2&azNP#O9L8!~dpbBZTN}V!_RZAcS92l0|VK@CCg1P`?Z~;Q8*% zNYoCfOWgW)0~w*$+MJ{rFZ9KiR%OZDIcHa|tX!lbG#2La#d0^qM$#1xSz9WaG7ZSJ zT-j`jSIU9R&%L`vE~wI6h2pJbCpq_tF3Dm@!vzKx%$xnUT{fmwsi-BY0AWoI@qOOE@Yb(7Ff6>XfwklD!=vpTWbh4@6R;k`qa5E<0TG_3uo!_)(J# ze+L{*j0w19W<>Ip@wm~E7P$U5D=1T?(J%w;#Bl0f=EXgg`6n zj?6}Gn>hX3kK+vGmKv>MWo5(&Y+T}FX}!odnJg^?b7)|$4+2kEQcFfscQG*rmIAi^ zTDR>0m@1aEx8W8P@k~rqs$Nx^If_(#3E7~+SldF!dx}$||KqKJgZWv%^|`g4E%wEe zrClw;Y@o4+JZL#q`nFiBTbO(rzM5ir=V7rRBig~DY(6CR3GFk zU(ud%H9|q;R1%hyAcZF`z>`JnlBjE_?C-*Di&aF9rNa~I?e)^S)utz8GAj747;F7E zW5L1+L9cf?I?fb!+%Z6qw4NcG7*`u*4O(t*qx3(Rvj04~tY=6Rk!!eD7nY1J25~zU z5tSy$%R9}JEfG5xHuf{;8(t|US>sl05e>q%e?Ge=F*6QjzP{gL6(bzx6*!HU;VVgy zKfj*`QEVNn@8?WbzS4rAi22_B3vR<}H9H6b_^h07{i7yvFw<~X&}i)*eD!T=TW_tn zUrrf8C5yzh*sdD8#96m6`*C%LV_KYf^}q(c&sE&h23LWMvY%xv4azo3A}O^8 z*4wWy9=W61bX5P!ZZFCT!Nqno8N%#lZgKPnSO1)=f{vYHf&={sR~|L?(cN0 ze%sS$_&6nd*Gbgag)?t^2loe737LzqF{z%^2?;m7zrE>jn()_}l)yWKG_Wu-FlM$T z|MqX{5^P)?JHWd=c~@#6?e`b{Bx=-$d1bqECwnmbRcxLgkqWf* zbTs}d7@C4*J?-}vMQMuWLZe`r4Oiu`XfmZ&->e*<*P- zR9ax&OOeLeFlBXynI0S4%tLTzN0B%V^YL%~2QXXtO4XgQwG0S z$$s;EJ&>r?F-cpWx(81&sNUPQgAF|GRNms3^38b#wk!Kn++9CwcOG;h!=`oIGRM9L z(}h=?w#kO@~LzTIhJ9 zx4uwGIoNZdwf|{ifb;gJ7Wq!R;4ZdRg6T2cGCATi*}ISa((br^^%AvD*;PguHELx$VMn|@E)9;4A`f6KFvclv?Q{NB&{JAP02Uz@@^gvv-w@Ld7kvUkFg8;ATn zWubf8zLd*UL|b7ji~D)`GOzEjpE4zz=9vE+lZ10azXT)ntycWd4_K#nPk1`&OYY}6 z!1i-!oo!oUZZFAezyQm*9Q`p{5&XoMEFNO~_Sq~QtLehjNDV%AsUqu)l|Xk2dn{~a zQ&H#7Yz$beTAl-8k1Q&GPzP8LHU;y&0RB6(-+AYwQV{Ywqv)2JThsMI#dEOszkdp& z)G}Hhs%7=vVF@q3hc`GdMy!SZDKP{MMT(`+i~r)p3MV=Xl@7Mm_8~sGZmyS7-{!dW ztM7WN5OVj2iPJ+fTyxR()d#P`Fc_-Eg;TQPpYWkqwG;u6_(uAb(CQ6ojo;R~Unyht z%j$S;?w399@~_qzD()Z8=J%s_(z1PP_qpCS(Yj6rs|^2ky*ZS-F4W5s!K^h1g?Nad zttoedS+KdKiv$CcC;N2~fu4^oz+vlQ5J_E=x1OZQ6b;8!)Ux4*1K8ecPaSor6F>QZ z@aFlFW^j8;HrcozWAU)sOjgHHq@I+$oC&4rw`j6%Zg0g6XWWVFFF`2YIc=?9=ccPB zkng0Xc;nrd^?M+sSy z9R5T;4(BWH*niyGTytHWojD6Ugg&r@An$A_2-->BhpR>W5|^%s8rIf3Qw9cG2i_vH zKEpOqvQ>30M!9463~BuiLDyXbTNywvD=DK(@M!|>yDJ7z0x)^S$*>aa9Y{f zmOWR`5UN2$Z~ZVt-=Md9TRiSUax38$_vU_G)pX>4iy)*TH->nz5c%2~X$ygUHO|_F z8oyNbd={!HTrh^FHFwoip=D?hGFzIyT*9pD8CH~8|rvw+og8QB#qrSNs+C3lEAk8me^ z_Q+@E)hV(7+RCB5@?W}MM<(5CkYFhnqVCxWr>8a-vZRD%k7r(OT?Uor_X(+u%aAtS z_{BqW=)*e^$D_A%^|3y`^wzkWVLmMoz)B;-Pv9`pgH}dMs8q4Fc(xA9E{7%cgPM00 z!R^xXSMSk(Anz_F0}>Lgdp^5)eL|*7LHMCuyGW7Q9R8kqhiMVO;F&_NxrZC5Wy}j- z2kaI(*Ui5+{^)q_oWxa)=%y-xf#i-SL^T@~@jO}uE< z(!gOwq4csL{I|_`_y5W$NiRFssp{r%1a40>R$k5&5>yCA*1x*87y;D#Zi=u`Mf=_( zWH>)W2nDZ@VXwB0OgEte7|+&Ov}5e!$vOHvu>;xuN>6=V+;qzC97C-)`YDxQWe=*! zOod~|T$~OfLfxglKU&e4I!Dc440@>p13JuyaZWpHWpuA0R~Ir4U?N}3t?WpgoLH1g#oBwGAJ!htV}6itQPwS(tUOvNO;{vSnW8PJ5+M)7T|*v4RVcbA}ak5uXI z20^5R4N!p%2Fe&97K%w2geWMDf9Vp@A>Ez++7jW6#qFvG-56xYV zcX~Hi0oEVS0>^_UB^@`DxCQe_cvJX3F0ii+{8(6+wJv6;SW(jL#sT|gO+UmzdqrOd zF(`L}4gkBsDyRGpW_Dq0N`$`B14Zz^dEzF8Q~#nfP)+DtQcwc-6qs4&bs>q?brgAB ztHeV*D@JDh>Rw?cCk-~ZUTAwEC1?-lp=~mocf@FEv0&SIhPldI#&DkSj6roYs`Ah5 z%9p0FEt0?TVrpcN7!fymZ!jw~sW1V*sjVweMfA#M2nabGaPoLGQS3eciNPphL^sf^&d=#B+@F;z zp@Y$2O$ZMzKzp;HfCZu2*%D`0%H$jr=z%~h*xh( z`+R0Or@1`>;!0{Z73gcsviFFI=TQ!ZYUXVNXA1RgO#({1J3#09PwTQ#vv*8R>xwxu zNQu@ax!`hGK#}EvenB9S&mGZDSzedSDS6kgcb6s7d1|C-anQ4LvG~ru9b2@<_r?#gqt~jY@s0uVqWoM+^?t{XF*dU zz(7lWUI^yt_U)t$sNf#JFM}&p9>N%R)m5Msv5@jAOlT~(2!A{`1MkAKn%P*+O^))B zMK&XGJ!^5!Suy0roe{i? z!YE!*jDLc|nnp*SkXy)L1^uw6=SRt6ySHz@J1PctYtLi+{3*Y(XUr%b-GnzoG7(B! zLR7|#Bv=wDG-h{$d`+a-Ke?bQ`C7Ama`7+y$y6@hd9Q;+cK1^RmxJy$=-{Kb=kx!8 z7=94opW9u3gp}3R#@K~@NNfrMyeig2{4eDz3 z22;^BvZ%&hO(ki65k`h*I70r>nXl^`t5*chyfZp2u|BzagKesFmG^A{(_WFd3efBt0!aNz z8z313EB#Iun$!jxyRZya3-j6_`7eZW$QAL=HRKUOBfA$ZE7EC)-wQ2LPb2PcUjI(+ z9=wHwGX%IB`Gzf3Jo$nVEXsUSnXx5-4^G$=n8k zq2$h*<@HcsSisM9q0u153_)oC=Z|o>!)!~TA!F9NqKp~E6i2H&{EP-PXzia_G$0)d zNL`Hc0T9@ZA(DV0@tYMr)jR1@68DuoI9ka=lIn0{fwDk>KMuP9*W2~RzJHAX71xI* z{8`^Z|T$l*Uv8RsD%U`K{t8`&;)vi0#Ln(4M$x< zn78ui(-qz{$uH1PG!#&6tc|6V2uM4L zU&1(Y61mMdo^M6gFWiUpis?i!zp?WKNIvvslZ$F5KJ77USKWo~eUXaxopn34K1b9u zL`#dE!OrU!st~He-Wj|F++dc36!^t8rJa;rHzIc-zixW7*odDbiRZ@l2_2QXABZ0+ z+1?1i?SVjJ(BMmh`|w=1@(Wtqne1~>kY|Z+L8tp@I-PAWhDA0b|7Ul-$(CT`No2P= zLW9RT19Vq~!51b-8ke}B$zT&a3c8bb?KES(fuV@hAlROnh~NAn@#|{sl^y+9Q13pz zhc3YaiCKDwUkyD9W6=XyuIw(9#}7f-TDj=xY?xE@D<`1FT>A!sRMP#7yBUrImyRjA z4+|1|eTT9(DGaNwUmrHH4Oz!t*(Nu%$Q`JxLViXL)=MN#gQqv+lk2I^+#IRPU4kHd zt3@QCPU*G++Wka|NY7u(Q=2^JcwpM-*QKA*0VcF~eZYX&8dUWSiz1%GU7DN0twYsY zK&O>E3YI{{saDsw@1zfq7j`IVpqHGYH`gtrs>AMAIej|sV(jnZo|oK7DrS+Fv>~zc zj9AV(ysombkon_N7xl@%oBH&pdp{^Ii`*dSQ~vYtKVhoWg@5~2u6eLUQT4~pL zj^Gnx!(0CF3MLR2T49C{hFXtlexjb}U5EN@J{M&0mu7@wREN;y)_9`NiO7$ijR5R?XiFOwbqt zjDSZY1q%?3@Qlem2j8|}-D>rg=*FauYWHQ)xJB+}Ns{v)BjKom@_n4V4OjV}TaTnW zGF1M&bJ!N@bW<0_bgurjSguh6zS||xV_Al4XSpzwGP|~CW#k`4+GZ=P$>+eRBka$m zs%}{4uM~8F+wNm+x~+Z)p$fdqxS#h2-Os9(D3~Sp15W?uWGuO$EVA!zb)C(o`nq-W z`g9KufrG=JJ-NOR+~M&p*_+Bf^#upBW^g5!MIzq>#0+6Ff!T}s9K-cV)*^&!uUlD; zUqMc>)SZ@+vvMJQvnxr@Ji6*nYsEg~Xzp-Uo|moH-?38ryuj}mNiHR#FlEkJ?-SvP z(7MI7?$NJgb4tgad*Scg&1QH4w*r1HBNnk?^?SZGgwa)VIm~}xe{FXDO~=SFh;GJe zbErRa+qFXVt&K*KH~_-fawX^$vKvK?!L!81}pA?}89%d8l%j@FP^PXozb>GiqSDfKrxL`G32zZ&u*HDbFwX_tI zJq0*nkjl>}r@5h2g3-rs^_fZ5?e=651h8ono~~Am@O0# zL-%jCQ@M%e%s*NbwRVJb9Y8*R&&*o zN>m__*pbhQ&?e+s>-JRqH;<}-p0H~MNG9oP8Fa|)AI}zE_Uq@SFUbkG|CqJ@3CzFl zk^w>r?ttYnBL$FmzQk{`(HCXK8uLp5AGt^^Pcmn*8tX}IV1DfjZ~eJylSel7<-Qmh zSW@mTbNDs)8s&I}PRGZ~Kl}8|b4e2-qmf>#EMRDQ#+X2Xc^4`6<7i;+kz@Kclb$L# z;*ZJAzjxaAx82qDkqa@^g)TQGsV|z>@@Dozjf3bjjiE<;yXHd^?|KR#ibGWRgpy5el8?}U=^BQ)5 zvKmjJxV8@=6nE{$z3B!##5fM=`Dx=N;9(Zf^u)+?itO$maoQ6A<`}d~AaY2yv+`J; zoX@61uGRbp&E5(iu~d5vB^xChSd=sT7M#I^)U$tbhzQSSx5yeH`U#0_?nyvJM<6EC|YUgzsLs@YH|5kTd`oE_*>Dq5uXC9oR zD^{^=Gpoq_-w{)yD3sc6`_y*?e;v=lwY_tn0Qn}8+BbOK>& zN@|-HGgS!V#5*7#oD#XBu3MPK-i%do+J7jgAuK-iAY1mc*oWN`@T%2Vvx>nFULo6W ztH|TI7|4$43Mwz4jdlq#1>D822=0E<9CVM$-T^kVCJTQJ8UNY6DmbmVRy#UrN%Gj` zxd14|iFN~!2Aja72e1UtqM=3?k+8~RRO$JEf5q0E>R?pBPLdUHSqf59w8zt2^`S`7 z!s>T|6!fhaxx}VRWKPh7&r~3|;$h=Ba#nRtEO<4*qhpHv*TH6zE7?a7G;${9CLl{} zP^pW;O+FvQ{_?2kHY86NuALt zte~A(_X*XQD*UIN;$#l+-NBSgo#QfHug5Q;Cd|Z&XIcR>)>!xhz5Mavx9@p~VZeh8 z0is>i5BcgnMY<@HJNr|^%;PRzC9fdXQ<;YdC+Ch&d6P!^qGlW2(1wd_8t;T(>&dJe*9m4=`PxD`Q(zQpHMfN=HIe{pIdd_KNlp zmj18AzY+Ix3C{yen)e@IFKEXnX|QfkmD=IEsqrM{1|mJ)De+}15f%2gPU{-Zf3&`J z)(C*v!#0W>Wh{2S8rA&*9FW?i!ByHjmG@h3AQBa1)ASEh5gi#*IfjdsiTfFyeD5+x z!!7MR#5PR#35rxa4;TjxpV+4;%|r?6Gkg@1t!FNvGKk6r8o^Eh0)R0m2Q*8FGb!j zDxFo~RTX1>fkxOs#=aC<+p(d6b}y9b2(Ug4^HA7q-N>=Xqk`P7&XO%!|43ox`#UHS zFU3cK!5VQFlyCo$CNE%Cn`n4Ly8_*Dp-21kh+yPJi6F|{1v&LE;23;x8N208@CSqz z*#)!033hyEz1y1u%ZQoQO>PkY)#V2|`E-`udKa23bj}72z3NQ+5}+bRv0pn+h`%G{ zbMnRR91C{wKzbUbu>FHtK0_0AIBPiXh0Fd#B(Kmfv5uoHm~t}2VnuMgDBG__8xuVE1rQi{%eyWd7tnVW|>rJ*H)Csm{U)|AD?MJV}B%l`WA` zq5k%vHbo%>y)O3-h3O4>i5 z4KRI;@daTJ`ulK{<(V9Cpne5}?_n8QLiOt|x4eOf5ZK^iBRM+|8^%-gB}7|`iC_)P zd`U8=`{xa6?zI~S+_FH89flLy0T?a62d=HmFZopx!|s&N7V*>1vW$~x(ao9&b%w%*TFz;%zw3!EOTEK%c*x~^iqaFr)%UK2?lC1^YwgIz<&R-;sl4S3(PGv+EJ6*qGj zJ^z?-JmKzx_v@pq_~Um)A{ouu6&_dVZmImtlV|a6{A$f!CgwP$?sIU-Vz0lsAMKR;dqwb54Q~6bTjZ>cuY7om)@rn-lJiuEa3c`4|jdr>E7IxOA@uU z|Lhhi-xQAI27V80D^<3BGcQ!FjK9eQCMdGYVFgVp>Q^8ivSyzA6R>5)LtnbgS^Vb9 zJW==Z*JjCk5qSmEwb)k?wir**6~-HB>_~?^D@Y+kk(Lhc?z>^zhqt>OZ`Ez=kVSy< zFVDzZWF&$GGMW-~p*6SPX+dKyX&ym|IQbKsusTjxPODMTk24^steDStO!1vwm1o&C zYqE2URi$+W#sWX{bmhHH+p{g%lKvLrmW#pd(xu-}LRKrfL(0D{!ZLKXsD~I&_9#|e zK<8U^UORf8C18l%A!S2|uf!<+H^{~5YT%pN!mD{gpvk~X*xj52 zO1H-ey~WXg_Dphy{vXnh=KdSIws#S=q1d?&k>5 zu`K@%#^l#JauHh|r3Y?KxEN(Ke=$F)$TBv>W0R72Z8;|3w%X5|>`lx>{ zQfqv<$03SwiJT=t01;}rks=pl%~0V51%(wZ^z1i?^D6M*9(8YmROniyR8b&w>NKYy z4WO!-q;5F%Y#9I(yzKOs?q4=h2U@w#M#M{<{G7X#{qFdrSs{?K!(D_n3DSVhD-{97 z$q)&MZJi1ZUHT9@uZH_o^jG%pI!g51(6TzdSJO{KG3C+zA1d%;j{E`cLVJvi^;XA@ z=ugnb7qE&Ua)8jMiCKQbE{ORqm+ZK=!WONrCU6!o+j_e$_+~&FlO}rLZXA6S6lVC4 zc|+#Sz5im>CAuVmH_yKEm~I7pGz`bJ88VPq&DV41y3`cT*Bizon}8LWg!LTDUTls8Z)FjTE*(bHm1G`2`g5T+7_eQ} z$FsXkTiiF2)q(3US)KRj>dbH>98K+WVd3NDvi(a+Kc$beq0_ejTY_qB>zm{)`$$p8 zL%F^%hm)>s9Y$@E&vXM#5&YNoB*h~S6dsoBi|x1C%=bQdoeP;UQV%Pr?p4)*eaKO` z;`%dwZ>W$U@NDaVF?7SQ@pJqt49lu_w##Zxm!1Iq@*0OgqiJHq)3= z0MG4lh|OBB)w^z&BjdRBRCePU{SyV?-L~!xrzOIoaWMBeBhL!CIk03)kE)j=Q7yg4 zVHL3QThWfdmk?de!#CDIGdgpqXVhMP)QaY5)Sgi-*B+^*MU{)!S=H5C;6dsWOL94y zYgVpT$V`57`MTL09w!n|w*|*XZByV$)jKPP>DH7OzKOY9vnrpTI^zwBVInaLB4qB>Oz%YTY^KM8dUX=%ew9gb6ffe!C z1ZjNqmf1eIz4J<)K z^3<$R>vMCwmBLR-7tWlU>%vSBET!ZzJcp@C+qAXca=mRGKxRZ4uZu2i_ZXYcYX{v3 zHs~KlCoPT?yY9vsV@8NACE7bLa?GooTyODpNLV-`9*K0r^}qI|Heu)e&gL;K^>!R3 zV}1)-+8PRGF|fP_oM}VBq)Ux`awX|Ro2QKW(`1T}{%$YH^~=+v#H)mj^F~kgqyQG& z#!9vliUOMMs^e3N=6|AK179+al3j-(hcooZ^Z)JAgN}l(n<*Gm{%tXId zJuciT`+1cZhCaqhKHfj*P2a}21zcTQ7Lj^)?6H$8hBC+|fYlAGausoLxZ|l3&6R4j zlgalj;DRarD?s4 z-#~{USw2duDZ(jvBaSw&xBoDz>6=$zw=`VdFd0%w!N!RT!&u{Z-^{f48Fpwh(2x`? zO8P1!&amJwA-kX>dh7}Fy3-NRTB^$~xPZ6G`jV`1Q%Y~IaVh@vJySSeqEqdtM;WA8 zq0WXqHpt*mKGJ@q0(_rB=0W}0j6v(27r!dTp{s_PEjyTz)v+F-)`|^mVg9f$2Eta zEtQBVi+W$je(e~NxFHoP&mAC5Q8giVimM$Tb+!{>ZD*ZMY~>7OG#w%m`4RQfYS&n# zZYY7VWncq*mLNa7kPBsO{LEmA&~XC!ug!S=T!zz#tOkj*50tXavu0UN5?0q@U2C1a zSvkYB!H%4bk@kE3kBKzzdonkZzu!9+H?RXHLUuieBRC;6!5II|i7+MT^pCK%P(U}k ze7B1NBz)bE+X)sH=H^)wYzi?ed2|%J|%7eIgaZX|SnNZgn-kR&sI?*P`9`YkCpZ^K6-vQft|F=_g zEsYJ*bb5^wxn2Exn+Q!N-ifeP>tc~QK@bpNWO-JW$p)yPvDqZK_W`m(WLRVZjmPuPMqHQm!7mj>IB5aMj0iOc@;NKcC$V4mRe%G1-31< zXm!|_A}X`v!A2ZLuTs$Mu$KVdAa)&_nlcgl9ZljaSGn(*G8e-w0xp~Uf1%x za% zt=X1ut=?l5ek~AaJvfP`)48I&aaXL5$W{9qVS6-pefWO?MEf}`59v}vCeyk~*z=~9 zuTph4V!NbKcbX*cOMJ9K4`zB@gQIl}<^rO6iHW22T zX*RXFkUhZ2G;fM`Da+LALUWK{e22|5^pACL9#ulfR*Y;KtheBLsRe%cd{(m=>qC(L zy3e7%kidR_aS_mvR`uxRYE0@i>&c3{oaW>Gg1sX)f zJwD{r*^x>W0^}w&)r~{|(Tl^Pg|t&j{wZGwt_m-lB9vvVbQ9T0CUH0>9sz{I)smm( z`*q}f`LrNfvCau-Vydu-$H*E|*%Gl`#n)y>Hcv6srK*f8jR{@)-AV72072wg~p<)_B^PwDs5@s6a88%v35Z24>#fAvFHEP}^m zDiZ}fG2Cy1utFf4&a-UnTVBs~6n?JoE7$*x&8!fvf53$$=x-%HVbE9o zn-1|M=@aeMbl!8Z4N_K$S^5@oUPOO>WZR;Cb1+LaoS zK9LpTzk=ApiDd=Z{M7EVFBukrekXBkUky(}!{)w@Ypm0eeeGR`q%LlJCznW1j-~Jq zgj$VtUY+4jUPj*^kHQi@wFLGp&f(|J7<6G)l{{}*XZKlx#a&wfKJNJ>dEe`NkkTvJ zn18IGhNbqmRUfD9$CBpO$xVt&PmK=5vGL})TKdsv+avyVJpYFXlNXBQ($(p&YXXaL zj^_N_9Bv12fz&@Sk49<0f7KLlzUzCK0%zL48HPg(<3TVLHPq_a1h+)^f4*_Qg7V5NP&XKH^6r#*X9XeJ!K!=}H|4(#;rsA85>a6Bb|!xvxrnW!twY@$)@GYQ)Kte{{AlTguvJ*?RZBEb70i2_=F^YYa@^%;sg<;^-<_ z+j3(P`~(c;*M{%0qrj{Gg5X^2+=qg^;1xlY%orH-t}tX3B=gmV|JQ?1N`plBFe8Dt zmVqe} z0U426#xl6-%<~nWD9Jl!uawL)hhz`5Y#z?QC_Qt$@GF-~Hy2LwZ$VYrE|%AFKh$H) zl`gDL>b!>p26Sk>r^VF*`hFXYYk}m`x1m5l)le|dA+n@XzYZJBHUZaGK{mh%e6nl} zQdS>jWWvRG4W`H{kq~uO&J5?m1@)6{F#It4ovxolFa9{Wv?qC3E29-HZUyyft4bYP zw&5;15h=9Z*PomYs=BeQM||QVat)Pxo@Q3<(ngYwf9}3^4hiHyXR`4J`ziMsL8|_` z`?r-Qa9iTVah~pyy0^jdZFxun*^dHRkv)lLJFlw*QH>OpWKGw$;-uz!#Wiv;Ce~kC zWa=;MsCyU8_SvAR3MGSF9T;qUFDlzttTaCOdll`~+WJZfqy6u{#}AU1|I<8S?3F9O zq(t%AR-R-z(cWg+xT$?MGfvkg>)c3iim5TMjXU(m>#|o*G$&dj(MW=6Szj)G&8rDb z-sZX+pZ&_6temh&S&5?ZbZ6yy9=<0cOmDaV2E z#O+TX1#V9Ry%rmfQH1K8pnVPtPH99H;8b>9iv-}`nfC$$+!nV>4Ny%z%;t9P?(Yrk zx{CV>@OVdcJQ&eOqwL){5ngaSdP-Yd&5u3XV zv=qO)cs!A4LjywW4ugf#GsVG5K+<&2N3pQ6^FOmO&StKl^){*d?65dI z{pm4}G)VR0Bi+9PA+m30I6(QYG6&Uc%6N|ivd!ZbxXw8XbXfQoiUyMr;`HUjB$jROm0tSy*ZsGkr1l_yDwU?pzQDc zVQhPVFaI}&=UuAM;BFTY5tX|fui(4i*-WH7IW03?Z)EK&qg3eokTK(3bQ$VY>zm%z z2hE#CRd7YUm9cu?fYT!X?!*A>H5II+O9=4y5LdwE@Aj2+G(;8FT&l||&%DOXGdfu( zr^prGAK%ygDhVw;`#yH0Vwo#i?*+8c>LhDxPVJ;los5dc*@q@>wO@Y&*B)nsI!;vD zh?PjK$lyiGhpO_E%eNpg`{nv8^k^2+1d=h^Ht17tKjvD?;yR{1eN#x0H>fFXAzb(* z;B(^0OoMg0$Y;23U~%DV0;jP+ak^hq3m7;6)mW#!65cg55urS_kX*r?WwY7)3cSIg znqzidnIA0;Fl+N7T=uO1YU;?2fr}^ta7MYScM4;JykDE9AXjltOO8w&+Fc; zdjfs#gKpPj;*2a&0mPv!BI*Po0p{_3<(8L+Otb(thKAC0%29#aoEd!qEl%C)gUNr= zypidVb=LQbyvw(sm-Nd#xdK4v)Cu|AEWS)e$?~4%N(smNvOU{~w`uNfVzvvASQcYe zQez+lR5AFXmg!^6oBOiBw*Z0qz7RO%gNb3iD6o*o1twZ8-kfpS?O_q9IR5L`GEC$< zVNY9MgM3T_gA|jXw8(m(#hYttLGc&$EeMwK>msrmzCqB`NNd3*>~?Y zfJn_%v*Wp}G)|X9V#jg@y{CkpO_4XtHf6k@Pc=ZU=kcyvM#Rop25SDyd74KOS>^q? zq_i~qwD6ifK!5`@_n5t;??~_MK2c~;@%uS6iWz?pmZ&A=j$Q+0E z1^)CEKF?Z$)(<{C-9~nPwhLD#(rXHh^{ey_)!q3Z8}G2?!@ay_ z!OG$mAIctFOIlQ!Z(2EycHP14fA2{;%G50+trBLLY;eO^P!i{}9~w-18Xg zGN(=@N~G1(*OsKF?%Z-&9$mi7>$WzX)71k1aq?EE*8t4KkEuf9oXQitydf0#Yxu~S zJVqf@`#Iinj|jBNVdHY*D&dt=vaY$nw?#w8RWZdCl&8Q zIsQ9KhO0%f_GLMZ*ponD1YFt)*=EWj065i;m0L|$s^jpzg||dp!c(i4yxy$fd9b#d z`jDSjJ{UskTK;s)FjhZ&p8YNJE2gZeu}rgUL=ES`a?@t1PUs4MGQ>et8t=+@uyyt@ z-oBPnj}lvwMf=hOVc&=x&s|tf9~n?jk297dR*qIv^d4|Y$1AIsJnA0i%FCAs>bXhW z8GN-KOvnS&`82^AoEL(Y=Os|iln9|Krrog4H%b<%6rptK|?-RZ`)uauGj zz1@OXE>7d4Uc{mD0julMTpMjfyhiTp{5tS&B3|yjkW*lf> zv&!kLCsimf&k(gkkrl`@vx;R-R=-0Uhz@5Bh16DT$!$@A`;I|CLW~91yl?lDLdfyk ze|X)j_Lb@<%4dc!p3BbrV%79zca}$1-2gdd_UaDN^eJWqB(bcvnG-N14Dk9~^#FZ+ zGp8`Wc$T;J^>2*etpMd-kjeSqptmJ$ecS^2W&8Gbyf;_KTN>Eo;f;SZl2O!x)j4wQ z;N`!M3zcw4V14ytf(y>VP1casse8wP%T(4B2&XtadwhE5)?=58yfnAtdw01$xIhPL zQ^Ozl>gr04L+u>`okQ$$-J!k~FaYXQRX5t2)u3Xdx~w_)^@PY{D=C~dYeEv{Dw7oi zSqO*W(cRhe@3-#823<*6e5CkE^PmTZsoE?0+4C(W(VXKUA%)Ex(rotd=EI)~i=P_4UhMdvz>DkjE-FRJg$ zLFurFxTuX0+`YDd9`W#z&zX*Ha%?Es(xOvRZ0XEHjlJ$@IJhdBORQK$Kv3yLT^s6} z5Z}35Mr_zF@uZjYddCkalUwv}#~+(d10XWqp1;}&bX8UWY?DTpVsW3Nispi}U4i@J zz;4pHy^*g2!5Q6At}{~7mY{9{6zK)hf?q2esO`UxnwPx6?mtf2dQjMgIK3z7w~GVu zMJ!(+K2U8ObLb^{g@ZGKgZVkhsNX&o<6XwkmHdEDRC5fmDRaw}gFD}hVBATm)NOYju|sib&2A;p$Y4d;vJO}^F2|b2 z_o&9UH2lm})*Q_Tlp21K<)kL#R?9W2-6p)~PXdE`jVx9CF&Dp7s2NMtL1&=OOO~wp z54e>av7O|yZd7SJV+PYC3jfq7EfrvfLw`e>=PB0YbTEV`(pE5$SpyvIuL-q%VEjhBJ(u()Dpw%@K zC7qsKYIXfL4Kv|ynVWt8Y?8O!0>C@H<Mhv)H9GtFd)QtcXb!HtgLOFx&z|Is>FJCEScypfr1^o+1U9`~nUgbey8p13 z%~m{I?Q0qd(MI~znEcj~DvS`o-zHq7aY*;&_|1rU4wS zu(;a@XJo$DgIksSp5YRBW(ZxKW4cwU2f?dVmNN5RbKbps<&i`$rmU_BWTXy_cq3tj z$?Zj)W63FJpPa%GT%RPKK9e|raMhO-MKsIr)MftvVV;15?%R=2c5T-djbwjwQ%M- zC4kSr8TT=2_h;VmMB;e1oG>|QHzNh_ zPxb7&u`-xef5W0u1$HZyHP^N%{H6+fTZSW$(KnJ*#qz}Q89Cso3Fr7=W!Qh2O~t$8 zM%L5#b{|d%m{D6U69V=nKM`AJOMx^z%r^JQ79)C+0c#5d2SiJ!JePCFYB(_?85KX- z%XVO(C7~&Z?dPpK$_tEnK^>#-%KSs9Lj)LnIShR4Gi2m5h$iXyaNePA@)seQcnUNk z%~FKXvSyJFFw2eCH7QsGwH@eFaU1-{)>4<=eK%wLav|FT{HmAqqV3_zIluWT*~U?tb{?-!I-u>bKz34kDlJ4`JzwV=w9@w70 zl_$k2J?>JQKq0B;7S`I?(SiKADVr#pP1{ZLE_~)LjZwVMx~*Ini4pp{?nsundfpv2 z$-x=KA2P+dO$-ion_zPCZ#m>}w%sCc=_2biJ)0c7G#J&_{;~3nPhU$l;|Sj% zkO+uR&plmv^re^8{MC+g_)8uxfq$r75B$4a7WWBJky%8djy6^BB1HHr%Yk_Yao1<%TldQJiARelHa}{Y!j&Wt_TjLIaIt-K;IV=l_xZuSt{u-Be+{ zylH7=uFqtG=_ij|RUo|Ws(5K4K_uE;6f1&PL7=BWT;beBy!>8*@FqnzU?g{LcQ7y1 z%bxoT`&W^h_FEoDz~%Q1E*f0~gQf99>5YnjT?`?TB0-A$zE4N;d-t0##)p+{&k_1e z@<&O#QI5cniVmqeGam4#1m6x%UH`+s{}tT06Uk9!Y;Ijw?f*~x8ee2Jl=R#9jh}~~ z&=#(nfJ5#{)B2}1IjEL`D?y4A&*2pMD}J{7yxfsoxy5>JwJhNQ46rTklDgH|I`zW# zpgtHJ)E}kRVIivpwO*auf*N#gE<-1*)-^5)*M+RLUoZ+wR5c&1QoAAmkl z70||sTtKaIi%o}!=lPfpBHvYa#iC{e;I${P?&T&&OgtK{{47Bvp*Y9FAe1K1i;Hr7GHn)8Vh{wq>}D{Gs>l{u7~k?j+NE^B*+46cPaon(J8Do`aSk#vv#M{ zn~XdL1G%?&wU)H#rdr69$|h-toRX+wXNFTy9M>e*>GQ`bceL$B?y6AQ1C+iNNcO7m zHVY&Nu1kG?g7*cS@&SZU;zT1-d|Ube@hD3B?<_ z{5*=lL9Rm07r4QwiFTErcrKm?ASl?r3O+{w1B8}u8`b?yv5^dZ1g`tmzwU?Si_XMb zRlS{6Z&hsy1Xa2__=P=)pbfs=e*w;s^*=$%Hxv9D%ZKDJ=xBrZv+{|BMqX}74gWHb zBg9l;r+ zGeO)ry?UpesH)@XmUb^cpLfM)owyRM8r&zbi=gF%#dFg}Xj3Hu0+tA3`qUr-fxR*mF3Vff$l=IjA?jk@=8C|>@snHvaT z-ZsO>)|zX)Ig{Jf8%>-IBpaDEJuN2Mf<~D~NjHsba0LbKG5-dBR|^RTHV-Z{gAIeU z(=CQOvY^qNJM>Fg%;aX3B6#+mrn;V%NabpX7W!4BPD#`?4w8o$=6HgTE4q{elZsS} z?G9K4aYgD)bzZiTsbkNipwyOrSnsk7%|0B3&SwbIH#7Fx_cy%jm_cF}MA?^V{kf$8 zZ+Qv2KhsM=5h4lCo-}5RlapW1hn#21yAdSyf$6-_md-KxX;hDQB1gzD^&h2}dLMzBZAYC-! z@U(L;_Z%?h(A7$zIyd{t%`W2CTU{&-VoRfH6T&=A5b@sRDC7PJG%zSkGtt~Ha$5fX z&T$!xeH^3=fYQRaSDZuQOMf2%b5-Q5mqiPvnCxW&)h1kCrb|dN>WxT`4$u}A@P?`T z0GrVYN+1}%NDok^`kFO6rypF~zk}E-NfKxvQ8@&S50x};4^9^x@oVOc@;e(X$-W~bwUofP8 zsIw<~^9J&SlZzJpwl= z=I$}0nsY3;do8Inh-1aIwxQZ`?6~$0R7Z{zcb_wLKgWf8z?DkpxN+S*sGb}K*UOvg z!|~<%`BM*a0=S0)sX?4zZb&FKj1$g{I82S?L~)~IsIiQl}$Ze2aKfz!xsYNkHtyx_L9QrkG~+>TCa7pI%s(@TBH>EpiQQeSi4aNoY8_Hzax z?)wkakDNj7&?o9JXM{VtqbuTk=1zQ}PI9KW(_g7God3A9->5v!ckbLgb%FDPyZDp3 z#QDWt{!Lxs{Nb*yQP(+txf`3*f1EAuHms|Xgsi(RaeZD+l~2f)l2=hLmQRjF`g!gt z_H#sPoeRPHxB?n7!-|$>WL0z`#Iwl%pc4A4lkj2w#ASq0IO?iy)!YnGn*rMQ4vt`V zs~l#?y~zA=L%NO8(RptfjMS>Uf-fIBoK}yVUmW{B!fYcsktu~QF|P%W$o?0N7Wjr0 z78uO1_oz2YIqWf1hDvce6f-C!O2Rc2#1Nv`m1$MIcY7D|Pye%}y=V-A*s18Xwl=Dt z+=ODJfpE=k46v_W`y0up1J9io+HjM;6&P@|2W|BA@e+Q}J`E z4pF%6-4+>L%==VEbRK9|F$XgAz*_qSceU!_ZV`!!>V^mQsOl7yzpQ=aIlp~c0C^Wu zHDsD+11qLv0g1KXTYPM8a@Rkaw;&BkPMK4H3kt2D;FDXSx#BN5W1W?G>p4@=Z!&jX zM=Y-7LQV@N{r5tWQ z@Q;z(bN0U)rhLlee7{+jc%Rj>adp1Qe+4#e0@-uuT=lu-JbR6zZY6E4Jmx?4GSi}K zOz@@FS9%)sU_Af(j|3E5#kmR%QlqL@hlnks7%+HRHJcGbP%tr@+=A{y;po6}YfIbC8@D3Jd`#qH)aiAE5N z)B#KU7B2RNPdUm#QepJ8pc4i{Z;_6mr z8d6&UcrFwSoO*r&fH;)Q3yV|bg6QaD{Adfs5)WL)uMMrj6!JB*GX7HZ11ZrMw6fLy zzt^PQ$1qlng#u&r$+52^V)QuBAmN-h(ljFBlT}6pybZzEM&h@xEsjlHlg_zzCU5Pj zU96xoX?2Z<*j&6e@fRJ}hNz#!ja+N}tcYO6?YSY1u5CgpmGU||%X0;Z6-Bqoq{!&w zaY14XJnqQ`I|c(8^QLo1RSl8;;V*9EUg=qiv70YnVzn#wboJ#Gcy!YyO@-jWq~cd) zOE<}nPUyFRC&8aP0&{?;leO0?{Yk%`gwM1F<4d=@9OmiVt}@3M5uKpZiv>Cbn9r1_ zDuYD4jzmb|7ZO(9&ws%ufNR=a*Fx9rWNa$Eb{oDAT!Wr%?GrC-PQYe$Awmsx#){-r zbYfmQ_?rgk_;7z};a`+uM>DpNg9cjPjY3l$;*qGzYcmmudV(oFfUVPY&}ax{G{vP4 zlFwBO)@;7}>IF=%y|44}Kk%~_$NYv}XTBR&8puy&kZ&^)0gTlSP-mzycg)?9FC66Z zZtm5F5JRGwd|V@3Pcm-zD%hAU$W+ZD<>tl5UHm{^{R|K*3 zh;_pa(E@m^=?1A_i2d)Q*+j#n*#^$!_j=L~%>uIMX1;0}sYpC-`oRxnbS1*NbQ6}_ zjjonGi>b#KDePyDwoI3N0upm!sSd1sCS>Mw?K}LgF$2ih{Jb%ekf)u{!oNO}j2c7# zA)Y|Y2aC^UOur{0(RIj_u|5yESUNERM3n!bd>)R$5|-P5XGq3Ao;K~rS|<+o3?Z@h zQCWIk4=jz;%RkwKV!b|8V%q=x3;5wx)^r`3guX-=U+VPmHY(!RJX5!SOtKk*F9im$ z*D|)h!BED}ZOhxLa8@r(06r}+U?*jUP#IYrb)El(=cZ5B4*(wqKKDD|S2vDh*9O+a zB}U+iz^vpr|I8i$RaU{CDJ@9teDiM0GU)kU8J<4S(VQ9!=*Z2Bb0q{KTSg7ApQO+5 z!1#M3GY{vU@hf}{F@Zi~Aam?IYIy`>i=E;J=F$-(lbzR3X-Ti5V{HCQ$GYzm(6U!d zUz=b1+&n?`6WYD%qn5MuL-jMsXHs>9w5tKVKa!*-uO5*!;|k+T`MH>w`H$HADA_7d0lMrfpGRdm%WN}owZX=HdAUxZ@ z?0c^1Q_Z4?8!zN_*-wz;#yt2Y7-ekwSF}%coDkw<=4EIai!5b$DXsDn0Lgt!!z02* zW^o{SRN6j%(e0$up^%?9*hzqv70Q;6n}1;;R%gv?QQuUH@m5=>hLFRKJI zZa@%LnxHP^&*)quTj|^Xuy5LF?AH`K((_Pe;v!?GXK&2E^3BrXr743YAg_;P*u?ry z&u(Y-Nq=d;i6b{--5W%K{f~LC5;{?spE(U9+dpf+HgzBu$M}$;Yqi3sPcPO&O@qjJ zuWg2^GH`~$ilM8b8(Q<1FZ4&QQ!X>h2RE#tAIMd3GgtE1@(_# z$+y>|BO2=6bZqTsW_?A+S6<`=bUj9irC*;z?W0x<4e-Cf@~ht28Xl$%ei?l`YS!oG z-uL{K7_MG`yId08)TeyZd!6$xR_(!f3k&ZT^S;k_;K^&S7LGy5$z@cR9lG-*wqK1Q znfj#PP8*J9_EX>ZzN$EJcTO_15Sm$d_$D&Rx!d-jJ|*TiwqG-4JJ2?KS2d$N>dKOr zHxPsyM)l1FMB6+81Ne!6Sk|BQSV^0zTi1eD9+~Gazs#?Cv?$x55`7M5G7+%|(3X0^ zD!lfCMlUm0Pjr}k{jSHz7-aK9@L|fcJap%2_oIS>W5TQmzJEeZqe!V|5WwD~=?wp6 z50LuWG(%tZ9Ti>t+?6-H_)bp7T59`mtzlCeaw@|17)5`u?Ru1#nGi58H88m-=9D3e zAK82pNe6RVg}Dps%{X{2svz8$)V@s2JXT>0N7X1-6=u4Y#l)n$wz%hY)grDOt-H~E z>-}U5mhKwMtMyHal&XYgB$qRj(!WO*3;VvL0QL7CBQ`!vOLu$#f@yAA`|u!du9;Z5 zTkT0CfC$$g7$U8rme!`(4KYZ2PX?n_Z{bHcSf{1uNSXOwFglzDPnVCTp=Zj+Y5w8M zuHPqCP+7Cc&)-Ci>m7pBGkE+mH#=} zvH<^gZEkVenw5L|<7Uwu%o^siYb{u0b=Ek=XbYy*68IB-*O1PwGm*@LEpQR->6iCF zn0l6tuGL{a@eRf9)0mpQMT_Dxv-zyI99 zZH0Z9eB5RM320xER{M}*w=y*}l>8J-a6rDFiFho0#m?P$G3h>at8C$5l%nq%ty@yLIXePAd)kcG#DR zf`bkh4Hb@mw~dJlNt;COjXSUy%2Mr*@_h9R-_x`TWx!+pS(H>F8?&K`H0cs>@He2P zXWXmAUG!CaSQ#%khngoPg`JFqw>kcZbr4;@g~A7`z)sp3>614j1mp=N-+KHsaK~&YGWRqT;*h0qzdh#8FPv~}2=!iCivnDJvXlb1_E7tCjV zFG4?fY%g4BX3kC$92z#c8?W`QF?`Z|B4h&76vLW7koT zpCmP_jA%#fC-3Mr&=-Vdz`f|nOt}ZstVj1*kBW+A`i64Ar|DiB8Y9u`ci%wme?l)4 zTB>LDQ8oD7Y>BhOgYu2}Wm0q73*S$pgwKaPPOM>r=_&cS_cAWV%^=I__%hD>!nd-% zBeQytW7BIgydN-f9bK}p1P9znX`M3cpIlTX*xv6OMU;Fj=XlX+lP>1?RFNj|y&G3J)wUEbwyO2MS%p;4QQFckF#GK$q=9 z;XKtNy_VIlQm|Y?`Ao!~N$H^R^5$vuL^&p$^=OqhSw4FpVmgPBKkj&44B4NG&kIW) zq%b;{A{Bg}zC}W*^q&plh3tNtkO4VTyK8##EUe^wtK7-I_V=x`6}RNs{E*Zk_Sm;x zZ1_yPd=XK+>}^xdyO)u&&hhqtke@08ZeQc4(RKOPQvcPs^;5+BeVP*RtHU<8OLZ!N z$9$)B?_PX{d#g_^ju;LQI$o?@eL5Bn3eYhL_fK_ZgU=v=LKg0P0OgiJTM8UN^I567 z_rk8N0I$T#tF}EhmK+8yJWoBWze=h~W6fRqT@FhHyc1@LZ#LNSX?l^bX|IYMVGY9p z)n;TooF~rQfYMA7P?o7kxCd)#pRo-f#}k`$d)0W@1jQBj0N}2-x<3CuKW)*xkNFI= zUBxo=aD3tQKf5wZ-pPZ-R_>zydxD!TGV1ykFV8jgt=!w=oo{a8wc_JC_vfG%&D8$c z!;Ryoh5%w~@uacHl!fF2lNib4~+AWW7kUr0*J4_$Uc`jDdlBJ(| zxR`=LfWHM@82(N%^Mdp*LrwKxibg<5N_jX`Q-eDi3Pg`(Hm>-8D*yrvo0(@deoZa! zE=y1&u|BcOQ6%lg#m1Abi)RyNaShv-TvyCDUL8{Z6GNNqBN@BHISL?&cf1T5U&Rtr z$~0xRQ5#3QGt~WTHq@O;#y|Pnk<@LIrZt`>4`I|PxZ&f8s8cfe{X_}xjnwe(E)X%x z;o4h`e?=zh04-kWXmMxk zn70@2yfN?0Pzg_HuZ#YC_KWJ4lYSojhrL}K=+@&jj5v$87ZmH~`sI8WcGK|lQqeFF z6ZxM6nNiD)mSZlZOWd4%;ioZ(hfK=O{=#6phpi^l86+sBS3ka1Jm~H6m_ICbSo_9QYN9BzC){j$Dk(@5m+0dCav3!fdyD*vY6p7e!t$PKZ5gn=vHO=KlSWDv(wWPX=+MPG0G@?wiH-Ud&dw~GJpkK z9Ny1=dfA3Ubal14|`xgbrfkn*YkcDWwjhm*z8e=E3w>hNn|!JKcdlRD&;F|A;TpxyD>IgUuLxIiVYF zA_F||6jc9d11H+OkglkuLvTz4kYyQn6-b{fEsp1necW+y8=KK5#vCTa*%&o$1_eC5 z5*xHRM3gkx7k_~HyNp}!)qCuhhvBX|;wwB_nYotnO_s4n^6S-B_09o`9PW8eXF8R+ zeW~8%YO{$~8230QgYa*@?dvZiMlr-Vq<HctG%+c$4|TZxrd;qqBr9H*s&)b#Ug$|OSW?{ZF<7TPDuq^7?L-@O+Hlg-`tf> zf+m+}0ShmUiq!)AgQ6(5{yxVS>^zKrcI?7-eolRoHGxI`Be-V662(Ri9x8#y;bLQl z>_G6QhL(rgW{lubS!s{9-Mu#F4>}ef+ku5@gspyC#l|o3Zo1p$2|KIS?bUD>QI&lS z@2;YXLxx*)RhCgD z$~}AlNxFvR^A}uUA)$ArTi^X|ZGV0-LRS;V*k1nq&6016wO zl|hMRYN7cUU8MYE(_7Y$22#V%V7jaWg}LNCe$M*m2>vnsW&SRo*B!{Fg>Fo~TUfoO z8M50oBBvtI(qmwXjrjKz1xP{H#j!bkW2uH===c*BmW65ng4u>5_1oe){;2;*Sfzit zkpGts{ysyGXZDDzOMI&~+kYhSO}NR{zrkAN$4#KtW94t3m3%{EGI$FTs9$d<1Pd?E zZ1RoSA^+>J7@kgxV7ZQ#)|U4^E(0`$rD^u;44d{pk|Jd1J=fSbI*44yiJaK+lN^|=@M8ov~VShXLeHZNb=sSQKezr`OmdZiWwc2f~x2^h)ot~(3*$}Qz%iG+4Z`7eSgAIkP&a@mMewLB=v zPVYYj#)D`a!2d~oNCEMKcSzt8a_b1s1HKUDprrq#k0|DTf<)`^edF^kv`b|O^$pd+8EocQ9Zpc zcaSbf9#>+?X#di4$$ibwqza5-DCR<> zpM^=sj%rNCt%oH=h0jFr$>3EOLE!@!%~=JXb25v3mt?q3iY7Uc)xZ8k;`7={Ll6kA zo_&3n6DiYe_LkO1s~ST#*VbT!f44EQDo3N2VK-@xFde7)9LC2vy*miez^;_LAoKe$ zLwpj(iV^+aij6emB@@lewz1mCS~a`qrHXFDP9r#eO}wkZk=)bO*;1h2snIzEqw91u z@*n)SPRResbS#jZUT;%r7w?(&g*gGgWF3|7X3Ji|OFytOa(K1tV5EAX3XA?P@RB3( zat{zWyz#KT3vv|Bgx)CqH*|1JUUa-;wfL;{)|Gn>5hZ}eY@6G4Ei0DCwYTZ0$O^ii zonu;L1e_BuwRCE4GlpDwfZ#|Bm^{t0n7wR3@u_yOG3D zzSFrsH!{qN!DN$ouT@+3+z>MCfV&?&-64__dnU$8y;UUv+L?b5^162I1EGIyLD&*L9x;(kKn>&d@d{ctVe4XklEI2=nYDYE3(8?Gu}b%i#<*C!i22gHkRv|q!O$_AfSuw2}$(mVx*Fv^c@}4 z4kFbKf@H&%NQF};3o`OOrG-#I3I-^oFQxX6sXF3H0Qf~|{`S9tCb+s;`?+~bbPJr` z^07ssmC?FY(b~`&(R>5^&|0NzM-pJzp(1`8)(`5p(Mo&jLmVyG1aIBsCdq#x8fnGI z@@WZJBh!-CXIJcWi=x?b9drKpuLpLvXFQvs`v{?HCHi`_bQ10YDI?h7%aZmEQe`+w zI}yNoM3AbYOO@IZV-Y7C^~H)F#K3K?CnW8PYtEl1e+r3N+{T(~ZOvt9ma)N8!INoL z@z#WY3mE-27dHL0U4-rKoVU_&A|L+|K4*QC(Bu26Y-dJn?|(KErq{u?iiYdF{9h0s zeE);zvF+tzn!n8Rtut|Xwi;UIi>gY2(l}7a?Y;D9wk|!^38bVQ-(`!}r^U)wcbD2D zP?GIC%Y`J6KG%%Ad?h6EHe74tK=@=sk!!c1eFd<5J-2NXogKm0ozm7(sO=>7_;8v{ zu?=rBz^0h?D){wJz7Z>%iwE~op;tCx<|8PrVGM>Eow7Fk9yy)UFfM-Vpi zJ7H`9vhAyN;oX_s;CpbqwSF9-V?%HMX+`oU)qefbCBuP^iNB+WFD``nT6XrK9tkn4 zx;#ORh@V(-D@O-jazUQAic_8TQ$yBB?I!kX>Bf1&`d@|NQ^B}351Cl#+?q)Jv!FJs zwyDNxtdKROecDF9nNif15he#Vd_C(k2^io!s}NtlbOzQ zv2uGAVE8PYxnHM42~w=v#wyxxWf9jdUfw8KZ3qJ$s$*^|IdB)q8#(5^G+M!RUYJb9 z0E~Lv%wx$=q?|!LdHXEvxpTDhvp*n*uk1s!S^5JLgKT4|A<~}9>*wJh8q3LYav3dl zj0{$yr7a|tO7X;1P)RZ}-{*M)yYF6-!AX!<2#BLdApT-JS8dt-I^u@1Sf^T5r-qAV`9zJ;2_}OiDRLuA;03|s5&ijJNP=F z4fwnl(oV6{`n+Ec+UX?9ODoV|C1sUEs+#H=V}V+b+lIHDyQi;7fGd6MWIAL8d)V4L zIPP=a|9y|*>93HVkByi0fmIjty_OJ40HDMQNhl5L0sz_)#1mvSH+SzbGq)IoXjaxX zw%+7`PMJb$2QafH(AUn9?p*7lTL;kVAO$^<{?oxBp<&@ttx?i#aq$8JN8!YzoqXpkwNELGYYUu_OArd}#rY$JCV_0Rg}h0ud-2ae+c(l5}HHP&nb_G6ESf zbs9K}e2c7%D!+5{>h)_;SMHQty>ua}`p%<~CrFdDD5Q&ThSA{q1pAQv4wA zLKHN@ecCn*s6$p7z58@qG~h4R-iUqDQsALK_9|B_2910;F3@xXNt+jFMV{&P1MtH* zRNJE?aq2_gRCYqQEQQ&qXnsd8?29x(a{-h;TSn-@@4!%ySnMnT3bvF6%qIo>c2xHn zc}9ZS&zyxdI0(@j2mtX?_#_fQDrwwDWz<{-P@;T@jeY^}!DFBX@db%h#^An!uvn<@ zYB<82KG%bxi`Soh~!2jR~( z;b^fp_q^q~H<<}3&U{iz6b+FIfz90lAj;Pf4_=uNl~*9nD~oELsWyHn3(E`20Fn!U zu(;Ya5yw?PSn!ytj^&L#f7BI+m5fRj$0@Q#$6 z^9h09W31xdi<1T*44wc4^-mD+Z4-5Xu(2&B6(6{O6_Y-T1j^CdnhQko9qcP8Q5r7_ z1dC$KFycywiPRw50DLar5TaQYDRI+5x>lHP2`!baFPoh~PU}E@G|MJZC(jt0c8lq> zt`Wpdl*zD!jIgLWiPW}IwBWAUs^0CWPawczrhW6sge_9de%)z7QRxAc>!dt6)K}GU$ctbt9*s=OT;-Vt+G-d2WH8RyX zUSt)AliB&<=58Tn$W{WdmzIVpk)WtLMj?r~H@odK(A$EDhBy=5Q_{oAu#)C=38nL< z;B94fp+|dQeHn(Ds+==*)kDWI;)5QR$nSKO}XF2(SqF+(t^?UwZSR zkc?pAF1MToSniw+SRP>VCFGpossKvb`HRTbuB++_hUZ#eW{4?>kzh%WeJ(nJBKW7@ zk zPSyZ;2a^&V{bG+elzK)(Jrw=hzGxT)kOCxH5iArqOWi~XqIOXA;3VjQ2LAsPU&woU M3Vd(@{mkzF0By+Cv;Y7A diff --git a/bakapi.map b/bakapi.map index 8915c5d7..33c61a46 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 13f3:0000 0000f070 +DGROUP 1405:0000 0000f070 @@ -34,28 +34,28 @@ kitten_TEXT CODE AUTO 0000:5c80 0000080a 16_hc_TEXT CODE AUTO 0000:6490 0000158b timer_TEXT CODE AUTO 0000:7a20 00000235 _TEXT CODE AUTO 07c6:0000 0000907d -modex16_TEXT CODE AUTO 07c6:9080 0000242c -16text_TEXT CODE AUTO 07c6:b4b0 0000010d -bakapee_TEXT CODE AUTO 07c6:b5c0 00000b60 -16_in13_DATA FAR_DATA AUTO 13d8:0000 000001a4 -FAR_DATA FAR_DATA AUTO 13f2:0004 00000000 -_NULL BEGDATA DGROUP 13f3:0000 00000020 -_AFTERNULL BEGDATA DGROUP 13f5:0000 00000002 -CONST DATA DGROUP 13f5:0002 00000076 -CONST2 DATA DGROUP 13fc:0008 0000010a -_DATA DATA DGROUP 140e:0000 00000599 -XIB DATA DGROUP 1467:000a 00000000 -XI DATA DGROUP 1467:000a 00000036 -XIE DATA DGROUP 146b:0000 00000000 -YIB DATA DGROUP 146b:0000 00000000 -YI DATA DGROUP 146b:0000 00000018 -YIE DATA DGROUP 146c:0008 00000000 -STRINGS DATA DGROUP 146c:0008 00000000 -DATA DATA DGROUP 146c:0008 00000000 -_emu_init_start EMU DGROUP 146c:0008 00000000 -_emu_init_end EMU DGROUP 146c:0008 00000000 -_BSS BSS DGROUP 146d:0000 00000e02 -STACK STACK DGROUP 154e:0000 0000dac0 +modex16_TEXT CODE AUTO 07c6:9080 00002550 +16text_TEXT CODE AUTO 07c6:b5d0 0000010d +bakapee_TEXT CODE AUTO 07c6:b6e0 00000b60 +16_in13_DATA FAR_DATA AUTO 13ea:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1404:0004 00000000 +_NULL BEGDATA DGROUP 1405:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1407:0000 00000002 +CONST DATA DGROUP 1407:0002 00000076 +CONST2 DATA DGROUP 140e:0008 0000010a +_DATA DATA DGROUP 1420:0000 00000599 +XIB DATA DGROUP 1479:000a 00000000 +XI DATA DGROUP 1479:000a 00000036 +XIE DATA DGROUP 147d:0000 00000000 +YIB DATA DGROUP 147d:0000 00000000 +YI DATA DGROUP 147d:0000 00000018 +YIE DATA DGROUP 147e:0008 00000000 +STRINGS DATA DGROUP 147e:0008 00000000 +DATA DATA DGROUP 147e:0008 00000000 +_emu_init_start EMU DGROUP 147e:0008 00000000 +_emu_init_end EMU DGROUP 147e:0008 00000000 +_BSS BSS DGROUP 147f:0000 00000e02 +STACK STACK DGROUP 1560:0000 0000dac0 +----------------+ @@ -71,7 +71,7 @@ Address Symbol Module: bakapi.o(/dos/z/16/src/bakapi.c) 0000:00de main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -13f3:07be+ _inpu +1405:07be+ _inpu 0000:03c0+ INL_KeyService_ 0000:065a+ Mouse_ 0000:06b2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:498c+ MM_TotalFree_ 0000:4a22* MM_Report_ 0000:53da* MM_BombOnError_ -13f3:0920+ _beforesort -13f3:0924+ _aftersort -13f3:0928+ _XMSaddr +1405:0920+ _beforesort +1405:0924+ _aftersort +1405:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5410 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b50* CAL_OptimizeNodes_ 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ -13f3:0930* _finishcachebox -13f3:0934* _drawcachebox -13f3:0938* _updatecachebox +1405:0930* _finishcachebox +1405:0934* _updatecachebox +1405:0938* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6274+ get_line_ 0000:62e6+ db_fetch_ 0000:637c+ db_insert_ -13f3:04a4+ __kitten_catalog +1405:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:663c+ LargestFreeBlock_ 0000:66ca+ _coreleft_ @@ -186,62 +186,62 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 07c6:9274 VGAmodeX_ 07c6:9306+ vgaGetMode_ 07c6:933c+ modexEnter_ -07c6:93de* modexLeave_ -07c6:93f6+ modexsetBaseXMode_ -07c6:9434 modexDefaultPage_ -07c6:9496* modexNextPage_ -07c6:9562* modexNextPageFlexibleSize_ -07c6:9630 modexShowPage_ -07c6:972c* modexPanPage_ -07c6:977c* modexSelectPlane_ -07c6:97a0 modexClearRegion_ -07c6:98b8* oldDrawBmp_ -07c6:9a1e* CDrawBmp_ -07c6:9b82* modexDrawBmp_ -07c6:9be8+ modexDrawBmpRegion_ -07c6:9d52* modex_sparky4_DrawBmpRegion_ -07c6:9ebc* modexDrawPlanarBuf_ -07c6:9eda* modexDrawSprite_ -07c6:9f40+ modexDrawSpriteRegion_ -07c6:a0b8* modexCopyPageRegion_ -07c6:a222* modexFadeOn_ -07c6:a252* modexFadeOff_ -07c6:a280* modexFlashOn_ -07c6:a2ae* modexFlashOff_ -07c6:a37e+ modexPalSave_ -07c6:a3d4+ modexNewPal_ -07c6:a424* modexLoadPalFile_ -07c6:a506* modexSavePalFile_ -07c6:a57e* modexPalBlack_ -07c6:a5a8* modexPalWhite_ -07c6:a5d2+ modexPalUpdate_ -07c6:ab42+ modexPalUpdate1_ -07c6:abbc* modexPalUpdate0_ -07c6:ac08+ chkcolor_ -07c6:af20 modexputPixel_ -07c6:afae* modexgetPixel_ -07c6:b034* modexhlin_ -07c6:b0a0 modexprint_ -07c6:b23a* modexprintbig_ -07c6:b3b6* pdump_ -07c6:b416 cls_ -07c6:b484+ modexWaitBorder_ -13f3:04d4 _VGA +07c6:94ee* modexLeave_ +07c6:9506+ modexsetBaseXMode_ +07c6:9558 modexDefaultPage_ +07c6:95ba* modexNextPage_ +07c6:9686* modexNextPageFlexibleSize_ +07c6:9754 modexShowPage_ +07c6:9850* modexPanPage_ +07c6:98a0* modexSelectPlane_ +07c6:98c4 modexClearRegion_ +07c6:99dc* oldDrawBmp_ +07c6:9b42* CDrawBmp_ +07c6:9ca6* modexDrawBmp_ +07c6:9d0c+ modexDrawBmpRegion_ +07c6:9e76* modex_sparky4_DrawBmpRegion_ +07c6:9fe0* modexDrawPlanarBuf_ +07c6:9ffe* modexDrawSprite_ +07c6:a064+ modexDrawSpriteRegion_ +07c6:a1dc* modexCopyPageRegion_ +07c6:a346* modexFadeOn_ +07c6:a376* modexFadeOff_ +07c6:a3a4* modexFlashOn_ +07c6:a3d2* modexFlashOff_ +07c6:a4a2+ modexPalSave_ +07c6:a4f8+ modexNewPal_ +07c6:a548* modexLoadPalFile_ +07c6:a62a* modexSavePalFile_ +07c6:a6a2* modexPalBlack_ +07c6:a6cc* modexPalWhite_ +07c6:a6f6+ modexPalUpdate_ +07c6:ac66+ modexPalUpdate1_ +07c6:ace0* modexPalUpdate0_ +07c6:ad2c+ chkcolor_ +07c6:b044 modexputPixel_ +07c6:b0d2* modexgetPixel_ +07c6:b158* modexhlin_ +07c6:b1c4 modexprint_ +07c6:b35e* modexprintbig_ +07c6:b4da* pdump_ +07c6:b53a cls_ +07c6:b5a8+ modexWaitBorder_ +1405:04d4 _VGA Module: gfx.lib(/dos/z/16/src/lib/16text.c) -07c6:b526 textInit_ -13f3:1244 _romFonts +07c6:b646 textInit_ +1405:1244 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 07c6:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -07c6:b604+ colortest_ -07c6:b658+ colorz_ -07c6:b6be+ ssd_ -07c6:b7dc+ dingpp_ -07c6:b84e+ dingo_ -07c6:b8e4+ dingas_ -07c6:b992+ dingu_ -07c6:b9f4+ dingq_ -07c6:ba94 ding_ +07c6:b724+ colortest_ +07c6:b778+ colorz_ +07c6:b7de+ ssd_ +07c6:b8fc+ dingpp_ +07c6:b96e+ dingo_ +07c6:ba04+ dingas_ +07c6:bab2+ dingu_ +07c6:bb14+ dingq_ +07c6:bbb4 ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 07c6:00dd getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -250,10 +250,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) 07c6:01d6+ vscanf_ 07c6:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -13f3:0000* __nullarea -13f3:04f4* __ovlflag -13f3:04f5* __intno -13f3:04f6* __ovlvec +1405:0000* __nullarea +1405:04f4* __ovlflag +1405:04f5* __intno +1405:04f6* __ovlvec 07c6:022c _cstart_ 07c6:02ff* _Not_Enough_Memory_ 07c6:0431 __exit_ @@ -263,10 +263,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 07c6:04b8 _big_code_ 07c6:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -13f3:125c __argv -13f3:1260 ___argv -13f3:1264 __argc -13f3:1266 ___argc +1405:125c __argv +1405:1260 ___argv +1405:1264 __argc +1405:1266 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 07c6:04b8+ int86x_ 07c6:063b int86_ @@ -288,28 +288,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 07c6:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 07c6:0829 _nmalloc_ -13f3:04fa ___nheapbeg -13f3:04fc ___MiniHeapRover -13f3:04fe ___LargestSizeB4MiniHeapRover +1405:04fa ___nheapbeg +1405:04fc ___MiniHeapRover +1405:04fe ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 07c6:0903 _fmalloc_ 07c6:0903 malloc_ -13f3:0500 ___fheap -13f3:0502 ___fheapRover -13f3:0504 ___LargestSizeB4Rover +1405:0500 ___fheap +1405:0502 ___fheapRover +1405:0504 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 07c6:0a78 _ffree_ 07c6:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 07c6:0ae5 _nfree_ -13f3:1268+ ___MiniHeapFreeRover +1405:1268+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 07c6:0be0+ _null_exit_rtn_ 07c6:0be0+ __null_int23_exit_ 07c6:0be1 exit_ 07c6:0c02+ _exit_ -13f3:0506+ ___int23_exit -13f3:050a ___FPE_handler_exit +1405:0506+ ___int23_exit +1405:050a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 07c6:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -328,7 +328,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 07c6:15c5 __doclose_ 07c6:170f __shutdown_stream_ 07c6:1729 fclose_ -13f3:126a+ ___RmTmpFileFn +1405:126a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 07c6:1794+ __ibm_bios_get_ticks_ 07c6:180b clock_ @@ -337,7 +337,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 07c6:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -13f3:0098 __IsTable +1405:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 07c6:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -372,31 +372,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 07c6:240b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -13f3:0516 __8087 -13f3:0517 __real87 -13f3:0518 __dos87emucall -13f3:051a __dos87real +1405:0516 __8087 +1405:0517 __real87 +1405:0518 __dos87emucall +1405:051a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 07c6:241a* __exit_with_msg_ 07c6:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -13f3:051c __curbrk -13f3:0524 __STACKLOW -13f3:0526 __STACKTOP -13f3:0528 __cbyte -13f3:052a __child -13f3:052c __no87 -13f3:0539 ___FPE_handler -13f3:051e __psp -13f3:052d __get_ovl_stack -13f3:0531 __restore_ovl_stack -13f3:0535 __close_ovl_file -13f3:053d __LpCmdLine -13f3:0541 __LpPgmName -13f3:0520 __osmajor -13f3:0521 __osminor -13f3:0522 __osmode -13f3:0523 __HShift +1405:051c __curbrk +1405:0524 __STACKLOW +1405:0526 __STACKTOP +1405:0528 __cbyte +1405:052a __child +1405:052c __no87 +1405:0539 ___FPE_handler +1405:051e __psp +1405:052d __get_ovl_stack +1405:0531 __restore_ovl_stack +1405:0535 __close_ovl_file +1405:053d __LpCmdLine +1405:0541 __LpPgmName +1405:0520 __osmajor +1405:0521 __osminor +1405:0522 __osmode +1405:0523 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 07c6:243e+ __fill_buffer_ 07c6:268d fgetc_ @@ -405,9 +405,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 07c6:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -13f3:0546 ___iob -13f3:1272 ___ClosedStreams -13f3:1276 ___OpenStreams +1405:0546 ___iob +1405:1272 ___ClosedStreams +1405:1276 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 07c6:2cc6 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) @@ -422,7 +422,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 07c6:43d5 __FiniRtns 07c6:43d5* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -13f3:06ae ___uselfn +1405:06ae ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 07c6:443a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -448,7 +448,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 07c6:4f1c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -13f3:0022 ___Alphabet +1405:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 07c6:4f1f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -458,18 +458,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 07c6:4fcd* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 07c6:4fd8* __get_errno_ptr_ -13f3:127e _errno +1405:127e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 07c6:4fdf __GetIOMode_ 07c6:5014 __SetIOMode_nogrow_ -13f3:06c4 ___NFiles -13f3:06c6 ___init_mode -13f3:06ee ___io_mode +1405:06c4 ___NFiles +1405:06c6 ___init_mode +1405:06ee ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 07c6:5049* __set_commode_ -13f3:06f2 __commode +1405:06f2 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -13f3:06f4 __fmode +1405:06f4 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 07c6:5055 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -496,9 +496,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 07c6:565c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 07c6:5678* __get_doserrno_ptr_ -13f3:1280 __doserrno +1405:1280 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -13f3:06f6 ___umaskval +1405:06f6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 07c6:567f _dos_creat_ 07c6:56a3* _dos_creatnew_ @@ -509,9 +509,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 07c6:58ac vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -13f3:1284 ___env_mask -13f3:1288 _environ -13f3:128c* __wenviron +1405:1284 ___env_mask +1405:1288 _environ +1405:128c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 07c6:58ee _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -532,7 +532,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 07c6:5e44 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 07c6:5eb4 __EnterWVIDEO_ -13f3:0716+ ___WD_Present +1405:0716+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 07c6:5ed8 __flushall_ 07c6:5f6c flushall_ @@ -554,41 +554,41 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 07c6:65b4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -13f3:0718 ___IsDBCS +1405:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 07c6:7716* _ismbblead_ -13f3:1290 ___MBCSIsTable +1405:1290 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) 07c6:7732 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -13f3:019a __Bits +1405:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) 07c6:7850 __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -13f3:071a ___EFG_printf -13f3:071e ___EFG_scanf +1405:071a ___EFG_printf +1405:071e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) 07c6:78c7* __I8M 07c6:78c7 __U8M 07c6:78ac* __I8ME 07c6:78ac* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -13f3:1392 ____Argv -13f3:1396 ____Argc +1405:1392 ____Argv +1405:1396 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -13f3:0722 __amblksiz +1405:0722 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -13f3:074a __Start_XI -13f3:0780 __End_XI -13f3:0780 __Start_YI -13f3:0798 __End_YI +1405:074a __Start_XI +1405:0780 __End_XI +1405:0780 __Start_YI +1405:0798 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -13f3:1398 ___historical_splitparms +1405:1398 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 07c6:7a12 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 07c6:7d8b* _heapenable_ -13f3:0724 ___heap_enabled +1405:0724 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 07c6:7d9c* sbrk_ 07c6:7dac __brk_ @@ -602,16 +602,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 07c6:809f __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 07c6:813b __mbinit_ -13f3:0726 ___MBCodePage +1405:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 07c6:8216 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 07c6:8224 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -13f3:0728 __8087cw +1405:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -13f3:072a ___Save8087 -13f3:072e ___Rest8087 +1405:072a ___Save8087 +1405:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 07c6:8233 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -650,7 +650,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 07c6:9019 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -13f3:0748 ___FPE_int +1405:0748 ___FPE_int +--------------------+ @@ -666,6 +666,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022fa0 (143264.) +Memory size: 000230c0 (143552.) Entry point address: 07c6:022c -Link time: 00:00.01 +Link time: 00:00.39 diff --git a/exmmtest.exe b/exmmtest.exe index 1bee195c01605d32f852dc0264b645b285381f7e..4753ce948276114836cae4af58507b63b517055d 100755 GIT binary patch delta 15234 zcmXAQcQo7o_x~$PB7($-P0ZS>X6@b9-eQjiwOc`3B|#~I(m{8Pwjb3}dls>^s!CPO zQaTbr=|HX5?|r_%`^P=^`QJVF+;bn#b07Cnd>>kJA1Yz*EdZPZAOIAI=L5l~-2Vmt zXQKb-7mFm+q~Jh@3DteX-N{Rm69!|q26?v%jMAyVF7P`K*gFJ(;kvjn7~6H^9~!=} z!3v%YN8Uv8bmZWR8zxa7V36w#m6+c`6a-X->z1L+e1A-6wXC(FlloZR*)+ebZQ&Z^ zh5Zjemz12LpZ3p4BTd5kfHPT>iUH=&)*j3FIa5GCZvKADew&gL*>;baNIPTYUdIko zE9!6p)^~mn?X&~^j>bz9s!+DxjximxlVgM1)_W9WLQwS0B;T31|0$`0^P--VHZ{Xq z;MZJ@V{#SwBF8;+cfv*htCzK{(q$k&0bF1zE;yJ&?6{wb+y0r}RdVX8kP(C^^%#nP z=ieXY()0c2htC;zh067*yPnWg!0@%s2BW#X z8en=J1}2B#W`>YLLQzq28N&mLL4xv@45xL1C+5^^Ez$72lH!~X;|0FleVelxecb%F zeEAkdFS8|lF}L10zfc``g?Fk}NsfJZT@F%>!G$-x->yCGW@s_stN)bZHBQEKtZ=pQ zdyKaYMI4klQ{X#W`h7`RsxGhZDV?(@+S098IXT6lOz3@Xu9y*``I|gWIiI|IPB`k2XFRtT^SGxDbvpVKTc0%#ywT|44gZZWwSJjvVd_zn@rruh zP*}F^13H=3^4wQWJ9p$*U=a` zyw>S0TI7F03ypT@Y_Jzhf~s$4FD<#Kf6Z7CvsM^Jwz)LdeV<8NjKGGznfPfBo*Ylu zx%^~J2jX{XR&j<>H~RjxHo-3%4>n1$e$=Y4ikll@59Dp5C!LQ=UM(|2{?oF4Dc`9j zBB{Z_@q8fmQk$u#W2HDZMA*mRJ|@mlFsSgcwFEU2>1b4@(XT%V7!7(>JiFh~ zWfL$Fh4zR>q{#;^`lw1Ync7;vOh1~|=ao8C@ia+O?-I58Y~Kn2MtDj4%XN~N-vid9 zZHK*%v~R8*k6f#b33jOcJ>%pEOr0lsb{yUEdb|iq@uU?&m}2J_X1ELdr~fy*dqq0* z98JvX#n@Awi%kJ(?saX`4~(CpPD!`p|HmE}m|3mOCw+TJ%oWjMR2Lr#!QgJ^;Vytq zwrt%W2X%yL@HNr%(m0*dX&dA0)@gz4UvMtSYChzX)krDbR~_&`lYUS_&9hs|CoPrt zR`BHq6lJsKlh}-zISqte2lj&)ks#|lT=rGP;A`1^TcaBoZuiBRH1t3)BS$zogR2vHxBp;+haPuv`K=7l(yF4=!gI-&D&;I9<2rOKbjqlxYu$oICNP z$1KCzsOzuNw$cP6%A|UF)1bB1Z_^gptIz)MmiE`xPa@XYrsmmVFADGFxB4}dw-G3K zoo?3G8Qde6TE^ESh;}I*Pr&T_dH@A%Zj6Mza8i7Tczndw-sIdcmXXp*x(W+r72J-@kOc5-PDsX|eK2ioW* zv`Tvw^=RNMM~$EH&b4^oK7t5+s>bsvgW`meWoFt7ecX)wPf%fbsi3ZB&Ut~5-In%(qMmCB^q?9QX1mVm_FVVd2li)`T1Sy!Ch}rwqqLUa`*N!Uk{{Oc98dh;s-4Ve1Xb2GsOc2DAwA* z-<5NuepzP`u20d(INDG*Nc@vxBeK2|L;nM*zG`cwm(emoc@^)5r(`-@!H6_#Z<}34 z!?(RDh!fkotUmU|Ag)U$o~*_m4SJE)0-+%?P2>R=8tS|Ree`}KSI^AEs`kT1v)>ie zdQ5ioo}n+p}5KK??~A;jF)RnD2n_rGR7GXjZ|YAvqpxcs{b0vx}2OO~Npc z?AePPEJtSI3SfAl)#J;;raPYdk4xMo#RX8bO>vW(IDh8IE_?h}i-1|(0MuGbiUo?k zkYH+nh8u|q8cv5COgS(Kxqw14#X5LH6VU%KOdh8e$_*a$1r!qrTA}70m;)inHl5;*` ztJY&rOr*-S3#6QN*xT&ct^TIxdoz{C?%^a2x6f_JS(=&&Qf3F{F@tdDtG^AupvfC& zk|4rfY0rGLMlk`Y3GUT4gJcm^GNMqPcN3SuT4N0N6d!hx?}NhdsH(LR1qTM+aJ z5Ka>+o~AoY$DalpY6P!q_~0_?A~S?5Whv8~e}s99X&v80xAo$3IDc{K(!%xstEq4y zkQE0FTetPYu3&^7T+tqM>jymDzZ46q=p^tkPHmJ9wKDF0)GH**ZQ?HIy#s~H?Y}@K zRgoXx2?!PSmU@ZP@O&QdPdGRh#aC&WDxIS9Jm9uq}GZh=< z;j^eXLYybIsfrW@4fPQ6>4vsoxiT>rJ#GDC=c8k_IQz|IwtdW!sxVtV{Spt$_dTzjX93V`v8p;Mxp-uc8 z$!qAgY4<<{!w-)GkY7O8D(iQo58E{U!pLsc=RhjNU0huU#y5YhQ=ny&$Ss>p?amK? zL9ux1IAkVg_!;E3LZif~xy3hP31!Q*k%O6+`n#pmz{8!o8jDK|m+B@oH^AGsE zpFq=aOUvJsQSBU~dL3p`0(0RrZl{1MPyZjEA@7RL&g}6Ssl)Hf9>|~L7uuIim_6Ca zU*P}izkw@;E)2vU+otmsp0J;tx-i9;rNJ|_*~c1oF8SzJNa2gqpf781k3y8|e<`2X z_$6+<0gsnwT8mW)adXZmxFr+fkW}jy`#^jzYsuo$kR`{AGY1ON@30wghn=j30G4or z+8n~(NSxd}tGI{XhriW{^^@$Q&C&%bw-A{rKXS?q+_1PCjxTd~J0O`|f#EN4f6FxL z{`zP=c)U-cyFIBuk7r$qM62= zHIFyBQQcYnQ|9%gfF-T*-k9xXo!-P}i`5z}rK>{cu%pZL3hhovFm6MmMvImCn!3^b zz!RKilAm+EJZ}1{Qf#w6XLIy{*xy0c;{(#dmu?Er12#8HiYAwhX2A~ZuJ=Ue4T(n+QRX|W=WLa9=?@7v}L9vJQCgs=7}cE!4hL!r&=em z)#VBqSL}l~pG&~`19^3B5wXJ>!$UAlN##>*wy3bboNq4GgLV%Y-$n2})Bo%~@Dn7* zgMEAspJBJSPO2taiP&@Tw>CWrP3sn|rR-Zyzk9JyTP6g^c4T7<-|5v%>x|{5fINlX zD&t~?9n+R?KIT7hb+;b3&uxo-5A8+#&SuO_56|P!E;A$aUm}{xA0YXU>kx%G(c24y zz;Vg~r0w5uu-ck#&O6hhQ;BG0$9(@YSml_n zPm|YJHKr?gk6qWCzCX%5xBJkP?;)@-GQeqH#4SYj5>62@B*$=CPt-liU3~>RPcE6a zo)sa#hwr*Js4J;&Paw)XV{_SsA))j#jzWuLZNf&wwa_;JE4J>%_cE)FQ`TS0&J3T2 zsp-RzN~5Ew6OY3bp_8#^oz&?FwRquBr%Nj7UdZ*f7W{|7*-)pi(V-OMj<2D^3fg#~ z#(F$`JwHnsIDN*%(f6BktlDNrX9J111FH*aoTEGI!r^5j+F9~o>uvC-4`!d!m+ zp-}W~W>&(~7ycBuV;5yJ^pecepS88QX>-tjFwZOe{ODJ`q)a=Ne9-2XBq@o%DLPCB#!0XzlcRN`jG&dq^pqIytu(PQzJ$o&2$S z{d3!_49rU5dGKzpb@ZejZPQwI7&HC4#&kmd%bQrCVXLr-h#LYvRd5MPlT*&o^hqN)kffR3fCm3u=sF!rOJ##=YoJVvGIjc8Us$MF?^=b$ zwmn4jD5Vw2)2kw^aAm=2U4^xzAdYR;{jM!VH~~UP?3z@9pSS$V6NaJ)g5H;cF3sg! zLL`)5x>9ss3RWhTrWb|x_~dY_Plg}wV?qQ^$Yuu= z`oOL$PDbflpcwptHz#2BV7OW$0+zIC28TZ2`w6S_Aopg@@h-U8aIUX=aApE{UjaVNB}Zk;Z5C|6bs?;u!8 zf0J7(xRGJZPmu|+IV;&3ic5H~HzCBQ=_q{XQp*{`rf3s1u5u4^gyarxp_WeU z-f4kC4*PX~3lz-Im5@B4A3I)#3Jv(7G#|CzaA|)^Q9rWYySEtg=N>k_hnS|<0a&Ee zVqHT+*ssp{xGp9+D8WS{ZwmcncYVlMts013bYI-m@7C+o6e0CQB`MboQXRn&*9{MiicOO21pbcREl3x=s=*crRs%-g!KB?i z1PqWl%8gZE1L6@)L&#>f{I&9@*SjVftb9A5XYMx(F(8mbHAqg+oBA{;H(UE2JTa1{ zGo;cAX5gOc~Bas|Y$<6QzaSE$|rOnKOiwF4I?IUq?O3di6oy#;kyQEvAuVg5M@G99}Y>A=p+4mg)F z1Hk$+#(5HUX2jcEDKoiT-{2A-wpL&tMox?1uKQGaG-3}Csx&S<-s4~qbI>Y`$Z`>) z=Xv-wbhOLvcrR_grSz(9=M2{O9h5XFl+laC9)R~=UAugc!GeYd`b?;Z-_!Be%(F^a zg%7b+!5aD10LVz=K5A4_{i_qCa_V!8VA|fI0$E67j@w8wMB9Lqo>0qWHf zo}f!YjEdXY=v>m{x4WtYr>a5ic&fnlxQkWiYXpx7@)imN*NgHUy~>33Q!ydZpoYGl zo{FZr`nsm7B5+7>aJRD~G>gV74aD&Jt)C&C%njkwn zZ?OOz>P`c4?Lkd&{h*fcyCy$j9&FD?CW%BE720u~9u% zTdsVW*FIWq#o=ho5O&_HK)kA@d%KekK)TF02?V2`UwG0kLqR>=gk#jpa3j<1{ZR-@ z)QHbVLm2Sk!^9JKgRwrDaQ1VCFpv}_;%6B*51i83R!*|Tvl)>&mq|%rsed2c&f8S5`6v5V-^omYY9@myi z?(YeciLKOb+qXIXRbqdE7(<31L)XV7XNc9I~rW+F`lmPZ7b1jg&aVB zzw{y-I7Jy>(mnZV6|?K=C? z@oFmk<^MS9yqr1izlyz1=5zu}JK>G81Ng3XxS&&}`9M22jB{VctI-Z?nxWU1HbM+^ zF~e|3q}E{g`Qzdd*Ktj6zrVq;1MfN74j`EMyMeQE`h1rS8G@gtH3S;CEcH;7Np76P zg=9t;N@2iD1cTa!m8fa+ZTxSlfT}zX1xnbm>YO_02qgB}lM#u+3#cJzFDmX|O9%{1 z(10LR9Hkzez+wrvaE2%F0m-2L&BE7hhpE1#@;6Cl|$?)S-FHjQh0BUta|ve`@nS5o|D#^&K30^ zxe=4Q#ZpHD(xn26)zhpV4<6p<$P0`jaJ*G8G`N~Z{iO8R(3m|q50e0*cF6%9a8sF~ zFDn}c6P3AewE80Q>rNq=ZEisHB@1qAy9dAo>XiCgHf;ZFBViPq%jSFXD7{bd8{F9O z4v31-*TldR?9=e0(rL*=M(;uRHLYBa$ty97(>sOf!V5zig*Vf)OHy{oXze-Uyn`fG zn+5t$RW0tQ_Y3>Cbx%w)T^av*R<6u+4wkdB#Mfk2&LN4u#0&!2zX?w8jzaKF6K)d1 z(V}RYX_onWPfB)?@ZAI4O?TyjeW8LYHwrO5D%fSl)1$bljO)4pbm+qW)&+zd_PBXH zT4?s3gB{2SYFHn{w9eP50lLtXE1`o^2d75E)48G&Pq8Yc6IA(NXX+eygc6z+F@g;1 zgo{?Zdd_rl-^2XArWup_R>RL<>n_tcM$e<(t0DUP#w*HMVs>kiT|mwdF)PJP4mNfQ7(baJ?F z7SJQV%ke;-+i=)B?wXbEZ8dviaS{cj+0SPtm6P^WZy3r-GMsB++1r`^dgj|I<4>S$<())0Lc%FR&ngha!A>HA{ht$-q}^YSiYy&5kYPat>x=n|-E9 z7bH4@zOzJQ6?XIJu#w(B^!_@Z;~+Gj3DAJ@9#F}ds$<~mKDupeIX zn34E;Mp*LU*YCr%>)(l7f+8!Khaul~WFq?7O!Zz$#SH<&4>+rr_bGWj0U=f*1jc7y zDA%hroOtxOY$sijZ1sk>MMeGmQIw&6Qg}O>jXOqkXSEb}r+_byneFi>dxC4=FLtY~ z)iSQ;`7z3`j8%2WhX@PK8>=W*SBGr|G7U-$6v*GUB^|}NNi)My$U9Z(Sbp*7*20|! z66w-wmNAQls)*V%6p3^NfIl9T)e&ue4NuNB9uI)T;DU?aiaL|5GQBub1>CWM12!_YY7}~|V z8ZMnma5iD;Bv2J|YVM)5_Q~eVP~f)->uwSr{;@wi;yH|x>mFHl`${kOtb#QmKug>( zDxuxZ-~{JXy_i@Cl{bau$U1}QE=)ujULsc!b(Vye8jE$8q{aSn2iE~)b0{mubuA)c zLrE^}rz3xAw??|lTERUyp==bY$zBnG2j z@VTF9MG4>a7W0(4Wbpafxhl)R^U!C9Dr7N3X(eBo2)oJ3+!krjsJU=ok+mQ=X!!A) ztrI2%4ex}XfgdW4aCk>>P1RXUz~6V`dy0?FC9tX0T8gkb+bsCX3+wFjb8N~M#VPvl z$?G}4)2)Acd^2Tj;ckR3@;DQ!eS7!8tn@%zikO<@HAAc96^O?d z<(}aO{(V;!MA!8HQB;nBeA0{Bb4?UOFPhM1DZ3q@tY2m zZ0?R5Ih(rd4M@)x2QPIN?P>r_xUp(U$kyjF)l{~1J%fUN_tewX=Dt)U`nP^Mj#^ee zsmUUIF=cHrbb->GUCRPfm7Q6<|9z1B}? zj5SpeA54$=_HEeGX&<5uHqb(jAkD^L0~(IvU&^bV6xo{p#BzQWqbz4d@e@Vz+z_MP zGYY}WPjH@eWUa7jk4Ltuvw^h@xBcESKc70NW4^SR=c`=oI)XDb`wv`$mv1SHz^HQ+ z5qD9*y0%w|s~L(V@{st7@q*d^K{h0UCv6Oa6}q8V6g#+8Z?EnV#qaM-&(d+G2%Px1 zZ|S$fR3*?LZg0lL= z#KY|Qc(kwxyLf1|QS&Euk=QcMyr<9jdhPq5Sy8c15LcvyZK(W`j-4 zYAsA%SR{B=B+_v2OuVw8ll)?XRlK6%qy;%z5?y{NjI&)|YOXdL$%DgpQQ1FUW_dEX zypLLJnYs)FlRw~1EIGq4HzDm}VRzUC@;^hbIr3kJgnHL&Ur$3fnYF@dXgA3z+M;S1 z_c@~iUQRzNiu`UYTgnkL!$B%pk7a6$^Ym0iTy%A7a+EiDK&Q(Dih8;$UH50toPNG- z%kLM-7k&He=SNW>6MeO}Ryg5m5CGKKl>+|%AZwO z=`DvwZ(is4yMYz^9LI%1KdBZuf2Y*qgg8T>#g_}9NUP;kj>wWtZ3(q*x%$*kG^K6_ zvZ1B?iR`FvYpp6*MBv)18@Z^v$jyEf(CG0C(WF-Ccj`h1$h^&@M}pl>m#?$(SS*g6 z#jK``MW@=4qpVC$ZR+t9Ec;Or?c&NyZUS>=;ZR92(r#Qyjpk2QXuF{VcX3%s3XrJH zxJ=Q}hYxZVa+`-v!D31AeulfD=R=atm`QW2da@6xUgvldFbZY!;J)35c`Hfp{KpX3i#JR+ zW-RU=dwJThJa%uBLEpDL%#IvquJoPRVX+RFLd+DR^7j4n}Tna%C1AnzGeuY^aOascC%{x zx^e)N{>=IQYf>1sIdJ!X!N;$@C)P-cyy92oJkdc#F4E0vxU{R*r5VNGIQ6nH#VLWx zQJA*MGXY_c;sC} zZ-0S$H9byYHy;wCc^;^Iq+Lsa2*QSWhB5Eh@|UsDue6l7J7#v3I)--YmxdlI>VVbC zgcbF$!FN#2QDgq~%FAi%`?GKp(`%Qu|#|*<(?_p3*hHD)r%iYrJ zRdpMp=rzGJ5*cfhoghU>xMSuf&%wwbG7!Y!abXNmyUuA0<}{Gs+O-D z-LG|dxXHYDRFb5`gZiAQ@k3P$_AKhVmf2{Hj*Y!3hd6D)5O+95$rUZl;nWtqR^9j4 z|GPRUbnV=9#wCbp0fn;g{68K%@Q3v_Xkhy0AY>s;n7NU*%f7o#tUC%6ixg#t_<+yZ z%Y5XdSxmp9V+eS}2wl{`Wqrs@c$@HjQkYxM!6qhe)M4f_RVm*E036epvp%KxTWKwKuMl>f`6kA#mtw}b;Cr=SilWdFa?1>H}$6_aEq6^8j z*v*~jLGmp2A`-nxKE=L%M1N91abOTJm=scchC~b{g%yXNB}R}Ui=(26F{IeyILbNV zc~X2aIf0l+N-Dl^k$8!eTzol&m`b7)Q`3m)q>SP#nZzv8)#B_NVlIhRoR?25AYCgi zEFxYf-6+0!i&#u5DZYJ&c$ajq`2GXpL(-$-(lTN>siOGt6XH|S|B5T05vxegi(ga| zYe+APYwL*hq=w?gCSo(GrMR_?LTo2>6u;^uc9FV^={>|=QeScZ0CA8sR6P8e_=Yr6 z{C1T1jx<*Mew;W#`cORikvK*AR6IRHoF&Z_&o2-^lNO7Yz7Us5E5%>G5xB_ zRT8UsZJoG5`dPfWMf^qjUHs=SahtSLy!(&1N7^qwI3ymCz-`%h80CTB!UJ)+Aa=To z;|PpjahTw(3|zb6{OG9xp22{hH=1nl;Mwit{=gE=H9dXv8K7Y~nT+**kzKq!Bc=ad z-lIIh4Q3xHLXWNDdF+lv0N9|2xw5dF7Cel#;YOOR>cjxj$sb)+gZXNw@xQ6&-!~Np zfXyer;Sc5q=XnGu`nztopQhd6cUeYN3BW!U9Q_5NTl(P8a^t*>Rw5nR7 z%EKpBbcu)&w_`AYeR2hB1ML=>_R$o!ME^qj-L|=n@wn#OZ+S?}VZFM42eSlSdK6Q_ zdiG8u2cX2-_hMLgmtAvuEg{FxeN6xIepcAI{H`u~yt>wUtK73y_OR32V1`Bf55N+p~x5fo-=6mJIhhA z!3U<}OVJQmIr5pmvtZYi1~GE?WZ|Oi>x%GRh;lW4)D%CM>p!2v6{zT+D;~^V)t?R_ zbgpJpR5QjCOfUFI^}Ml!Wpy`H*d=M=9oSJ316LRFK!*c2(ocp>MV|fTC6+-yge?1u z0xOBh(ap0|--6!8!7I1?fBwq0mbwoy7m34FCIE4jTm5tD&H3Z}&G)X`ZZU5hVW-bo zah%MG5uRng+k|cIDjRI)CaepHdSlo5jya2@RZt7n$v&DDQ8*S~vyv&VZ(y~a@9CRw{V`T+4sVkrW}Rz0cTIjN5`SXn4GRJ5?UP1F@IMwthiXhV#*nRN+cIEukhy3D z4PmrD%5~~$9xFkO94x68fVGO=w&fqwN^7gz=uBF|LpJ#5`x$`vaa6wfKqDo@6B`!~ zJuY%80bjSYBT?;|y+_*wx5)vFFc8h4vgy}yoRY|yD)Hdh_#}cYENN$p|MkDX`$c|1-v&Cb98TG?#n%q%zIhc zJN!zu9x@gSPkQ%fHtv1?hlr*_Tb58W_c|A(PERbJcu0oUw!XMKD}YgQcMd7^iLuUKPukgt=PaJ}xyJN~I(FW7slG(J_XHB$B7 z+&hzd72QCAJRs-IvLDk6dH4e2Wco1N3S<}i*x9q4@LMbUr|}#JiiYd<={_tBRR;Pi zRjdmBGI!UGoY`=JTD9BKZ@Zm>3jynUZ1VNcjm0N39!Fx$=eh9XT*xa^m&H7*vQ)D( z^S_0+lzZ{Jgikv?lUvU6VKpR_l)((GU~XuEeId%b)>gP#d6HonzOG+o&P3yNwlO2; zfxzoFS2L+=ikmX;-f_-^?{blyJ)W13OG3ec2kAlS#(Kmdg@Rc#q0!iL9vM*Xw-9*(Im+VMeBL-{zs`?!-&)ODLt>X!X{8=30P z!eP@&!+_1R2lpYT7S~fX3ATi>7%P)Bv~NMeS%}m;;yA^JX58zZm~rm@V1VUxuQg5W zsmz++{X#yHOLkywjO8fk?kvAkKA)UAO%dB3sPvb3M3&n(NWGLO!|7w1+P22;9Nm;6 z;y>HV{KEMKWaH@AIqIHFWo{iY1tc#=2mUX{B~@n6P$rFP#beWaVgR`H7ZG^*Q>q4p z-I@QkkTX=-d(%=RJEO8!Y}m4E2gSSq+h7(v%>AjVFa+u2_KMl2JM@M zA?>s!_@Odl>D(DmD zy!cKUq4E@@A2>Adomqq{a1-X@_{c^F1q%P}4)UERUii7I@cP@`t;B*}^A54`3|^gR zd0*_A_?Zr)IYT~UqsdmA+f%uNxFY3G!Broqf$DMIu53eN~oPP&z^_NKbeOuQASozG%qHh;%)c=m|v(MiDjit6b&U zMdA{DcXY(Gb&f|&)#82r*Ne-THo+F_2k|mMeFQNS3Dp0VOZ?PqBqjDm(#jPFJ{hf|AV^O9@cq{}l z$F1cNEnoe}TpnC;3;pzb(}k75a7B1}Z%wDthcS zK{H}Z6s{VTkNn#3N4n@qgk;7a(-++)J{5imG7)pi{(!W88iY~=KnoW9qCziAWA6K< zZ|_buMTKXTYF**?bGz)>*8ApD=?VN#sP2OKfjc29?h~Z3CSSm+7tj5K+5GEpiu-G} z(1~?4LFDK1_TL@|nalb_IM*uApCW^giPPcoC#GYa`DGlXP&T_D;B;b`#%n~o+`0wNVVg@@ zzfwvrkIA@RH5X&}y3B4>*WqqRj7nQS*_7v@j=0S#jf;|$-dH&Pb8mbaX0_&{tYg7Y zDp7`t$Ocm(*Pp=N%bL}KCCI(fOtmVOp1AAl{oE23W$dMa!|75xY*rGc?7SVmY5^>1 zPfa zpE9QF)t@cyID^Vi#~v<-kS0V$=tjG2IV6zO7myE<39_G-abV;^);dqQup??Tt)3 zgf(fN-ka1?J~KQbC@?pJ23cc zyJb+iS6#Qi8?Ts$=S|I#3&#}92`pD9e}`8wa+S2xcwEexA*eBU7vFP2IIWipaHx>(J1(|=_OIyamJm9dogx9Xo z;!b&32;IMwurOUMl*&y9JYeM&##WssJAJ5T6jZQlQsz)Z9THojo*=}b37^P)TfD9J zo(Vbp@Frs!(a4Xe;oAH{>2vigC_`U$ul1iB>$n95_|bOKet?v}X+Mul)buijOm{iJ zRE}|-w7G17l3B+&))rTa>hky^@L~MDO%m7kMI>Wqe8*p41`Lp|e$(ue4ggyDZgwa7 z@|ycb50gz^LAFI18bAkcR4t&o%@Ppw@(=I&!}k8u@Kq0u=c|;NudD;Kq<^rk?gATl z#KwZpu(2Uk(SX1zbY^C$@A9}(^IwET%QvLU#Ayzz7O5T9+|I&hT66TR=3v=7 z`PElvu}k=F2x(#7V=udqKW?TTW9Y-$S75g-UK z5z){*t_5oA=<4aKs~9m*?B@u61^?-{x?&IFeEc|pAd!VoFf2SGGRojsAPD$gx)72W z90NuxtEvHt03paq)wo^7N@>GRodWa%+#-^oskDr&KSfSaeqA9zi2^!%TDiCp-B0~; zbV^PE&0H<5ZBCxvvb8%Q)u?y5d&Nw&6}Sd}2dg++_Vm%+2lvk2d0KM!){V22XHQ?wG{AL}&cf`8Xi-f*`!S2cnhi|aBufd*D@4osNX&_Le2fM4Sc~Jd5KYtj3fh zulu=+euj+?7B2XbD_TMLhui{eMafy!#NPg4vAX6W=M`=dfP4FDD2xu=#$e5INH`rR zuPby0fa17e0E{H94X0XQYXP(%J4N6X2iqJR0YE_*$c2<)LqP^XK5c2;HK<|PKsH<1 zg~#ea7Ox4V6(W^!ieQ7hy1GJ$6gEVIvd;5q0g(!uUbN2usMe~}Ah7TgN$H?y5CS_= z5PCcm4#W-;5PsjX`(rY#+1s#J$ z>jX0q5im-SyPM*mdfJAk7ruUKgV4gqW+xQFIaWJ{kDZ6t>Kzle9Tr`HyHs|=WYgY- zo^1Jt`~;cv!69mC}``E0x@Sq^klKBb7!sVrDub5Z062Tp2mbMxtWgOVKD zLc)TaxE2vOT69mCz%Ce7{O$4Q%@+nWi_&VTUNRtrvgURjugu4jbdpmC0R18q6-t62 z0+T=5y3cGLXXnt3{>k6T4vBN_&Vh^+K@_)9C{YU6f4c{mDBg?%^iWdJ!*WZ>O%eDl zkFdv4x@wnItd3-)6(0ynU;q481~?`_<~;#wh`Jw>6Om6oH1n~S2|GS|#u>!mF+%3N zTo}r_qL5r6DB&Y=lT#FvKL?o~nX%CAcvFS?$Yb~CC* zLhb%(d(}e>57+mEzqP*BWzFAJ8<39kIy_uyC%piEKf!LOFC6k+D-kWu fMh7lHn*YC|Rt9_wfC(^$A8>x#Ebm54e&zoI<*-SJ delta 15244 zcmXAw_dC^(`^R5rAICb!IQAadD>GzM$)3knj+7bapvdbWavVg1O2cS~h-`{urBYdu z8A;`I5DjF#KJV-My??l``!9Ij&*$U1o<+Ceg}32S4n9QS2mk}%K%4*sJ>>oG_`ebV zZ}S453Zyh;5kR{p&11mB*;|Gif#CSH&xah8)^?sT@)8Xm+=%Qmzdk36;ReQknXCCv~LG^Xy#0D6Cv$cg4AJS;!%Yoo4 z*l%|RHh=d|ZvwsLPV);R^YHNQ!3KScoWYG~TM$Ei7<_Fu!7t{~71b3;yc_gtHQB2L ze$_ZNCsm$1%Gr*iu0nzAZnk=du~N_ohLx-0_`wqPhBrjA^Us8;b4PiqJS?rN&g2CY z%bU$y&JCD3o4(u;s;H%R@Q|`}m;Gc8eOSN}yO0~7S=23KUBfk@So`XvNt|+~pz5^~ zGBfwcn7F1>CaqSqJmU~EG4MdYud&QQrsn4GQK=Yu$$MMqkZDh)uh(TipSuSDJ%)WB z>!sIT655oI-+xx5FyfV>NuNq4pYTOT=RD~TUR+mSi`(YV3op<|^Zf98J!|PbrM%ZY z-U*2RNk&sYYuLuleyhF+Gagq}1a7Y=(nN>3i1oP}oCnEOT%aL%?UIkDJXB^Xt*tm;u`Lq z5sTJlPw$)Sh1D27$9SsT zFn3dszIT*UKlXrJKTRntFP-r2Fi+XK1Wj=4iBwGC%@Ci0i{RO$X^MqR@V9{cF_esw zgXppt!u|y@<8^W^4u3(Fv*XpToPa;P63;hOJoh=x#d>n8JN2!&ngb{%5zsHGLCSJi ztuwCc#iQVc<8!#IZYTl1ueFgi@2a(k)&4SLtBhf|7T0|Xn7IDX&>gOo z(XM5CRR(^qJ!f4N)_EhSZHK+wepLlk`Abs(jP9D{vI+-RW7`6ddId=G$d3r$F!C{^c8o1Yv zi7Bvtg69UaQ265m&yYfcUMucv;p*22nxosP-(#;$cWqr$KQhT zm0UWnZ9kR^Xw~1Zr@TIRKu$q$fN->Y^l;=a3wrKVb1~8SBo$>8=#kf{tx)@Wep_o= zZv*soQp(Sbz$lyhepq6^FwYN`5sddxmy>mzL|=atYcXt!g5pJaTCz!kx{$n!i38Ij z(`rskl5zLf7l@HZ3uB;eL3wvKpa!#dGDA{vVt!lZ(QYh3VA?oSj1tWA6AfSm^geIV ztZG5=YT5kQAP|T(w+_Crst&?xIRcFE^Dz8eptpxutHhndw1*eviiwXNa-cJC!+MrCwEL&sP^NV;aiRFvgq&0%D8n9z z?0o4a8pS}0cg4!_AB#*~1qwb3s$hl$QXYiMmOqbgN1Aa#7CV|=vcBu7Df-uw z@5q7!7QsibrjrfYsIK-=*a%75#ji9^-Pp18=xcjZ84rm$85x%V4ryDgQ=^Q`Vz}wG zSx6y7qZ1DJDa2`L04IyLf+L(Y%tOvq?7hPQgxny%PORf`H)o4|_ane@3rD+u8h#nM zRpMKh?nu#w_`1fvTtV#7{GExp5${~>I6)gz*Vj!?xm4QIw^4-JpM;?SZsI*d`m#;k zy^ajXMM$@-_=Mo3RS<0cVS_|6* z)4!@4v#KKzW`Z3P#x1q}lJ*#3BMY6o4;R~hh}kY>SrQ}!ujc25x70V6NfQ-2!D;p) z;hu_r?czS5t0#>gPs%*ge5?`H-bR}$j|SOYEp-+C%*Q524)!gby7tgx;~9L?&{+DQ zN9x)m;SKoWEc)Q&Vq#*QabxSXjuIh-_+M5U=?)idC%2UIDKWBPD9_Sb;*s3!)!dGy zoJP@)<6b$MFS~k~Uf5XEK&Yu2Y(SiOg1T$od7r1P(cg7CH&26T`D(}oVX^eaSg1BP zGsXNn(El!HG;Xl9LOaL#dCG?U!zJRdZ+R5sOXtAoDJi{Qv$t5Mq2iNgxll^v(0y`j zh+k^)No|`>;r+gpRoaHBI@Q!vD63w70}t)zHTJAuwKo-l6<<2 ze>eB;)_%^g)5vf;yzT_8xr0}Zvh=O)Q26&Iob!;~H0_xzw{H7XW59tf%5x#lEu|$_@}$*j$F{%d0r6uHAvK z$@)km2-Hqr)iRF%({sUYC2=$A4@~eara+&zZ)_#)UwuZ z9Wr3|OmhVDd_TYC#ct4M>ev`d*Vl%N$=qS$j?GK^+*>6W9x+pD8eJv&U!288WpzfH z_)*NVo!?-8aI6x}GP6*5JDP(9CiU<1Oj^GqmlIFstw+5~QHHf=V63&TMW<7DqT zvEl)f#4|0^pVvG}iGNmI&!c=H@dvGI7CWSn{o@6qzx0JHw(8&&y}JI8_{k@%J#EM& zo~k|P%*j%O6526X469j!Ka>jMxLABD#H)NiK^&Hafw0(iN7ioEK6f{>6|t&G$#%Ur zy|Z^R?3dcaI>P1lo!6?qVJ5Hk<#d1GH1pot(7qdf6?toPOeSr(U*j$PW18TK!=aId z6veic3y#}{Yi8A74LPr+(E0A(Vx*bzns7&#WI*8bR3F#NY^2ujT@xH-RsQs}lfT6& z@X~fK`$N(7xO@@3YoDPs2Wn%sR2H?-mBBn($TX7OROxE&+7!(a7b5hU`QsmQUUz!p zSaeVI-|vU}6qLy8^hqdfVhPWsmDwiXg)S3NO6T~ zk01WRb>q%)y$E-}CdH#rm|s1Zs&O=Xm8<2#(k;V$FGY(rA;TQ#hlu>=AqfqP!+Rl@ z+XW>u-k%vnPjd$XG6tVoVQnY3Lf02+E+?{V>!Amp0zytd?4{Ln>ncMIt%iZ>*s>Um z7mTx#>wZTeqK&JoQ>&}3j}@T*Il40DA>r*y z#|iOhZm+1nA;FQh`comoaDEq|v=qR@&Bhvl^Pi%*^ALi8GGxCuK+9|l66{FAy=%?% zfZsAXx8fEZ>Yn|)dDhhiT($w!fs7}IIGS*721$d}>066Oz0|ROXI7f7Zstf1jwP!ZN0HUJq)n5eqLa)K2a$(X;&yS; zl^bIEx}Kd z^j9}w3}q?nJJWBrC8!G$wSqluK|zAd3SaM~#nY1ckqcaVn-ela+TCgkySA;4mjx}5 zqAlv(mM0%1V%A5ToU@9h;Bjr@Mg(@C!8vPkX_mrtlm~lBe$HBA5OM6{H@U&#p5y(q zW9-&!ks>|zZ%}ylC4Hbv==jx7&7@3?^tWH_$O$Jy!5m-z5geA#G5=L| z0Q-c~aN0t)Noaa9%n){C)oSb659e-O_3Z5-MeQ~MFJJ5?Ndht^Ckm+na$HB z9tXjq4=@GtLk`VOC60#)1Z!*d_po1~M8?kf3t+UFJfODp+B?2y-M>E8lwuSm z*o9qPzAnDb>`Om=t=P^6xOJLW#`h_@u>#&g#L4g}e}21ghiA~!)W4$IQgyz%r28{F z?l|Xiuwpp!3Ju7Kj6A|OeM)IKb?Ju$7d#Og3?frU{^Uj_Z#G=ru z7M~vEt&q@sMqlH)GbizqeSg<;HlULae|y>>%{u)yT=i+=nm*YI z?_$DtKrYh=m7xj8+zXlFf+f8M=WJKa6%|%FasqxWUKgw`@Vu2XDF55S(^rU?GWe4K zs?@2pVc*6-J=K`2zxR@HFn_2?=CVJrMX)M#GQK?w+4&+ze1kfTh<95VZ^0icn^3-} z@35v)D`nxykGLL8;?mCPr|IbM4IIt4&-@!R{pLJ$T>10TH?gkhiQ@&Mt}@&w1lxS~ z@cHWtM;7B<>Ku4{8}DU>5;}WpcO2e70kd|VEugj(+PUcO5xF(`S%Z(DF~0n2bqW6V z-ihO56=M%{J%+;^sv_^eyMe&0CDVzzS2Or(*MWOXF`WaWZLr)ra}pgryYUj3JqW^D z$N#<3)G+9!zvnnAgbPty&kd+Wr`qI(mURC`X|%-GrvGQ4^NV;V@|a{3o{j+Ub*Kd` z%%S)5iIw<3(G$LbvayFwi786={3oCxt!bj%WZ~00uS6)G!Xn;Af~HHgVg-~J$LOy; zqiuhT4~5OlED4WT(3E@UJNOy8Il~3Hdw<1PCi|`J-mDbVCi|9&f#E8qQ z#++#8=|;cYqh_IY1E>EDaY_rM03U`6c&}4ZFO-W>UUIW-NA3y#s6zBplxNP`PSsL| zoZRZuv^up$P~_6YXpU0Ylh9J2)e0WhDmwiN{s!QQZmav&Tk3n%wrR2Sl^sG;4siwZ z{2g}c&?$2jb=%Wx&RR^@xJ}VeXY~pN3}dCaAYyci9Lf_JYPHN&E}z8oqX_wC~^%#@1u@>paj?b&VK zI`R<&>|yZnCpQE1PHB&Y1g30m67x?zKDusKmQPlW%}HB9E}=bML`M?yQ?uRr^FtqJ zu_CFT1%obFZ+5JO%2$HnKWnqG67YX52rof%!Kzo?WcNY!fqVhk`37d{16$;W5nFY` z=Y%TAz1*U&n~0KBJ7xKZu9~WEw#?5^G0(ECVoLXA_#5qU0AJz`SX5u}6q=;mQOGC% zX4nGd^pP(jLncXl-nKSpKb(9l(#MydYHKwvpR$xj$UiqO7X{9R5j1VDez^PN)3L>= zd@h>@Rg5iLj;0TWYihPdTyn32Yes#!JChimcJKK?Wy4% z8Ge6FWU-Jp`0a?%uf>I$#T*gLG~qMLXmf<4>8;@g--tigH_nk=NakG4IrRDTbIhr8 zL?K6CWChshOrHRcY?~s11RdNU?oZ-w$FWdG3K~DAjfH1ePxUI)TD`E{61*GTic0LN zNwqFxKDMbOTs+aykxym@YqD=obgZl3X(;K5jt{DY0c*beQ!3=5tVV&RgHDE> ztH07D2zSD`VRtaqQZm_3KQk?m*gJ0Fci@CO20~fNushG*Ng;qN;PvEdBe?EM^KI4_ zVcK7nO#xH3Qh1wS%j~yyr6;M)1Ax##z-hGi4P^yK0ekC#HWXD$eJj zpRv8b4AuX*i(gkKV9<@BcMdqUOP{nmEz=T8px)UY5y9&?iQYWl9AeT)F~j9cb<$(A zlV#Kqcyj0_e2&M2R`g{u|3kaHm$J580Y@4wY)%14oDooYo!hknx8*p*a0donTL-pJ zVyk($VP$peE!abcZ#Kh$vwPgXh1T*WRY450F|<8ARAk*Bd;DI_Re{HCTAjAr#dA@A zE*7V0d8RtH1NaNecsG%gX_w-C-Dc+;Ri}_**LFlW+`cbW{-}#ia9b*#oex+m&Ui2- zl&=Oz=sm;RmhpWzc(LdNX#Rs?qmwX<76I$=PE3>eBmjUp#0KO5WZWAkuG%XJI-5g= z-kTG~=)d2P3E1jEOtd%!E+w|(Gv9LM0$R&oRkp-!nJn#O9gPf)i2j)%1N@DHYR1KJZgZ2})PzXSNzpPjp4XnhW`Z1B{+=}JLSDud47umGKMQ*E$(3U`$CXC({ z`>ezYzK?E0#)mWXuVuO31&8Be%5&!gt5b$z_<#u`*>Jo4xNAnH*blVAVcJ%qQv;lzBASrpbCT>l zwq6%26Z1-DEK-47(!KT07M8vqrpSBErv&=8M=(ypWD*62I}o6z_x=~@!?la())658 zTRelChMHlruafS*Y07RidVyvsK-JHf!(K?OV?$Ls53d}$LZy1+UD zv79vLn7}49xjCIa2_SlU(}!PBCna0w+$OVmz9M&}M!z6N2VBK2Uh%A0@x<@J>=`^6 zM9=13uH6>!vna|{q&CM>s6MJ)VY9_&<6yUjDg9;bv)z><o0OlmoSm3=P$FcC@r~G*kdk+R@|qap_3$xH{D+>mC0P@{toK(*>Fwqq&;# z(I7RRg(+v9T;yywWAN;CGF4w0563>_j+k#LqJ6ft{4y;iz(}8JAi*94uD0((=0%^T z5UCS4d`WrHIui}YIOB7mxxD_)R3O^{gdm-j-CF72HJiCqs4W+s(b0bRBK>eXL`TDN zQ4b-D-}hCRm6cA@4O)7Ny=4p24vJqK!9f#5tao0eWOe7-#tQ(ZX~8Wm-ER7sFBM9L z8yZj#(2|FcrkXqNVC+6|Q=&FglqfL6B|X89FU&yBaF|3zzfJuL(f2Qhfhf80^G~ST zxM;_=C$_d!%>5GXGgsFA8xW{Y8VbdjVeI#ntskPuE4*t<${;sSQ z{5i)V+)|TP9*xc6S+~#rO+o*y-vs|553YDHmZw{)#di{T_K+=4p#oYBxBUa_e-+MW z_iMi#j(^tO${hu@z+k_@r`{A}0kOm9xsN>G|H0+v?3y!(@>^+pOcQG~{nsfh<-_>3 zoA#tAYKO7@UiHmU{#awlY8p)S5$CeEi{=Q#^O%aSDy*}edTzHYs6h2~8J56fq>wb%j-{vBhI&*1CgS~7a)jKbx zr0G3XZ*e^xdv)6D6NbVe8nm@Y@@^lI5*!7)nyhh(B%U(B4Lp%4eS<98Eqh!+bV0B$ zzH!@`dzt&9R@wJkAGRLI*6quM2^myRuzR~aEBQZRmI@%<7TZwaDn`&p+~EDg9Kt#2 zR5R=rC2*)6$RGPqY zh$EjZHBu#$Yl(1|YQyj7qGcsEUUwtukm^dsz+ev^7R58evV-CK+cA8w_C_sO5_CWp zuA8Q?ShgAETJPyNB?)e}<9%6s?FC&xv3uu%@9p0Iq|@e0to53<%tTlo5SF2RK2jHF z^@{u1>)5(|&@q$4=FF7S92RhOz+}WG(08u2Ts2Y1Sh7X* zWMxd{nqwb&2+dxA0(jWZJ6E`J1Ktz;DCK?BHMb#ZuHS4KNETK3A*##;=ON~;X?M|) z$lJ5ox-YXVeJ3Z#pQYMAXC9eZ@+qzOdlo`hOuOSpxn)EX{L_)hoj#L3|6M}LNCloE zHHDr6guYlqVp^2C1qsB|1Fr78iMkJgAJ!{y#Eti|12X2b6dul-Fd@G^76D?5Iyc>3 zASBiC@`EL#sRhMw^iS5`{g(n1*s`;~1fv1TsiL5-O#J*#30)bQ@~1De8Htt0CiN^2 ztggNx*!FKAY(K#V<`>i!YrlQCudH6WQBvh?r`5!tId;6mVFpOC(6!Rn&z2B3)X{tH zsOJ3!w3lhiUw|BEq@(lLW3-e$mULMX!n+~`oVhLy$cBAWm)aO-{}3Gbgn!kp#r3IF zzriFfTqdfpU|L#sUQNSJeQq~WN_PCIn%O-2KVqMWf6Qm9zroqd7cGB z|AI^ODL2Z3#wYVf%&KOekS6Mv_bU_ zm_zS63EGHBFZsdG^Q@&>Cq*jQGB;HyHvB4c>RPc8CR;`cVQn}O-Zt^gA2i#W0>(L6 zo{#=y+4KAruxjmVA#~SNAK3eq{zD5kT47~y70a&dShY{bz)_?$A-xTC@;=i&msNt5 zyqQ*EE|?VAl7Huu6gGF6&@yMDAy^Auk;2{wJl;YIQ{t2N+<1c3HER=43Yj#maSohV(+Jf9JdZ=Ehq@Z1l)T=l6rUmnNhI9?uK#Gm2i zuIX+P76-|j9FKD|IZCCu?6dT#DsaV`Xsj;9a+wth{N82XN+9z0dW2O}f!x_1HKjNB zc{!ECY*TepBuyfy$Cr!`>5ogimdK^?|6x0^Lx}nN@mQ1d6jH_Xyl6w3fv+5m`j>6S#87v1>Br-x@BTgTEkAFKbNNSMe~`^i@wuW)Tv%7HeU z@>PDaXNAQ~zIv!Tb8X;|$Uh;-y@~;KpDLa@Un}IXp-o~TYQL9??x?jEW4HdXa?Q_v z=|I=Fo6C^gHyWa>(0|)Rt{?&r&w;_R?x$$YS@szI_by;t_1nthbw0)RP@fYbC z66`J8xX--jNFU6Irpae)3|$}vrBzt3xN0)JKoRra%kh)97WgPPNwt0S;R&c-36J&4No)8Jy*|Ts@qez? zstDRp#4$&%#xqPKPWmcwA3buI{e^w7JA7VC6sqsKk?@vvbT_H)=_9*Xf$|P;AIZ|$ zbt7aixT{4YXrNexhd4~ERu#uH3CEN93I&Kh!m_g`5wJ==&0{3(%O4 zM9+9;I~3aJQrX`Xxg!vL(QAv9Ie50|=ldIyEwJ0Fjg$=4q0pFGKJ zT`C;JbgBM~6=L}l5dyk=LwG^0I9!vns4xMhZhmfFw=m8<48B)q%dS&vN9JT{dK!+uQhgRS2GQbpPN~W|qK|hl!{9 zc~oCH&q18ncw+BC4Hsy>ar)l*2#AG~>=x}lVanFL?muzUPvZ^TXSG7wc03!XRkL|js{LIxr+kVr#Hw7Yj*7i$MO%_w@@w|v0f9VC`asEb3QS$P z*40P_!3a>@bEKHy%MQtWtzB+uxG07n|FMcy3CFBq@o>+fUqsEZiuj|6&`;J4RyYKA zhLW$abJ(1lHFKF`>2sC?-TN8B`g#Xp_zjMIhRx!ar)JWu*}V|e$H$)xtG-|aj3~Dr zS0&iHCgcT5G1I~12_xi7{Q~I&<)UiH3wVrZqxl=ni;{tJDlw`)atEXnyJc)O#iWxA z1X1K=8gI~f*p?YfX`1W~KRb@-B**!igvNe8nGhm}RQus2-`{dY^GzTet?OxWqets) zCiCyVC86!NCTUwsb6aerD1RjvX0L{`DQ~2{2#`*?RK_dcB zkBXd(ac%m!OG!kbBQ5!mD_osT*hlz({O|@ea<&qS1m25Wx4FK_!{UUr5IDUyYC^2kkC&Ll>Q!-61(I-#=ZN;! zoIkt(r0uTNlni-~rC@JaLyXm zECu4Rz6tnIABRM^L|Tt>?q`@zTP#qKtOwS@P9pf^xa81>bQjEVi|QA;ZdzznOqd++ zIWK;{VgjnJm%glZO87nY)w^xM>iqnfk1y4GxIg1H-1q8gwjK6ZLOWx^?FURw6<2V{ zm{_&fn`L$8t_)3jme{3sgOKDhnl&Ig55KJvJjrTjRDa_v5;6x|kY0eF+CnAcIIvSkt0%k#xwrn<#u&5P z3b2%c$|dR_9`H1(fz=q3*mPPodX|2?`teRd73x9cH;g}ugL8iK;!aVK#l^RNzl3iP z>Kr%{EtjC30v84!tSoX)$wSiBxmm|`U>N#>m`v>v8q2AAt!-mJ;+7?u+iu|*zj6Dr z-RUeDRf~9Edcy2?kgEyAW8rUj1lD|}>HaBF{sU+?#@4ZH(Zdfvg{8}OdD`KW0m9HoYSh8e(esNtS*4sda+@jT-lz!TK?p79R|2&xgE z2@ME~sEIxk8xWUJlYAyMAT6UN`%G?LzDGK6j&&XWuIT`$5;zH0CaV;xs*%*m8ikr# zByF-z;Xz%J9$CN8z>s7_K2&IILNX;EE;KVIS&%IYt*l8lWZOc!Bc!9`V}; zO8!>(eTl>(|0rZHlUB&9g+JFw>*QaBzyFZ_k~a!Bw@ClU+l4#3q&@O}5u^||4I=Ic z-=Rt>UgB_tnG7J@D_*6lodHa*+CBu0iA%`Xa?^SjU%sAs-ck11Y?#Wm`#>Lmk_-OK z6It(#$*jepGEchu2W|(k8fJ7QU%rPp3b43zrZnmloj8kNueuaYRsP`ua+Ag!Gz1v6 z#1p?^-#D2neTWCl-+VI(FZs@bLPlGz4^JR(dK_7htq4Sn<+Fcpu}ZOYrg8?%eZJ&C z13{h5u=*ZmyKnlylAW?&L-Br$!V6C?Og`uVYs^eO(YGGT22Bv2KqA9ho5VxBoWj>I zNfvZo>1sZ0=C<8t@6u+*mRI7F3HD5?J5m-c#Lo(?gmmJ}k6pz%wu3P$Q>V`$>WW*t z7^xP%PpL0X8G2-mRNbE9#!d`y17R!Syu)2BcjRuo#pY?Invdjt zT-Y8#sa11I{qBP*DcIwI1J1lcl$CP!D$b=kbqwI0{|x`F+1jpm!GsjXFtCg z$3^43m)c&$eynXs2VoAK-CXQjZghG#4FCS@80Y6H^D{2l;Rd*BTifpStA4~&1XqtX zZ)XlQv9XZOij4b6nbSX3)i6-y{Q~obsTtdodC|E!92FRKwHU<~FQEg83itDS)3iOD z%R2M$l|Rl}AlD+7`F@qY+?vp0R*HVMoj_6>e>F+i7Gxar1kW)4Sgz4`6PK(YtoRcT zze%N@oAihiFLup`=bK;%O!k8S>k}RL`UFa6zRR5Y>++Irv|1za9pLj)c_xw06ZAOy zyyOXvsx&+@{Y83ZdGiw0+-BaF@dkzG$=s_iKR%;FbmWjbb4e(N3OVk&6#cX-E7x{d zn`ayMqJ|W}U))9XR)ZWoa~&5%;9D>KnfNQqHv24$L#&%XErC_^^!847H|Y+07EfQX zXZ2p)w>lea!EZTy<}d%WW|sVk-S@Z1-N1%M!o(O96y);g{LA z8=z@wS}gX5>8-Q?KXn=46L&C>9B>{4K$5gMX>6_ZJ+dY9Epp5bJ+_m&{duXCbJ&V#UPFO=(Y6&n|hM*`n^r)s^B;|4>a#`1~jAWby1@S=Et4DR(LGS_H_JyU|tF z!xf>W^_r21CMu`-1_{{kAJ5G~$r`rA3fAUq9CpnzQ5KYs3zf=xFu?kDC~1i}L-m-JADb4_Xt#Nb1By;%+ws{- zl{2sTOPoWERVMJvk^#Nf;Lbh7Z2mo6l$z;?h+o#TCrrl(^p~|)>D#!&=aTs_>lIxl zHwbbM+1Uf!D%o@A&%lrzV(AIAPu%QLH<$EkU8Hm|B&rr{B9eeyM_T-s3#R?uN;HQL zdlgF;^wM10zQ;SAWKQ__7R0zN=+NVmU)t6H8b(iZl&vnpt%kTjo%%eNrvD!B)T<}c zx)rz1JglCKCR8%Exhpg>7_R$02dDLo1!5nl*{^l;dg``aMHHMaN;@$pAj{aDWDK%2 z0Etq;4aO*=OZ(@+*9SQxUV#VM?-`ydQ~i9`4HpD_wYLOBU%;-6Kj?k$^~?7OMmNnA_gIy=eoF6IDGuct~RKHSg69F!FrGOn!sB{p*5xYsp7+MwVOwOLVHck&hKw~QbcYy>!|oW8lqK?}kRiutIrS2$ z%g@iGuO#!%pRdl9+e-hwZ#0+DXqns7Den`&S7vmZsfW<24u#W(cn{XYdA@BovsC5080u+c){Nl6JzvJ*Zjb5ov$q4q zth-OxYG@YA``@n17btWMlI@D(hx9zG%QhKFF)83g{6=3u33!j9Xq>WgK3<+X$~P6zel5Hf@5cmFGx zo}A*RjpDHO6bXh~*ZHjBqq5SwOQ^5-Hg~YBL^vsMLBFrcp;Tz}+Qx`jvBkb2SkNu=CGK=v-=I|f3;bod!;9shCJ2349M@0ye zUK+Vo+-Qr8iI3TwkkFgKY^9e=P>hY+(eYA7krX1;GG@gH6fw3ARKzJ=x zII+|an-H*TP~vwCHh018%`;-ifFsrn%kbDk8+%4krAl#`)xCTX5=WkS4+{4Zh+i8~ z)i}AAeI4AJ#;7k!~^E_1>1PKLEJFgC}j+*qQSs}b%MCuy?kb)TUF4ZE3BNh zK;Wp52iB8d1Dx2R|t% zt|or_HErOGxCyc)B3E+p&wIHCHkC5mf8d>UW|8IoALY-eMFaqHi>V+Qu;$G3r$&VS zlH-c?=iHhaAB!lgqw!XoAq%9GDVrOS9cimGnnIF+LL%D%AL>zA+>(|8I z_~Atn>7^AME&AueMi*?1!Xt91#PG+l`!%A1FEp?B<l0*x$vi)q2iNO ziy_3>7$NNui&wjO*T99PNaS;W=ZYm~mh}`*010dE;-2r6C9@>~0p>qmsqt?xlGo>v zbdl*#D;G;BQQ16K9#5OJzb-v)Q0W+UGOD?iVvav@cUsbp@t7w8N^8g;-X4B2fe`Yo zRhza_Eh(ynM=6{D&<~Dq4P8e=%p%F{G@>TE;+IzskUjWx)$thZxJBkHS7|I! zrwXDJwKydlU%F23v6!tis}qeatow=dcItbLx>2c8n?$`?82kSDJJ{U;Z6*gCw#xnm z06QA#haWCIJE3*o`K+=>bg!!<`fr0?2~JN=qciaSl12_XO1eSe2cD-$S(p_ih>=qN zz3lBjVA$6LzHrv;@z|F8MrrlY#8>)d{fjX+iuPj0}gc?}nM{53xI=;|R`bO)SmK2#QjN$w!=DW1UQ zNRxt3p5s#pOZ$$Y4Nk~q4GR>woUx$^Rc&;`?2W+IrcBo(lN_+vrF#R1;Z**#XB@ig zSPJ%q5v=v%Gp+-(cw$BbheSQnKAm4F)&J~fw|jLzZyTeim78oH9cI}Q3gL35+d{c= z#{V*QjX!Cu8*isI*KNhEsN@j&cj$^?TzNu~3)L&%kmYd8qIF1e=Wfbn^fXVN%tJR*xe>ZhssfM0wDp}TDa;)QAJ5Puvry!@q%nz z{|oR(oty`fE?7Wr*0y#>j@ci7c}Ui9BzYXN^0ahxFgaXn21=6v1b48oq$a=wlpz=o zuY`)xA>(Ni(=qd13rj0&FVZo(Xs(?g%!X6MX5)yny@Mw44M3FX)*5mOK5?=qgxqO$ zni(7!H4L5wW8)~)`0a$mbLRotu@ZBeSHVhMKhvaD>#C*ImSU+VrI&}}0AH183%e1f zy3JA^&SKZx3tNfsX(ET*Kp>KU8>$mHF2K+5D%SyTxg*k?QpPs`kt`rk0#^H9iSI=O z@;dLzF9hP|=N;fO5@A&Su=LiwJEw~u-n>Y8>}do^H+A%s!$}w2 z;L&pqk>R=yqxRm14GicA2XeYDIC{Y$DM6Py8s|{Z7!23=w*# zbslPhx6~9)%RZF4h37hrt=T`=)`TcOJy`RAzwIB!R!QTXq#2vj7xQr7mH>*4nBlCs zi)b_F?9{G0X2fk5fky^CHH=ga|E`Fjeo?hhZKv?gx!85qY zr7Sct@#6iiOIFf~X?bELF;(?@N?KaVxw81n+92P@##z)q#KfFFz)cg=fKCEG<1rw( zsx2LKVFhK#x5o*)`e=usdkt0m_{9azM|r5*Q_00Mvq+`o9c9HT!sbFJDh?Dl-4rFI zBtg$vmm&fu4nP`aXX0UPf>MwS-iTj>ppSUj_w0J!x@uFZq2%5g|uaC)rQp*2?eZfJTqgHqcFTAHMb()z>>{ zXJvh3TaaoYCKe&Q%L{foY8?@?c4?Xg44)gIp{~C>|5# z&_{-IUr$6-n42Irhs24CO8?*xj`00@e=X^Wag7|Pnc^)E1DE&QuMpJ)_~wpq>jVC0 zAQk1JV3^SNR@acdwSyd-22DQ&IW}N1E_4VsPykcGVyDGHo*&SCggDWH(^C~vHpKJF zDoP9bTI074VhuEtn{DLfc2w>N%UyY!C=VP6r0^fo7Kc0zD2gd1S@>=`$e%hGV&K9> zgt$a3`FTJt6_IAed?{Z@>>9T?S1#;Bq-b--#|_B>D~y?pfEbrJ#OJPBdrL{?U{k@B zRKaVtHBz0ok2z>?@%`ob_P6cbdxhozxS%a$q?@esyAsyk603iV2X!O}@Q4?N@A)BN j-*l&Ol4t-n4{Q4Wa&10zAAqQcC4V4x!{TaG?&JRfd09ch diff --git a/exmmtest.map b/exmmtest.map index 7576609e..787d90cf 100755 --- a/exmmtest.map +++ b/exmmtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: exmmtest.exe creating a DOS executable @@ -138,8 +138,8 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5d00 CA_Startup_ 0000:5d50 CA_Shutdown_ 0edf:0910* _finishcachebox -0edf:0914* _drawcachebox -0edf:0918* _updatecachebox +0edf:0914* _updatecachebox +0edf:0918* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5dbc KITTENGETS 0000:5e1c* kittenopen_ @@ -577,4 +577,4 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) Stack size: dac0 (56000.) Memory size: 0001d520 (120096.) Entry point address: 0000:8024 -Link time: 00:00.05 +Link time: 00:00.21 diff --git a/fmemtest.exe b/fmemtest.exe index b6f1a96e030857372a973860c5a19fb2b4e6e7c4..17e49685c941abd40d576158ac49f3a34c0a0829 100755 GIT binary patch delta 14798 zcmW-n_dgYm_s8#EuIpao8u#MbTgcu!rR)_Mg_1JjUi2>A%SEnvRocU7C=IgrMOKn6 zd!{beN2k~`&X4m<_`0ziPvf?Vz`zW*)%FXI0u z63~yRId9weIY&-Onio*lOLOmbck+_r1%r`KGwa>Xh%%K{w*kZq!1w_GfGLgq4T7G7 zr{e?4LcpmIco3X_je$R3wgVpl1J9Lxa1Tf(ITz3Ig_<$Xu#yf5_$UiSQhK3W#6w;+f>G-nnz19=|MK` zrAY9RoH{4K>dxbr7tju2jw_;fFL%ltw<)FYizGQXWs!zF(YL#Zwi%4O@r=Q&GuVHv z8}!zEzFhYnLE_eR7-de~Hfrj}yCk5|h_XE3@v0n6tRIap%iQKU>Xu+)RnSIVG|=@T zqca!AF*QOJ{oNf0?mW(rgPmUnt+DF5E{~M$Gpv3wYCo!tn4eaK@fYLn#9aL>4HCu5 zc>m(PEix_i-A+dF*D=tonaqfQ5YHz}<<;7cqFz=m0Q*zNRuCEk{l>ZGvn7( zxtn~w+vJcECse@ntFz@N*HNi0+}Hz<#&+tg3nVlgcyh;95ib41rId}b3!TG0_*^$| zifgw;+3|m|;;z$myh52e7T9wnPutvg0$;(E;`}U8L341VHtFU+~-(u4 z#fYn;PCDF+19q(7Jhtxw)4q9l+_m}?X*F_3t@IB6;Lcvc&pT?(A9wEz(Z*)=v~b0# z=sDw!rw+jW6SGB0}Qo^rRj86s>BVX_%dL2I(4 zq7$jUd1l<0L_}^_9@I;52CpvJSHW*P`{A(CKmgICcFin6BZ63U@l-YNBS2tmKdw}Y z{#!dwtddqYnc!Mi=K8(g6!{b(5sH56X*phw3hU};<>aQbs5!@$qNZZ_vixFR>Fy?_ zr5vvmcSp3o9A^*vv)o5(R+>#;LS$v8@UM^>8p$3yX}J4Y9j+5llhSC~`4rsA3`7Gr z#0T6I+Wtr^9TG!~l0iH=k+2qmEKJaVq|UvDdkE5B{8^MG)Yp|j%+7K7j?DDS`Xn%J zt|~se=HUXXK*QmjHpg6=yGl%$I|%X7}`?03rbsXAlnDldet{Zgzl^>lO~j z)bF41DxM+Ue3B~3%a0xwT{r@!opBn$XZB3F2&eQ>O)~8pKCPlN24X+$K`*c4w7Yqs zS=fal1URpED-SQfNpw+hfX;>QF}+*T z)9YC?GOsJiOfld73FtA(80>vgqiG%NG=F2;>m~bR|3qOrWug1;;GER^&4(*X7rB9> zR|1rRtqzX{-%9b`-ec$Z}0GAbt%%l`K5%n2Dq_P>qK7Vhku%O>x2wH z{1S=~xPGxVLLl?$?)+g!fBZl{XsYxat+9zuhWw+{(CVjmB`W1u(!@s~HcWebx*}15 zT#slV?cQvMh#yrxOj|c^?pcx2gczmQ{2`?jZW_ zBXg^R{X>oGx)7=XRg=D^v1=5w6@M&Zbtila2)bEeFE~%18lcvHb;AqkCsDNs6FL?X z*HIAf2`bc3Z-Uc`JbNAUAJ>yp{y%fEIYx>pyF`FI(MKU?t$CiUS?Vj9LGgnvYrYdn zi14b^Fyk}JWV19;gfDW<@``>c6t~~%BN^Oaq#-YwS?hjWQh++aX`*PR4e+0A$rQ=w z=1Iyt6V{~~heu#E5`gsa!5xvB3d3%Zo;C})Z7DWv-m8Ez(tgF8; zzV&J5Ekft!Z^+lK#yjj8ZUArfj#u4OydT1sgU*I!>XrwIK;)g(6Au9Ht~S;Hh{6Ro01?*;0Qkv# zK|zjI2tl{6p=vI>YY2WbzUmqpasGKd)xBxT#l{Ap76ec;3`Ulqc%2*CktQZssYh=r z+J{_7=8puIro(}vt&k)jG)nUEX@_ajyN1o2vlteE8F2uVGZVyg~R~ zA7=j!aE#HZbYYAbBB|(^EbjQ3l0RS4f2LM9KnPs~E%xe)Au_#m=h25dqf&jEEqCV+ zWNH+$g-D6K)%ceDK$kfBuO&w(>r;}Tsc51Bj^eLZa8)b+lXuPJRSw&3Ce^SPoSW1m z(_7H`prdY>QzI&*uEY7QNuA1MbSDZwxLw~e$SJeE<{%)1MgD|+*{nl4mJSj{d};?! zINbHwMVosFp40l377{R%{ITOsAkWu7|CXY zc_Yr<=0u-@Dksi5#wUf7rzi9a`yuydIm74#Hc`v*$_D{bokrukfDfQQ+M1@NRPD0o zHT!NQN^wQ@VYjF>Kt@=Y9sk4y#r_2LvN+i^lJ=~309e1FE#7Cr&~DPR2-2Jg)-5J$ z*a&<>c;52A4$ai-)1h;Bd5|MBcwOyH`L3=3vm72 zI?g>%nTnu%iSfUXc}cf@|HDycWxkZJB)(cG*kf$9E(AhtC?Qf-C%`eT<0IAB+=3FN zq|2u^+yf*N-wMETTTY9*i*^8lJml^jx0gbTDl3&*jQz(w>A*(iUP2-Mo*Wb+N%f!e z@%i@}`wL^I9uv+dk5Q?(@+=tCq+hbn_*(jQpNgM1Z<4FS;z(bwyf4-572M+rGAq;y z#N+Bl8N<0^FJ8JQ|H&7M4g=-2@TC4^SB`d0N(;G^b+f*kY3&RGGwn)w7^I2q_By~( zDzLWZZ)e+)QN90##^cf}DAlK#ekG*Ngwpc!EfUZd)vTD3aFQ6a`QFNC7Rxw)tkLg0 zRZ$}ZS^w#r`YK(l`&^K}gW-9rAbF7+GL)bi4Ka(t;ER$gqGXRi5ibQH1$N<3PAvHZ zqsBumf?b>%5XsfIp|iDmy`+0pbyq(jTe$RmW|=U(WB$QaEx9 z441pwgD5vVPgC~Jyg2Km!FmzBE*9*h7H)cSRlUllba4F6d4sTEzPj%pgO#YfHt$3~ zLhD$WDXQlDLD`N&XBK^qY^>BZ1PLr|t#D(Ozg@9h^ckodTL~8F{juo}SH7e<{4p#T z)cM$?G>8O-JvgiNHpuVW1=od!YLGy zfBPoAU)d+(f`I?om=ZkbmFjsZ*LN%x-EA|0bRm+ptvi!-uEqCpESh%ZUY^3pEsylE z+GaVX*6%i&t@EdWjD^0Z&-hhsrK^WZU(cKHGg-Fzw?@p^FL6xck(?^(Oa@Nawri+& zd0H-N{6tl}0Mn(oZ8ynwVn}}jZ)=avDd~Do8*py<9HG@|*n`y&%?tdZV|)=y8gT0W znkzatz&F7D`dKupmQUl$*iB-~(|pt8k(+M*rEFKmf5?&7N!Ge*{jfyn-ypY$^&hv2cZbuh>irLUJjiANum<|qMlpZt{>{KQ|Ge$_5@=NQ9zQv?~OUc^WFF*k2dzN_qKTSdj5VMFIjBx(7ZK%#wNq zMQEMh2YtmDv+NhfmYH>mDoXcdI_b?c?HM_M{-knuX88qz;0>Zf5 z2>A9_IKq(cfo9x2=NjJM!W&s*DsV`{`8qauSJW5&@|h>~Hp;DbM#FaR(M)*D)}urb zl>~)403tpH>&iC;VIpqoQeCHJ98|_3ggb9`Y+QTU%kJkQ)?L|;ru>>W9`U>oE@!F& zjC8QUw$`#gBN{p#0e;aCY{WJ^y;?nb>;B%HwdT(6x` zBiIkf2sIYbTLG^pl0lLY``*>JXxBwFK+o9Hu13KmM;rli{@>+@SSdiaHR;c8{xk$S z{Xur4bgH3c5a#rcjG>u+SBw%4s0OTJ4f>QSy{~oa8P7tvniKZrCwinZrbboJN<1;6 z6AAmIn7t{^s8S!iCAqY|3V*^}V|IIRmf=o)*LTW!=Bx~`PYrQB!4VKaha;JjoRU~k zep|&~nI71@beYH)41R6m0DZ7iEe3Tt9+Tm5s5BQNONd?Z{V(;$5q~M6xOClm5a+ZS zMm+OmlgSxDgKl!EAf_)}*d?bb_Aq_iA995rZkA4laK?K5tj9c}i{xyEZ4ZvthEpwL zY4HuKAcdZ`UB3f52jrI~d#Lt9;@YU6?nd1qSL?t0t^kl~$EZZT7{us@hFmHZ_2Ut4 zC2Le5*Sb{6(S63m^DH?q;xLS4b;L?VMX}1jg>n$C=5{eG*zLl5^)`}|i?C+2djmQ0 zYLr{-9!b&amlDbKs`bosRnqbBKx%}Hrhz_JUrkFxLrYyb0zh(bUp;w}M68Tf30E{T z3ktq$7ibf+?GQ17#;!(CtZ>Jsr{wUs9hw7_0z=~7^?{F1KFnt_! zw+z@y0jn6APy3C7M=SoTJe{T0KB{Rplhx6bzy+k8;%coPlpK(Lzt5y_^`A*klvkF-n|t}&4I==c%swxP zWHQ&ppKu+duXjLEpwg~GOgyFzdVn0FiL5M?wYBBIpYvJ`#{XjIf}u?`C^UUC{jjZI4MLKS%uVilP}8K^6aZK0 zJ=9|TenU51Cm=#8FG%6<0ob3OoxfbjQR=FD`bu?n7;%3Z^AA$ZHT`u7 zAgl(IX=gg6%ghFthTUnYIdZWY2;BYs!HaB^=S4abVOL*^cKzezlF?ggTC1W$2s8N` zAtLFWKD&AFHq+@~KmDu9=i?S%-6UAqH^dnGJYLS&^2e1kFL<5usv!T$<=XJokbV)T z5ZQ_;uKTqUGG65;L$S?bBdy&=+?}zV3Hlgd3*1WYS6?5KFvEM%vjB>f4?~{GHn8(q*PW;-}BQ^>Yf^*iK~LD0rz-QoC52hG`LikM3*G<7a|MPg82S7+y=~D)&!z_ zqNNQ@@o221D4CxZUspwwTs&;4mfD&7j%J0k)dx5$l9*}9lxm*wGDNNh;@WM`fay81 zs@N{^boz{#q_GPIt?<<=3obp$a$~Atv?mF*Q zW*o|>3B%rTM>)+Nn%f?{(p<6XjP)Fk53FxOPdhP4%?ucYir6Ll*X2R@IgtjxmW+yQ zKBR$b!EY&LfxO{#k7R=aP5KN|r@TB^wFP-Hkwj&21JuI8@F}D=SM?_S*lhpZg zPw5;g>|xTu9iNO0`hJ$it=r(m)KB9V9yEuqUwXk$!5&vmG89 zN4o$tzf|f}M)MgZUsIE0yaix1!mom~!qs3wygf z!`TWp@}u+`I^j*ByaE=84mX-S27HO_SfRp!brmxTr{!r*UN#ko9k3wzfo2zp-ntTPf|x9%z+L9b{*goWR0qGkxPXWd8G zwQEyr%!}FyU!LcZTi0Mx;IDbV)p;+)Ndvdo`D@Ii>XI;nWZl)uaNca^Na2ddRKgf2 z5joeAdi!oK_fEVUY2xKDSUPn1Q0Duv(}9a-=Krg-Dv z0kCy=3l~L}pBMJLlTWOi8ql#Tlm&XbLE&s6;64p(##gku0upe*(wKCc&P(+asotDs%(VWw@`mh)!4^!%Ii=& z3QZg-Mn>TJKbkpx;ngaK0l}bTC(v!06eYOMeuAq93X@faDjrMbTx&Q!Ybnsjl&Rz`ba0wKSbx&#yISMV>~6iRm?Sd7;JIobcr z^{dB0u;rw&R%%*N)fW`dWAA3CHIIrC&u`J{Z#vQ@MVj2AW%IZGugcPvRqru1Ob|6K z+gGfM&Q)@kTZ`iCBCW7STpiup2rmSuRH^KO5Xy5@2xZMR))c=UrsMxW!|q}HXApqi zy_-rEyQK4?f>wTVPQ5UGDgy`S^o=gj$)o57GCZola1~|PVlrw;eiJ51792JU3Q!H# zZ+_U;<3;t6dN9QJ?KMqX_t|4#{YO^Sz&a!tyeqN&J4GTmY&?6zo*+JDB9g4PUAP6L z(To2KKJk3#ytZJ_p3n>4EBJRXn%}jo1X8Quek(Jgg8}0sN}cI-D2GFpxL7t%*l0`W zHO>P^VH;(+$xsZ`YG`S+A=d(My(z*QKm|izkT%C9ZhcC-w5o z;-86TIc(!rlT9qKEMw)D8moe8P-d1KXF(n7nfA{3wTtG(jDF%SkHC_yjJ47$YXAKX zn7}qH1s1h5s{j;!2PTKiLQne&7+hAc%+LV+9D#V(5=j{a<|c!L3hzU0LWZ!p`RKWv zl;gC4sYNspQq{&)iiiei)l+at`FRm!@x6B&<%EaO_BQbglZQy!cSrb1T9|P)%{jF~ z*s2<~x-%fpmR8GIC$CLry3Wc1LI>qG`V#*pbN?Ul^ZV=3} zXdW z$(+pf)^gJgkq|AOR&|tyfNcWko(e}ONQAyp%ib5jKLTqUG^-R+?f5tqqZ~z^fV%-B zb8EelztAPWwv^YYl9W$Nk~A)l&hY)~5rIM6C4Uyz^}b6@MJLG19`o{XJKX6#%L(2i z1=&N2!uu?L7x*I{j&t~Es=zq8LV4LAm>SBgy9T- zO5m$+N1yWZ?o#JkG(dZ`AOMd)rh*-GSei}v^w;9TOnw&stC$7O*Q8v~#vuW8f{?*$ z{j4xug0z9mmqr@u!v6q=?l_WBI4$#}U_05Tu13YdvefAA z^;Nm-d_PO$!LNIZ@;X&hoN#z);vLEjcZy~6(FraANA;K?GRHm(j_j_BGa$FjOqE(F zWzBNUp2U&g?Pjs|YL@+*E1WcfWCOv(gpkb$;AN{3wIVHL=Q*1t3Q9JmVSA_LG_i`o zwf>sJmjv>ZE@Ca~`f$gP((4jMKyu(lkvZk8u8ro`mo_PF7k~M!m7Ar#Q>w8|oeGiH zPuBcGO^zY*SQ^<6MK8etD*aF&B9>nxQW$!$lOvmcWLwlEk)qwb}VDz@wmN| z$$#>x@baIadb^<4PSx>QEh@$G78xI|9Qk>lXW5Hi@kiA|gvE!AtO-U3khZYFnRRKk zx_!OnL~#x(=+z3sfz zFGDd?X!ZwgpW_1Td&*XF%?UvG=)}AZMcuvJPCz^IacDJ*-s3Fwxo^vpz#g103$`Za z6kog}M%If$L{GpQZm zKQEFETwOBfI*gYezXMu~S@@_?EJ5A0IB~DM3*_Rh1LwUl?WDXh`Z-(_!}qs?G8?AQ zr<+(0^fJ7j%v0tkund(w^ba!Fjkyq_C@&f=lF{;(J39vt!aPLqiG<=Gex3t757eo+ zPbsmo7B@WVUVZC2K387E_3T-HOxd!*VT{&r2xAHf+^7$V&ENoihdH!w#Z*F7o8Khk zn)8`%+I`;Gj;8dOD2$MFIoKGNUT<hIlN~whqE7Q8kn@DfRcf7EEQ3-%- zUfph^fUKJqtPklNd??hffe8F9v{zWgwUjA*5Xz`MQuoCpBu}@*Rd!(VzwvxQ!ytda z^dfK+sx4%nJzW6_D@NC`*v}vI1I>6^=q>!dhx3O9Ez!^+(MS%JV9hm{?Tb=P>wjB$ zO_!{x;&VVapi{PYeG6^&Fr<+cb4=JePWoTh+ zzB#^WiA_^&X--tHuR2r#ON7Z9^?{?UCX|U0sH!9E%?@MhuX+VuXq-(QHRzx?(l0B4+>VN4$Z&9h{^U0< z*Q-F|&_X$E^6fDcRIy`PnR^VaICT5{darqPvcd0GGH>}KgoT;GM)Wg|?PjGFk^bef zicJnEyMLqq6o41jZpWkk#MZI+!T49PK;rxLnBfs|MXH{hHM-gF^i3Gb+0MRDrymr7 zX`6n|#h~M+(Q-q7PV)G-V2XfnE)*|$D*KU)?l?Bn(`9X|S5_mFvMcF+Wq6v&bbF(F zbUF`vON3WU1BqS`hf0Ekz&hs?ierX5TSmiplRwq$VH$4G9DxK=Kr&!$@M->aYp0f? z-QhhdkCo{u5;OhM>OoneOgb0*c4{?Z!}b!t)$Q<@I~Zm+xHWO=l#$pFpg+D6%O!wc z$=7uu;n2iB)T?K6oGlyYQdj4Ihyz7rI!4(iT9uCMu>Cj-AYrb&_Dv{Wm`ftex(a_S zKXy#g2DVVv7%#yUmaMdN#gh!4V?%(TI4TAiKq%@ye_QH*08mo8sAnt;F2PeWHP>Vb zFR;Aw?=ZD9VZR;~ji*7+NbrI-V4MxZQk5w6N7HFTu)58JL&6oZFzeiV{w&1)Ks)5s zP)EZqJBwT5B#8%0xH`9<=BDVDlqWlE zgsZagf-iX34xyLaltIt7HmPhdvA02 zIP#ng$f!nO!g&hplF^(!q6#nvT8?XO18?NpZjq8Co0i5I?EvKI0NrMn48BrSv~1ab zOEZ9EOrnpGw6Qc0Z#5osk;mTR-B&2E-dUPr4^Zr6sF(+PF5ey}+5mQjC3JbgiQmws zxm{b_2U3g^7F5aDnzf}@09Dw*5Pt{^qu=-*16W49O?q77QqwmckKD4m-(u^|@)(!= zF?%ofY&uH04~H}23Ypua!JN14=XfH6Uv1;m{_vrHPC*?(h^E@F8VEOqsJpyS_e*Ut z5^!TAxa=+W3SZY);L915Lh7Bx6HUq6VNgt-P4bO5)ZLmwdByZ=J_ZzZdv2lbeZ;Eq znH>ZlAAO8zwVb3tzAUeJ!pGXCQ73@2FFi|^Y>C@TBg&CBY!4W_{3HqX@mT{5m~|>r zXDr)jDe({}b$*z!y($iCY28eH(Nj5g&TN|YWa(^Lr5#kP6vfWUrubjdo&b-oj{XlH zSPxNqR-aY3N^x=IrM1KPBhRG=0$%u@2tmESZ{2sw8@sBGyCh^*-ab{j?DC&~eP`e) zhZI$eIqhlc%EOFK!Oq7b61?=QsiWQCS+k7MJzU4l;Zgrl5pfn8Z}tZM_ikA8YS^L9sI{!jZs1 z7&Cd`UpQEpA!zijRW|ShDCQ!=_ZtWS-MDtHB00PmEL3&pz+iTSViI6)?*W}L^3WZ3vXL|C02ETgwCBq}yrWQU&01-z)vCpD^ zVBX)?x_lKA1~+esxgV))Qhg_^X2N~06~^Qai_+gYkSAw_k-kpQlh zTUwPiuyy4Gg=6J^MvDwyFw%krP0kPRZ!Pg?me}o=(D_R!SeMD>RQ!cE)J(NePO;IB zAgBG$tPLi&p4gK;h<-W!Vo8jvbthDidC|E9K3O?KdHL%bV>iW>)a6F7S@9^=?-~Xi z^#V7>#;h=^072SY-zBVC0IzFoPxLiCZD7e~rRU2}d1I?c9i>$ealXOT^<=IRy9t0T zbSOC(JTlvnz%{?5k6WW=Ij8N{NsCU{O0Hi2NCNot4*vO>euh6(^0KnuhI8iC<6G1g z=lQTz-_3Ljtsb4HgxdY^a(S>y;+=ddf7~efI5gP$gKNzNJsc?@ecMfOsK5h>9;WK& zdOd+4$tj(0;tJfIrG4Vv!s5OWkQirl-LjCH*EM;zob@&YLZkLcy(>Qxed!@9QVns& zXNXA>)7pCdZ=(qqaBTj~p*s><@I&?WLsX6wGCJA#S3kgHR$#=P*bLIP)J!oD+Y&q4 z?zOhN-smCaMB95g5!qfKHECtLE&Fh78$e|qSv(9eNUT$x8C?Fs&@qWGgFt@3@Qny0 z`T&9fe#0VXsQe;=@emPTgPxq>p4L6BYQ|^htNvzC?aWl@|VE0&G?M-2g7DhBH|t!Tr{)y8`w1)8+wj zo2dh-bt4Pf1&$TvFcuJS9Da@u<3u;GKX(>3GQVvN^nQlOP|}lfLVFN+6$)gOpc6i< zJZMP6xRC+vPUd=zrhS^^F1*+;_c z*W@#JRv2eM{Tj2BvcHi^9;(DN2`XwjOsY*{R>LB-v7-L73?m_agqbywc?^@U(B+o! zI#I?Z_ffcbc>Y=9oBH>@BlCj3W`ASGV^1SHbR% zg+q992xFAb1UOp9|8usck6^_ZDX+osUd&2tPYC@K0M}=1THNc)?i10M7M!>sxqCKAC9?Ec?FG!g;?_ANf-|RTE#YptCz4ie} z*m>P1EPZxd%XvjOlPU+ckY?kQiff#u|K7DH85%#3u3yB%^^Lx!XjksCl!m-jj>Hzu ztm>-Yl$t~X4Ce+Ym`^#SJ-}9D&ptT)+3Cppz--@5(m3T*wsP*JrptrKRx-g{M>eLo z3CIkS-@z5}sS^H3?K!SWU4KOcin5<`MS6gbgyT!A0tqmxOtK=VURF9E(5rK$=X_Q{ zgHD+0_cx66>jA=mMSc8@yoyh_Kr8$6aw>du?ZlS@Kq>X@It<{XvI=)Bz7SJFo`OnI z^^g{C4inO4nR}HDdF<@!)RN1-QfRtdMD5b^e<`I-w_oYi{5@wkQB=bxbXmR2xqMZS z;E8o>3Pw|DUucZiExF>8K**sw8~&Gx`P>$bgh$-4`0K?1sZ6*r!DEV^mlXLZ{3|ZWM|kw*)k6lNlYV0L@>Ktxw)#Dy194+5L0O1N~R;|Fh`M zo@7;O>B@XkX*qG-w0{;joU`|N^TpA`?)p}Xn`F#lc6*Gs}j{LM8O+`Bxd+iPYB3sGr&et&PAshNTR zJDtN`y?-iZWZF*%c^*$9pMdVKE&y{IhEZ z%hSI&tBl=%c!wjOzi8Vtx**13j3c_lOsXdfnB|is2q}0~JJgM0$&U;i0z4X{D?QTM z+=O%-(ZzbcSeNyZ|^wEm5$wXPm}LXov!NSOjwh`n?dfk6%GTuuL-7Qo%SK3sG^Gv z{&&5nnpL{8tR&Nb(Ka);#$C2Qar?;l?3)Ruv(2#QwI4v) zq(K@HwLBJflaH*}Tn9v$=y!FNjl^>K>p+&`ex;oxM-|SB-O2x)Onjl&k+Se_4~&x5 zetrWjWhB%^yMGeLnRifnrN$kp2(}QQ@pY5J?8@(~QT0Z)O{aiGgdB;JXIpwSUAgM& zA7+s^KhK12hij>dtgPtRDDom>>5WnZnM z9_!}~;=9Wt6RoFmtRY6VIuxkn_CWP>5a(g*$2rQ{|C75i+p1Wn zGpgT0Pj*(nA1(OE|H4N6%C__Tj8l|rCQA~XIte=l0%kr(o}(1hbXpH74S8^VJ5om< zuC1jlaYx6q+XYwh90Vud#Q*-=ZcbPF5idBqtF+h-$XT~QbJP0D0UF=kZn(4;^-BWD zMK;7|067`K5@RSprI$J!F#BS7_QpoKzOj0$!}J0E#=JREW9TP%DnTy*uXpL2e1#E@ zcr0xjVB|25+%;$+Z&@SwU_6Yh*7qGGyf5oqro-9nQ6DPpMmRT?+WRle@?<)EU8vl=**`UvH5Q7C*%t z0DZ9Z)gOmoM3oUJhR@|wQD(njj1l=Ik8Nnd{Tj7&8^Kh5W)-EU4ZlwiYV-S*-CR~7 z9LMLS*+;w654;`^vB}V4mdNB^w&q9Nv;57-*A_%w;SmC;B4rt}_3`gGq!E9m3?Tc(+g>RkeM zUxrWGG@%iXCKetojH%C4Vok>}NZV~L9$BLNW2}T%m-xAxXY2ba%(D)<`2z+3?66@$ zJg1g9&&T|0CH${u^wsbIQT}YhOt;OL2emNXh7(jqf$;LK>;a!KG6n=uMkvi%nyqjF zeAWL_tGj`OX7`Z=CgGIJ-RtjW13yw20`~BINNw-6e~a6hHE+~5f5i5($4Ozo3M#7# zG{+0n)IHvCYoTi#J+ZIiWaxy-4Uz|NzL`_zDWzT|?%fTLwquLH7HPhrE~`#oI2*ej z)Z^ARjsXP0H(y8)%mRSp%I=9i89GlhBlV8@(pqx zmqJil&DU$c-@yXCtwDEKW5P!QfURKcCtVJKWf;y6V)as>0NEeo`4>iF!SkFo*5kNp zRcO)2^$n9&?2Ts#=*x!%yML02#b7EO%SUFn5n8>1$#_7bFA&&r^+ee#RzuqjfeiqN z_$2Hu6R3Z$^fg8Nj!GLLvK3%E0%m_|ZjlcIK2qh!&E{jY-+~{TAKKi%S^DYCUOQc) zpUCt6`gAA=Mjs3f$bLQQmWFL0H0dQNhxFx&^P8msHKdFSS7Edh)y zN--#`(Y0W3*=fCa63qK6UeVuh9&6~=W}s~fJtq)vCS>M)?U zzQW<3xM9N3RM*iPP`xW~N(m-;=i|mbukdS;fdv)eeNPZa;j(?pR^6v@e=+&ov=>|4^g@MwOx5=S{xH zXjVlHtmTFUXbK?7U}3KDb1uxsa4$XJvw2BjibjgUa895|KKPuCVDtbBSzI!J_M@1K;uJr0B6~!8dYUp88lj)Wu%qH znUkdA2#vQMxZkub!Y56@SIznF4e%Yjklg_-A-Lywo|SCK>)Ql0>RU;7OieX7ZM|+m~v6%j_>ZvG!y*=|$Mx>7>B8m$y~dxZ-VO z-v-lz3c%J|*Sh4_mmPVUP^5Kk2&avs(QKj=Is{+NQFR%y27clTmu%T)sk$|nl*vuk z8q-Y;xba0<2aKHHDf-2f=17Gb+Aoa5RYX+AFJ?291p^T|iEgZXJ6o%_*I5-BJidcu&MxM!u4Lu`g^1y-&+f*6|km)Vrw%i71NquF zCeqvagzg0j&Wc}!k>;)K*W;(lL$3>rRj4W=d)5?yc)`q|O8%le50%01};59*d2FUVf~KX=J{?>!m`M_S~~XFpT)PxzAYV zO8~Ek=hdy_({+g1%$q@H+sM}RmjQ|ht6d2zYssicwerERUb!jGuyaHAnlz@#+-+JOrg|qd*yhzrbkRA>e!9>SJ+PlLnm6e z$4Gzdem`IJ>ZsbP_4%~fk%i%QoxO?F#SJd>B9#@M5}V%Je?;=9zFqlM%2|TY4oKC>e9JODIPEAC zGop#=b-8p6*p2hqVcZw_`rIe{zCni#@7?nncX3+7|5AU%-<@pTy{mC-9Aj_|nE>mj% zMft~={7Pm8xE5RGRHmu`V@BBXqc-%gtR`;_Ih@is%;{x=Rg_s8H&F~zpOxkJfLkb0 zcTR_a4YR2uNYZwnY;kG16BHWoAvyQS4!7E3yI)2s0Twv8OYmIY5dxUpAh3v-WEcR^ z$veLrYNJkum&?kF+mR{amU$w{DH>$T1>_f8w1s;G7<68VRN-ln8;vj%-XfugbQlRD zNBj87)-<)U zO013s5f6=*qdL{5t|POKrUH)5XWfHG30ge=Chy+#p$TFE<4PZBG39pyQm+-< zyZRUxVO2pscHM{pe zoMN~N;hM7`K%hcGz9!)GiQTZ$3&NJ+m%Xm_qX3y*p@Fjqm*bvpTr00*fa6v!4p%h; z+q%lc_isLyvW(&n9qnBNU!w%N;d5&HJ=TS9nB|buLOyn&vxj1m{z2t_hT-}OdDWlB zQCDe|h5GkeZQX7bVs;jMjUs5kUYH~UFjb-T*;b)k}y_!IeoLtQ)ykC!dWL7_W z-9)$|=f*Y5AAs)u?BRqj4W&BSZXd4gIKN=ynE@p+w8fUb;dvRot?7r$XSoHZFQTE! z5s`-x@tJ|C1*diFT0{;zudP#d%rr=5X2O|O3KrwkJ*zc)lc|pzR#V5i;2O|35V8ZD zR1b;wGt^PWJuokRl{o1?_urx1SG~7*&FeDx;>)StX+|a|eu~RHe;C}-(j;Uow6Iw& zuy*xqqriU7likB?rt1*12~>HCQrFBUM`VAmG(P{M7UeeRFiCkY%d6WoQIn?BO8g)! z-Ey-F0zUejCRjNHTubebKN*Wj1(P}XV>%2q5F9%A%gE1CVqZ2))j6R65s5LG z(Fdl1M2jpNgc`DR-Qi*;6trg;Ae9th@feWW&7;_{sI~!{fG5Ta&_8l?$K}T^xxkdF#!x z4)>2~B1y;X8qcyKHwnIufG4Vi&~zmy{`rczykB zfoo7=lf+F^@;}aq1!=MIX~ z5pki4Yb0kZa}oXDCKeYD3sCn>$Wze~ z*zVGVOY#g0ZT#A3cpu6`9Aq8~D$TqlH%ZkOE{Vl2+jAqIzY)}Obsm-0AXJ8X5!a&v z$cQ*$P-s}Z%vxY@nNRGu}L2m%G5AXaS;10Ja_b9{=o`{Uu^>S3>DV+ord zjdgheGU_UD5$9duJ&Xwti!Pni4;Qhtc0ctq4dCr*$FK!hpNaDVe#QZ`p{sHzhE0vO zP)IT=vZ37@c*68@qGxR6Yr78t(;hoyJ3D{|zVle%whD$`)`*`)oF%dU3ux4g4v4zRNqiM#83Huq+ z-pK@gF@SUTs02RjU9q@6p_MX79R&9(7`*KAq%pVV)}TeN;0|-I&M6E7NaXu1bS7|| z+LNdT!_EHWw|1CyYhz19UOM%Z2Fg1;Ol{M6JOd@ zx(h}*l?|dEdW)Q2(;`$>A6Z!CPC?sn2d64Ld0s#WiGf|+YGM?)4vXVx0YgP7obX)7 zx047GPl2ITsN^}baUn;5s&D>}8Q`;lvls4})k9nqb{i*u9Vn0{W{wHv25)__ph`fG zg(7&rj*HWc4JI!6j|qzCICrMp*<`&2K$qqQjF#vA5qwekfpqkj0V&zi>)2Fs$hyx=_Ph#I zE}@)n+-6Y#(H-RI9R4%)%1kzH?s5gOOnmrg z>bK7l^Y5t}j|(KaRyfc0`=;Ak_#dOu%pC{o4@GiMR>>jifk*ctyGm5*r-1W zR<51vKCKs%Dgm@@%O(?OrnErblg0@gg8+Vxgo0)a4~LnSc;?7_w_O$8UyJE)`?mkQ z6j?jkOtoOpMS?9|R3;SF!FzeoejTaMgv<_Z*t!QjpXU>qP5tr<>zxrmftPpw#QCTi zAU=C1l6TJJ{Et1oJK9LEmB9u5#@7o)#EdsZeT95HtPm$nf)l4b$Le2Uivv`y!%lQ= z_(&mC83GsXos`M*rgy`9tWP?|J7e2KRm!X+u5j-jUuL7uyS+mk&l~oT;XNhP7;u24 zZ!R4Bp2)YLh^ec28iAE-smRoAa*Q%QMGCp-@pk@y6JN}Qet1sjPSD;gAgvd6l6=-06Vg*ckRg9i5$;xGXGL>i!z8#q!1t=hQ zoN8NJO&2>=8)Ca;9I3BpbyIGU6y6{P5sT0{yR#}v^btAY7cX>$Jz|hYbV{cB+>V`K zXVykd&9U2t&?>Mw1%YjvZZ`8)j;Q$Zn(1MK-|X@=D5v3}=|{tLPVpA{h1n|S?WQGu z&WESVK|Ot@2=%=Z97!RL&OTF>uO$;sS9{z;o`a z_B-wIA!t!>EK>PAFro;c^${m6IW3ml0}A~FjQv{a_q~Ybm}tLL)YG%1q!kZ_`}P+g zj3bY!LQ7}Px@j{|!3km!ZaW(03&+=%>H>x*L?dmY=@ENPOA#v4BmSa;B5V1Wy?Ee3uJT?2X-o{yM>X6NVy{PZdO}?R$ z0a2BnM!vSsLkU~G3qeaFqI_K3a+}egA%V{q40q&*8qwc{hxTQ-m)>52T~5C=LAj(Z z{5SyO#;tLqvW}UNw;_O}BSKG6+eyv4P7$ruKeg9P4-W&ha2_>phN@Zvgr%EDLe(5>HTfegZ;Ega{4a-Hre1D@k}fMd z<}oC|%Cq){NGs-%%ls8lJ_l8$L9>-$cUp!3Y~=D|p7Z2%foD`+>(jwaAb{iJ+-7-5 zz^DX3_VFMu=rM*VWg`?a-$a37AHO^gGL|zi$y33CABS&B!2tl=opGbvps23Y<==2o z;EL*lo0ehVaR#593C`2?e z1vG$}GgVmpJx*_u7y7Is7oHwUc{r;8kem`kz0Zk0Lx4&lX@y?s-fFvzrg2L73NKJ? zlYlTze=wtyFW6x7>#A_>xEdU&71_iFUlR?2t2`y&YrAu=(Lme&U%|#~%yz+zG*oYD zTN5Bf0-NqR-3FoG%iZxmQRUlXRQ12B5y zSbKNm55mVSSAcNBbx5okoJOlpsC^ErwHyZk$l3U6NFUdvBSBoPL(CjfkO`FQLYvp?mcJtkK~A?(^iFK2z=-L}${u+NuAKOg2jmZLN~H!%E$g zK1`hEuv7+9+vY1jMB|-yEwy)s=VZy}y$4TtC56cW2WK@9sjdJ*I{Xik&Z$U(@wOA4FIUTDW4Ql^GH&MAQEOXgsOWjmbG4 zYZfSIwAx1$#1Lu1g5`NhJBPFo$i>1hF*M>1g!3iXHqj^H9MXHPC(N{|SdOvV7EbVvCB|Kj_m=o4 zy{~MeBJFwE-QbzJwBsZ>=Cqs+*T~RN;?W6eSuuPTli?A^ zPUC`hTxw#`P1snHjjadwl$vxsfET4ZX@F~OGCn~6Ph_Ml#$c$D25O4g8Irx@;3*t4 zw=R8A$eP``Gt688UR1n=AX(75FrYXtL#@Yz8P6->QqQ=2johXT9*ve3WY8ww+y`!u zzyoTQ`7;yXa@LjTlev{gShsX$bGx}Mser5#+#_q;{R-({Z*xdo%u_^dq51lCsyTO^ z3Ijqlmbg~Go~bfkzjmFh*49&OEnb+^Qe1Q)e=!Be`{lltd|vc>=OX~vEXOM?ZT54X zKlLhzYiK|sD^adO%!FE|`+*#BGeKtoF#ur)oggg`ik&`PPp6F;C#0J5D5JB&W~uKjF%219Q)9CfcA9r$o_J{ywOQ*l3?~XKtyWS zpSHFCM$V1AM;lQ4Nep*93-b*kHN40M5BJ@J%Sz+T zu1Wh19KK4p*LEBK`8!71O%hOA%jE(ZrgZfu#El?(p;b4m|JOY4KW9kExo6T4_m(My zVjTBW{-&NwAA5|lrVauy^3UIJYZ8aTfr#Eey;5{UHYlkL@mJ0+3Cz`T19~Kk%NlRs z(b!#4B7Zc#iP9|f?XX@(_|FoWhrv$NDj~}GqaD94iLMU~Doc{IZx(=V6`YoWd=u%K9sul@3 zRMxjkG;Z4$yQM38Z@1vOT=I=S^fd4myKaN-F>^lj4^c?3);xQEozq|=v^;bRu$FrpLaoXIm{-`tzJ<*Xt{p}J4D|mShDN% zIz93%{j+ZFz4mnqLxwo;3);_nDOA>+U9?W8*WZtZXDE)X#V~o--G%XwO334JAY@>h z&K>?QL)?_C>yv_v(P-I~L)$A$rT)8bE}3k-8eY_2y21)R>8vM|LinEyB00D%WG-!x z1WYea5HpvpNQv3=%h?`(hBFwvu_MYbmN0e3scGDaTk*u{jb1?WcCVPgS4n>YuEjMO zPyGq~IRu|$seHlqc#(w&BM8EY7SE180 zWno=PyOg)dxmjuVQVJ z9RDRCy~X1WJ=&YdFf13JW2n<>btqCbx5nu&3GJRd4iZmPX@;?!$pJqaoIfU2H=)A+ zEVXcS)U$ua9U!oaDK9xxND)Rp0Noj*qXK`i_c}Gq$7(DWRhFE=Nj7l&UR=@<2~xY_N^}Wdq{+(@LP}~S3tN>QQ3R7FAjh43 z0Iw&2U_sZ%{m2(feLk!cNhX=vItLUE*3iAyRLLs;}b#6&S)ASxJYd_@s1gZe^ zUECET^Xfg1tHB?i&nRK0OjB@soWbREA_4so1J5mXSaU$N1(;bAg-%Ej8wD-G->CDl z3?FtH`IV7nt~{XrqRn6>0{*SDFCVCEff>%X{L;p>v^n9hEB3uq*F0py`qT9~# zaUPs<{bpxdK83Mtv{`25-?IYLU5)hzY?HxI>835o+a2y6-}Yss9-^w%WBMEoE+W>1 zLvZzImmQEfe`nR0dT8im%Q1J;cp{hOI&F<#l8<%+ zW&~h2VDVGSNjqfr zw}7D1+pP#-L>v#e(^|PqtAG+v)CWacgNhLJyU_H4r~@nT?y|Hnm2u>{rPGv5tkNvM z+sNs!zmD?H^3IdnU?8bR5Wp%dWV$B&5PwUqBkHgE>}C=Fz{|x!&H&|J@F4+U;}n)% zdZ*-&CMk_9l10MxE$4n4-*wH^qQI{FuzP~M=1W!Kxk5_;RmD=T5^Sv>y?E&4_QpVD z?px5g3rBNd4>F2_F{;W@fi8Pb7w$W?^8KlCkmT*%bsJ8R@EkgysqcOA(xH~q`|F5O~qb6 z%U};GI^e(M-cFQdsKK^3UA9D9?HbXzR?r@pY-Zy<1zC>ank+kEv4a9Tn@Ni%kDP6_ z%oH=>K0hdqAJDI|gi~_cpy6dqtK4^5KaTQ9`0?9`*R?)Gyfe?8q;>MFyc6Wl zi3Mo(yGE|-B4BW7aJ!+$ixj=gE-Bx`}H|7yzx*fTZPWo_cmW>~m7aGm z{%ktYK7J380IOVyYacF!`DOh<-Co264Inz=`S*yaF(|rkih*4h=XIOUP|)$+Y*N-6 z5#|AK*^=AjQtf!xGBEMOdy=+f6nRS}-=~-2>|qZty7qbox)Jax28@yUyWTzbEP8N5 z|AVEH-&MC-o?w9#sQjUGxY62f;u&Q~zo^J)cH1NFJYYWjVJmP=1n>FDa$fMp>DvED zwKle3XsS z)%xfHyqNCw7Fr_4TDBH!nx^w1-i#dq6{XluR5cClKqCiX4-HKmay${}3{=5~zlV0O zCB=L@Mx|l}zeD_SguIWbbCH&c3a|ZMNtiXZ7hSfzrc^lhlLz4G<9oi%{z-7L?+xd- z%l~+dX5x|1`LtPsqnw|1m?Wcfa5LVIQSuk{^ph(am+%kml z4cNtk3Q=z*&OoAT(3hGdo(V9em3S7~(%V9U77mTtCK8~cxg0Rr_A1wCFkT(!|3*Jq zctTuK<4x6f@0kgh!dD$lGlG3B7K zRRo%DVN(p%-CFI&J{+p8fhFY|O~46=h)J8+)H=Hjc5megGs~90ZAR^h%{40Jm8vFGV0AygVx_F>Hk4IgT9}L)>_7)%bIodfU0V6By+M=OxxnIyTz=sM?j`NhY{l)+RbKK%ysj6FY$@*CL*S^8)U*xs(NF}@8|1QqZ-+Kw! zA03$i-3If5wXKlpi_k(TOQ8#TO5Rrz8`=obyx6*qe;9*n6xR}Z;p+ zr6HsLfaEhaCz#Uol=J%)RdVj3T+(#T+Qynaf4ogh%v}tf(HGY~?PyXmVkwrQkL5B5 z;V-}Th9cn=gH~SqPdVFm`tF|Y>akMFNE(Kwnh>XsjOw0x50D0qUJTMpU5uMgpF8Eb zGvJWPmV$k#ifxwU3fD?mdv@UA&t!Rl09Ondi6#j3q3_JdC~~EK%ZiSd!;2&dD z!KVZ&2GOn$_~UKhmw zWq1BAJGYkWCIxB-vpn5Tk~90+Z>DxKnJxZ7U#!{c{&~Gg@&5lLjgJF;^L<(U_=oc`*iyW1v!+sl^FSR^3LG1#bREM=PJ?`_#B-q}n zTAl^CRbT=fWJ675WhZSC&ss$}>kSk_4cui(6lZ7;PFW4@`|-|%jU7dDRB~Rw0{qqL zwt2($QLc=RvXdM+>7ZfxC4iwmvCp3a1AaApi-niX=gz|5g;6uLJ{!hf-&)bI} z^?lCc-h3EM)gK2^;zkJ}Dq&7LD)TWs5rPXl3cri^eiT4mi$E>H16JB7rQG{guc76g zrxW1}QzWU1n|g7zcl<@ztdcwbH)@Mp`3|%iV~4G|woCRckymD(Pd17mjW}}?^br!K zfkl7#fb8^fdfZB`(gno~Cmvh7$mTa3DcL!qRBYS7EOAJ)wEaGH7i1$n#db6Tf>|g2 zr!C@4=9beAa-2}2Mc4HG6%VZt-=6Ff zaW3jT80-_A#KY{go(GS>lEC!J%PdjwRf}wv6t3HCZ#0A@0xn|UZ~oYUWDiQAEpn+A zZ`LY(gjAicPq$Ky2VuhcZYM{ju0<20?)nRnn@x>+;zMpkIE6l6 z)SBc(EB7{|1zBBUCc3*@NByW>g|7;ppth*=aP81oUB{CU!y_Vh1747$zwn_I$E?mx z+JZ=pkpD<^EIuHlP+L$Ny|+!eGImtug0CRxR%dBNZDOF1LKT0@9I5a?+8ec^G|^4w z7EYTdf%^UZ&N&%WbKcwCG42AC|17H8?bt`LiN9$~YcbktTHG9P zc#z&Q6ayBf3f7pkzma!}0iB(o27%@f?>0`w)s&Zwfrakw?;DMg%+StApJb?sul6^x zTmP2QhAY}6sYMWB;_yFzgpdQ`JDDFffKo85?8%560{Lj3zo}(!o~fo44LG_EN_zU8 zg!!-k$mJXiyxua=GY)FzdzZJN^v}qlmO3jz-ZLUug~ePzolm^u-l`szR0QDqeLgCrLMj&l;+_*9R5KIw&}k=&ML z8XXk0RZ3Irw|`R77`<2BsR)iwyGz#MXAvC%r4jc$R~rnLaA@4Qos1DdC*%a0{PG^p zV+c^2INIY{?2VFDONsWnRPq&h4kOd_SV+S;Sy4j4RuVD@5hKe8Rlf~9f9WAc1IQCF za-A*)+x9j;XaS-f#9tpeAq%U&+ueDE@{o~9&~7$m0?g)Q&y9)KgOqjMblQ!!ZH_v3 ztxNrEM#&7iNxhhK=@OI)u(7|s^YHNwV2G?GepqgV^;J)q9sW+$b4aO$K(4~@UlGVz zGz9Yyh}}c-lSTgb&ngws2f@c-VsX&#n$8Y*qs^w%G^vO{&S1Q=`ah)WYU}WYJb@6~ znI;`B_ne@-TG7UbUpkCFJpb{WnDorS)REK^7=6_7DUdfv@R1N2kMJvrkbJ<|f`u>< zeZr-NcG?D&i`>k1?fg`p6CQutAW$9rV88l#)>MAY~bI+4R%8Cpc)k>&VlCv{`1`rug z-j5S-qiD);&7>o6Qhz+skR_UT&CR0)1L#~8u0y6&cj}$28hT51_13@>K;w1dv7!{N{Q{09;iHeq)>Xg(*=rrR$G|0JYrH;{aD<>VQF-oev^jF%p z@pe@)(Go@NVXyPw(#DDxXclk({@p}CvuAjjak#+yJSw}-z9zt01Yyh~^4e?gtCEG0 zej-kWwPyxw;{PGuFbK9uq>eRUQqNZ8cDWgz%Z9_LTZnw)TZ3Rji1k8d+=^Gu@1!;> zyB@DA=G4}Ea(p4Dv?RT*y_9UMe`LX9VOg<)FRakewQKrF6&8kVsUD0_&EJcZP_%9T z1oYvR=kj{wBOK%u@jNhci7Hw*==9#}FURxsONby2W$W`};YC&H&XD>8G}!1Pzwu4k zQ54`zU;8O3M35sta3ibgu2(;YaRInRBr0adK-Ztg7_INdi-sMg4`- zTjsghTX+w>?wklpIOCr0;c3QptO0^lpjK%JK!S`Vagh zjJ(!c&D0<9hn_+n;io9G$$}?ef+$N zU%1ww4Ne82Tz^jY!X8}R=z=Q_=;USj=KomfHG$A-X8o|442K{1ag+>sO&+iEpt|n^SPNhL$5wV!XG}^FnP58 zbS#Hb`<2Ve*JQWZ^;ktKu%$VLU6r&5pUc00 z)BQm_0s7~zZKdP zdHfkxyZ4FWh=M#@NS%ou32L*mJyZ|6!)f=q>o3Pm?4}<-4q<+^qmj#wFYQL`WMO&K zAD;Vryk2O8_1^mVv673gcT8uU;!&4!q=1WnmU+)JEJ!AW~tQJh^)9-EGpWpi?-P$}rYWKsp%KJN}(F*DbsmG~mszSy$?N-MU@N6y>#@+Y8ko|BKkl=TOO44RdSWgDwsAXkP4{iL;p|$T`{AWv+U2W0sG*Y zQ*~%W!T#i8!MNr^49R?A9%F9L#g8FX#mC2Z^}(M~`?gb-V7^Vw(C;t+AeapcU*=h< z=8WfuNIGB6o*Qi7lL-kj&OvSEK4@)(z4}Ncds(k=cjfs^k%^!T2*OTzF35rl$mcu2 z3HJfyTCaF7(t8Q-J+6+vnR|bcBp~hlXAklvIq+bovf=sbt+(I5tg$DgH*1UQiYIl7 zHS9)xxW3S!bpx@}1H>k%+BNA=AJbM&m6Oblro^0oALLk&2uz$(cJ8Bx+hOPaxQqf%UYP=*$`&DS)poOMLXZ{FsG7| zdc^Ol!O~qztg=7F@9sEgRSVb-xP-mI=_W8@EcyMuV4#3!-wTS)_$N()IqQCp`0CZ6 zLinG-X6+!pry~gH$iv;>jFK|SDRMfHxXUKAmqlRk6l{>CA<*%%&&x|6JNX0{8vvWf zIrj_cO8NL#ni9|NcE%9e0SLy}C3b7u^=O7dL0TZL2m^aViF$O1sJe;o(EI0?J|QV? z_!m@6u=>u?L{unLluIK2bxn*^((%&TZvJ4j)c@izV6o|f+bDNRL?6>Rt#rMTd<6gF;{?xppY^MI47l76hxWyhmH8x{LEp54@X z3VQUFqyBfQiQBb#PAhm9B9&P$b_|cCsm*3oMp*umNl3?vMosY_LP8j#XK}O;)E@)k+pe=w&dPFy3iF zyD{7x;Yz3{;TjZnaR*MqWJtc>;N-_7gBPY-=x;t>_(!x3F4nj`rPy&P*Cm1X5+&4y z#w~0~>PQ|ZD_*7Dt9abvB7}(CNu-R1g92FU(HCvOc3y^lL1(uJ3{0V7-ozM%_s8rv z8b*5Fy#AJk;>f9D9(ch~iSr*i?n%j~Y#HN&9 z`nV#z2)$7OFnToK9RI1XJK!Jf_$LIuq>aZ0S4qzL>XCHmV{>W?-A_D({jwQW$GZa$ zl6^9?&yf0dQxLCa+NRSh!#j}>{UQ;1-JB8iL6gFV_}^HuPc%dC%CU`_)u}o@my{tg ze1vBH2Tm3C3#F6S;cKTX{qy;}_M7EF%LwlLsl=xnif}u0Gu*~9Xvv(1`_Uy20a+h! Rsp`6r00aW6jALL@{|B5Eqdout diff --git a/fmemtest.map b/fmemtest.map index dedda006..76ebf4ba 100755 --- a/fmemtest.map +++ b/fmemtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: fmemtest.exe creating a DOS executable @@ -137,8 +137,8 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ 0ece:08e0* _finishcachebox -0ece:08e4* _drawcachebox -0ece:08e8* _updatecachebox +0ece:08e4* _updatecachebox +0ece:08e8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -576,4 +576,4 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) Stack size: dac0 (56000.) Memory size: 0001d3e0 (119776.) Entry point address: 0000:7e06 -Link time: 00:00.14 +Link time: 00:00.12 diff --git a/fontgfx.exe b/fontgfx.exe index fd54eb0dd5870f2281d58d4785b5e12e0f24d446..3c6680a4948e0773c3b17adb9a32623b9dc954bd 100755 GIT binary patch delta 41038 zcmW(+cQl)i_s*7(5PQUkz4xxYsoILxjJ+vIXqA_m5tQy$m+Ee{w02rz>rkszvnXxF zD(Vx8dVk;ZyMNqs&%J-%bMEt;=RCLU9jx>{3~)J#gL*-r5E$g95C~fE|F8J}5dU9B zL0maoj(N6Sxlh}XeA19z(vL(!%EpoZ&}Gbje;yoYWXJR2Q-57}S;NiEjgsOJdW(ZF z2D6WUIPUHzjf2B6XMXZ)76j-Z78Gwl&3(PtX&|SpnNJP1X=;8xE_IU zgG<^O<$j(%x!|e-xB1e~O$Io`)pDD+843YQsbe>`p&C4EH^vc&re?mfaZsCCeaKY} z!Gg_1NHq*wq_BU$)z%LYm7)hNSL{d#B%0H?r%c^XHqs^4*zTqF!K=2?7nPu9ux~Q2 zqo60CfaWm`i24CU4WbH>NxB5#hs^Urcz`MoNx=KKkFO-E*WJCU^fHJ(Xbpj^sw{T4 zlF0w;_loQfXSrw{*z9$7QU7`|9yFaJ2bj)Nb|ac4v8_Q13{I#XuiC#yNyD_Rvdzgp z4(J{0Dn2Yo7s6xnnH0ok{s@`tZCEl6fG{{gNj^WxMxOX!Ag-2J+L{8c(^mcbIHZEX zpg@2BlPCNJLy7vOHCjiIfxxKu_@0+-_z_H>& zlcpC3*_~Mj91m*7!@zMZY^hmHVeo+_%K=Q1+dD#G2wi5t2nUm4H4cn3Pbag-^`M5v zL6Al5`P?lk*)&$?@N_l+X*ab>sW3e`o>s~>fN2|pr*UKXr(&8Eh^*S!l6k7A+0^Mm znP*fFtzSP+__Va2_a>$EZ<(G+>qbpzKj9%io5@b}5Q)xpzy7KIO&+K=O%hZ4%2LhD z_(S_k3#ZH|fsKZ;?mzaYRO+FK%%xarc^Zs0|1al2+gSV+NC6hSJSyY3MM>+T_9Hu9 zCBx2fAI`YHKH;yebXlN{{|m*>=a*tiAeZ5rCNPw4zrRgGlue+**!=#QhlHrEC|@y( z)FY;^Kh0O`UnebS1s1hwumqYOWS1^yY91Vp(Ascu&u$nrz7#0 zsa^hE#qFk>fc0K03kqA6qCVW(2VZQ1x@=t#)B%sbE#hBw0m*#D<1*9mKQqN2IA%cj zKI{W!Ik1>r#s)_<*2;E~;BQ*vDoATve*nUoZk=AG#}zyZ%;}j8LcQFJ78KKdZ_>bI z48Q-sIx{Bg9tsJkr-laWly0~G|$&tc0 zrv)9zndL^LBI$?((#D!vL;IfZtu*x42F?K~-hEXL1|CAJl-P;Oak>v!>?jt^ak7C` zQ8R$kcid|4lMpLU7_ZRRH!`Ugozq%4H={MDlUNwF zt5`X!asNAsGIi9+R~we>B{K=q^_o4gQpQ%Hasb&^zJTw9s!?t!~ygg1QTK8E=yRGoE!0rZtY+vqcL+#qVe~bCPXZURa}qag172b$nU1 z*yC@)tEguA{h1bsG|`P=oo2lj!2+%L!UtIQ-H! zcAv75fx#0F4sZ;0F!?~Qo&_7~xL($?N4dZ>;GdHsy8(g1FNcn0SXVv*m%P-QmXu$ZZ>`elc|MPJ zGnu@QOB$m=8!tQUm$oNqm!_2S*nUkGyxHlmzeR}^U|&rYeaq8a-9>A%6J`+hRhwK1 zBedQAoj=1Y!FNhwVvP6Gm272$6zJ&M`=H$_K>zbCf8(eJJ_&f?mk6a*xDQ(nn^h&4 zf|r_6*hmfziDi)-{De~0b-8mL;!n}TQK)t2#@*2MetP0wWIKvpb4qc~L}{GPB{!PJ zrkyI6Ljxy-mlXaT6E4_5jn!XrYDp&~b}uLe2<7(TUUqB?H8Q$dSOe|1tidw4D`?RC2Q@WMYy=R;ZhU33Tf)<$bYLxPoKH75-v zB6N$~^!x+FH|)hQ+!g3ELG=oyx>-+*UJrC1USCwnKxudeZdWmd5+^!^R-IlatCgaT zj5co*IOsFpFn;dej%H9-YxQ+4CUBHu=)ll3F?Y>Msas0lN8L(ez?U|v_D!`m3#+TO zbjxcLUv-8h%hL`?w5yhbN54k{Lgj~MH?I}8h|T9V4e$QaPwsK8m2^ld$^~JcQ{JC5 zocRQWgzRf3t+Ud=37d6n-{kM$ieT^c6`ma;ZNoR3EbN67sIhG)~z+ojE0@XlJ z?msuU(>OZ)4UeRVmMDv%_;>p2lBjJGGbn=}wGd)7ci-2FB!K<^2Gzz3bnhHkIYRy~P*?mHlk1E6Iy2`?@kh2eb(NayCh zgmMX=a-k;mmkE3ZZR(wd>%PS`bE=1bp7Fo?^ECKIdaztZW7#aHs!2}l5fPZ#tF&2m z7j%-Mg)pEP{OSuB+MBaVEP~pi5%d(VgwqIUZv9**Ogo^ykJq2jV~-79Qci#tX0GJC zszDJ~8{WkLLAtwSw7^T0ttuE6ua5}2INulh{>N)p<>>^6w3hMXuVg3Ur8Q|Bj_7%c zlO_iYf^ItUES_@z$$yU&w)vYX*v!&+qRYI#L-YIuVLk}dQjaW^Di^N#v%FF=QYvoO zF8K$fZqYxxZhSf{-&^WDT)D7<>~o6i9q4E{;;sesi3xkI3GDqLyDNwJV0q%( zsL6b+CAT>qs%ifTi|EjRfe(Uv8F(*c2S4Dn?8jteAHxO&GobyYv1%W4<3M@8kI74^ zQ2PDjS3asR|58n2Kl-jqk1yTI6pSs;`^a^i`XlCJ$Q3Y#`LQ=G+i5zU&|Y-(y4m%Z zf{(+7_s&OyG1k}TkrIkYG3e{?Rno_ajaxoMm zr*XrbP(Mb%g?R=PqsWRPa&(nP1s-pzjXK&|J+gWfOe&JYKqxN`>SRG%?7>^TJ9A+FT1*4oE7&2`2vcE{PSGX*HlMlSQYS?mmwe;h;t7pcHCmm}d25$*4gHeHs@jAR5hhoV}ElQW6P zi|0s#ZC$L~?Br0_vA}Z&onE=iFhbhoh7fJYBl?Vi*BT}^S$`u;ZqnXl@2qW>Lxphx z`#~DFd6t#z_8N=*573(&FO}t)np+B5{kd8Ks;{o}uIO>gNZgSNDu)8Ly58m)daR1+l$q429LRJist{Q@AhPP!yhuU))C-CUMYkdQ23fbno z2{gUOTvsZN%t%pqaCF})n3ej|#ALItCH7xkWy`AK^-VRZJ{Eu0I6=^AMYlJmpzRsZ!DdO^36>SPl#Sk8`VyKOk@tm39=3x3qA<40hXeh9nCyP+yF0=nrn*Z# zmqeU6Z-b$WXTpxIBdEuWZO$^ zOm6kviP{>@{$($0WO{{%A!v}{1wTiU%|A$95cbl4PQjXXrlw4EO^Z!rk1enf)2k9+&mwg zKFZz9rNx9lJI{sq2u65T?`|JKkmdLgq+_8M(x{++8s9Tp#Vn%~+mcxL#1enL0VY8U zB&Vki@G#K)y439`9uf-&i;Qx{m0b)u-)IKUAT`V&qJe7DwqeEn$6d#`yrs7W^PLY%3V-g7w zDV2>lFbv66`P1+w#N@#+%>cfqycpTg^?T)nH5kuq?FVY z6H1{blTXjeL;PI4z=VhdGx>N5XQs1DW1byvgVO+_GcKXv1w<=>n4CM7)|&Ew^i|l6 zO4N3#X#7b1RWTY!dLP~xemiyiVJe0`aVpWalaeUzzX@YNdT%6&=|@fBP772e*fu4` zSg(hLMK?kKnW!m25+f@e0|_OKVXLQ7xU^dJa9&d)M+T-i$ocNNQ&ACv)@hk>#Kin0 zvGz!YGz5K3{e42n>49FvL z9$~cY%pZ;IjK-QM)+HtHVfl?@OeP%?{OmaSzr7%8Xsl?G(_h59&Za=#sgu2vjUM{| zyakhKa4{wFeq8DsoAAyEhsGeH2&Xz1dEr;kHL%f(qE)F)KehPc*blC=;RvPIA4|fD zA`|Q))=7M6Qv`pl!UXPCdqMXh`sMjTYthLtm5VP;xuPY0-p^~U(j9KVruIEgOh1B) ziO375e>*W?+8(E8XrgP_mVnkjp`V-t#D98tG(j`vnoI|eAHS*$x3*A~wa9^Hdwfi| z<2Ka}IjJbBEpya==*3i2STq6o_AMzPVun9GA(coU@hh=k7jlRo_ieaQ>d2iU@W%BM zpZ}}96|s>XJ=I7&a-~3I>hzb?F-{2D`Iik1HBzTB+Nkqh$LF?WaQ=*rf}w4-1u-R3@=vH3$B+-vWBnB6 zXe!^6ld3|+@xQV~@_o}DQc@yBi*PE^KN35yXluJy9VK|Wae2tgrE)sC)k1_UbMA*^ zND}MiLtOigg{!$h$;EoF^rjn$lB;W?;`}?);>F^%s!4Cy zv(h{*5ZQ5?=<+d_6Y3!?u7jz~_eW+bL8{L__PZ##cOVIa|k}dS%^s zuc{Z392p~hny=s6tK!8Cyh->?%b~!8Iq#@nQ>$r7_lz((=NVe*^8Oi0@<13gU72W> z(=x{AOd-YZVaY!trZ`iFG7{Cz>jkm}Md}I;x3O88jVFWLt$_qq<%q zl`oHAG_WnQ`Dl`zzGS{z@hj$_CiZvkY=gk=IOf+Z1;!Q*b8SX<0mUB)~#La`zEg z=iqC@w^v{3+URgJK4CowVi!GRgZ1Cm-_ADWX01aHDgGt>ZTd<|v!tQYHpkCknI;>d zQ1lGB(9TX;(_9^vWcM=hb`*W%G0H zN?&k=%rOun5@Oe5DD7Q2Rs$ z;C1eb16%oJ!SPq7?0UPX{F6L!IYL&**+|ADYz zt+TCzT-VX072Hh0rYBg(js9i?VU_}SRQ7Wa#{ov?Rmhx&xL3saoX9 zHcqPMqFlK<{G$5+Q`}vC@u&;jO>m<4n2n35Sg%+i6;q+o@+tOF@T{h3HaQPQl6!1E6LO-Qq+NfzznN>If(+k~HctBVY5+|DgGiXF}6{}o!Bk2$)~t_BsS{o(C)fF1P|0If@Xk@wwtMArjl z!BP(Hd2C974HrY~*JWq4O)_@Eg`vYP~;@R=j;Bw7HhOanqPB-a`x7EgUuI^L9>9+FJ0B)WpCp#=Po)$RA zHPh1*fbNR%-e(wDo+m$W$<3gzxxvcvCKYJKJ`1 zHI%z#x{Wmr>6oc7s`YgVY~m4k)6bp%j??rb(H3}Kts>vfQgvZiTB2{ni_Ou8pKyX> zHbt`=_6k#UB+Ml?cTBkQpF%f|HdupuPvzzA9#g#ENT3CGTPXBBup4iNAdjt!x%>9^ z&|~NsWt|EKw-mX`+=j#FehAIe8SoG zg8wyhe5WsW`uI|ZFl?v^1=b4l{>B3Y&K6yf#EW$2Avyaa@rFa@0~BY$bWiJf+VLy4 z1R*nm=a&CI{@j5fq+~M3-p~e%d;QeLvjmAF6DfB zYhdQXt}}txJB1rk=6wu{yR?3_ww_%Gnrz39J!RBv+3&MCDiQJz335?EnnpW+c`-?0;fZYf&--$*}`2IP^PZ!~%uwqQu& zT2`V65`HP#yP4>^7ocYRZITN0%imjfSKc-GvSJXV4_(!#2m2|l1}#}>%idk)PAPSW zjvKnsVXAMv!Bp5N4Ql-C5WMco{VSg9yUusN$q#p`q!hZ#0@<%VaH6X|-K1+gh%1Zp z2arIu3GXSgFG=4}x)1e%lJZt(VohbhyvD)>g z;s`#@G_}rtiTc3AXV$mFc!N^=)+fvoYWB{S=qU^3Xt0G{j5*3d^YvVodXI((J&_XF zWp%v%cRu>TA*+XZc&@TI2)(on=iWfaS^yiY3x^8b#kOm+N|XB#k*0N1S_gHvb_rH= z@@)?GepxVk&-guA`mpTKc%1SV`qJx8rWqWP8Ryoa9RFukL`oflP`|l2fJH`sBM2FH zu2Hz?crKlvaY6b>)%uvaWYFiSQ4r|=-$pT3;Xfg%Rgph>P2C9`wE5g@_i1w$aQKfX zlv6CZ^x6j2rP|jI&{Y_1^{^nmv>e~g2i+?YokFxWe3H*1ovTRp- zxC%Mp@6X(3LPFj~M*}ge*5s+vT<9Jw`xE6?B+5IiRbRa7tq+Q;S#Ou8;I$gKUU?i3T?Wd2!B>y%Tozf7$0)eby@%b``QC zPzUX+tC(>IloHnj^aAfAL%>Q-T=bGL)iK;fxj|;I@pI3_`>n~(=9NB6#YY4Ty5l?Pra(4*uIkkNwsdMt2yd=CADIW0Clm-*&WnH?wBJ%pYiJm87SUCKD`A&>dd zvf-!0hh^J{?HyhkAlYQd^7sw1^1pIDU!EqXIW11>doEXD%B^bO=MaK8BNuuf6K zW9=F-aQO=0AHyB8^QW(A2h#1}HrF%4gbjB}{IYNy>}A{U0UQ)k!lkr;iR3yin| zpEEA+H3hd*EQ*<>L9eDy@w64x%4vQ6ctklDsR2xs49g2}p-YRYgsZ}~=oeb!m9=5S zt&55xKB}Sa1=E=k93LB_wqDx6j7`cdk`%@LSEY5CZ+3>AvXW_^azGIic9;K?^ML`k zqZO6^xWCC@`Th&Z2;-gT<1iMDk5|a;|Di+@QLZzogIzS|$@@5wj{FZ=x!m@`hzHlhls<7JN zr9hBgsdT>fiYM6EiT&AfSbX_<%B{w0aE%|)Us~F|Tf>>qrV8FZpWq_k$0@1~-F9DP zV)fpa`cN&DHJ8*wFUgOt@8$fuNIL#zBO)DbLpZ)xqFzjElsC9->gTq zNVRlg->|trmRs>91FV;ealg0t;?F9VemU{x59K1bA{(YdjFt!A>Jh6Xhhjh9AuVZ# zips_}R4vL@Nllyq7}-kMmK2nBVJ}#!!sSVst+KDj@6iN>*=>?lBxG&K zKbOlkZL_g?)PTK;$+xAgBCXGKth*39Sl~}wlyn;$!5;vtuJYrkLomG5Z_DU) z->n)F^mHLsdjk6g#W*;%2q*p1NVekMGOOpX1pdZQ3qFoKI4l~^-mggY{)Q+_wG29! zYJM`uhkq!dJb07lmu8Y8Ce zKu)nTU=K6~k)ZRv6jN%G8R|Z{(F9S=V&TvxEp`bDFc61g8KT;*$%YvD{7t`Ve1mnZ zE~AZ4AssD(^yk!sWt~8@?I?6oG)qz&tr0Z-Bmd}>Q*A=>c@*q``uNTpm^6%guFq1>U^rClB(t-%3M4Hq4S>6m+kEAt#FfN#eypk~2k~JVyyK8NenI!m$gxRa~V6 zCk3-H7$RgE0{eCi13CCmJv^oOee-gwzsS%err(*UML3>RXI>6n?%*9&r~}Q7N7GQ0 zMdRfT>Rf3~tgzV3QkPPQ^MJs485#0$#uMZE1am*7W$h{XoB1&K(3bnIxtXQRqJNeO z-4(X-#X+8%`H=|N)s|Yq9X_)ws?Q~W%8E|74NG@V+xCny`)1lV>zw)|zIwa)a<8}P zr9p2>0-p%B?T(aE-*6i2Xv56{g82SZLxt>Sy(wd218(R3MQ~p2<%a%itMsC0wK6UA z-`!2SaP_&v#5eRAnEy-dGZ6Fsw#xTkn&gjNVP(ftx?Wwe6#iQsyYM>1pwM7Q5T^CIS{(-kqIYC6 zwc8a)f0%nAQ*pqmzncfKbo{b%cKv5g#VCZ1%|M3AKK-rfS~Ws})-=nbIk_Wx8>i|qkvsZ>C336~`&^77ht$Gp;QP z3#-jc#qtLpq*#Ddv_MS)5~jqQZPbuz=-bG$I*d2w=gm@gv0!S=ikl?4{Lg6|!T)N1 zvrZcICq~RFA)C>XaRBEN1Jb$PiA2MC!I~!b0)kSW&#zL>C6fTR>};Or!0v2 z$Y3|GXeN>_(RdvFP;%+fSnzRFU&}@FkcZN;3PP+$n4EL@_4@85*ZQblNVDm>)JTL5 zZ%B#V1}JCab-dR=jE-0pPm$#{IGVFQjIqxN!OZh|a?}WLJXroKYxstN2(jn}r8IxE z%lhYJ@m~%-3*1t(YIMZYz$tCyF$R8A;sjCNqrYQO)?~5crj^-6EYIqyd8j{E$!87=?uG#**TZmS4h8OAT<|gJ_2|1)yDzfnM%b-j0xC zR)Mp9a`RMos|z-)+9vc`NB=wD)B?Q4pa=3D*B%j2@k~_uyf59WkAZ&x&V6P&&P-E zF3{FfM>_nh>`l!6Q(b4Pj>H#R%#NEh5Bc*re`1&h(}ulw7MBTu_C?k(37J>r2{p~s z*GhoYqR>?P$|7$>yL0Vg>!Bdu(7biVWI-$>@C~$eDtodT$Xw}Y?k@>U?wb0(^!n1% zhx@N!6XNfrMUOK#N*=MHDKl{0_{H=$btWfU-nY4P$y2MgG&%-cY<6MF<>X|UXrxb7 zt&n4B@p@_7Qc1)2(__9Rn!O#}OkZ@0Sug-L*3`pepCfNuw%_M&{o?OARa&MYWZmE8 zQEWJnDrw26EiJJ8A0!Nzl#CAEYSFfhaQ~jg_ItSWYIv%ePFeWycl1Bvi>({0tQatC z{8Wf?gw3ID#0A`9&O}(Tx(ImKleM00rcS9fGkaSkf7^n#KWOzqB4K)C-O6#fmjh52 z#AZ9pQJTyA9JG;#lP_+~ zDU#pNiL-^hHxNDg?E-a{(6yX_loLP_cMg2z>XM{1SlsJ$f$yg|xh~q_S z^%jeaVNBm-DRIv((u zuM%N#aHw^*$pB#tfZDn1S|-%(%mRnCjh)18sA{3Jhik6-$TaZAdtS&3$jc?Dzty)o z%lQ^@5`nzO9Gvo2#)Zs8F>x~?9W z$3Q0*C1jZfkS&U<(3d}B)@4r><_n>#R?ZlXJp~Y)){c%#@tUX_|7f_BRf=SvZxT#^e@d#^Bc!)gtn(W&u}(@5-mqJa!>4pA0Cs@+e#3&+N^_l6zR2s z5`E8E+WVj>m7?w5&c#vy(>T)594v`M{z%FpCzi$Mt$vXY_{NE5ae%z&G*{NaA)e6L zk^|SAA$tm%PwoHfjovyj{vBR@%iLF@d@#EI5Utm_tXciBBIFnM4^drXKIyylea;_g zrC+rt6YM^>S*tDBiQj=q?V)o~gQrWOw8TYhlgaO=)2qr@Z9(k9;;Q*BM5aA(}+NFR(vJ6WxbN6++O*j zHu`o(#4k7&CF(!!3Qr9^3VwVMa-rMbzK;7Z0s9!ZiVNwKcD=$-`*Etxh)L7i(l?|( zHf|yk$}Odnv#+mxb;d8)V{ei4wd=egg1g7zL>KiuN~_bll6LcdOQA;PfeIUlAR}Ec zlD5PLRN1!f(uGSZ=I{FP(1kdCU~#+%d>-dx84FpOL4t7gTOpP`c;i8>K)ahWQQbSC z4hZ)cUXPAPCkMhv*(v!?pGCkzBPYepd|J6UTpJ?@5XcoV`ymwKee(+^K*-M1bK1?* zzQzVZm`^%x^bq~C*EL?;5ce!`FPxN6ZIBQy0>yaH&$UC2d)e7SpqMD8HwUj4geffh zniGm`=n%}z;H+#pjz#L5o}TndihKgR5lou#w6mLls6)7poWR6$!o3rFF=kUk;p-VB zO8jP%8K0`EX~-7 zNg3HFUCfcm)B{1{@l}FB45Uv}Lg;wG%c5k1q>gObkma$#D~7$dy@oZnvVk?BDvn1E zIT@ReN1<1k?VQ8V30gn$SYeOyU8yJ=3Agu@s_(VK0j&lhg4oB%Hov7z@pAj`v)G++ z>7fzr&hOm^;Vp~j1@Tt*nm>1{gt@0@Zn`PC)1(-%RB_`MyutN`r|ql!s_B-^Z&#Ko zX7Q9kxN4qkN`3eX~|5}?2GCv1jB_#lkbz1W#Py7Iat<0ikLG-eRBb&bLA z7WzP3+n+qbDZ{%v_k)hs;CgKoZ?%Clo3M7ei}dyUwfznHsWc|)su=Jzq_XsGK3Z{~H(kR}q& zo2xIv6@8M!L1E=ea)-CSkNf<}Z~vjsD~7Pm>fl@Lf9h!_NAc%$+)lmZCo;6!YC>MZ z{?pSouanh#eZP6%8^GEK4&yFl*Fh3!34DYorR3oy*=6zz^KAaXoe}7UUhYuc4_$@! zRV(eO5_ALkUN7xyp6Z3*w#RzIiEQ4+hEA%>tad`vGNk`h!S@8FzQ|iHUSVxARc}S@ z-8%B{mM_R6GoPiT>2ln@D)EX|uyHF%qIc!~vx6DUc0TkiKo@JrmbBieD!IM9zi`-a zqrJekGi$kez)%f$Qz@gdFQ-i3QUVF(FToHF=%(}l{S)5?Rt)3$Rw(i#tz0X^n5Ze8 zz5=_~R&kmq?f3?o<1ztQqnXN3yleW>mUygSH~ci&ejO&Ch*>WQ+PZ9YvSPxg6Tn$RHd{WtG36$1L>Q|f+HsX=hBS6qH$m2{w#P_urx&%_#|2m!o3Cr(+Lf>XUF z$C~k%@3krCanm+bYNf1v_~DmHC%FIWobH2!E6HDVa+b!uov(i1cm_P?wM3gvaDRzD zRx#=+jSUslSow#)ziH&yLT%`=NwV6#jQTl{{rvXIj&H%z}7 zt*_ksi+w1$y7FSzQfR{mU+u0orgQ3%Z{hChMdKA~ElonBy_1pdz+!2* zzWSMna^+ZcQFnqm6&5{Npa!`Vp5+$sLf+FrnJ=VwIF%;ey&M9OdhCKrj=1yA2=lpPb9@T2e6_#>=Jak|!w0`R03A{xS8x z9Re_o&)6K!XwF}Jg^$1V;NK981*LLlt*!6Ai}s@M;SFAv@lM{z)JG#W zW<&#<|8oR9uuA)srjTAem$nC_aS1E>BfKpd+-c+g9L*4rG#z9Vr@&!aTWmNz3x$r= zM(5`<7z3KWmeiC5@LPpW*lruw$kK* zG9G>_yi1DXf4afN3F8Z}=-wRG_Jj!k9~DX=v=Hte=`<_=S}=frueoW6Xgu0do9W(vR6y8 z`DZQV;nU}ym%hnB2!vticfGOi>g_fd6WKM(IH>9-0YD$gz5dPasz8fHjBwcmQ-SayD_h5`8Y@dCpA+L^^XE?`66PFDDjGd3epHxvC-v zv6r6N&3Y}FEgz>Rd9^Q9&ID~#A~YTdmk+5y*7Wh0?eP`A+TXfCq|*!GHc8_g^kznC z3o^VBz(KA;NMw`BEOc%7AMb z{%`kpy;dWLZnjB?$oQl$Y0^R$vH~!Ds4s8=feDhKAL`Kq(pue%A5^PeU($S6>Vp?k zgBkL23niRCXvZEjmt#DeS`A8k7>6Fy%E0l)mnW~*Y8VSd;LG{!xjthP2DuM4mc&%c zt-J|lZh|fz|FeIS!;%t+Hk#jUR8?s%fF~tU-8-fyA;P-ztOn8DJ4`iI(77o2%jpyc zZh)v{qfGQVEg;dX#wI!?hRK53#zsc!I$CTk9Ss%8A<^~tv17z3@l@5ha+5FX*! z9p=lK;F^R@#3xaPZ3M3I7bogLaGc2BICzIYOl&W=izj;_K!zr%X`xUe$@av+r+LX` z>Q@(L1y5q=qr?Pd*)l zQsC>1L(uZ7`y~9qcvPhX$P#e2tgk%>Qta}-@6ok`TH>D*1g&JjJg}@~L41`|St> zYPgRh63yn@`Et&}j0_JbirHroW}ce|1JD(5GxvB!F-QU@c7VtakA5t=s2K)Ji^!nRzT`QzHo7ESeLD0KJFOFyzn@wi@jlH;3mVqV+so+X2CJzLw) zHWJMKHi<}`+@NnAJhu)%+^2t1eeXn!ik1xNI*YuO$>mq%;eS)<0zk&O-&Mx^tC#N) zQo#(1xN8`-@_-rFmHp})j^T+Ktga7kz|O?ZRPMwc0D*Vq4hzF4B&E0~z+!G+jMV4? zd}#DTG@*N3%`8i>?)#xbq4L9=__?20y}J?u&0bv~EOLWqu4IzrBJBQU2?nYOE1;s6 zRlf=e^P}}vOEU7la0*E($%40qVYgrKbvW4FEyp-K1~K^p?~@)7UhwI8`%QNL3>uz% z=EDG7KB~c18lKfka68o9%SU7*8N0k7?1#&e{w*54LHO0*!(+=gQ6=+NR~6B+@8sWY z^mD$eD|#tv>!%HH%Rw1f%A12N>GR?TBJZEJ+Ai=y~{4T}_YFd)qPVR;JiC zWP9NzLPb4e6*}Mvs~#!ps@M5bGP&0^m+VZLl)&^J6S3@g>OGA8l(Zv+9lT`I3AeeM z$CEZ`osuyL8cs*DB=|Wn0K6j*J2R}xEo2;dL|64$=z$#pZ(%s8r!$b#wWs=N`}a+V z^WUAE5!FG#%AvRl5kXS(MB*ZcYWF*D99`U+cV-wlb#VLo(6F1kW`E8q^k9l_FpkG+ zTIEk7tRvyq4&T<{nIx%^uOm})$ApLe#LcWhxjBYLSbDGcMz-VE*>lK7`<%Rr!@i@R z{QZW9%cw&U#c>zl?n!cMbu+bHqwex-0#}oOrcN^mM>C)o9g;3m!g*$IXiwSI2o=~RWbOeh+@G+-MwYGXj8Bi5(`Wc8LTXPspS9j9wJ_AAX%3)3btit>?e`Zc4gZE_8p<0T-`5)Pf{KV%*^p1l!g-gj&YZ&i`&#n_)?9ziFVM8;}m=jrB{ z`uRu_Zs>>Pc`6glBUZHh`?P7!(dQcabz5!OP2+RNJIdLEp>?G(TV)kvWj|pBwxyqYeqd0Q>fbBbdADIy24n=e=RH457F>@KX4F1G& zp*1nnU22^su82|k#U=kO2K|Vf+R0eci--3|AQ9F-$ChmX$4@r|LiXB9A!zw}Vdfs# zX^#@z`CZcOdJG~{AKDnvr7HoAhkVD0oGxc4?ofCktqrOzZ4>b>6s(_kg!&}ML~p+9 z%rdD}T@QBp8QD?8sdi>!IwZ#iBk<+Iu6v%suik`R2Kia@gp8SxsgdTfYKeVzK3j)% z&Gf8J&NU6i);=$5Nob^|V?Nj$0R0T-*^)h^UQ_2Ud0X?S_HfZLMf_U|uzS*FGKUi- zc#?ga=RB4k8XlCT%6psPG1-YwF#x|y2KKMYeb{EFsgk)(hWqe)f3~-xeivS?2Y`|{X!ch8_;6H z{9o$BsHo}v*0!~CdGYZp5#RN}wMW9A3z#5!MtQ6p~&QI?qXBGQ< zt@4X&fW&dMVB5T^jGie5qQm%1(sgz#d?JQNT|R;K7i4X33p^80(z`d2^OrXnxde>< z+WJcF#Emk3K>K7LMEfIw*^DnB!!JZgpJ8{#r(ruzHTa;;x!|#T1D`3tT<$2vem{Ro zv>zkN_esQ}zC21PuLE*)P}fiph(q4GKBBv=*LoAGTOoLBq|K2-3iOL9YCvG-fk}Z}G7I}7z z(^4ZYOZ;ifO66Bj)Y^V(K5?i>JK5HxZ^);%Js6_OaO4a>m8bnt8(yRO?Hv?yyPSZdmc{zS-@Jg^byA@06N~k44#}(b)9sN7Eu4oh&gkpbz&} z3jXxZ24w64cT++8jm-dn0$=HF%}B|)wttYJ+})}gLkE=M8G8Ug7&jOvA&A2326G7l z{MG!$VKm583P)i<^%w$?yV=TiN8I1ZdwY1`8>2;6(Ji8p7`{sP?2n`+Za@-L+dAa` zJ8VA)3r|9XROX#6OVRieWu@FMBiu(Uju(?)m*>nN*`wJ?+4OC`&R?3UH#(>-Ijji_ zfN@PVGH+N#IQ=tdKs9wk_){VJaVR zuF|04K)y}f2_R~0n8vcEzuZ|Oq22{g!_OTCs*ZB}%Kq=_zMy>vSiqhx;k?EDl|1;O z{yI-NKvOV0p@Jpy@|=O0Y-YoZ64kfKN9DP<%_l&Mk5aq>>wjmq7bRBu+_*4I`eOU z?2SK?ZQ!`@;i<$Ers!{~jEZ0KiA>EO$BoYR#utm;pq+JrWoln*`($FuuIV4-h%J*m zsX%VzE^6z-T~I6!tgzzFX6mV(P|L%{NBlkBKM>2Z1=3aE8HoR$ji+&))6xSJVpH3TT7V3#?>59>;TB#|d{6c=1tfWXZMf zht1N>+ut~RXiSZI_Hu?~a4 zYzd^0C__@>r}>O@@rnl07b<%jYIhyOmZPp}{p6n=+F^1Z_R1Cs&Q(vaJKY)DTkfC< z1~Arq?pJnW+80pO!}W1|R_;;a0cwX3&;|qDZohJU&G1y25)RajH2d~;j)3SDn|R5V z@if~7iE*0A0&CoMTO&xQc-$^ZNoxMfyH##i(ugcrUHDr<;3xb9?67D;FoD^%A9mwd zw)@c4DB0y}+thS!=HJ0zRJ(|zz-@xN&WWkqeRgw;g-6jdo@&=P>v~+hX-a>%pM6KYBx8@1 zuXXD-x-|0*j`{r1ejIlzP!D9^ZZgx7V{dD+;+}J6)uRs?zD5oOn|`Ttd<|-<%$7XE zqtyVsFAB>DeteRhU1r({Zw9smo;EHzS%6!{>oTr%SFGmtzQKXDqF1MFz_`MHeADZ; zhGfOUhhP;m-pP?|zaJ0i+JhMxJ1`P=$|XKgvmJ}`+1J+5DQrdANVC$hD+z5Jwj%Jm zC97sG&$JZD=yK<;qDOROg;P-kk2b{Ml?Rg97fj!Mx_cbH3jPhIfB4Ner;|jM*<~)S zP|ce?qa^xQtg2U{OF5mU1=Sx}f9)9>VI1DSUGNdOlj5pa-Aq=92D6q9ON>d4uIfWo zMur=%yU)gC{Z9CM$?IhQw{l3;Ei%UA^G@)1I_T|rqLtl!p6b!BZ3)wk ziyPUwR)4=uTXL}+dl7k*b4k(=N!+gUN$qOW8#kN-eA;VP+ZH-0FUU~#70F-9{Wtkz z_=_#k?#CH%^7Q7IpXTVeba7H&to1W`9H%=(v zPM9rI`8FW}ecYF{&`)A+DpG}Y1IGdR;BtrcTt%@gA?)cl4RoQuqzu(M!pVXfsR=Aw zW-XZLX;Pb^nf8YwIk~@1t8zpYU zPwCSy6%qZ2V?}u=nr;Sdpm6n~;%ydXOPe2|*?Eu}#8pz&SCW2N<$pvBIBb_NAW(at z-1b}ei%z<+S_cuigi6J}SpUPax^)3z3>Lg|;-cmIGFW3Gt4!3k+v4rLj`uq0xA7>p znsQ{hK$l0O0EP!JJ!U1J9HkTRK+E=F$`AyUFy|YE}yjBnCJtw zbS<dlUr{Mh``2p~(c*H{-3k<+<%Ba(Qd}H(@U2JV8{6zT1(K0qs@N7l>6ENq z#+;o)`Y#KQk^(1{2fTBZG4_YmNpnnWR98?qX_@`N!PlznZcQ1N9+)-Ze0R$XlpJ&D z4I)9|Quj6_ZiV-#1T;xYK75$j8ciA2(?A&umIUZ=*l&SsJQ|F#I3rNmbK1%kbtY9_ zjJ@cKdztNH|^3sG)1sRHIzVSV7yxS3`jB! zzj76|AV0@p-mPL%xh1B?qoNJohsQ*71x-ySf^S|sN&YnRodXPOx(6u7#Dk8!A@ZOV z78v--3)O4&q7UJHz2c$MhiLLQX`}=>%BY#_lyzOuLIb<9{Yly@?CD+--1j zJ4#m7tKtpUYfzOySg^DtP$@>0BN=`oO>dq&R1O^!M4bWu zlkNtqjz2_Yjh&CM+ek-Ghr^#`zlPXGCkD$c{d-4ZdtP__iN&=r1lCjIJcbWNOLJCT zW(x>W6PK9}M9mBPp!-7dr9rlP>;|?HmV-BNdVJMDa(=z`zfJjH4w59|S`SMS0AEJF zPUbv&ZUZ)u6%W;+=WVWvWf=jJBN1-Wp-wI+U_|6$0O(^m`a6Y+&}pt=M;oP^#p>+2nIAQdljWO= z>6fi28F~^CC_9(q?)Q36R=JD#THbKT%WS--&xG?$t@=nCshgcGYM^z|*tju}!kJPe zOGTL3{YhePfS&%vI7J@pI&YuG+39`2ojUp1fzMLekRNYC7q+ODiCHTH6V9%cnG%C^ z1+_ms7rfRxyzakRZ<0+?_Ote$2~*I|)c!9sK^$vgX!j!Ss+SPm{Jvqr)|uFqMW(2M zPosb`@C?#TT9=AV_X(+amuB*i&CAY`Gp}CwfqULD&K6{wXReLp&#HpcH2PX4+hn}w zgnZzQ30g`D{eqVkVOd3(gYQNn-U(%Xxe7MmFv`z!GJ!PJm_GXr;ZApIn$Nslq2d3Osw(t%wIDTtjl35xy(o-?DP zCkRM8L0olWduu4; znn{mznrUWb7pae!o5~%V77Jc_C0sggLw`AiMB`Co@rdZ1?oj~*)^{81eBE5Ns2LT< z`@}jeIu@gGscAl#-Q`vl?Dt?t{QJCnnYZL9%d`owuRj@n=evH^)m1HtW9Uh?E4>gc z7v}m^$8pxQ^3J1(Xa;qAxS!!1HF8;3gCqw_$Vg@_6aD#i;c|!fbPaYU6GD}s>_VYj zau*g5ng?%oKTHR|nZ%UY{$a(v-pGz}OtwIsE_xQ-B?lfyelezsZSSltW$* z%L7?HmTF;?&ryZ`rA9D3r|PyJt~POmVNi-Lm$S553*(&j?KR3$JNs2XmhV~Uv!GaQ z2v5&Ms*0fa$^TB~Age;}oVU_DNo8$r9I}!FO9IO;dghf2XEu|1PIsIiiE62Y1uJkS zVV81mOrA|J{ymGei`*{>+@)qFn8_!ixG)6Sj}=u1S6J?V@G{p;@ut}uyrRgie^hleyIp84&YjI+i?r9ju92yy7$_Ck6E-SmS65)KqJynH%5i-IK9l370}gB$ga0wb1~gVR zf*yf!Chb!*i*kRaB%Tz3EWe|-4#}KS9RQ%^Vf1naaWil>(?0`%_g*z@gj+=bC-L}e z+}+8i{?NoMv+ASM|1C_G8jDBGd=a92ZZZ)e)(TS!Nlqqa9~9#NG6#;b!vY<0YO}_6 z4;~fOT1zgohhr3^P0z_m4;)OVuylY&C(qBYlmO>&KZ;f3C5#x2Losi#cKW+xV>;jU zwe9mCkY9U518c6za9HPO{+eKaKOs#)Ql>%Fl+DCxYTCpMw>|d!QRrxbG&P0KE><;1 zYfYY{r6Vz+n<@9vaA*r=_FjD~R+aEmNx0zK4}pWC3uEF33mm!rR=Ppl(@^V`1)=3{ z+JkOm-dQr!z!WuU_`g3}-9q8o*D^Y1rXWikTc;x+YN*(5klOK8_(TRW4lA3i)kXec zZ}eO#3csLkPS-a=S6`Nwp`K>I%W=dCW*`aEjiuf_`!omKbxz=B(g5g zGMn7DIWFgvA9JcZv6^CZY+^!H&*W#qAt=fVDjwb|&{*UTnX)fSh`w)}kLE6+50gNV z92`!NFZM=l}BCUT43b+ zLwWg?gvb|Oj+K3W2z=dYUn=vNBjpH~Aw+0W5u=*L%V#6Bs6l{*Q@iXgu{$&8+wj*L zEniWWw8ufZ>XRVWq%};caPi*P*SdUr(McMbKwDg%3zY)yAC!dK$V1L8u23q3=q*9)Dl*~B9 ztu+#RgqNUk{59IF7ve4BQR*9o$2F8HmT(JyjDX&17Rxy;>GVa?PuX&b!{5syyaJ=< zVs!rfGYJ03Zs!{n|!742{%61P&#G z*_$qgpD1bjVtF3md~4@1{?3Py%wgQ7;oI6&_qRu1xJ&gi93IG!BK01?nY=vhubqO# z-ymy2{;O7||GK`Rnri?)hie00yotACI_6-vnwbwh5re&6vjG+^;P<~w1@F-ivE%nH zNB~oUl8;@2@tO-(r_Mp%UPOx|z|nGr(h9SHqzvSJIJQqhbY&dqXvVgsV=0IKz~Qt# z%vwC2Y0emdeLQXC4Q%Wk~psYD<1Aq`#$svoGIiNy?U-u z8S(S|xrwu&?lTJd9`UwA347(y`RJ$edyrjq}Xxj(r<3Ko-Xzm~V?&cuUV zo6GD`N;(<*?a&P-RJ>*>mOF66G3*Y+_tM6i`scrzwHcj|N_aYPWL`BC8Vl|`I-8ne zX6{Lz7gRPI5ZKh2qA4q!qY?BXX{oiFk_Gy>KSM{re=ct7kHKlK-rq7Z?+Sj0aBv6> z-RFa@gvET--lMTwy>Gw;CQ&`cu&H*+j!@_EpJP0mj$$Z5koIi_KPQsFjCcMB+-^~Z zMznKQE8@T6%<{JwgSnVFgi6HfPQbpXoGd|JRZ<5+rP*1pJJ2nx=@%fi%sw*jo%=3B z{xw{RAIVj>G)B~cQJ2E?P3@^5G}&=cGn5dNgHfULm>QlHF#A`ga#+NNwT+hl6@WE! zQ@G_{M8h2*|73s8dS*Y{6`My&KhfonT=Nw=n~-hWy)-^k9?Zu}Z- zGE08U3M#=@!A0sY%={ZE0YpmL)bis`)=>n@@b?Kt&GXU#Pb+Kn@S>)59bvlLFVt-b z+B)ZrGQL&KBamQnY@&eeT%~9kM(ICOp~1;D?EK9lcWIyvGWcvn`IG$JHIv*4t|drH zDEFr{AsS7Kgq{Bx#YfMO{FOd(b$VQ%(}jHr_2l_fy>>I=dv?H8PJ`LFDRh3`9Dl^{ zV@um(Q_0tTahl2ma|-{|3!<5TKsj#Igap?qUZtOR&MYFQ_Yb7dlWCMgyX(D zmo2vnco7e~*adYCXBc$3iwTn*ojQ110RwtmmYVF6dGC~Zp7&@xxJ3nGLVkBn?heV8unR`@Xo`!GkC&Vm4Y}qko_pnVB zDnXKqHiVYM3&B0imh!cTm@#Q%feyVcgYJa44a(K6DtR{9zHbv>S>@MTAL0T7vDv4W z%;jcmxdITg$;iCq7{3XFeiqRoV};7BV_tyHUF?SgfaMdeLk=+ZeSeP)#u{FIVHB`w zNemjNeuUgxH~5W9=Mt$e#F>!{*5aR#*sR733o$7leN`(t2sat}ES3r4i?i%gc((GG z{h;X2h}}s?olfy*bUaDhu{K1~1Ir+UIh}W&JTMrD3y^CNo5M)V=4JdvO!&9VT{Y-T zJO$*qp>q5X1|yjX)s{*bQxZu2S7#{u$^(EEha3H;m(@Z^U~%IF>03Xyrw+-iG29Ze zN`&Q^52fFIfcTL8W&edS(Y{ss58i{$ujX5(&P?EYt@FKYomlj4+YO_*#vTVi8a0WK zAKspgr;_ooSCEqvZkP#nCB0Xuv-F}Ru0s}-u?pErgQ+L|$>9%r(J6sT0*`J5=n|u$ zf_U1bVfVP)DLu%AD2gA16pQk(4sL01Kgoa!2&Nm1ZxW56aPdv}oS}ynNlBVosOT`y zVVKCDf-aYJCh=1$oDq;tG_)y}T+#K=NXJ*UW%`~DhXW#Hv;QXr6g4e1=W*L{k} zwh;84IRaheI`S1Ut^avXfrZtsZP=^L;H@R%uu@q#yDzO^^~uT`hWzZlls{HhO7}f8 zeZ$-!3D2MXVTk~@i}>ME$Y%z2W}qhG^DmUDoq%JI4ciH;Uyzcy(KW9P|+yTN_>b>0`Wjbdw z(*XI=6rTJsr#-%37lfyr5|Up@r7NZ!j{eN?<)s)QbVvNxgg!G?-c=2rU*b`@UUJU3 zoW2~jSN~Z78Ye}sYv-LHoP=nTb=H?#^u9H4+1D)4ck)SyOaFv6dtj$|j}5q( zbh*h?Oo_TCg@18`wHv^ccg6(N>(~k-@|G(wm}&7!y|?erh=)bz{`w5QyGj*7Y&xFM z>;S8|h*cb7{wr&6hu^lrc)DDT?=spQ0U0yUgd(w|V^~M+Zi7vWqjr6(lE2j=Y0BaGY&327qt@ zIsHn{_TSpHVcyx(dC?hJbWl*7O_jOs=_ZI93Bn)xn!~bSEUUls0h_WB(SRC;e-N_b z(98Wr%IekvvbsJ~B*H4o0$lCLCtUZ@&^Tiw$C%HrxY!iL4^o`+E52st3MOl`mLuS^ zss&&*By)MmcbH?844*3LUgy_0shC}>rC;X;C`LO zqGNI{`zly4$gJQQIkpP_h3nT~kD8LQ`gQY4I!Z2}QJN#0nsae@(DPVH-meOHn9pRh z6>rOo)Dh$~@jbfcJN|KiqjN{rxHc&p9OouV>D2+BcY4O|BwV!p$Q3`BYrU|`k!iRu zP&Y}3)y;1subOvsYwdbDF{}o!vdrqapjduiv)ub|v)>Q=5{f_JEf*xlxE_R~IqTYT zu@v7N$b{SxqFV2?vVdBd*md!y$SCRFW(h6RV2!+ic-e^5+7HzHsXiMnI!Uo;5Z8!v z)oS3l;v-%h8}z+`S+lgT0Eu|{_iuYDI(OFMarh6+-4y}ZC^}!1yx3B+94PEB6jI3d z^_?|2j5>B;3~uAi|LHe?u56=>w{V$GT*&WJX`eJ7g;K6=+!H@KV3vNTxW-k#G213Y zbinMt*V=T_w`JHg~odYAbcrbdi)z>#sxp;x;U3za|UTOLj@x@e3LdQ{#O?R zUp`SR?~N5iCtV$O5-ho1V@Te4_)=N5gm(H~+J81isEZecW0-Tjd*^p(QOe%tnyra* zX{QVh5nJ=0h+I84>lU8%!YHdAbyty3M7{1`#i*}tNDoVU_1#2~qzp8#xt=wu4MNlo+|B19SNa_4HXtD?5R>)w1f z`)7@6-!cNvD$JRh(#p`QZWyVeo3DF+^>{7>9qVy1M`^v=YvTf)#_<~gJuHdYXP9~2@0iHHRIVXbMV<| z&R{&j+uGE>GO})zb@7qbXHUK=_=9i^bXsUT^xXNXRc2Q9TH4|55aAf*cP9_XBE(b{ zwO?}Lq>IsD*wG9;7hDb5T&xF_E?md+_1x}vi2zaG*~IpB*CcSsOudaBA^j{!I zRiHSZwQ2Pux^=RuTBn|z7-8Uun!B+ZC{LiPGN{Mt=BHM%((e^*d8JD5#+_0FCM)u2 zOVCvG;3$4*EYbzQnJ@zfsKE;C4##M zp7CNYtWb7nDUU}x?;k6m3_{v<`QIN+ELWf$iwt$`An;`iYFXofafR%w2Y9(0@GsYd ze^z{h(~Llz#bcM)Q)+cWkn~$dk%!*g{Mi_;0Urj2^gf#zfR@%& z?X06(&?K{!gxd5boj-5&lx_^utv~LZaK}E?am90&WUicg!a$51mFGIMH64pI=*kwm z(HM2z;txTo4ea%OVUX5&B@y6lgYaBGS{Ei*8EwQ~4q9JyG_JUqjJ{pxLt*VD<^RB<9Co#DWck10R7F5pbo=pjE2IE*mpttNJG_Ki^*U zFn63V@6_$!*I9P=xHxUPHT+gNSSggwKfzFDEWVW?z#ND8pKXIY{=!q;l+bxwJbdkD zRuh&#a$K>o1V{tvTf=9DPhKi*Ek|qKFUx**2cNo-C2Upk6Dh@8L&L}5z9<-mV-3Z~ zpcO;=1@xLh7hy9SwO@(NKNHp;E7b@IuKQgVG_mEALBhdlj!s+COQFTS=Egm_~Gyy;%+`R{| z5yrxwkg40E&LPQ_nVzp0M83|ottd3C3%(MAz7o*#og%du@#AA=MX$2>ZTGrVN#@|;&pwPl0CkJ4e*FYI7~ zPo0nsK^@M211BDSQOz`}Y;_zkdm5|ByxZ4G9k{q9##eGhVP6t@hv;PMMDMa?s7Eyi z-8gmq>855_e(BfMFqGW8w@kxU(2yBHvDJA-1pSU5Peh`rtv%QGNo78Fkx?tA>Sf&C zdz`h~5Ecpu)~L-``{q_upc7Dp;N*mRLRW`dT4Cn=*bc>pmUOCWpd3GE!VXvu!Eyt@ zx}gIUO)_refrZJ<&c#>5p)Brp;I`HRON3wuP~5pD-Lra{QdtNQhX7R18G(wPf?1?Y z&@lwJzg}~3|C;vT?M3-$%0Fb)bp9d$7u#NUsl5u1A%!4`T!ERNM8sR~MR#2x{A_ZE z>h2Phf4K4%t}65-xz-eN#wNjjo@j5PBAZjLDBMr3r{L^>CW%3%^F9eLzAx_+)S|?y z)&f52gKX~vTBp$FxezY)?C;e0M?OtF!YkXcGf+f1>DUgXj6AyNv38KinQL5 zie@yDxGg1`Wp#0uQb3&pm6-P&PwNelD*Fb6)2zqT!ORc@Wb>fy` z+EIJmATZgzqyT8V4Z#)IKU67(E1B12g*hC`+^%p>)Y(OaO-hLA8=1SkWU($bQoY9> zg-~>(DV9bSir<)@T^di4q$BUx-k!jT$B}fRg_`;#+c<=Ub;WPXLRWT!d8;&MT9E3l z6FAnl%bbLrO+;0KhM}~UdJ^A%pEsKujpXxcofSH>wj}dR)5~ApoC5*=T)>Q>V-~50Zf{= zB8YYo;N_Td#f?JS{6zdR4q>ofqh7!LX`WAIcE*xXh)I~Jv1JAc7)l^4h|4B^>dr=6 zSr+B;^Sd>PqdqjsNc)=-xR}@n8NG)r9)K-^NO`@$GgHUSHwqI=oq!-R>iqk%m?6t$ zZHYOsFsz%r`f2>od)F8I?A%UA%17+EvWS@WSFs__O12@@r1Hy z&sJEa_ctxPF6kQmv?gpCl$v2SW1$b=VE5v!S`F+TBvCiZa$cQJ<0%VS$P+=v~GI|FRaZ!lbhwfdA!Gy>(Bnh`8v1LsO-6%jf^F& zN${uziQfe(YHSWhzsUdU z3*?_#Ge-G?cjXrn>)a1btmbN|Npj?)WxQUbgkio+4=}db2ndUpGddmbV#GK}bn4*H zC8n!jQH7{uBLwrtBGB(t_QOOx_GfDtF(_lz4D)yX{*k`PK5KG7zJyUEvGug!arwMg z;^Jq?d?{=P_BpGL%>U z?i)#{06Yi+M)pK{+<5_HiP=OO8`KnTWc`)c;mr+)v1?0ZgJC|DC*sS{BzWzkOi;0} zc(@1pE@M{tbCD%~E`JADogi)`d&+lK?lcU1vq>1b{rDwSdlrEW5>`!gUiRP^D+u5*+RZ`nGQl)ydyecDu^?i9Ln%F*AlNm$@~P z;w5#etf$faac2#}DZFZU%Yh;(7_X&YBMRvX#0N8h8d>Kw$j>QmynR;|_w8lMnx2B; z2M_yMPc8QKs=pjz^%zEqPik_ysk+!o1_|@wxrYm%fy}v2K2XW9MH%sZo7YNQ4|y`? zSYN8CFEPsODh-+F~&4gqP8#E z_j`+_$gA`Spz19C5K@zpRRs=)C*{g!Td)6_W;;#2QB$zGCuWJfe!JtmaqroBCC=Nn z?BzxF(FhH#bT&|RA3pPrb#i~T?q%1NDyu+c8PXw)b!6W~AR8W_MwB6w8b#g2AkQ$F zs$7u}+$-K;eiB|?$Sk@Y3a0aduN}p3tlag~o0fM=4|I(b@aGwbWv-I^j(^^>)HU|s zk}+}0k*v@7p1@M)xnG>Z{`^qo-g(lAyu4Aw)~Z7We>&|&YPfsFnKkei{k^~Qy_M-# zd9uIcxx4!<`=g8(fugW}rR3QQdsaafO9`l}oBjP}UrG6#mO|G9Va|s2C_fgS0OcR$ zHN)}K-e*r#hy?OjHa)$hkqvJaqy_}`A=6O($8GXnHg6!Te@w3-j(4ukBgU{U@Kam&?U)N^Fr8VVF8vB48bDFaRMBmt~9_gN7D)-n0;7CV=X-JWZ^mN5q+S<81F zW(w)*9F?xvLLcT>4GNx+V$B>3s=A!^8d1HH(jj{ zrC2JW21~&q7gm|=nqXA=+c_16YJ;pIcuhxKY)c$phUbImASXDKy?xIz}k zp&_JrKcn+;XS)*5$)Y@$JWi7OV{iEn1wasC?n(E%1K)}J{f+v#8*h}Z9a*|#|MHFz zIMIKNNh{lvYv?pLznsW*9*tOJ>B^^Wf>}Sn&k(q%lcQ?Fu?fz<_CFHf2u^d}b{I!v z2kONfjt`=XfE8AtU@aiwt~*I}e>ZIe@2lXy_Z$1Bn^mW05gY7%G)S!pY5@>NxzeL= zAv2-O!JYdrKn_>(Uhvx*#t2|Jj~BIN{L^<|Ko7_@*XNi8BjlZA5l12xdy>1IGkAS% zvaxh~{R9^a3ox|KW15JGaTZIz`jFM)V(_jq*ePk-z8yn9$jx=qzP&9!9s4;ICTMo_ z!n_Mn^NOfikaoKy3B$~KbdLA<$nl9HxFEw4ld3z2k%Ir%#gacY)eAX^J(FZ+G2N`3 zoCnrB5(g@C(5cM@L(lP^;|N7qFJxt$uxd_uU{+%;nsQp`^4X_UcZc5RkEFDcLTMkY z-`kuVdrrMJ-O?ANVi|+jDo@s*< zRRDG*g0ZY&I>W6xP_M#MU8vQ?uzlw1)b3|qjW=Fri3)mqykL;z9n*1Qv^fHQGTXD) zF;X;u5@v*d`hZ1-kVf|tZW-B(5c1!iw1lkD;btVyU5IPvIq!A#-%mddVO1=V+#Qa&mJ>WlFGs zXmKiHx+jI74nYZ2r1@jD2<*O(IQ;Nl9r-oSJIsUi}>rE5^C}k2ixkwj^D_IS( zNsSj&V`eC)tIPLpR>Ge}{XqJ`(OAaz)xCT7%&xxk-4MF(u04jbU0R6Svv%Uu0Ov$0 zrIOqvoE?wMIS-F4LJz;Db>E!I9jw;2)KGDeAPY$H_|P9s-mtkKlbH#h@}VUDOdxUK zrce+rC8xFUDRuI$q~9>Y<=W>SAkmQ(KIEg6qn6X06Vnh|Ce!30IlT8C)cqa|Nr7~~ zqc*}}kYPAN4fzT+jK-*8UvUg`a;b5XUg3s$c-8n`@ed2&)dXJ&4GW8?iM|pW7MDK z!0UL3VS$mc*NG650#h?D^AL*yODiwy5Ss$qlU}Dn>w@|Quf~w3g60;l){wS>H|<_;Lplm*onBob-32|pUVS0`1p|X# zLm|Tj@7{ZT2>Dn*AMyGWGFmY9*=s!HOTolfugQ?9g6SEr*^s#c#=O@;$YQ~_?ycER$B*J{YGg0*$8jga33n}58vLbeNb{(9|(>=o=EcpZiSTk`SH$3ioY zB@}|t*=h;=`1%zJ9!mDsEU>eMTB>N1J@0|A(i1W!hInsuT&Z!7l@Dh(gL{;Hv5-dv zs5(ZsSaZawc&ASWeFdFUEDMz;4bnMnMQdneGVjwJBpk#eZ82FKI-UsKWv{YO1^YC} z5i!$K?=kidW78)l!i!wnO`Fejrrq^yK1K|EGX)R6l9hnvBtLj*HFg-`XV6eoy7?M^ zaf_0=Z>bvNR?n9J4WFT@NrcTRPnYmOLW=NBjHguP0dUta8=0MXtBG{cg1s7sNwX<> znwHI&x5n(u3h!`GF~iYWJx}y0?ALD|JmSC|`971#F2ApRC!5Fn_v}&jLl;!!Ws?%j z>#}dL5eltNBD4x!2PC7q{`C4uGcEjM!6iJ$5)*&>9qgQ7MWz5ux|FtBEoDLr`Olh_ zFgcy&c)5$1F&vJhJSO=nC`9v+tjzxF;W6)1;m>m%`?VzTN6Cb_oC9THXUGVNB5CJp zYgi5u?IUg-cGK;YTG}SRQp7zz=D#K)Se!1F; zw^V|lm^Z2DQpQq4ZHbVQ`jMtm9yXo8_vrSHs=kXOsOtWD_LJyT@}fG)N@(6G1=EOtDM?C|H_Xf5l;gNZ}jsZbi{}!y6 zp@1tBPOQL^Pwr60^JgKyz_@G=FixqY?A|-3+zw!2!Dc=BfKP9Cs|ySvw700N%97+R z|Y>mrEBGF*{>7i|}j9Q>AS>PAz_o zy*Md;WVRZre}3+ZS=#wSfCLnNB}E?=PR-00iWXw8Z{t+Ydj!he5NcvVRtu+Q|H5fe z5Jo%dYvBx2W_;=R!G8ouE%b}*{VvOHp%;OiFL?sb_uwNQ28Q#4*54LUp8ns^nZ`rC zaB=)U^PkNaV=!Z1#=eeyi>x6nG?XQ>OO_dA8H$WOW>8W@_x23Ql@eMe%gwH6kv%&} zu62@xZ1eQ?ygDz=i}U%M^Zk9J-J+rFw_7#Komoc%NcpQJ*>B{JLIE3D%paBNmw$6< zW7%$nir^3J?wr9FL!&^)r)0XNpfe+7*AuG88bG!lU(4cc4Q_>0Pq|B0<;;gmm-VR_ z%KzaAgkBLy>#cxd-MmOiO84(#akPGofPWSA#J%LV`KE3u@!e^=Xql^tf^Ij4+hW*8 zaCxH@)_ZtG*33>SG;7w~L&^TqBdaO84Lj-;m?y9J~E{-zx3QrYDr!rwJ z`Pe(gFe3P_%X8YxoN;vvW%^w_Lk#_Vyw&y(zFjo76$_X&v&v~?r{10L~^Kj*PtY#U)jPbM&IQ3X;vbP>a?0<%B;OX%vQ7v_P{+G4* zu!y&(fps=Nf)Ee8)XPT+-oZ9Hl}b3N9LaLOTqGN7u5d^y6gl6iO6y#Kwh6#Ry-ZV9 zL*vud66rEuuI73wq^GHS^BGH>0&@PU9g-K?DtMAvsOmh!>?zvB5iL ze$ysbkExe+*FA1)D0I$FNYW)b6DK~|S|tB@t~?eSLiV4A>(f1%=9*Ss1-W&FK5UzI zJGsSB1t$I3l|n;je5ddEM}`3|RWC0=G`EvRvD?F4-ZK0#2TG<%S7{2>e3ny5tAr%M z#g%KY6Ol__>iM{caNh01mYJcYABRh+A``cL|M7HAYF0R^Pr^cWVV}dhCWxxe zyGXd>FWJ|jR0}NFj`+X?nCiT`L?r$aJ*IA7wSd5m!pA4R6HU0p);7O7n&n_fjx_wHWZsey1{aU%$@jkk6sg6tUjwe;RdC{DWd1! z964K6uSSieMQ)Eu8B8K~(jG{~n3^^rXfj8rF~YIdk!weg=SbZnGmn;@K$c!optZ50 z@ukM7IPZNU#-u-F=GxhJ&x8ZtJEAO5OqTJxMW&h0mlV~Sg+*O6^ClE z6vjc=aDM#>SC#5Z);lWPGuWSjtxGXJ_fYTpvYYG=JgJ#^}L_ z`Mel$@n1A6B5aPn)dp#e!6K@Hl@tZbA4_Ct9an6-8K<(4+%pGRX$Jqo|M@6_^8?{C z_qaq3zlhEN@koWhWXvKJb~ct_Adg-qn$~-tw-v2H-y#d(fX%bOQI)${^NUm3S(eIQTx1_117 zrkT@?InVsH%bAx|T_QT2r4c_~7_gGhvA=5i92jyma}Z5`gp#-_te099r=Eb6dG*uX z>-l?Q;nx#nEf>sg?)33yf8gHmzM87R$JfZTPUsCi%{*zUqUNi&hQ_D7+?(F3V_$Fe z@unPrFLh7u4e4v1TmhG1_YAk7Vf@DNSTDNf%Z`uwuyFnNWPzd3{1WLsh=7bMusx8? z_6TsSX+b6bhfMx7J1XB_{mAlUTNzxcZL3`vw$_m8%(mx&oKs)nxoF-96-piB(T_*P zpr(&N8q&uw%HcR+b_S2sdDz3W+d_oK*zI<->oNW*W>Ev;BFpH3bv^e`Bxj=WkS><9 zJHp&Ioi5ri-6c!#?`%b@

KMWGeyUd2Ern>MeJk2hh@@Yg}n6Pu`;&hzV?-Yz33r zJa=jd=!oKq`ypjgq}=aump(P504bck-LZ(mtcV%(&R7hL3jg&Q2VL>w{g$#chXY}r zU@W!gEL)n4(}O@*SBX=jFX>{x`C9=Gkd;v@Y_YZ7fkKT#MfZjjBz|c_>WZ`>Q#CNg z2dzTmzNHAr;JdVmIXD4+63bcdIO`^!hbCP2^q#;paqsweF}JxX|8eOl-w!REjk#%W zEqS>~yubPv`zIjHzsAq3{20Stqs{dLdxQOb$FVJ2SCHHN+twoVA7fk}7wS>Z`cwcm z^gkf_Ne;GS?Bl_z#lJ|&#ZjFYJ9`Pg+Hg6HG0$MgHuXxVuG z8KWsg4DbF5Yz&deTaN~OmZ4*_9hVZ-?S75JkIj5TI-le{X*(mgy|O(OKCR)=%eUpK zEW4hdG(~WaR~n-e_}<}Mtklfk$N{-+Wb3cBfbh>ju9Tei`e_QNPEv45`ms{fKPLQ+tCbRE1V* zpCP~lFE2mJhPFwkW+_7sT#w8joK>E}7k{%qeX_W+TtpOX_!!FB&ZCWn&GkJg*FNEk+}M$ce-(hEh>b z-20=R>_uM+Mq!-<7Wq{+18lD06mch0Q!@%|ME)T@n6o-pea6_zT6m90 zyc~&;Ao4#U@lw!7ZbbU^Q!c`*C(PL)NRx%*#IZPlSAeEV1Z?+I)UK+UJ_%F>=0hZO z&O(cIp!a2EiLHRLpXZ*Uwr-%8zM-VukPsn){xl$hf_4{hlhmSUZo6`HjMbfb<2Cd7S&Wo}s{`8emF-g$;kQ_{++QnB@(=K-JQ=odEr_%* zkK6mfEpOkFk50L6xeTWCP1MQOwAy!EEn|zup=z)od;d)2^%1L?$nR-;(ypjvC&tYV^z~ExpKM%b8nZ6QgX)%44b6F3W0%zWDSgLucG%(W58w3U{1St}5 z-)GHNC0lQcp(UinWHGW6;;0$F4#oNUR4dz5et}w3+OLi6e=Ng1;DRt_N`S#xZX5;~3l%&s@BNEQ{z~7^8sz|@zfpv~5;8nS zLQJ7Qd*d!IN7!z>)IdnkEu+Xuee#(VBDZ5*9(WL#G+WOPG)QZ$r)IP;w#h*-(!VtE z&+1D~YLzbL;F84$y2p93YdR*k=GOu=Twz|kO~EQ;+Y-ZM-%g=D=t~WtY}#urXS@nj z+mG4FCVnUrH9ppUbyI0uQQ4j*ku%;Qg**R`8Zhtf@?yE~B&b5Lvh6S1@`BqnjGku+ zktWLKMD*%lP8KKT1{Wd63uoq$i+o*4qaQ4XXL@^kO?xcq0=**d0B94m<9$qz_FT;+ zzfYRgQO&>+@9Ke`p#IhmG`r1@azrZLb*rvV85VT4yRY!0#=D`b0$?^}f1W!3F*^8E zNtYazWw-EQsqaz$p^sDH5nShQgA*mv#hk&CY>=DngAo{2aiVa zIHjR2vW+A({+mfK>Hbb?mzWR|eXA*bRkFzSckQ-bV=EXG#nI_OK<}RcIO6@Y96%u> z58JjUfanmleNP%hWpJ-YR&C_;jYvXS3Qu0pZx$aoXLZ&>_0sNByULT|4m|`TC<@UC zaTNi_yBo66a#dX)Y&>h~_MwAw677S|cUhi|2rOi7xe2cxXsGWa(!Y$68-;E6N!Evf zcIRB`&$+JBE%7 zIJI2jP zyEhe=F}hsYe15JhE`(6-EVaR)KkDEK$qL*XoUJp<00q>93kO$hH%Hn>mR~@o)4+lG z4|Q_I$q!Gt9II9%`3aILj$*WkT1Hh$DJSRdD*kEa?{V5Hj6E{F9ypvf&anMr*2Xq^ zIjO|EN9%G)9=RT)`zky8C&AlJ@D7zJE54NFI_b}u1Tm{K%>r7SGMkqWy<4V)W~f)8k6T0W*HVsh<+Uy%ss4r2CdpDg@nVf?TXwJ zp4a4o6L~vDOP9E9rRi!H5k!@Vw61zfu&;d~0C==kIvMbXmoJ{VP&-ocn;39aJ4h!&|24>?o0%j$#>Cv-qrZ?Eqagpet?X7cXnm_Yg;hy_YLSj!ll_x zZndskKBxF>Lq&3RT64yiW*MuarEr`0K|?l^O$0xSsZ|QspfR^B+v-peyp{VSo@6bzilc6OTZfHwcjACDGtVOUtq<~T~|lz7M-t0>7HmJ@g< zH;RepO`&I3XQp`Go~M9po6{LZ=gZR$m%y|);N13%zJTu{UwERQ_H%?QCqA$SF8mSzR5iO4CU`Y#gE4JY}p;u>u3iDUMQ zNaXRRb;!~+>s`s^+ucJ#6|&mazQre1ABoP;tz#^O{YV$A-At1EUZuanS1lr z7^cI{;q_fN0IzuDMgG3|zk`T;zLQg>a`5TCQib-QgMm^do}j+DI+sa3dwe5E!_ox; zvBT*uqU(XdhUa_1JaX&zda8aOzrQrW?JWFS-vT%9RQZWa&-S0?Wk<_pm@4!zZ{~m0 zx5zv5^)h)lZ&q*PCqj3rR5(fO7oT$-+VYg|c0X<3Y4{j}dZw`jyES_3?qYudkX~1- zMS6%edY?es!)}NeYBN*3$2EIXB z*d3%C0$@~Qs}=~^T13iiZgL%6UbA*q{$hFvMGhgYl+Ks+`?;N>eW35#vIJGiMG8&Y z8*e}Ep>hg`8+#TX$GRaNUv=HAJtM&o@wfZ@^vYb~2+_L3T0tD@+Aq0XqLrrg(#-mL zn3$Egr%HL3Mg2Vpd9q|uU2RB-ceiAVFB1TWh$`5&eo+{vhCJiZI60Fy22;9`d689B zdZ`~)nV|MJMj*?wOk%h4!voc#qTr5jXit60IUQ)`k=~dGs`~T=tw~2Jh64M=1jTw~ylcr9Y?o4_yHJicsCiayYTHmFcjCw; zi=~|aU|(NL`Pn#zQj79URicjetgAY?wA1Vf@;$>Au z$KJ$m;L$vS#YVXz`k@CA?M|lK?{k9f2>=Zps_x4 zy`nn5QY@0`5X0>MfKkas)r&44Yd7Y`n=pd+toqXtPG$e1Y@goMLyw^KXQST(V$d?X zQop3P+dne~R^6+i{ER)9sj##TFx8^sFS6vox|m{VCu3M*_UzpG_d15hw9cmGbU=(W z0G<)P5&gm-5E^LMGp-GXYR@Lkp6Homz(Z0SGobWpWX7W4m z-ui8zoc#O9{{U#<_&@DR{<|xk)ZfG-#?Zia*;qsPNB#ThGP^WdM5mN#(`b}GES?kX zrRf4(ur#FOlIxpcd|P_~=ABhZ%Gei;OLpF=Q%)kPuP*jk@SF=rShL9TsK*y5@Ld`R*A6tb-A=N;+JJu{Xk_hj*mUzHUcN9&VaYUSqi?fJF& zPw}~-)1tc3ovxJ= z%3&jLNBlH$G^Za0FFmJs?`yR)k9VQkf+zar+t^>Y&n9FmHD0wATZn1WbX=A)J-r+^ zaBYG1^Mbv)jJ3n&@s-cD-6)_=ul3kqXrj3Kz6?z;t$hMQP%@>&(ZhfKG*zq~j^eb+ zrMiNwNH>U~{gYjs$hpsaNUG-VDM{|#~DRSu{0*W0&WMp=LD$g~Y$n!u)(3h?3 z-3(swUBxEPGl`68Rfs?8TGutYT)z4h0JH^eJ|0C~3054fMMZ~C=&Y6aJtVM?>F<5> zjeSx4+;`6%Cnn*@`U&VZ4QIR^$Gyv9GqzdzZtX7Ht8W+&A7^A%VsL6F;4^6M?K9eY zYqEpDfTSP>pZpPVAf{x9z^qaMJHA%4bIyjw;L?zS)yQQetW8k(KE3OQZB{+-V|rvO zPP*^Y$tTs1X|PSGvOmpBX8?9w$`r~xqN{UNGh?C)Vz+25{6`t@3rciRS*I2hc@tD`ekQ7g0L!tI~1M?0V=Xn|uT;EST z!&MOV^O3zp$3C*8e@Uo_$==PpgT>93rvutG>o_1?wP&k$3lpww^CekHj`jf{$tr<2 zH{5ll#+f?Hs~@#)7Rhb~>YNUZWjID&Q4HgAd%=Kw=tWpEy82%zbKL#h5xWn!-xK;6yC+tNFkh>BiLEY3 zKqzEw2$3bM&HpD(%Qsg%+3G@IF3ESMv6oR#C47J9$Dm{r*P)Y*`QLFdh1mjS0_hoG z<7gLxo?X`ciXxhUfadwl_J3iz?!(%2LVp#>_0ZUQf$*uTW)>34@U^tJFao6qrTt6p z-rFs5TtW74@)yWYa`^Hah{RRV|4fTcM}0&X`RrejZR&=6lC}B!KiKuKl*Lt1*pJ?6 zOm5-)9?yg=c&^=SbT%%i>df>}+0wUlDeIxd^lARv$pC=rIZsZ}=wE>EX+Z%zPuBbk z1DheN9M5Y_M`$2tr3O)itE?Xb0UqvsX6D=;vv7EJ&-b>P?3cf+@Fc-v)-(zoYw+fO z%xN7+<|JU?L^#!g9czMU#bPe?VH2a?7M7U{ZJnr<0oR(Wt|RkX|Bvxe>I!G@%(KYhs^*4%$(kX=G@*{Wu&=iJAd3+ zk1=FYa~!u!9hzBOSwvGmhuk~P%XlS&KCmJg4!AUpA2)T4jH<)?f02!`33g{06-&uC zJ%m)t^Aume7cJ_q7Vr?ijL6ZCigBL85vT`{?nUIXa!mS>5G7lyLe8vZb?bGWAzCcA z&}@myJ^nvlXHd!W{G6xnnDk6k`aCC!C8jLe z%DNe0zBuK_4aNaMT7kw7zOumT5|Z^*(2LEpwlKX~p}4KBymmp5*+<(d80|dQRNTgBv&8xJ zs8m|M?YjQP86f^epN;(utH|f+qK0CJd-etFvvKV(U*yc0_u8N3UE3BXYwI?NXAVl^$|ER6L!ycXf~|5& z2^fpxcW@y7sXt6ndlmz~^{%^vtwEO}rmtw+Gel}&%+Ua`9Z)vWtS0^evCz6!YnsXi zuaaOUKC7Axc z`OcIsAQ3zi9A|LqVOvaB^5P7!eXz@vwycPsojm{F45UVej>1FM`M^ zUu5(4XPk)N1PG}e3nQKfEu-xnOtA6%B{0?!I9c(l(UUIZz682dIG3C`9b#KyU1Fgf zqEVqzB0aKV)B#p}Z|ve1TLOa~Jhqq;N{IP$LDu(D1ovZkn?HlTymm7MBuprRoe$Hl zk<3*#{7(UxAgCoImwk<2&~E+InQ!roAF{*Z$6`?M;$|e0-%qhP0h0+D03P5iPY=HnMe-{tNzu)VJ>v27<>kqhIuj_i2yoHs%fdQ^ZOCeqmC5Xh3|LUX7j zg}k*=NZ!SP^bUO1N@3qhLy?gAEJz73pQA=L3UuO|=YO%V&;Q4q#O9=w75WnB=Z8`V4hr<& z_CI>WZ-6LVRYFQUu=uho;tC{*V3R>-^=8w3b~hhpQAo4*==-bfz5Pfq` zppu+cVZJ$+jEWVbRp3=^z{8H1Rb2Y$8vyC^ZMF^q9 zLe%LxR({j;o0F0!jYU-pUm{ZL7Jvu7L2_!StaLq^7G@#ECXnV@jwtn zPb~+xMyWABc47B@7638dwMjW=el)G5gI5F7>jO_D=G7gKZBZq1j};WtFw#rx6MM{_ zcr=i{A2IZK*X9j`ru3PgOk=(_((8MaNqLf$xac93V|z8fmhuWz)t(Lgr2R?D<@9eh z{V$!Wx~vWwHz>Jp>uR!Vp$N|6F&Y#0iaYmj2c!317Q9RaygUfk1^lH~u+qlEUd5~- zm;RH1?nkcr7psd^iEfNi{e0B+r{I>2Ux9654Dvc1!7iOZ@?=EE_wKTzyL`=L6rMfs zh0$kxNuA5|pjDOSUh)bjMsw;Sc`2qbMyZfJ0NZTi6}j=Mq3q#V%$nT53Am6EW^+Px z(_;Nb8(_QD423PZDBN#Y+kUnVg8Dpta36RWY$+67a;1nA?T+u6g8we+|B0H0Z^OWT z>W{#pQXbeaqFcI|#P^e4|8EJTx2}LXuyWRkB}V?y2P@g#LCEdrTjJJ3dT*!d+PO5% zM+stiJ0-1B+sof6t!CJtM_b2cn8oXv65W721Sz4&7_^L2=?hu+cU(nhtm+M~WblD3 zqoe$mm{*bjONIqC`lOfp24suQb*3?I==hF&N>ekCB{iRV`6kWm@r6^XW~dZh5fT)i zJuQIXrSdTlp`TZ^*>zjKtL15oPuKv38DT9HOQ;D#te4x!ZgvLjRyWiNXP@x^>2?dC z%GWDzBx)ra?Cwa|i_AYTq2qyE>rFF?fs zn-(C`m3w`S<`bTc(9OwI@tUIO&P&kOXTE94hw+Of)p{?-B1%r?>ejdnYkW;3Ex^}FCG?2m@}u2G)Cjk-^hHkcU+M)j+EOS> zz@Moz$}E!-@;+i@!RexApLj2^rt~D2>IS8ps40E2EA3snaDNxjYq*8po08*4wRz;N z-_aJ3_l6?egwq{4))nYu04EI_BDE`<5!C z5A?y;o)*)+$lH6nOnQ< zWeM3BxZMO9R0LYv0!!JfS=dS?1TV5emw?ID)`{wm99Zgd4s7SNB{?@*&q7#|NlQg2 z<<;Us*pxxuv14Z9wD%@o$1-TQ;*Gx{FBw+@`dd(M+FY;&5&fj-Qa)+0YetBJ-=?lQ zVrqn{cXrr1;LGG47cR`T<0`tFyG3nRN)%O~AOe9cNea`YeU5{nG$BrZRER@AXn|yD z$ts+tI^F78pUZ#8<;cV;Ai&HxY^x=WPSBb3%Hip07&~+PxBxym|}w!*wS#)n@=Di%ik9 zCcMoEjxUymGo9P4G)m|3hGx?mUb+vRotGbW*(H-UvpVRj?8v&i2~ILt5or5J*Gr@x(wVqw7&s)b@LdyGr@J?>qFRDHvGPK~x$|XmNf&bD$$EAR zis23WEGpn+0bO^~)giTi2M!qfI~tfZSh}Uu%yQDVqsv(*;hfcNQFFn9N*R)=K2~k& zMR>BhO4%-5>@xlU?+cL44Bx#mQNWP7nIqSr@ZGRD+09?x5n1>z2ZW`(bu!lZFb0Ke z!*-LnY2d;HY2|%y)z7%z=kdJ^sqlf9zGsXTanO~VEg0%A2a*Hq!e2n3KM+*Ar*XGN z5~hSWd{pTUjSA$3c}-G5Yl0k-ZS)mFL<$stN&QFXEO=5ORaGib!>o_Z$yRbxu^&MRYSi%Hi9zhnE5qD=BgiJ@%;v! z2ihKR6RVkh0K4no)~+6pYs?f~LU4J#iA04j+=c30LIir@$*mqPxGNP~JaF74E+-bD zGDpQ-hkk4n$e)wmZQL84xC!_;{M63vN#=9=x$fwYXfpUKXJyjg@~_Vb}7c4toZ%tn4j-^Q+_BQH=Pi0@gj`qYs}}8+?&8O^N~_Q0CJ3EDV93#^5-X;Sq_II>tQ!fkW zPM2e?aL!m|Ff2u(DSxGYn@W2iWIP4;SH-+E?NoPHJQJux9&dn6_GbC1kY$nwr~Apn zwI4qkUml7Yt{*~wnG*APr>@|S9gYn7nCTeDvLu0_aS!BB7A(y)4A#00&;=g=ts+4O zr(u(P$y&FRIS1uz1Vof2ISa?*nErOrKjK)h{-l~C9=1Mp0y1vpcPr^Z_Qj(gQI2}0 zG!^~0_r>)RpC7V+xGItGY^zfc7F=4v2qT@~K2BP2X>zK6_rodS$BWM+w7Fx}vX(?> z5cz{N;*2gI=n~8-7V`3RtUdvd-_7y$+R;ET175;BcH|v$3{WEch6SrsyXG`;>Vcp&=QB2zQ(@m&;et!-<706&QDDc+Jr7%&A1x-j=$3QtoB5ZR*~Gjyl_+ozfj4g4hD9az7{&eg0k|%RVN{@XNCX7q&9gT02?b zG`})zTc132=#H)fn4>>++HrG*qmZX;)=c_3_3cO!Z$65-2fBu4?UPyrqxR^?+*y8U zXPxvDI!+mfI{nsO1siXpn~Q}pI%f1JYr>$Zt+dBvaLyPu;3v?*7(X^zcfW4X{M1ID zD){=eI`y)NYffh-FQd~x4ziy=$`#k1z27l%(En`ORB1cpJu5Id4Bu>1I~|0(5XyI} zwTqjDOzw883Ouvf>6P;XW}G%DF-srx7(5y8wJdUM)^N2)d9uXp&nf#%Mi|<4aq^LkfASp)Nr*%J%M)jTyy3c9|6FXz!IpawbeeT1;F!OQ;E4)W2%IxO0J z=XA;}0P{mvq8Yo=p*)j}`%w4e)lQ-HCP=~l^)E^$O`)1^MI=Yl%oD^uz#T%BLjx<2 z@A)Hn4LaN5q0evk^nB5NW~V}&?wtvD!U*_+U6!_9cmbnMYRGd_veC=-KA)|lJ_&8K z%Z>~{o)iaq7)-j;QOu0j%A*{$5W9-ou;7T+ENENB@gr$M=)dgV_o|awmcneh0nS6` z-2P?-svv>k3$wNHPxPL%D1Ju%RIVgjF>YucSn;mtq3#PpNV9NTLJAUn;pgA5^JL9O z7#aMI+^t|oDGfAqo--O2iOOw%LUFhQ(Fb5luKhcPO|j5(`17}PrB3J%6(a8sPhg&1Pq(oy#W0R2nB2HT zKx&=BJe#EQs&fo>%gQ*Ex*l|iv> z6^fbdL6DMUcB(#KJ^4BA0+qA}rxh<(gxGoJB1J4lJbYTa6|RrK1> zcSy0qwl8QPXSAJTF>ZrZ1!uY!Ib?RuZtp-MfA%g^5jWZ>Q33|$R3H^kOoZS`rPfKu z{B5^>acb_g^n6(p$%|P1z6QLx5Mn4`SPlJnj-u)z9F*Vx5>u$a|D95ELveWVl2RI0 zEEXQ90nP@mj>tAjklImC z|6fB2A)t)$MqN_{hX)kP<^oiXx^a@u(@`kr*(A7!jFD ziDf5-!jrZWi9kwZG?EvYF0&mOkxJCn$&m`>#m6UwYc>#wl=>u<*l9v!O0KhIOlV>R z+Y&-5H=x9+N?cb;7!OT$o=O$kCE2^$^b0PhMpBN~g}by0B~s!~^dAEuuCBrM)P&>) zdwl4AHqkC2o)?j*MzLfks}YlA><_zcy6fs0Df$BhYBDV{DzoYOZS~I$v0>EZWXg#) z6^Ng!SHf;&f`v*v6}#=?nw#Jt9OsO<*7+$RKLA2v6O+eKX(`luq0b}_Efe)z&n3L0 zeKqu(G7m0Z4(aFP-u#QS=lbp7G( z2vG($OCpdqKB0^I2ZYi>W8*_h!bs?fe_k<3X|4?0(Icq3Y{nEOG{UGpocb1>yj~_c zgwKtUqN&wNy7BkJmY+Dl0fjCV_Eg}l+>cqb=6tP%$DYt!I{ z>J(mF>Z^i?yhz9RAR-CtkE49=4blVSy{IAA!;ecY1RVS-aVi4s@$yP>Phk|>Au@DI zCbSiy6AvQ7s!)Q5j z-8>F3FgDXSPB?`&d|^136c60Lae%!yWslw&!D>;TJ+gY*6}F_Eptktf2%(IRxR#92ghYd5oV1}sMJ~kdx$EgRti9D*+ z(kY4`$dbbPuH2`lMD8n;(X{3WaQBde#{s8Qu?vSFArjelVeLG5V(ozr zx6*?Vey%WXkwK(EW0XA=_#0Lq5^L2|Qc*4W$%LI;8GSUazB6rHCO)LH>($J%qF@Sy zJZ?9{eCm3{Kg2C%;CtiUyVLWj1J~&h0t(VP<~8B^VV7g$pDLxELWOIsXs2%V*dk`5 zVr@^TFufm~3%Ew4Mcl{^tVr-~ANbB5PfNOU90NZ~Bc-eO^VC(s0q8Fcq6NI^sYn^M zGk!~o`!%v2n>xr#)UmA95QpB4^fA)Q%W&>G8A@}iHM4-gB%JQLv@f0Xpr#P>ghP2q zs(vim`{ z>=3{2pXyUVyNPeyO=TI4R6%BQJG#->;=Lj-MT0Sp zes52l&Hj@0`JqfB>K|Sd>+(Qwy4DhdS?|qX2a{~LNJu6c0=c`X;}mG{miATJ1H-S7 zy;Kc(?e1xaT=6|Wx}>?yFJ)ad%~$s0$1@68YyCbNyFd*duO*{EUwZx;Xugk^a;Vlu z(87@p+;q{et+B;zo6*ZwK|$aLh+|XC**UFh)+;IeW&$gjf($;8i-zQEBQoK9?4g@W z`Vn@KsDwvcm!QJs`#i9=Wu>L9At!SMO5O1{QhQbP^#=1sPUs=+ZYcy`3r?N&QljnUIWK@u+mYw> zod0m7`Esp8H1?=M90e&YUpTm29r(FL_Mwvd3y-KGA3~gCtI;1fMIc{s`61+ZU9WFJ zJZC}0lWDe@D|zS?;nV?Nng^vgj{N~)ZKP8J6x>yz z&PBp>zULRPMnLHEaY#Gi7Em_s4o=KAcpzNu6ITG3;uwM^t*GeceZV|uD^66IOz0s= zew&p$@4a@+O>av|$7Or%uPg28%{};4+hjPWQ_%x{XpJQ$eBl=+yeene$~yJmJ!-DZ zA$hq~z@1ULsVNR`<`E&Kzt<9elIim4{Jdi1Nq&3wx2=T<7CvsJ{1CN>e(ml$z3Kd|6(5dx zS%GYhGK=oBDGmi*A3vmIS-ULM3_{Y}aP8E8f?3kT@@+jS#N81|7p4SGTvh?4=j)ky`p6Xz3$#cF#PTl)fSV|y@Ab{^o z$+we~p?$yPB4js*|2kE_mC)-$9n&%I@LBcq%?+{U2OQmG<27!HRoJkSX{PO7c+}EL z=9ksB)I2x7!UKf&wZbio!LC`~)4Xol+Gjm8L`ts^RS@MO7JUm}=YFwX4e7d#W*JzY z`)bHX?At~&tQec=EOTq{?sgusyI`SRweK>I8o{tvSq=~oOmud@FUQjZJ8<2s?f`Ub zfj5t3Vttl-&ou+ZIK+mP=Bn1w4eQ|t1E!776vt)e+`NhnW&sjZq|3oIiPUZZ>8aD) zDM-$=iIQ)KYjcC3Mqj!>-WzfAD@1xF;HT-r&D3&bSz8NTn~>>X+<7DpR@oJ{t&&w4 z^?Web(VQyD>)WcY`RN1vN8c_B3%ssUyBklSx4pJf?R9V&ha~e|Suu3?E$(IvGd4;) zRd@EQ3G~f$DTTf^-hUm3Xny@;ZBDZ7jB&3$GOHT$S-9ij-*k;GdQb*;Ygcp{xGtvQ zEFE|6X71RiT=2n?jqYk7us-fIZxB3SrBVm;-km9OL_W-#!9RpkwX|;d%R1tYj&#v` z3HI~;jx+v*8@E(-osmYO^x9u)+IU~}{n?H+@6hK$r-?cD3Y|zpVn`K}2^YG*3dvH@ zH&-s5Q{5rSce{Zf43Sh4`b+@CnxdqpFECtgD;MvdFur~2J=H}s{hn6xDq0024USOZTbfJpl&gA`jqx@_Oh! zWZd)Xnci|_xfq;ZzG`%V9I;^^T^x_yuL65xJbvMT^E^Y$-cc`rr?@NsT2@tV&m3}( z526Mot9|W|Y)=E;=9++coa=?)%r2EK6v43jmGtgGBZp5Ju5DfT5M)88D^nyEWS{Oj zc(VCwr*3`zH>b_4FMy!NNN|S6j5Kd2yBD&XGluis?xe3B+#OjQd8;>4(#!@0`S`L0 zeXym+B#pcu%&qNw#1EEqh>6Zo~oAg{vc{1)Y*RvmOn z|1uhxK)*sM?(7&mpT;ow7Xmk?;bOXk4KQcTan7L<^}@z%O}c#Ur;bhO=wD7HZQUX= zCdI<9Ywb&)pC<2d+P%rCbJ9C>rs4%P` z+Si5L@At@IJ;-ttlJUrz&yBL3KWo=f;FvG>DG3n#;^z85JXH=CA4f2Dw61&=$~nJg zNJ8HvTw5PN$!t(X@7=_CZocR>+jpsM+U`cL)S`*wfpq%YoZ-1cl?Oxa4#p0C&*pYi zzrLyRlZ=nrFZoqyQA#Y?8WtLSvY)&u)^jjf>P#hAZcM*BG|BB4OOS*m+P*fwdXpmT zfV&EavFMT$-Kgysn8+`pq|jOS#6S!dR{dj2G46Y2-BG#x4@pFjf{lY6J=yqckKu(X zMd^zXkoAw~s#E&MVhH~_RN!;V2kl6yQlwzRQ}t*W@kCd3_kqj0cBywfWEsZpHPqmA zag$=dNB7)iiR#^<`EQh$Y;d51$Bo`@xd(s(o&J~k-p}k9A2qv$&Pc=K2lrpeoR;E) ztH(SdCcAAlX`6nor9o@*wx-=RHq9O{CIlHm#|;_5HR|I*9Gfum?H_U}GLA!W71zwq z80M{JtCq|KeN1o+p7Is=K92hm@ug<6->O1EwUi$CVyPc1Z1=&05pZv;G_C>QGXu!N zUnstvhKY*3_zxB!eM_d>_AVBxM`@`}r8lwHkN^Fz+GUs26 z!o6K?J7f1cpV%uj=LcMNRp=P73UJTwsut1hXV~i7br7kf6>~Z*XOj~OJ1TrKTl%hC z@Yb^FTZ-aN>8|NG^)KxC{aafWaLDPnn;jbCzn4e~M=@ys8w>bre1o5jB}_Z1lQ@Qu z#J+E1L55i0+SssU@af~^Ah7<=YLV2zKiUdQq~9?Ouh}SiPG?qyWg~YN_-7*lD>CQ2 zwBsw#azAEExcOPj<+D%c6an+m6ZiJ@S>>wUo7P3f_y|?0TgIh=WCY6O*|y@M_o~%_ zGGpE8__MpZnnY1md5J4!jyb~b_*Eg@eeQ7pdam1vMu4ZL0&Fr6vbf;J1g z_PBQm-nR*Wj&|KC4!t^u$Zq^?!$h15VHTvM2O;Y+gwf*zaH7ZMT;isbDucwuk*y(a%lMGF74TQWal^WHRMf97AMh8mx5S2_b+ z4_QkL@~_Xva~j)aJJdza9x6o2D|&bAgTYV8S?g(jeB--Rn8givkjHtn! z5B}#L^FaCU>s%suXI|XnQ5fdN3B#$5(-+fA9k3F25HZH60544&YPImLyyd0R%E!Bv zr3mi2@K3rtkYvpD06%l{K1n|PL1{{J?wgA9{DHA$=DZEbHVzh~t!gzvR^_0B&|^1^ z))E&*On1&*U5(X`R=AO zHPBy2CGPkf8LwSAa!zh6@TE(kSx;5F;y#};KP52C5@0^;93gEPqZwr;^q*&@yYkul zf13G~NFMLZ+$vxb_%?OKe~Rsa+YCyczttfILCcvaSzeeDZi;%ZByDcpIj}F5kCM-e zd8Uv)0$FagRW_#@{(Ne&FQ=~CV{l)VJ|s3}P(|=(X?vtT?w5@b4~SE3O61ZabR2vz zuiH8zA0V&=L89-4(T`#7w0+M?*RM?=bZ(fu6 zb~R+}*`bWY$0sh}(y-K(;N8W}MV(fE)%IaQt|r0F_7PO@;v4yOU{k}rwQCP9Z+6_2 zY5o~ZDJbH#mjq26x8b$sE0bid?q_O*+?xPi7Y|j4p^ul)>@J5&+CM{%i&jU3%U@8l z!)m<^6B?L`Y(mxFjDBus?P#{oiho|szg$uOa{I>6S?AU+Zi@)lD@% z&EJw4T;Jk<8Tbt0UgErIybe?K#8-8^iWIusU5@Dc2>(V^wxn33Y?D4x8jxQvF|`cj zbO~ZFJrhfkJ%yxJ%#qVlrmIM~m~ozlDq?|O1u}*&tbG~73($`-=eO&YG}Z8{rQj3i z1)4_1pAGdM-FX=7f!O$EI@gt^>-mDE5nvbb%k{;u4iDC+O=3PNrd9U+P!xJ2BxSI1 z;4JC0R$t5>k9kMfjM{*8NQ`d_Qv+CTej)8==LvCfbzDM|hKJ0ft+R?vAzNVS_<=YQ zmU2GswR(!NUh$Aq;3+d(rpkkA)m#NR(QMn8*-ZKD(<&B_+x}Ayd0=1w_v_z0_AIyV z?|HrBPYgm!Hbva&pS1nurj7ScXz_j)3+~>vV9l#Hz8UX+tK*2c{Ycze<~g8Y&42Wn zz$@rmpZnHgeJX0sQ&)}uBSU(zF-kePsg?pUzY4M(s81CF%2XqK&Q%QRn>j^VVglr#-QbV0f^{h#lnt;uG6ng)5c*YfU zqTboqy0?^5Q01)oX7yeKQPu%?ZlV zsLxVf9ADeVFC$%glf3NtRgmuseZ24VOwRlB`%K|Rr)sm}#d#P_^{q8)fgqf|fTOM# z)=edS8`>-E!z+V?eY)hsESq|5XL#|c&PDr{k*)0S{TDmpH!s!^Crpg@A)bWcP0TZI zx@}E~Rm_IFlstxnz66|Ja(oTllvK2YFFTV*EZJ)|RU#L%jAYz<747$lGO-!mT3W({ z3FD2%d?f+3U>2wx6I-$15cnH6VaOz!e$@~{sV%|w5eHpBgAAg)?cnG~%TSKqYiY;$ zV-*XI*X5xHeNC;{wvW^{(|opa|8m;(n6u;1ON2@XBjuV{VBAYt^>&8J6*V~qc`NR; z0dzpBi=PszwXmx64bP01_PD#Yux>7{czu4!S_`Stb(*U5vic5POR{xIzM_jSB;?=q zOLiI=5;`=bo&^4Vv1Zz5&KgPhAw$Ytn-{G9`%|>yX*fbO07kB;L4_l{XgW9d#J;w% z9n`$*YUAh$@QhCK!cJE5V(~U{UaokSfzwxZ?bE)315Cy5$ug;`e z)@E0+5H3&mSLHsKHEm7$iiimi(a(j2S#@#Cpj@6ijN1n5&-=a%q1lj^ucta8zFtb* z|Meop1iU~sY9$hj`zapmEVr`=h_MiNK8f!of(E2ryPfKggeXrjZl<~1N}#CcmJ53D zaqBZ+_W}q0`_$e^F{fE1A@5Sm5)hTcTz(;1lLLDm#=nc?8Y5e;D7BkZt)*X1y*7NM zhSw^hnl41*tHf|0p_)2I#Y9dq{^_{7n z-RGxdz0j^kOhGFP89kX5N>|>~`4m7EX@qLS@BH69K-qf1N`)AA4vLm--3_Ip5_1(- zh)b&4#FPulLZ*i`&;;X`XtNjEXfdB0H$WY|R6y_XTYPfdy)^r!7L4if+V0~x1hOYr z>xQ#>&W+t0ek!1#d-)0yvTE@eMn(6iCt|sT|13B^Bzarv5%P$5_Aelf4}$syD=)HD zM|K4-V=zQW69m?B1q0c+T*Vj#%Ll0@u7^M8ik3VEJ^HpiPbbxuzUxfJH9sy|U_H{2tX0ugR z+?zBTmCJr-CtjgXBI=*(orIk3YrTGHG*RVhSypBsw)AhCDal&prQcO$5r#);Iuatv zKT+Iw*o!;bvzzsI!9qn`_TIffA(w9}UIt-B3W_zacm-D>2?a(k#5phT>ima~9NVaA z8*5YjExUzeBU=Ig?n5Fa{Fe$fa38I!)a;IgqMsbD(NPkapdg<-uqm@B+)LY(5omnu z`v|-yhdXv$uN`SeAOP}=n`;#A{bOg7<%=1$s{rmvK=W(q6ZSPS1@8x*^qN{;+m*IvCMmal`3D+SO_aTXtTZd ztCIh(>`bFer^K}pdg2ty>J z57{XxE`(ZKoCZ}QblYH%0F_i-T}b%#&CqyvUF(Pw5C6T#LWD~~0@~1S2ff^FcDa5< z;h27Y+$~x9(w>@kx8u$Bh+mke=PvaN?t{WX4+S*aLk2VGzQ4o8hK#Z}{YQ(ZH9Qn=^1qtAK+u}mJaNDEmw1r*qqat%?|BU=&*0@(tR&&;5WfHL1(OAM3EWg=s~t$*YX(Qs}08aEdF z@6CtjQ;&?=jZEPW$i5z~=g=m#+nu?R#k0_%T3ARHzErWApl{nO_sdPStlCUF^`LZY z@j$hsEVWU%&u>=mj&PLaBRZRX^h(xl@h2`6UhPWExy&uft~Paajrb{R@Z?(CC)Stm zrmub-ZV^2d+uSBva&vRHm_9VTF$Aljg=Mu0{!^lStJ%;0z5W7Rsacd>l#M26^Gm^Iw z*pQ1(EKEu;51@RsD%yu`#;oKXPcGzB+DqN-nVm=Y+j1}rwTQT2w!R1W5r z=-GkR^5k2(Mxc3Os*rhTs#YQpO4axovv`&J;R$5cRt@A~7fa14sf$?ilYjNdVt3&nd96gU)uslfsb?Sw z`pG>7xq6^EjjEUCj^nDXfN3c7GKwoFIuk|3;@@&b@-DDMuxNnWi2~8OZeO@NyYSBB zCb-#N${o)K?D6e?G5u@f=5X)ma^DF#X3SjQu7T7K@4YGSw7)}pzDk>hc`Hiv(%&7Y zf2z>?YK@!A>{e}_JngoXyqZ~6Wg>YoQ$+NpA>+NG4BMRlb{-D|CcE)pdJx6Gt<15M z6-=zrp}?g9S)d?&Yw_dxOKYjpFsx%YlOMw3Rh;K*7Ix@ttBEWfzGi29lwrys zQV2{~vJ_^y+(n2okB=%BH6(aLgo6*mbBQ|RR2SzvD>;n{oG=sS;X&0^hj|mwh@L1? z1Q6^u84o2toVzXLx5|*X?V~E(h!C>A^H52NM>mpWS4wav$l$#vVz@ttT!=d4n$ZZk zl^8$*-JLuR3r#^EeH>X2i_($3XVDyj%W#V%gg`Jd31lSXVB_QO&JzC|JUtJ2z9s*M z)YQ!-dEL({?TK>hl0C`amKYKGIKjv$Vo*xxiU;cqgke@5co5c7SByjL`e%EgrE{eiY8b0P1sx2=BVkoEk%Z=Xg^4d4AsfC5KK&p z$$*6Dm+w!l*dZPcBJ>zR&iDS2lkj^UQ(%PbSkIylBHj!b$M$%A8QNT1hE^Oy0qKqz zGQUQ$WXo{ap;hPvz0dnyfyPk?H2WmT(x*tb4ZAI`$K;}&cbO~X2KWzzm0Ll zLA_4xFFWKWx3l6l2$@EmPTTN%>8M}BhujOVE5K5lWle<_gN;wvM^+RWFmNr4bk2-0 zYQPho+oV)G(B;)@Ji6EvCVkHk=vn+dtw*o!m-M<|+&QvX`0`Cgr>Hna7WsFLm2GtB zo~)9;!`xVfT%$1&Rsiwae<+0JHB^UgWLq zzqumsxPq8YRVT|CO51GnQ@t~C1yDj{F=X$m+~Vv_yI%VaZJf2iWJcAPG_l=R_K!)rUB+ANwhmb6Hjc!_d`q1GB-e`XX(K zHhp^Rel+E1kNTBQw&z*F4-Mvq5_$E6PMY`Fj9$aw5B%}Ms4wk9hFL8&!fARmgGJjn z?JL3MzDppN@&uKpPdsr`F8u|4HL6^0t7q}<&Wq{QHj$iiyaCSx_-R{#zB%w?zG=7a zVOzX?<`3;k<3a6DH`MDJd!-C#t>rwRq~coyhItnw;7Gvd#W7=%-bEGvVcg>Fq4Ma) zeZ3A5FD3TY9`(?AA6EddVHTQEgS~E?wR~Ce+pmpJkXNi#RaP*?L2C<_#H-HvTq_<> z{%zyqFDa2Z@Kac`2GDFqzoR{mt}8L(^?2nq*DDGK{*h=jj7Xed6@Egr?4FUOvf;F8 zud&8QLU;T%a=!@BSDz>##6v`lE*%m2{&yd<7pZQ0#5u)9=yOXY;q6H<@}LQ4>QVw0 z{rBLAI8m`9%yaR_KOwtc3@6%kLDeFGsk*iZ>(aLB?LF}yo&wtr%rARYUbFB{A7r1! z8x1(@2M_bd>B!mtVvUQAY8u{iJ=`nfs`)(uX5A@SIKUZ?@0+sM^6U?9HzMfuTl}Zk zN`_#4bR*tM4@7?jfHwWD>Dx;)rhgzhIkPmi)k=a2tM_GVCq#9k_#QPiJEXzH!)F2sLS}=3B*{ zX=n06bw!`kpK;xa1vu*Yd$iHfNTw#v<5jFXsa>okb$^6AN2lZ*W4Ym6{K#Zvlt4*@ zohNW|B2oi+XH*(mtwYm^$-k|QiEuphBjBsfUfwEeI$Shmod?o>d@`~9S~ZN*jf|H1 zX0dh}rTI*6w67!rcZ0Y-6rKf_i3ol3H0VNfpk73_S+cey6LrIA740aNGMj zr#i&4B|jpw`816X!+#27y6;F5OvTPJyU`M2Ck{qpZ+K`yX!?&tI~jM;qA7j0;k`(IDy!#?R(cLgnmKS)cv6$_Hzi4Naf`*MJ@&@P=hB+ND45E{Pz&LosK ztTKrI);7FjllCEPM>V}NZ7U5LA^8LFM-nm4mU*JJd*U?5mnT&kbR(iSy)5Zy)2A99Ucm4TfEUK98zoxktDXRhxpiW z8|=0AMH20#cEOYB+3Ancr}-|Wiz%O*m4l1TD4Af09M5!mwSo3O;oG>4V*tE3&rek6 zIz`knuP$d;R1;YdzLy1qD3|wsRwnXa$dVH-kKu=wqbd~?i=NN55AdPOz2eGY!sW*I z3N@hRFS-=qkV43ti8rP&gC6TG?u1mJc9OOY^)hT~DK+cI_?vu)6pP87X=`w)xhD7%3W3e~zN z_Sms$zkS9->ATa0`X?h2&FeT`Xr^TweW7Ss5sQ$HIt?op{$eiqb%ObkrR=Mrr*!V} znXH%@6-fG&nC6?3jtm4Dv!CG&>3?G%%$h(~3GhSj)ck5h@3#Q1I?P>evWwl3ES=Co zLH9ZtMyv`vEDT3w=r&p^uwf8p$Fnnvgs6^)urk*|q|$cTSY@kwcl9?|CmmNC6ZxJMhIodWHz-9f)sBrWvYRy4h|eecC?z~;H-Tuk*G@}I{j#ekbth5BJ2oNGTdh;Ko9Ir~(o6MjDNH_* z;+-ZyRJZ#N#`8L1Gt;O|bWRLUQqnUu0ZnxFkx2V=HIpDjx5LW^4-#eT{}cY|3SJSB z@lHUv0}bnzG=>*iPNLcgJBt?HH<*R+W8Htwz&luL(mCs0f?4y8d+74PR;tDFo=X_` zlrX2%|MI+e+ffW-Wavi}^o&HNkK|sem50oG$=r6W%|5fC6#m4p#;Q||=GOHyieih5 z$;U^a|EO@*E;wxMzCH;buPQy_Ql>RG4%8lq0*Yr!d)u->NRcbJZ+WMBm-zcx2^DPq zQPSyu(K44sw>(a-My9s)z-@lt)%@4~`0=4yQj4ML9q$&2Le;}(m^q>}+4+A!vc zR8;otS8z8lk1uQQ`=`_`8-Dxdm8WDfs_rhoap5nz5u1w1N=u5JVswLdLNG+tZ&*6z zYSUirwm}@IHL$P!_v0MP{7_7XRAYTbC3?45ARJQ}$wfv-#e#7d{pG`qrN;U$*@T}0 zNti}Zp`bZSBhQYb!F0a?tS2a2(jIm;HAFN*cc8zY`%%ms;tiO_POnmAw!GXuiwA-{ zo7+yY2^N3-r4%-gGS+sUDn6Y#cLA{}uPzX(zbcqqJV|mD7JSS>fPRt( zk%K>cK50v;qGOk&Gcb>_+Vbv>pt&TU#WRsJjtr)?sG~b5lBbb$$%P;iVDPYJvhZ8W zP~8(Bn(I4lK43BKiCl=l*%}8Nf)7gD6b2DruW{na>2;El5r22D{xC&K&*?6?p~-Jt zF07uzUZ@cck}j;)+Z9lTvha(qb`%%Rn(XMkJ)C-{Cz^g(zVz$MK24*Uw}UYiXR>9` zg+n{G5og#d_z@fb+|4tdwdZWw|HsgI|3l%&as2kiS!bNR_b4+Xha)K&8E1vM$c{T2 zxI@M{q^03o87UD_R#Y~jfn=TCx^oB#S@-R?&tLHVJl?PId`B!5ckvQ$cS`>NHQMLy!+8Z7sOZ5y%+6M zpjU6<1t&muJE;?ZemHbUMnqhMhiYj5qrR@qTRyZydiMH@GxD$THZI==&410-hAW401|d(1ZtLSGhBn;Z7mUney&~ zL;eeX{r!wSSW$fp^tOl6N={Anm-f$*$`t;Z23Nz~MgZ1y0JRTi-~=maSGoW6-CW$Y zMhrnWdn|b;N-l1S%NbK2aK;MOgK$T8t@JiN&srI33=|$$=7ReF00*>%I|7aa3QWP^ zL2mWIFM5*t)B8p`$fEpkZT|B)$65+~MNIoCH6r|jOfhTYh9mpTiBk~k3K0{ge+BoG zKgK~MC8k20FmuhpVu8ksU$TXQdvf;=6MKc zS=IS9%2G_W$X=uB*8X97lm7axXI;5xdW?LIa4Q>T!VW#%;P%$UmGJLy-*PQUCdWoe zZh@wMv)#3o+SiWr*CIULjvbI&GUL}Ck4I@Xze#7r3vgGsEi_T}Pa0Wydp|DmrY-?F zZkny^%PDRyV{j=(O^@_OCBWhb>)qDDmAF`F54;u@@pI9Z${Rw6Zj*PKK?jgN66_N| zm--z>n~tozSJVZVi4QoeJ@qLDL;0byTynW(yXh{Y`!1sMrYLcO@(P=#>%>u zPA)bLXdBzo-jzaip!lgVJ~Fe-9_4p#`+~=`N>|+W)@&(yEQKI%m8#okFeAgw*Zc>pQ_)oYl0yyi<;}4|?D(>e6rB8^w)!_cO{}l?C9{t7}-oEd~EaXde zIM2O-Lq`O|0!ki5;9yG}W!{SjMb9|p4F$y3nToIdwJY7R_<;AgrWwB|2p?K z@9e#)h~1`7&1Vp?te%*C`BiR{TT#=P)EFRm*r=lsca>sk+O3<%6aj9nnYPD!gV{9E z3T&~T1V+xt>cF|*Br!g~jcp;%t1Why_aNgT=$6A2^9mc!s4v8;V^Y-JWkc z^_3@c2nkGT)>IHN29$ti{fu1QNe^i?Qo#tzQl!`%XLGaE#nqTGcAoiHE`hf2`Paty zKD`b5`3JZgWOUd67koN(6tHVHN(Gs9M5Ol1ISy#~8s?uFR)0tP!kEea%vg2W&1Kc} zplXDNDr}zGsj+fE2YJ0lW@0h$>lr(PMw`ahz~x3o*QtZefL1va`2cWLl{O$WQ=YB~ zc&(K6P{FqGNTw8%y#8~Om{oyXFDy&FxKi8he#G&Z7H^x!>3qXic@L|LTSxaqZlxG=6?(aVtP{vZV6%wbu2^fHIKHS9-EjqU1)WT+Q)n zK>hy8?KEvxtu+E8GXr^bhb=^wh#i0FK-RXXE=C+^+$+ z7})k_&RL-4Cz14xHjC<3)7ztKd8eL(tfDIPK(Uc;3jv1+R(w9InzPJK58_mJ)hKY@ z1RIziG=B-0k3l7($MM3)#(C!xB*H7pruu$%ird_4LZzuG9Gz;K{iG*V&D&@m|!0 zT0z>=RuwbLRSh&%NMr_bI16GPZ@oE0|B?DAJ_;ZRn%ycM%}H*C2?M!{zUF~QN(xt{ zL26F&w^o&8zu^&E+K?W{e;Z&6CP2~tT(44DD6)(V>6KR#;4%Rl% zAKt|^<^QPRAhX8C|ISk=O&GFF`>}h-{B&%QOa0YWHxr1yPx{c|CM#~wY;Rw5vcrxF zU7#?$8LOh2|Fj$;;KeS{x?rh=9*Om1kx4Wc9?*a)k$6*CL;_fT-Mn{=hKjctfs zVaUsE#2(%p1DrsocD}(7!t6;rZY5xJmt#J9hZL@;kbABgfHDjc%JVX(3i6d^uba|2!W`+j?) z{N~&@Olu@tXNbEf%b%c{-iV%fiMXYQxO~GiV0wN@AE7E3aWra2XKKM7-%nk+CCG|Xj%OC`7 z1J3h7vUw|q9XH@$TT0BfoLcH=#&k6U-@Te?P}+C) z%CutoW?pN`F8lAVyqgVS0h?(Q!wAdl=3VY9o3u-XUV{69bC3;|Cx+Fkg^QX{DS8(H zW~_b{kt7VFv2OsJKprHUHH7>?XI7j$Da&Uhg<=-kn1p!RUgNvBi?~@lR=jgC7kNXD zcBjM#%kF%HJ+_M}0E0i7#wBoCAj(&-*pk&Fi1oe0y zyh9MCL1PZwvPbm*AKpfms8hX*o(+Da=Fa6q9m{3?T^(3pB>yDG9*FH}h69`Hj8%IJ z3Pm&owI?=(k5KOF_sXTVZGjUzFAmV~Th8-mi&j$-FR3aHgog}IU2evtA4$FyvYh93 zPER!esS)RjKW3OYBY~iJHot&fJ}Vn^F7LfT?k(st-Rd{x<8R1^nF|35mh7)fd3lZb z5%8XCOJPfqA$*%IV(9-|YQ~!kX7|tDQ2tcPOB6*`&Niyxft-c1{j2rv=)PyP6J~3o zmZigrw=Mli4u(NbmLBaJgWCtzEgu>4+x$r_iM6!#IKj8m?&Yrj)W_IBej~lrN&2dz zsOI+@(F<;ZhEKvVd_4Onk)NDy{GFMUZm)Y zEwb@YjIu!^{-^aUGDf;4`l;Oj&6n>Ms2UG!vBe!h3a%Zwxs(hOUk3OlW4BbG+%ImC zs_cB8n2IKB?=23GYsHOHU4=iSl!Oa0CcJ%wVJ?z==;|N{UZoaIxN}F(zsv1xcJdZs zG4I$qT2w&%VmmS_;hJh5*(jAqQqFpEqBC1HIx`S`;Pvo8&|iMdZVJtz$}1Hez-0!t zjd&eSZP)z+A57%}aG{1u;5z<_mEF6*jxXziF%*?rG0!ljM?Qalui`^>iGfz`1RYNo zm~@UgDKBUi8z0=x|EVDNuIc<}0#*^l5q`)TdE($Nf~^J;S1^?UabJuh{+u#&JI z*fADJO1(Z0=Gac7{hWH`7|*S3Ms2DBx$=zc)4%rURw;GHPZ^L9eh(!Kt4m+~DSW3q z`Hq@%Ou*9*7Cj3EmmQ|fL71JmnuTkg_s16UM2;4Ph@TW>0@3yh4yP6M+oc6W7~T9O zF#MHI9K5Hhvqj(<2&;{UH;39RSbPF}%>sqNu2hWE_{(S-Qx8x;?``RK(C|HVo!=6p zvxZEI7HRl`brNXz$sdl(nRCe&bmFI*=U>{E5wznu>18WNe%ai9*Q#D*UP1Ak`H0H05r$%ShxG2r_JIHa{qy+>l7^+Tb=t?~&?Si_Q-Z(^?f@s1VuX1k z?NG+tTB4!2?H1NSl#`momR?O(n@dh$`My=H(YteYF@r>l+(WEg?KhPVyr#%GWYHYF&a@rxhnLZ9yZJwdvl8bNN9=j2GGFJ zQn|GWnd_Zdw;SYT=kDEWiVW&^G`@-u3ptg8X?5BVT=KNA#uw7IPCt4MVt_0UoW#vEf-s$Jw<8WGGZUD;#u$6(7{31n1ULb#ppuEibIJh2*1kBv2{BddVWqnp{zF zCFw=>z+HSz0XTgZS>kmhiCf22>p(*q0!EhkWiPA-SFbaExykNQJ2|p69>5>|ETQ9c z(itA-6weLf+V!yxWbN3+Z}cDB*{I4HVERx1f*MHeu>LZMKm!JDm#h8IrI)wA9w-By zK`2AzCJOeRHOan}VYI@o96?suDn%_MN*6dk2W!1OjO3o4ZN!yX#)X zcm5>=*BlNJHZi0=|CmqnD?VYzl-U@=6;0e^`|k>N1Bb~cC;%9^agew+k@U(NKgk?U z1f_14XD+54J{ERMp5)T*#xsySdy0PW={)j#-f>uD6*p2K1?y{Q>2Ce$V2q+Ii`Ao8 z&hC2>wi%$v^zhq%si@vgefd$jZ-7MY>`p!WI$V2Dr-PgI5l_?ewXlc?U_9h2RLmn> zCrtn0t^w5lf^PckZHwI4#0nXAeiU-3J7L>rOEiPl9+tqqt1MV41MX5zmswE*`NZ^} zeiSR}>VNIOTzfc(mgVBt;2ok8XrlihFizUqX2|TxTntIv0GoR!Ze#NJ($_b0Et}b#KO>$G zt$!bfQqdP{yZBY15Y+PBT+$=1Tr69~-pZR{dS*a z1un+L#>a-BU)V+T3#I_IV{`aE)c$Kic|C99o%jlJrVOmVDmRKr^_e^bTnV*emwVfd|%w9hbW-`35Oc^O&Dg@_m- zRlCXLNEG^FXF=&wFMw0 zZ_#l+=V@n+n-l*&+0OwU!42y|snw;n(wKt)U4;Z3w}h>X(G29@duh5KXZPed z1I+?9telC@rgJqfR&z&1jas_=S0^=&Yewb4YxsMXTbTcZ@b!AId5V5Oj0O8FRKk^J z_iPN}#MywJ4S^j;NlWBhal=@nKIr@{-gjT&Q|}Cwz5T-rndzNl*s48SZql~tQmv_U zIlCzRB0cdhc6I;_kn@aOB8dNJ;LYY)Ke9&hLS{>9)dzsUk>oa=Zqa;|bM0Eh~KPXUVep?7~%I^U)= zk&y!sypMQg@^nT?T;^YXtpj&-v(ry2e$d2JZ2_s|Vw(`cXYAW$o{2s^&L0ga9WR{> z)CXMYj7!oGgF6H}Fe~7}$`ZsJhrK+`+CC>iyjWbr<9v=rphRTnu@;FzT2({^L0l#E zg7w1J?1|G;7Qa)bS&qBK;@e7T(gfv{crfa|;wO!YJsFM%Z%2av8oXip^i$@d1-J0B z>ceS%v)R_-iUwCKXUc>JlM`Fd5i90p7+?=XnfQuewqTan%=eG#3Qk2CPEHEs&cM4h zi{SRt&b_%m37A_;Shg|kjw(XDQ}Q#?QH$)9m4gmHh>>60gfiU?y?}LwPzx)xXZ_MM zz<*bOhjm#~7nC;s$gm#*1iyneXmmcg7G5^bQ{ed&@Xj^kH2>6p{KG)AdZ3Fpugjqb zCs3Vmbmfnfph7J^rH4^A)?*PdMHOc(eL1`+NtIxzxC|VQRi(wW&@ouX7N-NSuTSM{ z@2M763pUGg`=gL~@)Amjn7v6^jv+7D;jA%7jd${PD8nVgHELfELD~|i!5Q`0K5{}6Oqc`* zg^u+b(->y?NrQ09Hvx z5VTi1Z8nLK6M0%h{uN<`xIQ4fJgVJ)jecSbh!v4zhcEl-Pz3IYuVjxs`?GKJwJ<;5 z^yg#A2$pT^V~O)zK$`wH&k24^IB`NkIT4*jCSdo%u~d;vGZ91tZ`(Jn7MR2?>Z9mn4(aCAOL)oKE$;>LwILi7{~r!qL{S-|J9QJwwxymx2s=S`6X! zbsBdN!lWE}h9sDiap?f$H#CltV{*!PrwrtVhBwUG6YXd~emn0TKokh?Cj zZpLUymdf!2<_gxiis;?D6y6V6=YKm>?eX^DlS~i&S+~0^1>?KGOEe+Kn{b!Fp4Z`U zfWH~hs@ly(LYFs-WAe}AiiW=7*z?Zl;S@Olm~=+=dKK zO!oem8;&_Y=z50!{CqkxE(Lm4Nq$p>C#yhz8EW0#EwjWMX=y|^r=a&3`@PrsSbPj z^Gw`nK!>o3lc%xpGhB@`{93IQ<_UteAhT|5wiJ&7obj= z69UDTO4J3fvBCCLz6%24Ya)_20kRa22X;4ZJ6nqB!V@4 zjyRz1_Kuin$kJ0so~fo7<6D!o*5Ub`CKi1MtP;x}fO~r}8+`dLDP#s0e zw4j$oZgXsshuyGl!ILsZMvzg|^>Uq?+y@Bz9TZ)SH;ix zH2X|Rr`D18`Q&cFx<1Pb86ff%9ZYEtWNOq3V);)Gh*~LzVw@*pn?Gw1{}OZr7y`&A zKF|{>pm^FxTbiEaE3}8(b3l&z={R_oIg(1`C7sK~|pK>0(6_ik>1 zOyMf(fDt~^eySs#clTW`!rXUY^WSQFFaud`t!fyqb=E@LmU!oFcH&;%1V#3B`*xO; z$BQkyUB;H?3jy}u@*z9r-PYsL--oW6aZ>i7fm@~5X>r64Lj#M&Yeo7I<3SCF>GwHh zfoadup*2mY+^e#usEh#C<@-Z+c#5s}hx>(%jqQ{Fk{pHzngse9pxpw26UJU;!#{Y(UFQ?K?{df+6a8NF9;U z0OPtL^X=f$&{os-N+}6Ik&6(miDxlaf(z5f^{aR`gs|IZR*Y0bb2Z z`-8T9{6Y10?$D6ilQ?IM^R6bdg`%>rDf?8^$rO*V_#9+#z)!N<6L$Fw8vt$L-8MoyW1>D$iu7hbhac{R!^f zKYWfNH=NsC21^G{)12{SS!fcP5&}Idsyku&E{1hVNovNqQYL39^)Jjn=hYRSXP`h&{coO>mp+Oev%;m#VSSUF+BvDDE7?ZxlpBK^e(t=Ovh1b4c8{o z_rL)7>(T+(jmfKDR9__`f*st}rr1=Pr8LaIlbgeJx+%r-^1-G7FOu6NSX(tX`C zK#!wJIg&h5p`M5<9CgyPnE;?ZzBJ0%>NsVkw3b86T-+6n_y9q^WAru0EixMN^Z+s| zGGze=h-&Jzv7;@YezmF`f1`3#U;rDX)l&nBk{0;RY1Pj$9RKWm_4@zn1utXl<2m*rj{0zr@Dg_jy*5%5*yxt7|?8uqd3pN0EXXq@ndOrUvT<2fJ&QSAM;%ly@eq$FSzQ znpr1H{=khPRBvrRI6?034Y#81O`|P%HM~$)lcUXE zzx4-O`<14N(#-k!D?Ih2UZg~<3j?%@aEdM6hwD-N>TLiz z;iiG^1Og;VL*UPV0i%n*dbO<8Q-(9ND-fVlj`AUxe?DY?uV|Km+QcvDV;|-OF%9R$yj&0}z7h*5MmV@U9Th)sAir?vk%HH)eE^5a`+RAjw{kV%PWYuJ&8yUW! z7h^V5g%4eA>RR4XnU|%*BQDE#$>?2y>8OpqQ#1~%{-0zCdp2dfL$j=w)k zXABEi0LPkze$M*6E2vTiV4JSe%>g&o%6r@kSP5Nlh%mtGaWxDqX`q1UzH9G6D!j5D$S2kwMx;7s3pSRa z(1rq#&W#1jW;Xn!h$V;#Wt_OugK@8E+Q;VJF6tLDK1o3$XeKAb&_nxz;#98!M6n#~6!w3buJ}#WKS;u1-QThzELhi%DrKjfMj;0kU87>TX*_^vZD{q4R!N2+c< z?Ggjrxp2&-Ni0KyZMKDcUabDQ81nA6$b)F}jPrsIqGr0To~_?%RUh`&)QvBj5k8u? zAGt9k#!u?3ktywxb7FY1Yv6>3jiftPRoZJya@6Crs{~2bO!#MH2c;O_)E@VxB{~tf z7P49Qh4>&|ej9a}DgR_vO6`M}9Ixh7%|v2QLpL5~r|v9ja+6qOt$$gxE7$NOw^S?S zn^Ay@!3+db2Dr8CTrP)vDfO$RAf{m=h2@0iDV?v%=mB6&9ee>6Ul#XIS5uWMPQ0; zSVu5si~gR@XonXKPXcA%vuELd^OpKzkpSZv!e6f?E=coaQ!LeRL=3I(BIPD_#2*pP zMTt&1XSLP3H#y42;5Fg*SlLZ8eiNiV+S(maK;em$U(Y0ZH`SKdk;FM~+FOu?V%qbwTyqmp4E24qD*)~=$% zSGV5ogPD4`d1ya8wTO42Wa!^6d83D@7lwQfNr>(UuHuhSc-t5`IEQ=(rauXwJ%jt` zPJ#}wj1)D6k0LEs2WR2Bp4)4HG+tKw>p-H!5MHXPq}(T`q*)ERRT62+2~T}x#KJB0 z;`)q+-FO|?4R*l0JQbZobw#f#KZ=FvTCM8w}RGFsEHJ(y}UFjSS)kVJe^*G-Pr6@tis(h%z$`G0ZKQCUKV- zTUv?8@y~4r3`Rh&rqSTBgA?&8HyC!o{U<5w!dYT^QMeJ6tgS0cI3-}+FZ=E&{Z?O5 zc$xDitoukAi`PbgQ>O`$XfCU`ttJzsZmzQ5>b)Y8^^D&q7lol^O`oD)m*3HB%d?S^ z%>s!tjo(bTZghIDS@c7qK3RI8Qy-ml2^CN~xm5KK#&=K|`|J2*Ky-p>mXzkzh%*_7 zDQe9?_Xpp5TeU8W@y-i1gTxQU)re)ijO^wvuF5!D7?&p?C7v1FotwR3I@(&4drKv@ z(;YbZPn7;-(S4$rhCp5p*}ZVOf*L#DvUn0*5=bk*=cRAw^CSpQm4Meff44D#y6E#T*rdt#x5l()8ns8JKuwsQG zWflL}7Gf4@NQGt;aQ+cL@>DvWnbt`2TFxB%02#G9()#uoZOd-M3tM)V@I3U`wMM_h z7XYQiV#(SK#HzEJSjoVwY}MaLm_10Y8;7isbU!u)xTi7PacP3B*wZ<7{Km37)p`t~ z*w%7dWYIm?b5ca0|Ls|Ih4g_$k=b1JuWv!B5F$pT+<6lk{ntIo6`47>A!zW1C~f;A zjfu9W05reRe7^jP_v9q4Fu`-s%o(R?TvFzIGV$Ke!t-)kRX7-SV^qZqT_W|C4lr|? zpFx_oQ`QlP=M|mk+|iI8IW#CN=r=xaOx7orh7E^0LuDryB7=uv6` z!3dTaDDJ7iId|(H#rP!3V@Nf(*i8etMV?5jy(K?&eInZ)6r7e={KgIsTp?mZO0z_shH8|w+YS`jZKnCTr+Cpk zzx_P8NN>u3FplO8d{NTYm5IMLRxq_=Tp;VykKtF1R+OdBSsq22#R2=_15S=75WE7` z*Me~!FSO(Av1tCoTplhAslo3U#%BanPYi<;H>4vU7gn}!^ye}k6c zbxBBx8Lv?V{G~JwSur400hN4ItHf@$Y~@y@=cVgIlG$o=vkBH7?0Mh>0wS)5KKNbyV_(sV%2AMA$>s|9h`o~l=Vs8j%ea2*YpUU zbt$mr#$VXIwoy{rd__|_fhZrH#J<&(cko^2#&=<1m9bq}DQa<+olAQPVKyQnu>Gog zhWvVBNbWd(89`8fzrr?af)U(Qi<|PM29CThtfrbHo6?nb57Ek4x8O_Xtja3=qlJjNS9;f7RNQNf0^HvQqadk9Z~^B{{BeO9eK|ap$Q7 zcfDiG(8HDlSLI;f6NFRZ$5ZM$U8g(Y z#|-591%{0qEqnBPg}z28Gdi33kIeD&po*Ih+>EY3`Do-tIF&z5QqoiUwpZk3r=Ja3 z-QevmipGx=zXFxcz6-YsKJr}vWc+;7+K~6F@$yTR1RNs;$vfT9+lBENj@$dbOVLV= zc*b1$G;4yqi9sYzw(`sMx(#u^#|rzTG$=0VxgMTu%2c-t>&yqT|MB)w9YS+#-3FRW zOA^w&o(BAC+t?Xogt)@PosiRk7UQ(&SpV7=r@jgy8zY+X?Dtmy(A;yz%~=*rUcU9x zw%Yo9I~|1pJr5CA-cUU#NXY54hE-9SnoAAeiG7G`d1!b1c2NjkM#|nC;qJc2IbWZ5 z$omF4oe|l?u(i4JZJX^@|CFY5Ae?ankCo=986F=I@0R`AjFC{0?!1u*-YyOzKHHpv zYikr>Io|}{H`0$heg$nIf63!$@kExNvFAAQytBHPnk?|ElbAPCcwh%{hdcQ7U3GHD z)6j{$as{Pl0&Jz!H|SKHL7MZh|JH#i=9=jK7iL{E3i%%dy+MJCkFN2Eb>aY&?L)KG+b%v!RktJ?{c%$<-_WnHmogZjjvoi!FP% zdz0H{SAnw3Z)_N4YtwlH6VU2JPGm5nwQZv4l4m@sd%*a>5FidR{4Qhy6v_XQ;ZeB$ zMd(N!)c+}v9Wap{PeuGoJzRKIO9Necu-;H_t}Iwy?WK}wDVsB3<$mC~8Gz?M&(D3$ zXWX6RKbI~DjFet+lN8F4FQp47@zvWOBVd4o(JNzY21MnOZQJemy@A^J!oE`L-Y%P(6|_|chn4|j0{XL(%7u*7*miyDCe;m?E*NuW%aVGX-G zmz=f8jIU=x&}qu9$0l(fN}mvpjm@m5vtfv6rK`-hi~Y-UeMDV(u-$YxX!gZ?|F<7- zOBeO}&W(z+Z$)}W5w!GUAjsAvAcXUVG-+GzHbDAu~v$5&lKjvi4=Q4!MUJ3|%QKqOSZ}Yxv%2PX{ z&J%MTzTh+e-rgd%&zhAdq{bh*@gF%CYl=dBBLHusR5l^jRr~bg5CkX2s874KvJur} z;*YR;LitlI^A!31-noK!k9}d{=1g^-QYN@eUzJ4b4-t0u#I`h=hr}wG?;neGGk2i6 zpfOuUR1LXTh&KoWiZ#9v5d51vdoLc>{4<1F7HF`Ht@?XMxLdos%nq8nBV!&;J!V#X z$R|fyT7_;b%sny8J>#<;w}mjjL?NMkY$a<`jC~ZZQ4iW=)+pW^mN?Pu_RpVQ)+|Uq z@tOwY4#q{5ds0L<&7G(NXXWAE1np)z7af{tZbxm&^WK3%xFw1(h%)2tLuqj91)u-Y z04QIav}fUiThpL>Uu=Qd1V^CuIz`%CvC>zGa|{A(5PS%}|2!{AgFCeNIO=q@7k8d80m{7UwupY3T)#}XuUhQ zRo$V!CuRDVc162a?86Kz0-qG}-Mi*}_Gdu@T+{IjNyN!HmPn`MjjUeR4JQWeQyP6w zDQzQ>By<#&T~7?ZUxhvEQvRv`1S3#3HWpl!Tn}o;!E|^jRVJ=j?Vkd#aZZ^6IyV?A z?Z)9|o=Z+%3wqp)*1z4g^r}Q?V^V^Z!n9N>4K?x6GtljTsmc(s9xVGcMSgcU<|TdZ zp7@Lfr!p`4dGS52PLDlzK8Lnh4dqLph=lK_4xpTe(jXIUsvl(w5rZ)!NyTR)jGEmo z^?9)pD!%_x#CMxS6AxXP3(b%4=vtnTqZPnI_=h+*let&sJ+P)e=I`1ueosRRp(Tj4uxiawbzsy6=LNh z(;W%z|89G&?1c)i=Gp0heGPWvGdecNGdsl~D{6I;>*DuX>y--epPqK)MqG5_sF$43`0=oGp%Qu6|~y5R`no-%vZ^EKF&h#xB*u(qmYm^5)Q zSWglkw15vY-#!zoW$v7HijNqpVLog_iQu4$F9q{8nJTcVGvPv5Tp69Io51zt@O$q! zIC6~HP>v|=R9_S&)x3}j{G8Vke1Y=U+>1r|<3xSocJvhUa`JLbWeS1}Tnnb^ZMArufeG>3EmPE!oks072DH7yrXU&m~6CMFSV;X<5an z=ZnCaXUlvM(fkOxN;p2|Zl=v41Y4}Zmmi?G$tdqv{wV5W_SEBfXzF)=%{?cIxpVRR zlEc-DjkQ)dQE7ri-?UY$Av zp@l2&%crFp1|5_wNA90t`ik9&8#k#nKHAip@~*hb(WQqN9cCpWv_~cLl>(Bj-5mtF5#%* z$tNR0BVc24tlzHr*_hMuXXF&q7Or^q&~9Ic_Wd{of@OHzN$+?M9P#`6X>{BCU<$6J zbBpub+)B*<(h9qEC*@e3h^lq`V}4{LjALZ1ybfI8`~bSZU=Bl{C3>P=!+-5kR-iDJ z^*;xR)q;q4g8eNFItPQ4HzObbiT`e@)?EE-Pl&lw^!;>??-)U2EQQK+a&OR~HEBhj z0zC!&mrFh#%pULEdP0X>Ud?%8n{Kuu+QfIL8FAQ@`UGIIhg!<`m#`hACrCO|>F8>Q zjW>L&x)bP<&C{#=%N#UM4~7b(QsQGQ7rNoAm6KA?GKj)asUxSi{8~WQXuBeFM+U5_ zza$Z23Fj=`sJeoeUup&7Wl^+ocIKH5p}~@|!a{#BTT~LbHwXpreZW!HKH60ca;QCi zLBwoQJtL^U?`9F^tZNdFrC&0A1Pwp&m?YPu z7o7bOa^^##!{fXolVRQY9qDtou_yPus`ifWam02)#ivxwgNF++&PBBR=JCT_Ego&` zaSs=JV2cV*CJ4>R>7Rvq2TT}y6|=KMSDj{Pu5t-5DaZWM$9#krX;3+~bCvZSyM!R5pkQ62t2 z^vZdTFS5rji36`ZqzIN0PY3-3ZRqn@R(-ou;>n|&#YyEUIx4P+C2Cu}4dX?Y) z+)hyiC;EcVIn9VD#>BD8oqN}y^`$?)zz3kn#@Wpshuaqj#P@A$chVyC5w^JtF*`~w zK|*X`M1z_;O=ii}`G700fAA}KJh|iA0xP?BSl?QM?Ir`1brF3t@ZVMY;&W=wX?{M8 zhWMW}OavD30>q!Tf@2lW&}IGlVP$UNUwH9E{?J=}FVvX*OeQlb+FOC?Nt5mW0O1!!0;!52dP!XjFtFL3>0;#v|fB>VAF zTGB6M`eo&`5=vG4;&;JkPY*V zj7cVB)BHnbBy+MwzU5((6`7E4Z9}pp6Z7rtNk_;I`9~c|$H>R?olcOP$u9Y>Zlsem zvU|RVC&`OU%J=pm`I7ze{ZEks$btDmr%7kXwfn*0|pNwws<{Q3q`Bl%T+Q#0u``Az=Y7E&v@Ex)~k)Jg8j@9rVJBlqU_^^@L{ zKjeS>L>eFu<_~=)4U@m*kBpMW$m97FEYc)-Dt~&0G)tb#pZ`kwM*dFA|M8Q=CUf!^ zxTHn$QvUKU(h7Msf9*GEo%|<%W0SN+{+qwOL)sf##k71y1(V?5ctf67YGXR%0C!D3|Fuq z?=tPc!f}}D(clzmS}$PY2)>m~7eZNWoreO1Y@~}SgX5#X+-1&F#BM;VqLdP(@WZ2f z>}c(=tWfA1TlDcZMzWWW0hJldYW29RmVpl8Lq5Ohw;x8FFs&;Qcu9yl&q&%0vaO5q z5stI62yGqFl9^7qHz_EmQs@%d@F)+I_fD#xs|GLgxqhBz6USXfWlGvVLdayzK~ND} z60=*Fyl0V(oeYy52KV({Q%oE9yLS9I_o+T3iy&J0``|41o?H5**2Bf+FLR^qRO*@p z%UerMM8Yf4$5w4`5O7Gb!HG!B81CtNJv*_ZWzM43B~r^Mxyi%i2asUSM95^?B@9)~ zs2^T->prbrB`{KqxJr1?DWcF_ltbkEwLqBvlgeIMWa@DmrvRZcAW2udF$lE|SubsO zE$ygla@)Ff7(@61+lD76Ee-TNs@8EKHT>e6U8}*_dLS77hb_+&(w2V-r?gP>W?-|w zO2$5-=7v8Ah8XAku4Pn%I#*;CaH3h7Snh=)+WkD#)HSM=Cni&iC_=ov`Es*l_&_vn z1eYC}alC}r>a;6(J)ndadS5_vWtMB!Y5V2VT2d9m_GqHe`)CrVf#RiRh}KM({uQ2 z&scJD&lFs}4KGE=v^U5iR1;5WJXa7sKPCPO2rSn$Y^;$fiRvx!Kw~dQ%5_V1ci1Q- z`5m#?pN802?4Hr#(loZG@u+*tu+u{YMQmWz#)XgRmdad3i9 ztKF+TvTpHrKUsjsg7(!A?xzilR(x?-wfIPMu_>Ff>F-Uh<~Mfa7$SUrvi=t268CxczxP>B<9@ zOJI|;qdY?L*?k(XV0A$G*spv2{Z>A&^RRuF3zF+N=| zrI51_b?5;FG*t~&yhCa4Af!J53_i!5#o+Aj)dz?a3cQtMpop>2v6?-h8@mgc=62ea z*X}X8I}|%X0BeklnlpYbC$4Lp5tD!F|Ax-PAL_@C5>)a&E^u%efiOu&L*{v{;bE$)UlbOub3M^^@OTib z|MbFIlbic(J%>;mkQm1)vd|UG2n@`G=KWzW|#A{Rt|VuE3eZhoJZo2{+T|stODR4?~~{s>7DAA zHTyMFp^)}I&D9TObkoqcT(-v!dHU(Qmah|HB5R&~aGD5v&em0~{;72RWrE&7CCd4J zYMo2HPv%su(Ix1Hf-AgtT*{;hXPoat^93r?Eb zHp?DVR>M+zGvBo%W#%jDmQZZ*DzocPoev8|ph$202o--LHBKbJ6=*3+RnYc!VvGmkSNqO8c%TkBRd?DxLt$IRM;haSKWRJwgwV>qn#JI1} z?`v7@Qpw*BkIf=qy+^>>wWU1Exk~U4w2Oi@{NX{vXze-?cTVl*;lHPky^U>CmxIag zPCeJaE?&d!@UbhS47~(g8Da@ch2v>wB3kdUg7< z?}o~9?lsu*oVrdN63US`Fy^^PX*-him^7I3XkG7-7YH;s%X87WjbGl$q`{TP`Z`8l zz{ub<&qcpBguJ3jLj+Isb?87|fMgKKbMZ>st~|_SJ~q26Wm|M<{q6^DohiBV>N4xyLEhcdfoVKC7#|$zZ+2N>8cy%3c0zfjA6WeAO;%k%jK!`MRSz% z@fUj=rFQ|Anv+5NgXiZ$F*@r4=t&m@ckc<}j>d8BsU^p0Sr>Q>5(>#YcPz-ULLn{U zQ|u0hNR~EwU^iJ;#K7PrDz-uFSo9}eQ_qNF<7m^q>4~!C?dP!NdidLFezz-nd>GGz zE4r0FZ(*~Ji~(LhrPFm77KZMGGH?!?iV?#Kru9s4i40o1D)Ddj*jJuF24f{J^|rm{ zFhE94919VDqi@e3Lm0j5M?bHs#}nT%*qzW6Zlxgdqu` zTFwn}m8Os!>kK}-*PG(d%i@4phRLIsOJC}0FZ=MWcdZ3&cPWzWIs-})mh3Ga%Vw1} zkBY0gQWP5EluSpJHuRNqjH^NeC{L8CrX?1X7B1GY)#gAF{|hNxu!8$a3M&ae{NJ6E zxk18L5fLF-h6`H{Bs=NiX9dTQ?LJ0~5)Y{tK}w%J0m;69aX>n6w#RCSzvKdL;VR#5 zl8U@rngVj0==jDdZnI^e^b_8`e1mV+^Z>Bl7XE}(7R^F#O7oTxmP3F3O`ceo7r?)w zVNt;c^V?7-EE*5^mnV5kD?Diua3PmRp{k4`&S@H?&jJ!l$83JB)8l@0ATl(*08CbX zbXXA{QN}QA?JpkFy;lS(B2Aj6qm3V13X0A^R&@N-2KVIiTO%8LBa@){T9lHS(@WNE zUPBJ)4j*zq9}o40p5$$iYs4~Zd=+;n`StH&?&{FLRTIOuW0;!;xZ=pWk5+qfn@kS> zvAUPhoifdD1n;E{et>@qD2r7Fbl83Z8-Pmk3B3l0 zS*xaCMVEKt?O{2HqF!njC6G>jQwjIdVX81PQRJ5`(nS1I1x7iOgrI9Rh?fSIl~u$^#+b5|y@sX~m>-sNuV4KKs~ zejG@iN4_K>U*I>tc00Oc7h`WaReLgqTJN#EJm@=#-&xS_#EnBERlVf5#w>PLJp0Jf z2!kT@dusj6>JNP0jroyq;N!?}s}+9x>K1xuWJi_nGsN;DIUi@ZCjnWAN5<&qYMJZZ z?;rj(>UecSc3BiW5*utmfP^pbKP;#ilM)em1h6jLOa;9S6WDH@2u`j&#WoAar|%FN0x6>X1bB& zD)Tvk1dR-sYuILty4xqd_!D8TLuk)w%O5DWgxVykZAnXcGvx{Xhb{j*Xr2$c{(Au> z-}{?;6#MUE|Np`7VuDV>%{ILje>-X=$!tttZdY6|7mH}&S6F^?1X715pMg=FFP$#S zC{hyTPnUpTPEBf@!?ZTz$GNi|9rS4r6K==oBP{0TsRD&Pll%hS?9xZ6O?N9eO0DATIr6@||5Ao>Or69}*9Cy6ceaSO~ z9juBFy3g_p?6X)??R@dmC8Ge!PKqsW%6bcJ(^+u%2RlvoLXKt;l^bj z2*6%S0x-x|^^tf^Q9{x`zUEfM5ottelHA!GlgR(DTJt2^Gh=!@s=Q?KrJ4v-3z?w& zh8^2?>~Dm3$OWPsgK;7d9j0#;O1^vxXynu&`vG2Z3fEfX4g5Of@qs4Q>W=ca)aBR2 z#mN91@;<`jY53K7X!!|;a+^56*o1t;LyAwUi24Qu_uz;gFXE|qIfY$Jyz%J~o+LEU zVMT@3L5J-s0R^eohXE&Fv@o}!`Ot`%((XUTfKJFPW&~}zHBN&EjjoA(n`v?lb!3~H zDY!UhgYcdzqHqV~NGjWNzbCWbc^)qCk|;xYPo-G5C|fo&?bmHQCpQ4dR_h>7OxRy_ zNX3~MrLLGNd}UdMAV|aT{T)>+U&x%6!S=u9xu4T;n&+|358mXxfIPY3X;q%%En`1G zHO7I8;>`(+{;7=_Zqb;Zc}awpl^$@z>0deb+*b#(3mL}Wc*#j+W>*p-nMrD&Oq9j9 zhKvkvHB}%{tdJtJb90N(VNw77=OzqaCC4;3aB8V|U@8uQ+GtY!yixsayQK?cvdx0N z)vcWI%<3JeWgK)qcj%-eW$5#g0)$m%{8airwOg9|vUq%tt7pX+R@U8XX*9NZniu1l zN&uQm^$T~Clnc{$DtQ6k`w8rXR0Zb|R;l2NUP8ND%DHp*RUn&=SYNVp68<{{ZMRkM zK3@2W!hbr(FXLq#g~0yU%qg(6?CG~%!a4DxCLX?r*S2JKqzO{7LYY(DB1D^Hvcj0X z(^&B^`)o##Hl4R8hh>wv zo&APSzULhXXj@;&&i7qd3w|H);N(azIu71)0zEMN@1YlojpUtAaCR~0m)1e_+tv-h zIQEG4mg5||Gy(BN{;e}d6;q=zjhGp`9UT@eG5$=5*7hHagoicmIvZ%qCyF&{*MPxb z>kHV6nEG^BcfZ3W9FDU~HbW(Q5NrgV4e(r2$4>Ns|DSAy< zSL09hj%G^-=npRjKKoN86`6qG+{hdg=<{B~?{gBxAEgXl-)+UP*a;Coo!Fk&x{Se~ zg!Jk&4r$m~qx7C?_^C^bhrLe%=YqjCN|RI+N>HLk;r>tYD2Z~5QuCAangjU9d7-Y4 zmeDjuE(#BuUuh-E8|!F!@{Q<|wr3DK^K`yo&=TvEch-3ge}Ms0RP(V$X<1Lb=bxW0 z7DO&g6bsKT$NpSC6lF_Z1Pi~@OrhcVblC6zK#Ne_nfKg8#$x*Fc4#JuR37XWT0}Iw z;UF~F7nK=YZ(C#iuW*GqZ%Rwc65G#SVbLbpDD6*}wC-}7qolCQ)dXz#uX57u-EvUz zVFWANS)pgt_znhVrewq?(Hmci5)b>z6uz>U@gbqwdvc}pq4s>yjs@un^M%9EX!Rk zqbT$HKF}@kZ$s=FqH^NZjGuP$l#j*z$9+X%9{ ze~X$d#%LO%}uK z@l_lRm2`4FD6phZNf)-%dxmQwt(`sp^#pS6wN)tzdo8o-F+Xoqe`@T=>W!jaPSwx5 zt;A%2!0uJH$d9PVi$fg2O)=aj1x>~e*GA(Jl77;VZzSE^*<&>$Ez$WdV*za7M0-o0 zV?9Y;29w`_^Nl;gODqPsi(FILWVJqv`3h+c&q+-a($Os7^`pb=-5OGz@tt45`Ghkp z@jwe-=Nq}X~(<`aXKsf6tlqNx`3OvD-e%~`s$bw>5> z_PCwAFrf`2vnPhoXcwV7pRt8;+(qGyQciW9c*V}cQHH>rl?!nG2^5?~4-$$O{PoE~ z)Gx)Y63Ch2Vk!UBz0qPBZvWzWC!a4DA#0v!FcvT2Co+z4Qrqkc2tD@!6rpUSHf0Ud zhky8pI;p98+*o8`PA)yM3331RMDp>IE-^Zk=Gj31{`NC^!Cfpnm00xkL(5Q~n+R9f z$gSTD2dpvY>*G7I2PB*rz@``4fbE%E4ee*P+NxIi^|Th;LKd*1*7aa{|}o5fB0g)mCN7sXUt zxZ*^70Y@5elwUVaO8a;x_&1KSI@{tto1O4kDR_A7LOJI(GqSb|5;`?j`8^>MAK%eR zfI-7Q3rL;W!12N(acrU-+tpC!^}bU;9H!~8@c8nc=WXKL$zx;-weM00btm6f+dt66 z7zG!b(wWMF;v&p3)PN@9y{lqrnljM^Zy+B%Az9GlblW^FhU_2q;77re6z5~ZcK4EL zQcrz8CA=+c1?qtT=uSJi`(e4lQ~uOucPBh9J@wR!UCM63QZWD|-{lSAc4=^4;hAODF7yE8OmWtd`e$E-mlJBW=Jxs3J;3d%zMO-F#W3TRhTP zgPsKRJXEAiPQ9+rbV%yL+l^1~2I)VByOB|kOk3fwPhRs7zkzz9(O3eEk?|c6rY>cf zxK!)@oN7(S*%YEvEKsKR)7fdfnpDX}cde-M!LpeGOSwkFw>!*VsiUqPmGIxReLJh< z$!^d{;lcx8=!F2h_&m){XzpxG+hC5m*@dHHpt~Cup#-SaPHW{-{dM3vr>1g{PEEM@ zIj;W8Gzb|;e&$^PM|&@E#kz6)aVz`+g5H0GSJE)}Cci&fveZBLM}Tqul>B6u%w9`R zXx|^ao^gH`#zO}grS;HTY)>RM{AQ0q*kq*741yWu!S0m6EzAKG5oHPhmr>XK6DvLO zi7V?Z%bZ1?jrM%3}VXedc@1wtt26Mq6=rdEynX z@f33Dnk$d2bUo7wqmI^9fMslT0S>GDl(InenxjoJq(=E+S8OCV`a+h$d{-2e+ZgKq z33P07|5JN8zV)Ej^XJ3c;_taiuoMfS!+Fr!j6gteyt;EBX{1DUpoUzN?04wKrs};4B01{{(j&af0UAia*Awq@C0Rj6FoPXIifIrgYUBbH-~Yy z`P^r^!PvJhzdeZhlt`1JLX-t;7cz*Sxh$L1nZR*lQTCVL`6R7azOL7LTMEZ25&7mOssFt`i}{W=0BRK`RyBP7VA*#|`~fQZ!dls!I@HV1kz^iQKO z>%NE8z18$Yx&^|fJB9*GUXW*dcjNpyfM~kAov~+{`erYq)%nbP+)TPFG>v)Gdqcuc z(GvjeNq#qVFjob^mm!!aA%=Quf%j8VsF_ytKGox8eh77cf+&DmI{gbkjU^5| zmi8~*!D&V~?bNK%%AbBsO)e#3Z4zfqSx?X4_6@{80@1=;$vk9Dl;vL;J5(z!6tDy% z3`q_gdSLys6j9p_4OJt!%xkXwu$QC!`X{+s!(d9CZ{_oQRdI2Ztv>bpL0?%m zQ=Mz7cSiiz=0=>E1rI%^@u!(wr@1;t!a!UGmRf=)tGy5vs-_6<9{rC_X=4TOg7|6O)_ayYGIVa+FZ>z6$lxqoIxS-^<19($H} z7`5fYKCOuqw&8u&>H?L#N%}H;dm|;fIwQ*&dZz}qw)CPhc+NF)@F%2>z`uBpe5GR# zCK2k&za1pD`(riz7|3geB}TG0kjZZmm8AYO%x{EMN!T_e=OE(`1@?<#81{ywy2Jn7 zz~R(fV6c=M<)aB-#!u1F-lmhL$1;kQ~fiX!fpHh}<} z(L;LL{N6r2cW(drU8G6WYm+49q_g=#80=(>_9F&e9oCWd1+M(Kr0_bv6{f0!dp^8@ zkG%7C?8s2{Gwo6*y^Y%E=2Ef3yj+uSRqlh(HGFGj0wrn$6ht83C`oC?v=r$HicYcIPx&DFO z-g18l`(0&mF%q_^ z*Xft!^tI+&;6B0rY!lRqSpcpL3@p(xVJXbWB9q&)v<~njf>#%%@a(Di`Hcz9z9MP= zsjW5?yO@3WoZ3Rh>pvGJyjjHZX7+5ql5fhC$G7U6=KYGkRL8utKljx-MX%m#B^@Qa z(ge?MyJ7*;R6_i{yq(>Zz_mq>-Ikgh?@`I`qz!i>Fi&mes-Y4!-YkCD19Z$EK@Q(8HzZk#@3Jx)lr2^-V z@Cm-LK4GCshbpRo0zd{jfaw#;F&2u`r_>-I1rQSwH)csnNqfpD$jLLj6d`+-i-p74 zb51sA{zrkX-}q+YXikQ#ZBL%kmtcp-vD{qEoJ~*L9iKd5bfnGz6014*|4{{~01pd> zCx|E<(_c3@ZaB^|HZe6bcR6R7CY*JW_smoKgMABY%1Jv__6q>JxVPG{thdisU%w0O z#ep5wpGULU>U?$`Y=gZ z0e#{u?{kde;1_{X={o9tT@a|f{~C)+1^V?gaFMPW)a-}TBSDNf2H;D3+tCr!ob$Q>;j%+8R;Hm%# zMU&4BD0S1ll_trnrrm`;=x*E^_Na;y*Ys7$)7dK66b(@oS%!8zB3|E1MG4!bE&V<; zEEF#L9IK06(?>G(4TJS{;K!*dbR+{*rG_|C!~K<&Rbn6M>vt8S$#LwaUJQLhK-pUh zRIMiGRw*e*rGm3KuWc_SStZDoXIn0wDs4cP2dCv!e2x=`s;IH`)T&}{J2&d$B6`LP z;8gc(JtH5d8mbo0xEmjzXAqBhfbP>0@ltK{_R>-#Oy)7XF2+$c-4)j2RXGxYT9%G% z4J{bO3Xd0T@**0k$H&K01t+mnwWMII7kdX6d?E}^xLySZk99-OIt$ug0|I3q!DhS0 zdab&T{dPkiYvH=g46xv8allRbkAJ~ST8SU??Xz(Am%-3y!PTC+)G~>Szd^f_M_T`W zEVRqJrXKM8M+`Fz)}N(TfDGd>33@8b}2wb~sEKP|Jbb2H@O|@Xr98lK=wX z1xa-TwHcuVz|+{WG`87M2qe%8R~h*=+Q8`yQM}qj_wo`K|h@znM6h@;Mgu*BZ zYcN1S1f=%kE5@ZASEj7400%HrsQ!cg#2|S-Fc>)_=g~fCbYDMYA8`B>U}IanHzp# zOY#{!Yi|_LKB8n9Zu~3J$^gI8>rFDCVgS=8qf}_WCQ4X_c0=o)TNHX?>z&06YHYr7 z&j`4qj7bD+|8DBv25@?g?#zv}fF!$CKEAV2{J16ML|KHBqpvn+hNZ>^YA|`ysezQ% z4$C^g#uwv=BkVUzbarhRVkovc7H)yQXf^KOlJhX5EdUZkM=W|Ebz( z9!fctE(RXxoz-6!?57pIIn|_M%a{sMi56FL;1E~vff&~}M$+*`H?Gr1drHFenwqC+ z-SPbX*V09#v(LfE^Ju5E<(c;I^PsH@8Y)2ZyUGAPk+11n-YjUAeQ&F|Cnbnfh54F+ zZ56E@PV4`?Z|BW}Y_FSlXX^0zxL?-zYQL_K{6f3*0#3{J{FC!>8M6N<5H1L~>~om5 zrBPo3cS;qi`kX_~)J)@{?^Z41zBB0B(3XBiMQ)!)F!=Kyh>6Ca;8jH8Dz* zq?}klOiR`;8ZHgrd2LtfPI(lqg0PXfW(SUE$7?3q@i#kk*E0~BWk|l2g{&9~K zUq?jgr96sm@W@0Jbny-|L3&#Sb3P#BWWb)smMXj~-KU-u;bJ^5;PG~5#FMw{Lf+PW zP_vxa>#C7J@29+PG9=`NH{;^u54k_Ywp6d8XfvQxpnti{Y`NC_s@=RJ08S-W&zvE1p6Bzolk2TG&3ADW+s+Di&iWr z+NOM380|jLpUnNb#%qDn*)Ot9Y2V%(lsJ3Vo})^MZh=l{xLI!yXc2ja!`M$cs5f3& zIlz9wfGyT_@$m-%vDZy|?D?)HYF`!99o^0SnRa!mbLXnI%LLZc0Qf{4Q(`IipI7s) zNX4AE`%*FB=1ZTKNA4I1D@t7eBm{C?N2jbeY-6m5SIDI=m(=l43gVtVSSjdt>aO^d z%#|rFQqq_+{3Q_Ol(TAHxGgXb=8a$xFRr)KDv>hhtv^qgz;=kDTc zLtPLQhXWp-4%K54MoGc=8{XcE53X2T_D<`z^1xX8*W*jQpCj>#7}blV_>HetZpl35 zSe;oAAWS*^h7RDE=T3C>DKSFq8NZzOC_q79RM;%S%fpw*v-NWUc-VS5pVJBJ>U$!+ zfAOvyAzmoz^P8{W9KA3iuDI#F&$8I0l|M!E(eoJ0B6z(^{ zqZ;9L-wxTLJF@a;YcC`~(OE!d8+EcOg~IJ@sSgUjDSvK?6L6LMF!BZH*aV**GM`oS zXbYG&12#PME$daiMM&%0b|P9iqhdp+bHEeme@?xWlqzTh4Inb=T$~EGi`zLxA(zi^ z1B-Nzb@oIBLegX-c}-&t;KrvE(0}ET_pxnpVJkkxB{~(jfp~AA=BAw`x~{n60^uj% z*!!Z^(T|^6^__?jIa|sP8JuD5<|@Y5$iY$b+p-(0w--zSVMpvdtEK&-UzXi|Ld#+f0`}xORG$Gq96PX^{>p(MJiY zSjRuUJJk|$^APc29C!y@CWE+PwwU|G9FpPrOWNM;_Un!u=E)

%F1E=cZ1!2^a7D zw{uuDd~akJlvy7`ZBOA>B>fnwKQi{S302`-G4%pKguTfbQzgAZyvFZd?1N-5E>iRN zF9CBC-v?j8=H!C8H}qL`6ioqZsPmCL7GZHeT2OFD-9nn$S(m&^Uk20#{wpUfao?Q ztX_3KT>u5`nZ1Z#nKKF@MBgRDiwwy1RD*nZLseRdbO_2LFa^Y8D6 zBcI`e#NwI3zt(9?ifv--O zyV1t_^?m@+9shj1r}lYro9n8Ye#;+@ka=mi${HlX%#c?ter29O)`1&;5OXR^Ex#DV z$j1c$jRhfH0?=wfIYoMtiCEPa+@cfj{evKp$fNG~8f}yM*lNydd=ny72gIU8r`gqf zj;jY9Pm;FMY(s;myyBz)0C!2Jco-Uri+bOB!5?(n z^2~~F%5lHKSIsj%j?0b!Z2%?z=@DcT3Rq*>hqOu>ihaErO+GcXZiVu>|!#@Od=IR1=gEj%sv=6ytk>KY1??kMW@ASStQ44WAIjIlT*$Tz=n_`E9v_ve9e}W zi4ovNzf29T`?-UeiD@U5UNR)#7bxTN$d@s^F}DJ(cno)5I9Mthr2w+7%bH#SPJE^h z81|~;xPTq=k;0oJ+kjXK`%CfDMwbn$!4!Y($z+tWc(!L z_{c4OX9@FgCt!+aoecNm!6n?=rfeZDS2+(&;tmU+Xg26~#JtQK(+w$9exI7SM>%hG zN@IxawZBaMbi-**YX<6UIr97d@T5_(G~g&_p$evV1R}x;+=oS z)(J|nNqZl7Jip0KKHM7D(i>YlvCR~ZD|&qhks(ikGuXaklh5M(D>E-)>1(1va`-30 zz`AR}7atp1_EXJkq``5n1Mc=oSyf4I|KVNrSmZ<`5}zYH%0_+Uu`!Ugb%FcqW-cum zkorArXyeg>IKhsdx;@ViPYd>5EI@aZnG^x~2XOH-lY)R zp?^TSinYaRtrths!EzmokC%7-f$Fqm)X{huQl&Te*(IzvOa69jT=emBR=i3NLUN;j3usE=6x7DI zOmp-`XzD=voNzY7sAyQRIB8FM(eki0D049fy2`z6nPRretvrW$cxjpY>>L3{3Gk(N z%W9QiE}(uCy(9sy)4n!iNtzV!|5`Mum~YH!UME+hovdsK9PWefdc}+a{gFQxC3_3LAekbimc4kK_qXXd zjVz#+iL8xC7vny{sqh606BO?Iu@r&j8mUTN9uCNy5LGF4`W;l~gfbf``+8-l_qWh_ zr@&9R$ChP6r9n<224l{girtlr|K(Gm%V}zMjv?su?bFYt%akvzlgc64#^df!c5qI@ zpH7*r1v}|roZfUj8v#1MMWhT2aO4HMByx-18Lzz-$Q`l&;3N^Ka#3KU>Vt&(09K&u z-AMYzn}zUi;u8G6LW^DI+atp7PMK{fjkIBYh>h$I^D-l{nz9VD3aMFIVs}F!L|!A2 z&UUfdga9%PL@D=B_cPmfc4HYiFnRqN1SFr{*rOb6|Bus-W{M>Pvfde%OJ@-S_5B5r zF=yKe(I7eQzwH%K8g>9NeEaz*O=kz4t~kO)aoz#yjNlpbGi^}iGit77EE%xctdkki zc6cF6s4hPEpdwV>Oaq<67B)OTpcG0A7Z$)L2pwCaFhQWZ9?1y_4}P=YvWUA4d;r>A z17c;=ii7;;zrLn0{8@D6Jcgt%+&hzIrn0I?I_%t5#N@Y#!@5|FpQ?_MNCgD38} zHi!_8SODIy(R< zT@*NdFy|;!sn6NMKJ~Z)_wKI5eUVssW5a)9lPw#kJ|hHoiQdRg^wae9 zx1#{9c%*#_8_sB{;qt?p@TUNPjw0NB2yf7$CtX^zN4A`pV-~c{p0ylm-m|`(8+k-8n#%9{QeQx$klu{-{F=3Q%f}J4C|x*%G%r^gC}+I=0|=QjLC!ZO%UT z^J4()Pw&w{BqcDKbSxzazhkd!uc=u%3-uuw88xHCqesntZH7QQzFmO#8q3Angu8+X%tPJ?;lrOvFuT?%xVglD-7w|$UU`;R(%+Fw0Tg=jcm^x`b@<=UidV_Jwqi)= zDeKJhbn9OkblAFS4%n9W`@RONrsilvv!1a^W$wsclgg+U@1rF+EvQ7+-#uw-{xtx& zo6U#ETg}c3<(>nX9h#C=splY8nvZkd1G&;xY9_Oi0Fy4v54>=vK$9T^$+Ju*!*jp$ zXp}>%c&PmOf1Z+e5h?p^Po12|@cR{foc49P$#2LM_0LJlG^4lj3*;+aCF)H0tyZ*F z2agEX{Aj@XT{BO!o<)|6&qPzJIuJ$Jc}P{;SJ?O9pB;-3!xewV%5n=@n#skkKt@}5 zHean2M6*ZbONnAnA1LQqN7Z$;>V9vb0D(Kdp9hgF3far-6qi?B7~elcpQ4YiQEl*? zCMm1G&Ej$)YmANkr%uv`dyEg7Z(Z>}@v>3q96tYSbWnkJ=)VsyQ%HQo@<)(^2IXE{ zHDp+vsI%6_&pRuv5Y*7Ej2{k;}o{)bZ<*pU0s8`2qf*27g8ota?B5 zPj^XIs+QhQ#?m6kP|9Bnc^kImWMy|8QMO#Fd>d8S(;{HV6JrIsu!Wp8L^MF-i+}b$ zABp(v@6$Lg^g-i1Ddxw;#H1$y;XhB&l=DNLuw}67?!$Kfbwc?rGiiGi70!%5md

gG6qS%c^x;UBIQq>QXNbLk4G*wA2^!d=O#%ktP|Ap`h@=R=q<$yb zyPID+2%}|SqWM7oz@3D%x0pohGI#@wF3pkc-(?A)$Zp24<{OyypSNj}PDl3HnHbIP z#OnefGKi_GgYb^2D;M7m`acLL<1tpjQ^If31euN+e==`CGJf%Pgtt7i@WT^R!&62Q zdvE`wt%3PlF~f@lO2?Y;aQZs;^(?&6i=nI4XE@Rq5&mzDmWO1;q$M;0ue4Tgdb4ZP zzwHqT+nej&+_DA`FdvGiTKa`tX%5z(dg>k&QZ|o)zQ(e1_d4(yGhDX%{UOPd^>34K zZaW0Mt&B8^IRz1gJ1K!C-D(SRkcG&)tgAY4Q}F8>Ef>TI^9k z2@^3NB#9iuGAhOLTjO2C4k955c2BR*F@`=S*+uM@`g+@k_*dV)(c@}$u*A!BfP%~W zm#^&I<@o?YG>v8NoJmX0^i&061VgszUs4% zn8t?mn^0z4xXp==k3>G^zI;>PT!rPfPjIb+`#fx!zUF^XMUNk*ba~R>p@*~y1|@h4 z?2L9M>W=2?<_mQGv)nbVsQT_j#Zq|u%s;$;fSrrJwJZ)juRv9rUf(aXRSU5CF*UGb zxR#L)?T0tOl0Ggw8s(0-*zBtAFhK^4PzjD4Wl^|khu*1E;UBb~1#1cgCw4_QfalV6 zE_>H}XinOprwV~?XDhW0VZ)w}>Gh>L4&@#xq!YDR5LDTRHP-})QDmU_8G_nHO zd|ULSZVsR+Q%sP>F}P&3F2WdT6T6YU=)zmvO0j48dKU$FNRA}~#B+CtedA9OrJ$sF zMU_4&c``Oa<@=aHZul&Bqp4({atFat1FU|n+A4j2o0+uV#{4f z#JGe_-c)YnC|T{Sa%~qIpHt1)1_{36c++QMt~E;;m9#URKHxcpQY{K1yu*T=m7e_8 z0d?ibdk!Xr=YA3Lc{6@v_UZ%i!2(%_db z?hTu4o0frVOsYi4!%P6H5*60~Tz@=-i>|p)3wZUg@ScK0%i98J#?Q^io5VtGbVhkK zZEv@d$s>M%otmRh{NTyNs3^@9N|&I#RV(@AH^~Jus_RLQDVwK7cT31`slA7aHg6?E zJ6{)qpfLoaCpAV_Pn2+vR>DwEso50&}4{bieWn)bz@ zK_r*h)P&5P;||#yHs%s5z4;=6suEA!|MVwB-nyxg12)@f;qe53TitE6J;sIo19f<= z5;lqmgU%1l{ndslSOi81oBg{#4bYW zUt{H6Mb=pkpc-^34hS0BlicdTd&bm(sYz=$(Ao{ncBJ8v&f&M9r=O4OrApZyZc!$k z_Ec+L{YDp3SwARlP(Jkx6z4e5_2&GPjKb~$fpkt*zW_VDTLGGJQ7rJt<;` zYFgue9<5*6>x1(Z@-ApJ@0jhAD-w=Z-D>Z2^?l zOd1#A>rFixUfwNekAj!*2kpH1eQeHzFz*Sr@xV1%#+x@tF5Qq`oHo9?^cxXl3XMG! z*EGT`{CW<{-}mb600#P8^r3A{%)QYxnHPL~$WpPezJJ|bNa4-}n?er6GBaeGO8k+0 zKLs!^i1|lk+^-o76Q*PXxnY*kCCEJP`R4BV1tg&JS=FG6pRXTJZ!rR3Ng_W-&?iaC z>cE01rHj>vF5GBKO|p3Xoi3ykCBgGxMudK_mRoI$!t45zAw} z=@ssRtQg;782Z9UrVWAHANEEfm1r}lLgh~?XPy@rQGLv!)G+utBFS3T4~4miS%3A@gBIhGh~@h4i(+$JE1w_4MA>^%JY z(k30N%b|=@S2cB^06m?DkT$Gs=UY*N$duTV)j*c>5~5QfJLy`HW|u;r`PmDS%5b-wFsXuW{`MeA8!*AQABv`LB`#D!3sCyS6bE!yEaKS_tT)^4fwN-E!Pd35+nAf}0>h5It8QXx*60@J@Ph!~?Q(eio!EJpLt;o>90?}2vOBYtv{Nzy0= z;X>O`U_qJW?R~+k$wftbn3Aq5E-DP1z&hvQq1l-2Fp5q zcoy8esGSN zyFN6hi)lJ$G0O{mUAV|x=%hcQ!jsO*{Zj8Q6G;-OK!wyE=}c2DZ<+ncf~3hni&J~t zhQ9IDf~4|+4!gKMh~S3v)m&JLI3O%HQ$PjE?f&emn##G(>rl~zVUbd+H~}QN-A6bt zu}bJTeU1_mIw*XQH_5JpTu>QmK$m9?Dcq1liF$Dib0yj+N?!p=jyN(@vqj*nUVu;0^q)?@(su9GrG5ISN#+fI&upjS~+%jJn zKPRAgw{15aS^cxeXRVtFqw?yDyY$~2BKlvh|AbW~_QO1eKq4lA?J%}GCG=;j`|HdG zCMtIOTlY_Wt)gG42M9gW>?c3zkP56)D5f`AUmgB%uO>IT#&&E0_2ex5=UG$NSC$YL zO7?R4&$8!{KkG_GuGuZ=`6(~}*9CuGKIW`{UPe+xHw-M*^>L9;qFPnfbwhIpCq-j6 zLVtRBQ`a$#AlT>g6BjAf1RXpISi6fID8NzF#ePeSry8pXn>&zsKb!!d{YT9|ykoh! zR#f6g-07DN)c_wJ`$u((3)UX=oOhgJ+<66!;NsLx5=El}Lq$;tUL}@(NhXP5d}~qf zHvd~t9boXZpA?_*gVaWx$9#U(aP|yUaF08*NFzyMUh|`W*haRaWV)rM%Ye)lfL5GXIq# z&O2=R@G8}UDh|wh(BHU^ZRyl|v_t9Aj7WN?b?vg~v37XGvc%|N)TH`ABVz*Pbr_O0 zUYo@3N;u2CbyUnzLt8Ha3tjxQ$bNmz7I3a3B?LeLLm%KbCS@=86gHd7%gx_TXh@D3 zbT_?(5Q~p2#<0A$1Xm`kD0TuYOO1zmE3&`7mG&!hzlsTH7LHkd@@dd7DjD8EBNy)| zmM6FVk2vTT;1h3Q0JO zmnzaBP}#j@e6AzFw(l4qS|XXJKAR3OAZG~*tMuZLf!vU_22;vyXm7F1iPl3TaG;96 z9589wLVb)B(6z6IZBLEJhfaxN^yj9rK49~UuB)2TnlEZz$-D#vi4MisSMW}XmMU4& zyN;nJ#e;cZ>IXda_|9Vri<&Y|eGB$^ql35&1fB&wy>i4DAy~~Bci(^_TN9w}g`ndu zGnsgqX*k!O!qqMAZm`Z;>x{pS){#Kv3G3yGFg3ZN;z}~hoS{IG`a9qY`^c&wmmF>* zSFIZjX^t9O#mZ%^pQziU|B9BR@AoXq@c!QdUqH?k{$!xTo^3up#R}2%*T~ejr@a4Uvv2 zdr|bsb(_bKRYO*2Ai*Z(sefdy9(C3wz^r~c9!2J8(yzWoGqOR!DZ}R~ZRFQ{Zz~fi z0j3zswD87L5+$@-{CDPsj1y;4xyfhiO;6$^r0fh~p?tC1oEOri6TNSZcibz{v5-qP z>Rsfzm&!>mTVcN^`fO$%X%C|}xOIh6=Om=9tdcI#X?*l@={KopC2rvcOZ9=BX76sS za*sfL+y}|*m13t=*OQf#%|eK8;o-8B>O&yuST%th4RidY|6$0n_shwM)dm~=TE}?4 zse*Wwax=aQ`5Dq^I}7*EY3GB*hMZjR=vRbh-kc|_{KAe*qxzwa-a1}7Md-@(vq9v* zK39mVWH%-DLm>at-WXSmyOA|q@LP_qoZ?H z_1}2+e5)mu0{GBRw{7*G_v!vb9}B7$dW^n#TmCt`WQs-a7cvEN zyXSoD`L3*Wx#bUqCa`)#y5GO0K%(Ow{FnRX<(l-YOv=zEl1+lQISOP>R-m;=cLoKc zfE9|z_}(RCBx5+wd7PtPk*Dl8?ONTaH=?E%B*{1Y8(jXU@BVAt!g8pVFMZ3|)J)kN z{}LQmiTw^R;HM2ZrTsyq!`xN6Iip|cL516>7p8*Y9}qohLVKj#WE7PGea~}T>f?4b zW?c#2Q9PsYq}_-DTqN+4y&7CUT^)S%LXfQ|`$7{QWkbA#^ojojH_rKD`^4dGRB|lV z>Tmz2xupMwOr9bjPnXW&&=DVnp-PVrW3$b7GES7M-I8b%FY0>9qs~~O%x>eYPSxO` zPShFoX1=7GO52gl`f`=X+G*q7#q8Qxbu)18njz_r-9%pxxSlsb1D z8lKYoDq`C0YG0pf1lbFLjXiRqB4a&}5U1~b#?vg8iZUKrL6d2lrc@Z6fvc{H&!MXT^fAW^ zNmbIbWxh-Yxqy?q4w#5w`>kr!`Ad!*W%ZZviD|oEu!~in`=|3nFIrZk4_;t_qFMH8 z2FFfSDSj~-7D#Xw`|?rgyk1<)&Y@%ebZL=8{)-c*)gF(*_-^Bob)ycI)}>G>S5;Bj z<0UU};hlWi4V2yY*qjCGQQ)u+Ko{0>`5xaXBKRh_cWxImv8JaW?TVCGRy@l8cS?Nb z%bL@;kUcD786a3X2p^w<$_LkcNjl{5SW>af{?;fYT#uFd7P9$#leiQ@+94Fjl@+Qt zM1mwaIsA;6)Iw-GPMZ&4H3Q1Tx(@%bF)qE0ythH&@r%7ORiW?575k@IXly?$T?SAsJW$zSk@xWS!vK<|zEI!_w=1PQ`aU&D@+A-wg50JkCj&)j_D5>?%)8 z?%x>P^HtlBdjQ#|NJ%nObtX~%T#B3m@uuRew=Box%U*{8oVjn8b67BtyY~f^j-!G~ zUQt3lVDsFyBl%Hj;orX$w!^r;au2^qk;ICP#sz1;AvjcC-xdVK?Vq0Glw2Q{19W?` zeZ7PKDR?h-e5PP!xWg?x(LDSC=dzo5rjA>r>=6&(Y&taXebk9Q=uA`iDmPXgcIs#B z%&~5yGJUR3aBCkygquN-ie+*|{n20Fj)By9cE{G z`V@5@8`EL|2#!TrTZE$&8>fOzvj|l?V}Z3=k^+M@Xz8bKsksS$~&^?Q0O;~%&svi*R^ zI=w|3e`qzkI4KZMGeU+Crl43yg8RUZzk#i%8mza;oE+}X6Qk-QCN0CZ1^A_`E7CoE^Y{#Vl55)9Z$Jxtf@pM)TPmVeS?_+6+KzpMqf{*?th3eBDjJ` z{LFJ8J5$R7_Ms7yRD(&KHFF04DTC9BA)Q^|PzCecE~!w7;CSyyp*EiRP-mK!e}z(S zl9)7A_WIC|cm9$pTgv*aI&)I_-x=?RDgPSQo?hgR_&%~AYFNF4$)Xk>(B+uEi^|2kZ^<%(ej`?r z48$&|JVbw?oLb^fkFX6*a7YG`A)G5@(%)w9O{v{g{m zR?j)oABKot?VQpp9B_0?*OHtJ-V&pWSadfk6}y3^PSV3cVF*!=)tE*?3mh!^%H^0N?V&8+AU~i`TKWj}aqKldNd$fEZa-KkwSpiB^ z%rAL{`=sAOgxk^g#}V7eSWbhkq2E7zz+IH&Jya2)Jnn^R*n~R+$PEw2L4z)|I;B&N z%V7B2>0yuu!gDBNdYRq~ETwL4$vUS2o}6@Y>+AUQ4@)JXTO~kLfM#&EzaAo!!$0~f zpZ7(*10YanakxMFm{W2(mxn8B7cAj;?=fl{B&L{w=U(6mVy_QIe=@>^Le9c0dn49d z&dyQRhaeY^F98!A^S)(29@|LTYv@%0r+pb}ekH^vxq>+m)+3DHQA9Q2yl?li_ z2n=V8*hXR?Kd``d0i@8LxCmrPobN>w93~kC{ehNqF2SuQA7jXk$8n9)gbKPSyGPHS z{CG;q;S=+Vjy;dpo8W4t@hj}NK5GzqCAnCNR)Ef*B=y_XnbdlKI{iVer#mrmv4v%n zgq|=B&5yK!ynyKO+xq@yz-e$r7kO9<51=FkU)Di2EH#=!omc-f5FR)yg&@wMg z{e^H#3~aJsv`!+jTC;?g_d%YbAZFutbH+p#+4B7HJ>14rnh})89j5LIXT0MU3~0Ky zaOZsMpPYB+hg8>0b$Liq;)wb8zzu#-+t8=y-fRvDWgnXLH{$ng# z@$E;2yNzw3EGSljG3nk!_0VA&z{#!ekzq?(RUJg14jfRyr9!xL@=~wydHzK^$Ar^$ z#WI=iB|KQWF81+9o=D4&5CE)cc3y{5_in{UOV{@>XZ$1dl?DC>4NtBRLQXr# zia_26B4O^UDn)3@0zC}$<_m@_?{BIO)ryy{nBqMfC&~B9rs^p;CQE+!p_q8E@wv2Q zs@NG@q56h^{~t&+_eY%CBvUaTJy`Q>c$l-`IR5MJU*b-E>=zmBC=rYkI)B||+#o$d zxGlPM?t(2VAXDh4S@b)V=PUHFRxFruGODDnd|guf7?!>vaD!y} zPUr}t*jjMT>B$2rIos00$?89=Vy88n65&0%mVP;4z3`TQZR|6FOVPMGLP%+a1o5ee znB)dfZ;Ng%{PFf-2CsUM z&Tn!shoOMKy>`1Ur+@+gR8E#reWXJl+%YJ)|`AbT2l4a)FK*A z0Dn!bgU}WF`-Db^5`&RS&k|@O?`_O{O+Bta6yv)$-V>3MG$vME^!&1;^kte$Y0{&O zOJSSz2!W!X&xN&DANPtmcA3O^v&9tDRsN@MCaHr&D|`L5-0>vKTST*KMd?vr&Db)F zc1m;4i@ zPUVauyGc^iL~2Hd!@!K9sre#ryBXQ@{|g#nOH!t zhwVCVz!hC37d3)yY*%7f`h5l=bWy}v;eE=bca zCjIf<+hToaZ^fWImz4+vmx_|Y7Is!PG>^)q*=wGK-wtb-C4~n&&k(&a*`N!{Xt^y` z7qvR!W|(W}+D;2T=H7tIR)eKez+1)GP*v_E?s^1__%u+CnFTANO;kf9UsldPe?4qO z|D7j@*AXjM?J4qGhx>kgV@M&0e>x3~gLhWE;#DaiErmbJaQhuEgjuI{8$N5oDzwie z56|O*;EwMbYwxZ@ux1-j>)H(ANN7l##UO}Il=QQzn$Y4ZW+il| zD#d*96weV)sGHIUe3BoY`sB+K;OA7bKbESr9jO>={m>}(T$j+JN8#mPf7&-aTl{q> zjXxzWC#*FY0vxEp1wQ*IX<;2N)o|Y$PjV~BcKr(MF4IaNz(sIaJcz&zqWr_xm-|yp zNkb^G3$etlN(tt!(06dzkmd1Sqntw0=$m)k-In4?fM~OBn$K zv@eY|1waDAZ5t?!Xw#T*)JOOHUf8X3YkhE)5Bg<=0reh9BPy=n@nKgn?=Oz9k+4O;kq~dD>-MsR49?SQ+97LAkpA)lfw|WNMm71 zS!gHf&iBZPkc8U6`W}0hT%lSz^YWz6?p6^{iKL7CB(+W^5OK@&bo8$-6&^>3338X|3GP8&T;wi$@Dr`vq37-+ z;kR$CS^T>?KIgr7BUdV>8J78#K>jGdauh)l1w=mX5T4umUBcFhGWd_SUyt72uN*XF_Q4aX+4$PSfDg!)4lKsJ1YHgaI z%3VX*gI#R;YRxJv^bWhZtG-mEdRaI%Z~9X7%L;V?-$ZMXxxebg1Ssd8;`6I00RZ+Y zDyMK*!7UJfA&BO9j|!&b1jM~ z4wkqzu3~|%%50|sEW8$Gk>*{bO#~trwvH|_?(Xlxv%_Nqz3QXcTcI?H0F*@(-O5}# zG5-l?6;qTLHx}VNR|1Nw_52g!sJP}Y7}&@qdxi9b7TVYFY!6ol^WS9T&E8p9I3S|=($)N6Qva)GE4#7ENOMyJX!Q5?gu&>`8S`3SkQ;((7M z3iovGoCp_t#jmA5L)EW3CyS&@sfjdI<1(vrz&S_IFafIO z@JYjGioSn4QpGmLANk_gH^cvypTt)~ZatCFQvwx^%Pv#ccVf_KgFh&%gJU++xlj3kq--_B#Lop`u0q=xjHF+LOU+uXs;>`$;}W;=8Y z1^`5`VLglJ$p-GkjYwJdGevVlt^A7o;YW&58zr|oYhaIG)BT)o^LCW@Es+_Zd#m7k2db@%sP)O_l z8aNL}C?7bCzqijm+?{!xz0M(f?-AKEGbAG`S-;48NZHXqTBxLAyNRYs&}F=R+fGD~C1GpUTA5sS$%(xS zXyvl0TGaO$`MgoYq(Ba_YfDTCi0{NUXFYAZBIfoU7PAZUv8*hq4$QZR{?`L=E7Rxy70b4IM=mpqTIpU?bZ__z$uM zTX}iE*Yp3k{yXwZbV%KBb1Es4C+4+t%$0D`B6Eyk zP9?t!0%+}*p56ev+mSY>IJuo;-&PhI>A;G%yOF|K?2?$Oz!0q*Z58q(w56H+%YX%I zoWFIynmk?&B}wIjBHG0tslCPr{+YJRX`^vJI*0ll4Q*Z2bgdmuM=hmb61cN420vPh zN~3#HPI0w++}7HOm+*Hcwyeqa{iFPKxw?{Z{o7yKia?V7W{PZT&)-Pr%q6!^aeN1oYKit<-`KBUEH$BLT4J6vh$0tdEDk*>22=UIi4lOw`Q|@OZUMZ zQU`86#5ew0)j%vgx4&w7Sacyl@~$05v+b~7A?-p=%PE%_|y-RLSUBJe@R@3<} zMGd#Z3MXm(JXkL~v9ql<@h4kNE~O~;*}2nxKY?;uaa=YXr)rdUG4-n^%G^APdjZmL zCKiefY9nsQA}r6pK$urt;27s=tt8Xl5lTC^z>F4&Kxtl5(zc@zv&S9E<2>Ud#SX;U z&(hxmlgJOR>ZX8MoxvOr*lw%Cj{24Pgc6OZyMuqQ0J&7Jv?V7~_;+cBfefzZqu0;u zl&k_1Q$i1M{Vn9r%@Vohzr$JJKS-R9=d)#PxF%E-w7j)=6YMsrA;^g&ecT|a+qH-2a5bFb~=PPPFQG<<=680#`CtKjk4SIb@uh1c~pYPFs2!YyUpKG=s)UOVHO> z7|m;MEbX!p(^jA0^OObpV(+)RP6~}hn~&11gH)&C=Z|>UZ_fZ}ro-kFTDhcHoj000 zhT9o~{c5F=4l}$M$28U$EsaT5j=uajFlB4I4K(xFzq|Jt&W_T~M#n@F-u% zt4nc>e{U}|&ps`_ND)4#zBu`=o_qkb2q=+ zF4%HcguLVn=l+Q$|n2E(y#MUxxz#FfhpY3t~ zceWk(A6`tJWuxW7$=cZcpP9?5u+bWG?TmlB>WjAFOiVibXoP?!Mmgl*jT>+Gw6wvI)2;^dhZCHQC$ti1ig_{Du^PMaX3P`7FJo4D5)nD}EG(iNF>1;#`?*Y& zr%}NwTi}nF!5SEua6~^-Z#Z;|T^13B$1`8l0+3r;x0wxe)`agwEsMMkplf zPPbGh@_o#0QXL|hc;iDByD5?6nSE>T)5C~Xi?Tr8PLQe`Pco3Q^_`MF(oibdq>4!T z@44bSYgSOi;H$gxHO@_TB=Yxh$~s|o9emHUx78|R00?#o|k^?We%i8 zjS2#*lHeDZ?eGNUmAZdjufo2l?q4uV`PIF5bJI~GX<=Wz>fh!g@d^L6lBUz}FDy?A z74>Cp5{|R&I^+yx(bA-|HqRc-JcTlwm8|5gc!tozd(@>k=7>vNbrj|0g4gvBjh1wu zar@B@&~KY~hz{9VJeMbOHnjZ&3OpU!y;NBjyubj#vFDyaa9`V06Icu^6J(DX^gQIM zdjs z&*wlz-1<f3eZ;l28_r=Iow7H*xG10?g% zUbbuhh$2NBK?55_+(m(Vr?Ql|BjGqY9|KQR7Q|Df5wI~nw4fmQl3N7dkPNI|+};CC z==SF?4!$FDC`L zmMjEgj}erru$CX0M1(z_PXmYY)xe^7&kOScb~*ngQ)$kj2}Q@Y2QXOvmo?Tt<3G{o zl<6D2=Ofgn&0O@tFp>37L9DouSF`e+|5*03{>ROb9HNQlsCTF)g>J$ zDlnyV$)7E2;?brZ!Ot5XRTlo~b|l1PztB@B9CVST65^M5&6nd1t{Z8T@X2aI2Yjw)}hB3dvT+rFX z*wGSDC|2KPeJ?Z~bslZ@i4Vy+-HE*)gX6yrMga zl`{wW6m(kE-nDZFu64Qox0wGO@FguM`CzluW~lQTQ4hXlYv?|y(0VfWkf%6hmUkb_ zjS$6N9(2x4o9p%erV2TircDT*QG9TkwEh5f^mW(w{7op))9>JzT*bY7FGcKCsKW4Jzmny1`U-y_oEn(VL;K>jEQ7QY z;t^U-hW~k+m6)0u^7+y4AVOqin#jyqlxuh(w%^dLgF`i*l|O`2X6y#jcu%|)-u|5* zo5*S{Mlok=67XlZ^};*+KxS-ha(JUsOwQ_&+Fko%l+V3y5ttBPG~-VIj1J9(BXD#^msC2N+BN0ehyViGK~h zpTb##-VJNM&oxnskrCx+ZbLsd#?WL;RfhiDF9Hsyi5hX}+y{<=xFF1lT;w`O9!j-q zpSCD%2uObzEFe9}soLN-AE~`hzPXv$h9ruld-?t22}icJiu-wpWXZObeuLlHsKQBX zt`_nhcgTH(@0c{jV*-ixhm-R#RSZ7sFP{fk*W!O3u!VDp=N6<(FC59Dn!NYstoZSk zy(n|$>7L>8R&^1Wq*T?l<6+Lt#nYW+Di<)CUCnQ|!1RFXJV|ZU*$*@?*na6riM><3 zpx<1Bw{zkVQPOFJ&}2Y_&Qyl!tVpbP@d;<>ioj(vICD*jr|pBwV=5zEfZ@3i-etS$ zQ-rX~6^r^@_w`T60jo2tHdGe5%9N*3K%Eo!a~GB84r)F|H3*}md2T)iYHk$qw9nlu zfK7W5qq_w{XVG z$BJDd&^@Q2<6|+#!U;lwIa=<Ul(@g`mVT&IY$JOo8I2I_%C&k zo}Q((k(1Pv3UWr_uyi{kKL+}t>x$MtAdLG2p_MM5$H>cj6oc}X1hR++ z;*57d?>pEW9Nzo3C<=w7!%+-0hJvMoYz%e|3MZY5!OcU#(Rmqs{1iN$z#s}x1nEKy zVG)Wbox~6mr;zCq3`r>!>0V}2;kT>s>34D*@{w=_x*|h~LQ$qu87it2HTofjx&}p) zuEo&Sq3F`}82SbjL%I>e*o0zAH)EJvP%P4Woq9BN!(mDN*!jMocW_6g`e{ zI-Zh1Ph=z|Q~sl$VWgx|&eGEuAdQkv&tPPpqh!&~GcIIPa_G5?ynIRly^wLSh*C_y z#JGHga+O{JGD^!R*XZSp>o+Jj>9-iSD=3xpD#o3=lza4Q#{C+~1NuY8qsNq5`V+>} zI!ZmA&Up5m(m-!yG&NIN=&g*lc1j2R1*5Zz(oOGS^!8Eu=>v?HuPCqSZy1cXly~$& z#?UZjg#Ml}`hhY=A7^}=piI)I7}GP9S^6giI5$uEOkZGpS)_cWe`9=KqA=;p4Au%| zmA=MU-=O@U|7867P5DFLWNd9y{?d0CyL*&<`T+xySv`%oDcE{bOtzPe*JeZhUgC5P z5#jBwx8QAqQ26C+cDWl6%jlMb^obM5IK!M#lbF}NJfoE?)joX1F6Y%@goZmV0(4QF z@SCh!tgH!zaSi(+MV@r6()gq;Fem^o0Zmngjwd1JS!;;b6qwGg_(R9{w4#|0_&N@s zU%c2cf5m>d*p*-K%Z#=GJ^|gT?aL#0Nh(k@Vu6}NjIe$&XBMzIUDCox`?b@Y z6|xeWEu5?eY7xUiri}@l_VeYgPsTDCwdSXE#*{x#nO3CMe$Khfd)}(&$7Nq)D6b-n zdLYmCpj(ISu$-GP2YWAgkhvyoIt<-H-c*^YnF+KSiOFwZ!w{ZamUZ3d*b=*Vt51@% zJxKO=JN#bPve!e@z3&Nk_ zhYOhqAv7bkv3n*Xjq@KKU<6MEP6QS6LY7>#1DK=jChA7DD!3f!(nvz z#5ctB*ihN00LRMpoBc(!u{slf7<*ldGj4tL+3X$ZL&P3gwg|Ng+UAhM9>C{elMY4P zyfCsc&(iNBjNpHA8RoQT=R0?aN=783D$h0l%(kldvEkLdaQ0@W_)i*7-?XbQc{*vz z?!}pE=H-K`CQu}u<6P1C^TP8}*UND}FDg$C@H`<4jEQ>l7%OTSRAak^qYm9^<7Ex= z-<7|nLw9m{kDO&Dw_Kf)Ray=#er2*TXLU$d^)Y`eq z16VRXfN1rEf63|O8 zOFdhFVZ%N4_}r{Fm96%b=|fSa*pj_@ze4DkVuKz2kxre3j{`G1Z`lH!^Rhh?5L3sC zJa}FaeE#*qX}CIZJ>lNDzAbUPr5!xN^Y>A#-yUQ{|P(Q(pI|V<9A`7WTCY@2LVm10n_%ePFe}7}8$#)lVFToo~2! zhqY;Jn4S>_VGYYOk`T%gO#oLQP?~xMC;`b%9ucXPFObyp?MMx4AO=d`QSV<#zzVFa zu$K{q8~AHc(x|wENbT_{ThH|Zr7oxGZt%;bJTO5zByb<0({$paX}Vb<1VYy*qYtjH zO@F>Fl6Cz;_Etl8y{9zd^z;&ZZT0%6zo^D8`1~jAhBc|H271ou*RUSI})>AqJV3Y`;NYv zyvc;r+47oqO33=^F6UC2kW1wl>vD3Kq}RRo>VAX}vy2=3T#K*fGk4fi&!qD4{Om^j z9?>pey>iGcN_dW+cLWw%x759Q4Mf;4vqpO>P0D%J79{rVLzCKM0Bkra3R+bgL zrSN7u`dAh+S=-QrGsv0J6;{7#^|ljGYe!0%p$Uh7Ce7W8^f(|*$8mFva3iuEs-oiwsSZJ_07I}xuDcPphD@WO+LG3l$ zgU`Q8Ux&AJ8B8H99~9rt1e0Nm=dkQ#bC1uQH7ndloHas>L4sTqTws_BG5@N_Ki=Lo zfPCw>&r7bb?GWxRXE^V}5x5o9T`Jcp{r*7NdE%%-;lTt8&P&dm#iXyq+|67~#fY%Z z6!@oQem~HiOD}P%c%_%%KgMS*9GvzW8%%fiAZUqF?{qY3D+o~^);9+Se$c<2me9=&#wpo;_MGn4eR<)qXYTN&n zbI|pBKaoe-R?`lV?YxJgEsfJ9{bCZrowElC(fdAmMvswNrj;cF0TvTIRu7>2(i0^` zc!tX{!ZD*7O{l`1!_U&=-a^_$q*}i}0HWe$^*6z^Gl|k%O(tn8u>^qVdA8u%=PBlA zu2={?%dvIP+4+I_uErKj@_$?ez}=qkg~!27%KUOfa86Wk&ryZ`F)* zW&~Qh6P8dPAz0!&KaJHAn#Oq6Bl&ZU5JBq({0&R4ZE~J)RB+@`LET|jR4+O9SYn`B zJxQ|#vz=*0V(ROo6BEUCr{ak$vsm3J3_xriUw^=S3}ZGn!0Yq`lZSQMu%Q2*_6MpG zY|hB_%_Q-7h{J6xHt)b4t?vdkz{k!D^xJMZ=8y1U~iV{fANV+A9b3g z8z~X2=Nv`GcIZgdVxGF6{D`$i1iZz)rAFqAYl;;(PWwB_;i9l6`$QZJ)ySjaF)d$f zW-JdmzU1x?l;`*Za>1;?Xa&p|^SOu&voc67a3vz8M~Ps6ENrB;W~su>6Ky#2UZTuP zUePC&VirzWCz)O*Kh+9zdQW*8Em^X-sQzq{bXhVk^4+3!JzT0pRMheBB^gG9r~$G$ zvcO2}_n_36rKKS2y$Lz3g>wxc&*`CY4uszZaUtpO^AS&`WGhO8R#(#(j*}q`tB?&ET zZ+64txv}pmczasiKgGFoC9SD+6v^*>qrHZ)UJxWjGg^i%tDpkA{_7A)LZDmiy{oLe z{_&-#8l+(hPy;p(OS?_!_#c%t@pCK6&CV<*L4eb8+1)Rjd8t3nagdBn1EWngBl%gf%5~y)KexLt~MlkJbLwr5dKNegeP?YNpXPYl^PQ zMiy0Y_c?Ic7DGSRtQZ+q##1{3Hd)ksbTs+7%rcI<^mxQdGyx-?rM%+h$I$6-+;URs zb>9IM1f8e0f$Ib<+u(bc7))j#JXoDNT_`3)J^9kwN5_xq#aLJ3?y$v7rSBug4xQB0 zaB4fpLLeVrKdK6^<*U&^ZYcQNFKRhfB^V&t)rFj5b?;B;j3mu+9SlV|C zZD>M@Z>6A%;2l#@VBfvjjYL7d&wRr#?`O2t15^6J6P;I@*%IO`qGJX%8?on4w;FNo=a1*@>3{N}=vVC& zbnI@QR?H{zr{&0+a28GrEYzlqBk#bS+D9NUR%qJAMl^RJQzGyBivZyE7ScFS{v*eS2~`9*O!BgM+gIt;BcI7jOsTOq{0KdGg^zZu+n7)2sY!{b#@X~|>O5+%jaju%a zcV~0Tk@bU{adx3;1Q;Ee9k%A)-1!f)HL@ijFbU-bR7OVmWDEev+qn;B%JLI?-wo{> zA8XeTRfYlQ+EyX~sv%3DL{K2?#o}}HN7V~gVa<;w7g1Ub>=NLYAnnvy^cq?vbP~N; zfY$C|2bOo?lk>f)dP*fKsB!bJI~W&R4i8Jo-7VJE?59MEH&@(dy&T7e?DQ)sfH@0RK;w??R_6BAYliEtIdqY?%{j^9sanSm8uVo=3WphzxVdIaI zbMcwGZ@=Qg4!{vR_w%=2eEV}h8fE|WLTj7SV#Y18RyD=<189X0a2F6>ajx>_fB7a@ z2i0t6Xv@7>l{dHIh17uMi>|J`SwGs7%;lV08!f@+=Hu5Ym!Id;dj3o3%{M8Tu0Teu zKb3-cAT=?B%p<)PE+PJi{F+5sT)W)+rPG#&PNlOYE=7RY5xDP2o6nMeBnRSYtVkJj zJp9$s6}8v6@z@XCy!$!k`9)d8F)aGKzEVC>3W;DIB`7y?BqCuVB`tH{FRLi85TG;%I(u5WKyF9f4?8-goK-P(wScUw zZEWq1=tQ?noD z7M@4LO2Z1Q-C_UEa7!Df2|IfgA3)v*lu%_GHVzC5J|4o=8P3%el@&b#or*ghpOBc8 zy!_u8K&eUriQ$#xxQ!;W7X~(wDRBuE!H?40P6Ji~&ZvzHWs@^T?6}8HPHW9Vro?A; zWg-Y*vdo{IvjrUS-4gNicv0p!y?6ZdN5K6~l*kj6p;w!d1h0 z)Y0DFPUG;XwY{ae#?jG$$Y6wan-HR!tz1nYoWB}Y&Z`hksupS8kJ)7+)^2O-#v=%$ z>h>zi8cL&b_A*i$rsE+e;kyXP_z4Ens)^tU*RB>Xmk12^d+ej0bp=6+%D`-Ig_;VS zJBdJtcb!ZRLBPIFz{}r&w@9U<2vw&@#8W*4D}1T?Yx%-l_)PU!`TL>puIl#k<|pAN zs$I)L_vL^GlHd9MWipLhF&yD3Px=t$4f){?)f>$*A_;~-Ejuq8xZY)dynlxn!Q~<0`V?fZYnT$&{4g;%_P&)NlX}rFd*HEZ)?fV>p z9e+{av)Nztxg_M7b(M9#YTjAbP89wft3kZgm{hzJgpn+1Xq+Rv5X2=QoG!l*uNIC3 z=@3PwL%Fr zM@i8X3x#(8*x(*+dCdg!=4+ezSEdCzttx2gTR{n?A|jE3Je@xrsJ7PRCk}_#rpb78 z;t?S({h=WudS(}?BL`cvdnH=kRD+O7-yA$)^B1%G5$3~-N_is8<_3T#&%xqc^!}CZ z8E8K&Tybeq#MRnBYCJr69dC($N=l^0%erxD9mog?3v%&_%puuDg~`iopkU6uXVkU1AlBBu~!#?Q^ED5NF~iTj9L;S%L6n1+22Y6_e> zD^_g2g?2(tN52<=I7Ru~lcZy{{E*GnvVP;7v&F! z2v)ipsfT_&+=I2n`9|<6y)f)ZMPiZZfcvx{+;~<$>%$U=RdU#KU5(asIFP{}BFPhJq02 zW;VMvo~zRG5^zwf3&m^D%hgw$0}4e`#k~J)3%ES;I|4;q0r^3|pbxe2I#7f+3rQn* zzIh3y!jP^=F6unN{f!Z75(@Ty!^8eZ0DysrA}gm29p`LLeG8O|G=dJH2F~z~h? zfs`>&+i1O%(S-IdnSl@)<6ngxH#C*EVN*=t+}-A;)uaeifc7ZV z;cDxlD`@X`|6VMp=Zeb`Z4{DG-8D4&(R4_i*=7SE$)WdeBnKqL`zSaxvV?trW;UZ5 zCTOSH<>LH{3v4pKFyzf(#=kCxavMX2GAg>NpzCY__TZEky|#OttKiz^A<38Br(auS z^p2Ilnb)yi5Hxo_YrTyit){JMAW!Ucn9iq;@K~T$3X*becipwBW}lL&83;F$l*{2& zyb&fo_c#)n*l=239V%=%V5$qq7WyZNYjyo^U|0QSFLgE)6_UrG3ZStwNQmJEw0hSGHb4gj< zoY^;hcyxtR5yRx=Hk*X`w!n@{syPZFGTaY~q@iHvL~W)p9BE1G)xQDE_>$Olzhaxu z@=lKQD<65Py219gxAeP{Ri@n?6jUrVwq;(gD(8SBl=$r`ZIax+{U?Enz+IuY_L&zg zz%T4eV2o@b5sT|?=24g(Ck2s~ve&+HA?|3WG+UI~=;e{sA~QTiUyM~aWq-~FOmaWx zo1K7AU(Fv0m?fEu=llq=gnNn? zJ4LMvVI7!+?DwgdP8?H_ZD4;VKWNuPgt@KYd#q#@+nrzEu7hQt4o2FUGVB}3GhOSwz#lCiFwj?89RB%ix(ZIGSivM27-htWkRmL0it@8IeB zv)%@K1?o%S6RANH6(~diBE=a$Ym_$_x9XsI54mFgxM`v;N128B&6Pu5%Puy*O=zj;w_g!l|p#4Q)L=p}7rA?(tre}T9u={2^lR84F;bj6+3S~QVGrb^XO{|Ct#?kq93b=nA z@6Egg&YSf1zGc}HZ#i*8x%LLv*xo_L&l}2}ulH|!p-eC7YTzq#*_VwDA2}cJoG)1_ zbSMB-yvde)bH7AGQoSJu!Tz)Z?UG);L45JC^Z{7v1Wp%6jYb@Fpl z$rx0&@y;%pUA-eG5gmBEYsyMOVZ3AD8FC?u{wiYiW)3#5GLNV9xh4K751D4DwF$pl zaB2dB2Du{-S8B!Ce~$`g&Qe-45|s8SyFO#hiF_WEl)bvg|0r%U6-T4dLO>#kw+4@h=r{w&xA%kZ1+(Fl#bY|@{dgMq$8IAFEaO}6fXyDGh)#)>= z@S5`-?vXjirz7XC8AfDGhvzBtvyOYyHz~%-QxT+?$v7G*K~hlPn)~z_`2m835HSsmd}jjN?KhQXOXasQ4(2w(UomghLzlkL?KrjMYvCq0Sx;pmjdBB*Dnle70E)OTAf#qa)D!xu8wBt zrYb4V{qgMKzwoFXrKxSG+vA7#1&dBc4O}|b3SCom8~9lB6Zu_0K%Xk^>eny*v5z{b zp8Xx9x|}Z4!k2c&`CzMazba5a;6^%^3z(hhW$(*_sr^1O!jLwl z&dj<5a-Y6@(8Kt0`(|R101j~PRa^3Yy1iSnVtXvKIq>BFGmB$-a6{$faFNiu{N%P8bWC z=YJWj4HZzH%5YAsJ;6Fvt#&QU-_pL*Sm0XuI){}6`WE$yQLKJEl>^w}(4|G`XV6Fj zMUZ%Y^u|L69O*0jsfXO!LY^ReuvhjaqVg2k!M=1et4WN`rC;PVEVE_(RgY!BPhHkR zs(2tjd-9#WT8#2dp%GlYx$yxo9MP>De<(@S;fpCFtgqUzo{nep9Jn|TmCI_+Mig$+ zqEKP+>yXd{nDPrC(jRh)AUtZ^Qp0BK99bg-f;9-*ASg0TUWxXEV{3PJ7x(WrQI?>Y z<+zGx9kzX%&Y{BZID%s%#zSL-bRweoQI2kbnV@|y4{IwB%K5Y>NFSdA0=<*GgF&6D z=ViONj%l9q3XwOezThz)cgpT<<$SukwKYh20OV&dhz3G1f@OV4Xyf78h|SP>a`d)w zGseBaAtrDyG%0%ZZ7fvaG7JS~)4_ez(^$7Moyp=>W;#cPCMbEt)ApmQxsV27SU zFC|J2MRh;xj~!<;_X;>@GxC4Whf@bb_?}&F1jIft-r%oa_({N;{58|K23e*fDtv zgLX_)s3HnxA!aHO!bR^5T4quvhIzWwgZjG}0~n3*oj@L}dWW#O`O+up*V#J;k2XbZ zflb(aqMVJ0wt)E|2if!DB`gwWLvY%!5n(L3SoT|)pPd#*rGTBcXm>Z!suZ{|o?pA0 z&CdcUW5~GGndx8J=*swo_0M4x>+)<159XN9{H7X8inweq)lhrG)8Bd9J` zJEnE=$=snQ8f_t6a;<>^icyb|a|o ztvphd8js_o_%&B(V~X}jEYqf;YdlGNQN6Bl4SHTeV5P1@JLH`b-kc*#yM*yRWK;Da z(}%T6s)WjlYZBvMQ@1`n6#3J~cybguzueCC5WybBEVEcB!9RMu_VqZiz8!F#vPxe& zS*BZ}^x&0TLwvuwc1M>;DL6FWRETCo%LzEyT*#=>s7V7d-Xwvaxr{YmOO)bise;(r2dz=I|Kz>A{Yj>lzowzy(i2;YHLK=6oMW z6PO1#{~ZWZUDy5J0(sRwsYS`9AgBfxk>NXYs*4lu-b7ET(Par0h1_ON6?Pc8GTX3` zrv%xfAaOlmZps^g;H|KM;Ja(mMKYn1`{an`PC-Ou{+Tp0L6UbqzwdpRFF&0wH~*A$ zVw39a5Jx|oI~z4e&l*Lk$@4GW=B8FsSYO4$dV z?X5Ga&H4%6+aAN$7{SP(C;Kr?fsb= z(y)t%Vy1e#+9*7-Bqa`A0=`oM)8K^-sLu{SK7g=sEww*-O8o6@8oreb*j`kXgkD3w z{e=3mmZ5+tIJ4xc*6}p)*M|sKW$t?Gje*97u^Ek1IU@G!tv4d&v)s-Jyf%;RD99OB zf|W(M`~d>K6I2hkT4N%(^>^c0Nh#9V9jgIA~<+2?g`&%1@~>YZ|)J8z5) zzqaxe^>z{9qH~cJ&%sI=I;QJ9GzGI$#noP}q6{0$390|+2YeeO?=XHP8|9|OC48IB7w5GL3yRlf zynwQ_NzdBK{ZJd7FM7RO*KEGAN58Koj`GBR$d4N+6vyWa$nk$1(td|Sjq+%Hjuc3d z!TmS-Swr9f7MK4~c67&R<>tsYiolTv*Q)-W_^8fDy)Ndc1AEDjQQ&AKIVh!JKSeVo zF9q_RZ`>coS?|iCPT3j5K*<{BCo!xi$w1pd1T8%iA*hZjw#&ZXBkgU;XH&ZWCT@rZ z>*O8CxY+?GtK(g7hqcw1g^Dv7586r;U_>x6sqK;?EWzqqS0oDHXJIbjFU;y+fJ>KN zxODY_2o$n-NiPB|vXX+AsmcC#v*fk7Uh%v1EbdE2QrY!cque+|Nw{h&1SItk=!-r3 zBH%Yt6hwQ-VTO9BFHL0kN?+!Nf-D|BI0vEU>u#+=AP=$DM6>fCkifLQK18N3S8UZ^ zz*SVC;_?jyROIn}dmbxjb~$ps(-z|KxxqZk)9TtgNKx%Zhs2glNiocg8MY&{FH?vp zHSqBnR|HL3cD92!x_%+4gD${SE75kqF!mHI@ZkYT0GBVG^X$Kv2&4J>aa0kqeU6&< zSeylh37krUNOLY3Nq_w_UDPVY`%zvpVm5?wZ&4Z~I>&=~T@cn@fgABr&)QSQr?yTL zs|+~6d41ccC4>FDR518okM3{&!s!l0B-g3ERytH*ts2e=@0&AzX^R)HyIx~!?C{!KX}*fER$Yb; z_$I?f2KQLgd$U>7AZT}I*2p{CY0>6I+H@Xv95yR9CIXCI9`p}8mC-QkzyAWJ)S|;$ zW)77R&+$WD^p3xel#Jf;)NhC4r*fS(S_>C9G+p>_DKA4*Ul_h3& z%-9&pI*@Eu61AzX3Db6GHH#bS`uV4#W3=`=Ki%0F#MU2gmqyo1HANnybvOmO?w(TT zxXV050z}dab@TM}BSrO7TJ|a`65uR%a;&oFnV7uD{Qve< zh_2;*UlSD?&EhY-NGR+(CdygL6Fn}--H%ryZrI0$OS07U_4JfA)YLXKR1`q}h|XS) zj>HDxM8)1%BwK}>zg;*!u*bl34#S{xc!NS6m#=HQQ$fySBWs_)uxOnxxHex?8Wy5!)SD$Llw;?p*mA#7}3vnU8|>|!VC zaN{^M8)0ap*dsDB<2&nE$QTZovt4>QOg^wYy4dp4#s*pSgoA^=&7|u5hEZn!oS9?M zdP^5zM41r53-r6q!Ak9}NJZ9=M#t|LStg4dXnsWK2Bi%3FCV)MEBiW%`2OkVDhsB$ z;YC|-Vv7-GEMPZaO{~YJ#u7rjV|4K%*LN?Kq)j+Nx-y!DfhI8cH}J~0hh*rz-aTap zyzOH5aCOhG8p3R}Ak&5FjsLdui}}%Zjxt~B3Lnz8KP!H)M-mN1+0sGhyx7)#-7Fr= zKD$O@akv&ght)~l`a^Kakyn4m5W=PjN9)I(wJOGjTq4 zl<$8(1U{STRTq2`0_MBN3cHjteo_i5=#rXVp?sPMyR`vhN7U%LhBPl{EoLTC=Yzlgu=Y-keIT~LS+tnRN1WUt`8C8HbK7nUj5FRgQ0qdj!}^+-58y8=PrRmG=8IM}q>#A6REO zmiQaN9f(qWTeWxAy2cuxld!%S6^-dlAe{a%2L$bk$J)+;hm}!6cqb5Sp%n^c)X;qHs8Nl9 z6q?RUA|pc?D_r0hmm9#NzdlIf!IEfvu2s!;kbfJ&Fbl5-w`hVx92V_CMILT;erN0N zarLn5jn`PoR`5D#zsRkl0^{Vb2^M|b=4boMKhGXXpJmp-l1$?Vs>`RE%<31L#7KJk zPPobM7D4CK=7Q8aP8l#F`gQ#67*#-H#W{ltCgXi=QW^G;(Z(=2spmLp?h&g0H{VP7 zS=YAHM*}vvS*-?f))1?l{05_#^e%_`i<7a%;05F_OBR_OM*YY7uL->Bq1{nS&y>n# z3RC+UEo)SZ`4-)}_f7 zVQMh9f~SNcX||b|7RJH0_dA*1q=T)crlQyp#>&i1Jj-xcWHjs)9(CRqkpn;?U(2SMMfVzGj#?61 zBf1jR`xCblI2kPk9xbS;uT!8eCORmz&=nK?vOX?=#sl8b@9IeQvpZXbv*>FPU^k04 z(_kjU_$=i@PFz`-A`{u=1BC8Kd()p7Ki*`RuM%F>=m}|@#YFHUs#p157$=pwrd&`6 zfJzj>X{h#Uz%ZpM@xz!E=G1C3kFkZ+8{^X_KCP~%v9wozHb%Ku3h1@mu40LHHo-WJ zzhw*Rg5)kuF6o%LgYJt96HxJQ-`*TvRaSo6!7JB%yOj3VrUkji8vDhZrgY-QmVQ9{ zz@Y?x-xv}0rSZf#K;2!;AEU;)7tZSRV|2JjwJoD6 zX5|VXfaZH0L%swS^4jGQoju*FWT=j3EYC=5F@Gu8v98#pVpw84)U~6E?^)jCT#4Hs za)t5fKPz_g@XF?-q;ec^SlY@u@fkE1O`R5IeH5{plgbk=1fP)A;;>TL%@ujF{U>Hu z;(R+$NdDl;fBeKW{DZ&mJ9UUzc^G0n?^u8QZ6F3i*14X_$N3KD*e?SEtC;Yxo+-qJ zFuIq#-iquk?8RnfEy&baWaJSV&~q7#VU%W4hHIUhd2z6mz-r~6nEqgoJJRW9fL;@e z1p$k8w=8DSa*qCm$Zuvy#kCL1!?P+5`vG7=e*!>|_XYG9lX&yIZ zBGB0XS{UAF!PJ7b<9{k~YQwxbZp1JZxePQhHe+WGlBC!!o&4zdUsP3!+04%Zke^tZ z_{sa$@^KOZedMS_Yhi~uS}c+=)+^^KcY)1vZ$t9RVo@_~ebth+WT~iAFvu$am`7#$ zHFt}>6&TIzeM-YH8&lxf8?yjpbyU5pjE*v#9pD`bTHaB9VGvMsl$(j;c7^ zTPKL38qTc2=bKm(>}>l#l&v0u!s>)7`w`J)FrlYwNGMa1@s)r?sE)0kY6T1Q^H?r~ z7}tE$XM4K+s_0cQBQzo~8F<3`TSurWm)BTAN zEoe6!{w{NSU&M4OJ1+D4G5pcw^X=Nh?LH(2%XLCwUvKw_d{+AufhQsV^cVVIXxAHR zPm{icq@{cVOKR6_*@$D=I4zmXosMz+qghkkP64g@vKV^;t5-mJdc3N;?4-BwuI?OF zn-QhbcXXjww7Wou4HK5LHD8b1)@#UiKG7uZn3Rirn#@}6Jep1CW>t#6um7hkGVR79 zC+t91bLMWet)aqB!M{cvDl#`6L%R)Q|EGi{h@99_Q-&F%|9w`x0&;LehQe7~(~7$%>s#>x z&lv{L3zYgyo)tb-ehV{59{8Vzq7;a(S!W;lPGGqspaKXDkoyMIrQ#PI-sXCQM&?0s zG(ooaEzpFVdPHFTv5LkKWCSDli;gloRk^rE`3TR>@DQnTwX}*FD5h&4p#D8HVh7I@ zWc57Q1+lR!u3<6UdBPEw>r~%J&zS+lX3zK4Vfgm2ouo! z`B`LB)IKSAxD_T~s%N}G0t`#bGcwtAk>B=;m?T86@T*P+S9iw&X#I-fX*|A86l%L` zaUh4%n@rr~#F^J_fRzQlC53RIr(nm$Q+Jc-T}M$@wDKk#FU3f%=j;__a?)GhuNOI- zO9|N{d25@@<{vSdn=PRdPw_d&%ede`pBVOz1|`A_Q>KI;x=01S^5Co3*zjzbtHYrz z-@uO1JD)HFWzQn9G2ycq8mvXf=h9WP;d@848~O41ho=g;uA8gT8gcYz)Z>Y+YwWu2 zs!8DHVl6KdZg1LxlTRP=*ICMGuo*|KYy2B=Wm2BlEMATE$uA0}|82^iQT{TciAP1H z*O}{7#*_kk6dl06%t)xgo_C{I&P~*IUL4P6xZ4Kd!2GevbGBfdQ$KPV+*;oz8|VZo zen|_6+PnYZu6oJ)EW|!ajAh7(&fMqGqn9rwK1lm+9u=+iCH7(~d$*lc9gAT%Zn7y> zgoZr-5+U?;Z%x1yMe=EVjktJmd9CP-R>sFCH%a|#2!IUzT>M)l^jwMrc$fZkt>{uy zO^iNOXQLsOY9*h)yKa;vlfC1ih^Ry6 zP2HyJYaRfqMLs%*BYe$}i6rm&r|Y%q+DYR^HxV#I#Q_lI3A;3+Bb z^J+3kkk)Dv`q{ArOAx7zujm;L;J68?5dybc5&B^G9lIMF83_JOP(TK5Uk1(?_lT9H z-(vB}C?fu0(Lw|qdXntU{VB67nu|K;=RXJm{>9Ju*T8TyGIeY`DS{GQVk?*mdS6C( zlyi3_nvL#MNrMfbcuaw39hjeWH*sDVaDz5OQQ zYZ{%UO8k>@ovscFKP%-LuluX==q|XMV;Ap3a@*Il69@>sH9aV)DZei;%$UIm;b6d8 z5O%^!_PTa-a@X?ESYhg2{mE3ERr14wM6{Q1&#`-VD+0$N6zHkHH$Ucov$Xy5Q&~v= zj3We+<1^caZ~)kTHYYnI*R{UH>^{seAbWd{E&;^8W{S@VR^%((EQKf~>|)U!l*td{~( zgoeyl>jh+?_Psk{`@=#>t?-`}Zo{JNIuJz5rRf@gUJfUYW56W`10xWiOaAw!z@#=D zq90YrD*w>|3Vz<0_d|(Mq-Kl}ATZBcm4{&bSwG4-mhCJ%yw+LTQ`f}-R2ZXA{@h1i zMIYW!42#EngetNfqn&nFP5d770|YdP^%N4hoFNBkR^VXj8y&e}W{E(-3ac2;$*hc_aF z))xiFLS`o92WYJr=VQebzpBHSuCttsyJ0Tht*xunux2f*t5dgcnu8isz&&a=)dXe|4mDpG|XBGN!nBB)cQCAI$v@k%UNST9pOEt7f32CGbffZhw8*N0Mrw z`k%0BKjAWBDUNeYD8}#DNhlvaY&OMzwZy)7RQEeO*gQsnH7lP8()dNjLu68j=obve zR-MhgZFnyr(s=q9P1G*~FBJU-KxPRDeiTZ;%uzN?m{y%#~;R(eT zZ!OQ$DCINp59QQ8pw_{$yhix|wSGT_0=s}A2`^6> zph~srf(}bNjp(y*`MhX|-E1$KgEz^3%M0CvAj7Xw#{C%y{q&~(3IMjxmd4%lZ8`jD>G9j@Hs;;;iuRyS(a2gOEl5CHk8Hd6ctE#O?)5K90h z4wpyVAO4pGUENCMqjo?%0{SfCoDI3l&=r%KKe^gCmb=&?CM^(9X7`&8Jok#%_LaJx zzZRM)|G2=CB8<0tjrZxRT0Ra71rqQ=?T=^ zY_zmWNSr~cmZ_D(R>Zv*uARDtfKi}#bXlQV=-rk3%TC&{^Nd)|+8AAeq<}?;W~YB! z1pT#e(f;&@XZv*yY>u$2rcC)R@*8Q6H^t4fzxzxLpDUM)>?ASrgg6C+jSGyg)KED{ zRAGU5ajEl+9bZ|Va$m)Rm(q7|w<14FrUe$*RBWEn@^9oa7Y?L}wwHrRKzO-X2RU@c zTK)4q>t3NVL7RPbrd4uP974HKBpE$$O-@pYu*Dg}@%V(3_xMmfcHpSD1lH1$({S4GVqB*G_3FV4(*Xt-BUj2|cI5}|OZx0!k>r@UI#&`1hEZ@a} z-!fNYS%#}8aJa71)$Ki8bww$VT?% z;?-ok2P3}PFPx?JL(Ftj7)$Pu&z=5*Dci)I3@+c_X|CjcXf5 zPmz$ft#QfzC2ZE$NrTaNFprWXN6g10CwBV$E0)Vwuv~Q1ZnNtNu8^;@D`a=Cb`g#=V0qCzIEd+aNfEpHF(t!tt9xe1lP z^_Y|q8;=1sv)%#LeT**el-Gd~`w*ZJoM-CnZ`^$z_S#TQ^ff#hX^Oc5 zc2^ce=A@S|Xj?^ph-JrK{@c>O6mxyJQ|Be<;mcGDw&@`5KX9pI)d>Clq`K2`+yc7% z`CZ1sIZ>+jgMYg0^(G4`gdTBr^fkEf@2n`L!zFE^hPSO~U zqRykiTW=$h^BFJ>c?M;&GOn>VXpVZ(lU+C^{B9y=>6&L6;A znXlCSf=w?&>!6p%T8tTMp-K-oL^G!vn%0TcXnLoGCg491LWuN{wcGvll4su)aZ2eP z&iup4foBlNf0c4+qAWhKj}Hi5^i9RbX3&@2XtEW2V_rNaRcuXyTT;kPlttHBXxBit z9>rN4=MKzf9AXkX2o$%$w~na@fU#wul%2r#eW5WA!T2?saV$jY<13iVH2j95A5&O; zFbJc6ZjN5aHPRu*?{v|_?IdUJCyP`6=5t5dPyEmFLkgt7K4F_IJ$OR&(7+L#2=`h4 zbebPNF&{X;86h8o_<27fyrf%NU2kate}r=FN5ass@T;t4oo$#w!fzO`@dw7X{|i>4 zgQkmvB=8ZLg1)Z8-foIzj=(Xh41q3d(ZWHzt?CdeXUagskQa$cF;}@Svyn(ih=3`D zn>~JP%1K;bvswNc&Sw*Eba}lq-l0Q5G1Y4woHqd6iO;1|Z=#?D*6qDrS)C9#gne~n zdD|ZfF7BMjPTx1T04U2U%O~nlh&ZaGDg} zi#i&Y>WP7gHd`OqLE*`bnM<~B7%i@qwjoKV9^c5oPFCo1rG|Xt$N+w^uE^# zL`fv8M!K>vg|% z68+BX%D>e`kX4PZKVNi+kpyveIq8g9)JO?~);SqC`I$hk$Nb!#18>*dq&8yErN?@BPu6ozt%<42O=nLwl{f{Ue)}*2beb;8i zhR@5JJ=Pw0u#&yl6-ra6!^1=$4)fx|pRnU+6niI$)|&WednzVNSv?l+0#QN-epUm^ zoo`z5c6o6#{7Mqi2<`K4igjKzYqI~@;%ey(M-~E2GxLXIqpZ;bXZN3(+shSC^y&|i z9ZFe0C@4dtfISONs4?kse~GMcw9JVc1S{)LZGfG&$<#7QY@zEQPZ)eQ)#ix056U^K zeeHw3l@o*ooj@6Gt!5rWYDB0mx|4Mi>vB7}v*)J6VoWK29j0a90O<+su#qV?Dl_*K{;>ajnCB z4KO^Ro6e7S-&=yj+@ zHs|GiaeXmeIIE8!#5@o?+)0Ho*Y3$!0fa0oj?5Vb0nTBvA^blc#HF1r6-6c_P%-B` zTD`SYdl>Z|XsM?EqFs3`a(jY>UV*Epl$eHy&#;(!cIQQ;Ehym+aXYIC)&*6Rh}Z8@ z6cUIz>Bh#@vd_{VPEb;)lTz;Gs}UCE8lL{)l_e7-J*lo@B!sK|{wZ-p^X5C?JY&>D z_POh6g^y*3EM}GoY3rDb@y4Sd<`oKTd1LpJU!!cJZH`ePYHVgQQaRNvcGzI{c{#ENjCo;N~0_35`474+mJ8ESJ7x_H6K{rG8sXg{k+MRhDgYd4*5wkivY0{%_Qs z(7KZQ=1Bdm!^Fpo)hx>pVffZ-MQf`M!PG^o^1m$#efg?<4`Ud2|L}%%S1hS?%7>Q@ z_hq^2oD-{jzeS3Yi&ngorazDn%-&fg>}}e3J?n1+BBEJ0Prvgx`2;}oLeT>GE{r5$ z-pGxxHA*3QBAuxF@&hbu_Kc^+C&gEKI-f1X0BePuLFdfR##lk-$*}8W#M%-k_(nb? zzq#sv-YJb!*;76|a7K3dW@gfl^Nhwla&h{o7v@-&F~KU=-Ta?7&Cl2WL@FERwe|H| zec&pG%G%-H%+4FCyns+RXg6yU!i?)7{h{UXR0&J*5x`^FOrv9RER>JRV>r<*RePcp za)ugs4uZ;bd>1xQ&xeHa^2S}%pCB(8L*e&d!wuVf)BrDG=S5i;cEe;g9u(8i7L~-i zX^Y~D#$dKEFHN#fj#6&sm7G%@P0CeVv|%>3B|lF5fvpDaXq>&kr!i#~pY-e_#<+UR ze{j#U%rD}!wUMG$B+3-cT}t4MIx}^m zedtezj8nJF3Ep8`oJM~wEKY=T?AL5IOTK*sgtI*+AC1vf3Nu&|lUMQcg>HxVq$+{g z+diqUqlggJyRo0NfJ&~5W>ZE%TNM|Dw}(Obz~!|LWoy@fw3`#kJBLkmGSKP;zeYZ; zDH6rrv-89&X0vWWGXlf=%tkFwx;cg&@Yv3NNP*oMK0EOIINM8tw_(~dWqh)PtbY+E zzt3Btyd5qhU1kNFfkD$O>gofA&fNodQFRZ8i%^2>yTz&6?O@-NdM}Q7pIFJ=DuK%Y znA(%*#B8IAk1|J%`#^zjHLquelMwa48D#HFUShb z&5oVvzh$Ar>(~VGg2@GIpHKqe=&JeB-Snbi@DT9(Sv;a=WOa8E33) zZX7sJOh$v>Cm0*K3XQ*B!7>oR>k0C&xeuGd5V>PDmciPJ_+i#(D+!4;Q{c{h9Z__B zQ^m^T*_{L}0L~bp9B^+ZePj&4lN}h#?z~#itFEOJ{{2nzffj>2p-06RFC%Hbb1$SS zjZ2E-Gzg^#E|!7UXK|7+V-D&$J*krh4-U%EN~Mc`_We}w^{kc>{^$t;41(PXC;mB$ zx@q|PlM?QoJktuyR*SL%q!PxD46%{|LslO3js6{Q^AUlWBnOJ)q>4r|lKcs+xR!mp z%yr4VogiiBslhFDrB~baA*Y41^?C0CXmZ&nN4*2IB?q$7N?2|b#6KsL*^cV|av(co zPx;hiD};r*)sOAWps{nvm3t3sh2e$&Kq&-~xsp)*7ynfo&{yPI ze*InhyHAK%kW?-W$*{>t&$gSCNpuiy`XU7yXFx%z*GeuT}x zqE0jyW24U$_5nPif|B9?YtfzCSly4A<_7pu6C)em@TX!4=J**e(aqBD=k3l-AyqGX zQqs?Ya~nWY!>FhI8&8J5=}`6}V+7v4jD^WY^D$nX^45wej(B zS>Et4U~lL+#;8wreI3<3VIqkVc41>1%{=BZ`~mNFVr|i>oi&nY)zR7Y5OU4$0o+t> z&5^vO#ki7gR2%Np^3r!%e%B}NlEy&zlRhn`|?*Lzuj-y*EpM*SYz@sHb zp5n>t>-E&3kZ6>57kLw(pZFCy1zl~Jl=mwER0ES21vctbOvKqiKMh9NurGURuT;DT zowoHM)gpaxrG>OKu7Ds9H(?FPvuQ<(&4Ybaood(2+vkaLZAA_5%I}#r zNn8@<6Avko+l*U%@~@2JMg(+I_iq?zZ9}Eq+JE6aud+Q4;>|ctwUeFlafw3h5_>)e zHaE!gefRgN^vYo3{~ku$&Hwr`Ad1m|XQG$pLq1=&9=CYkK)WDR4FdzklJYw1yvOKb z32}3 znZaA*2-hs1)~yso{&Yxr)*H`&SGprw)SBOTEBF^aS^oUIM@SE&_feYAFIWJsglsC& zWtB->MssJ4Gs^pG0{Y|ox~r4060>cwBc;n7zDv&qULzT*a}bSL9Z5y*6KIpIktO7Y zT+=8|EvpYImaE7mNfs^}d{K~GRtk^VFVm;5Mzfxn5oQrYLGh9MUp!c$xI=pBP>~Fu zfnQ1U#Am*G)qOKHR?)3`;C|oY)t0XboE(uG=>g5KaqxhJ&vdomhM|cN;mKv<2ctayae+rgiZPpO{vi`mIVp48;m733D}3#*htd3gG1yPptHGzoQco-op2&9%m~m(J zut)@p%f=Zd!x%q+F6-wBuldJZ7T+hywSvX(BF_H{ z?UMP&;%bGUMN&@oWL!38tcyFYx*Eetsp#v-yFrHBn-3;2s~Q>WLXBo~$!6rCG;x<| z6fX@_vC^Kt^t*6sP-U6E(QU3Hy-Q>ihu6}yunuW|z5qpj=+p7o7vCdmBc!sXjcU6+ zA7V`%C-UO4pJHSX|8*wqxm*|N=yD9w)z;r1CrSO6u`GjI!bqgrkc44@=2o*XLv3;i zoOv+X1hHpScHdAoS7CJ*y?49^n^eI2r#kNEGi!0?iF0Rz9h)Rtre5d12lJ3WF+B{a z3Fa=VfEY;g8zSBo!UfVs=aGveQ}`4;zo7jM2fL>5+MAnZWByY~ja@}N+Ut<`_&GOb zXo-9n=JYw9#U=RyIncYuu!L6`I5TkPjdV9Z33(qY+_0~8{A19~F^F>q99#vAXD&6W zr*BbcOGd4^&I^R?^c7Iz(oa`WeYh@#umGH!lrj9mmYhrh8O}Pdp!Ju#NV_PU34*Oq zrn{-1=pPscan=c)GV#v>a8qzsNL%vS-03d_CF6Xj$ceK-Mui~9s)|45eowZ-ycGV` zlbuuiXnABymff-OVD|grq(zUF*p+|L&v!{%-+Pjd;Bg&UKaLxqD8ng8(oFaskmr_R zdpm^mtih(Vk~fn!Vr>^oZA6kgJ;ndM;|0;34m$(+bUCxtbBEb7%q*3AVJJ`L>ID|h z*=MFA0GFz!l8 zwPGp1Jkek4Q}^d}nfJx~2ib)I*(KZmC?h2drV`D3P0x<{zP0b^E*k*JX)7OGmMK7f04>xnd&T$%) z6zsT7-F<=3ey2dqUA(t1#c8yKPJ+P7QB_84rmWW>&Xs?1Q5RRh!en5j=(>=5WNPJ- z@9PyZx48q80aJYopW9&8Hvch|V*HpNqQ^@$Y?n69ma3OVDYtmDUNBY{bYBX7K2L&s zIQdF>b9OS`aEZTDX%g`p1V7>9w?$Bhai#9A9~s98A8&grYZrwl{9dRUPDGP11uoV3 zAg*0DG~=S8Nv?jURinsGt?!8-jr)w5V3kjuUokNRwYQ;xW4cI z8oCaDC?7EX-adDn!`<1Oz4y-EMPze?qKk}(JNjk3XJ$v^M9YYz6lF!SH8e=djI>;b zw2<}wdj5mw^Ni2){XF0A^T3QBHBqA_`@|G3P4xrF!#9n8JVKJK6a-l_Ih7Q&94lOC z7kmE>#Z-S2t2-qnVH^t=%^2HidQ_~HnW2)~A)#&u9GN_t-3mQQe+qLW5;EI>Zw@yU6L2 zfsO|AHX&fKb)t$L;;?>L^!n(>Cx_GeBdms@e0x7liAAm9Vul|tWi(4bKXZfEfo0E- z&KmyK)rqq`#4>ZA^e`}M=_=kUm2uPxl*+*ciT#UA^JPBwPUPSq4tO$>{+Pjs{L_@vj=5U-QxqR zdw-4qqc(j~>N-vCl8^Vw6D%Ht=l4F0n;YTf%eBmd3H}~!41Oqh*Rz-PFc&-l%Ohw$ zCn=Q}&%ug&k%%lioYhhlT`_mi=noYgZttfYytqJI3>y5b1J_|)*R+9L9@^eo(0N+$ z$J9ADwv#|Ul=t0=dU?&eAPM1r(xgom2@rJDP^H0eg!Vz4=@4fB>kZ+zCP66V^FLNt=EIV8` zNJ@x!s5+%SG}s!n2WRyy9(y<4doD9ohInII@uq@_b$fz zBQ*Y;fY3jty@+FcGGd8=8A#;TpxI#Hwm|CZIwC`Q3OxlFUAKe8wHZwdl8C7q-oB!V zrZ>TF=Id~%wU@HPGFGz;0=^7pE4jlFfVg6At)CYJlA8F1D#j3@m*D8{oIkrmB!<(H zTi|;zzZr>FRWKBxe0mWcU;oAO_g3~I8Y`b^es5`gc;y-PW=;~q;XRx_&tCZC_a)-G z3Z-~$r)`?nhouPg|z#V6$4sxhf z5j>(*sWU-TKsNk~hVzwrD3yKd?fKWyJVUwJFl+kC^5GkEiL=@ zuDazscOFW}Cf*b@H(bLH5WHHP%MBO{t$O-CLJRIOjh>9xp)T_>0n+qO#bVi?lD;&` zHGiQQi$s6S+9~+FUUI9B518M;m-QgNP>t5M3UYfxL3?rP;z9T+!fKkmsiuNM54f(% zuonW_?rF#EM46c+hIc5BV=qOle{&P@e@rT1mEjWcgOzB_@)hO z8M8&FuVT56ghygCFmMf;umh%s{$SV3W^)9~zmSfkCMKn{UU@H#t!WTwe`%&kZagcE zz2564Y@(wODRJ$Hr^(*y@t<%iV6ij-cTjO1B~E5-xFE5dxansa4e)O`ifItmS-fYg z#Xjc-;bO$G=tQ60&@-J6TjWXm_-|KB+-Ontt-J!7g~!XA?ca{~G~35?$4smmGF1iQ zW3Zq;!-jJd2>ZpIFHfYD-w$snw+bJVQ9O82k)NV6>Hz<M-%4i zeHmo46<6lSQHoo$bpVp<6)cmP|26NZa%Vq^m_>Z9DSO0=L%v5ILGD}_ zMzvHEA}ef!3?mqVicXDe>b zkEh)(Y>VU>18#?vDiS0&k!5Q&wGb_&d+#t{UN+gnipw z{j|CHr-Kn<&0zg}h_h2N%Sr>boB`rq*7|xnUZ#HdEBUv9B~l&wMt4f~FbsR^)Zo1j zcgai-%`=YNg>|t;xLD-WEM_;O0w)vEg46)s=HHW!t+2UPlzPAFXtbgCw1o~NTCO;6 zje3zvev`6C4y!|^i@&!B+ez@*c(Qp^UTguW4cjN;2v%w(+$#=Be5Bw%6pRw`x2_96 zuyd;>6PHO9Rclswb6kg^yxQeE7LhwC3O&0}#+~ieWH_FAYQl^Ow+fINI*uCtY*$>r zm60FS6gsiXzxcQb1%E2GT42*u_=7vcb${5Vu4u0*k&8KpzS3(R5;~>Kw{Pzn_aj#? zQdk@41fizli>TS>oPFEho9<5dHrdseVs?=~IJ(=OBBM3x4u~}tDDM3a*EXI|Yaqbc zL_r#fPN@>flAl7r1S|SQkb+(=f;5GXuqKyzp9yl1OerhM9n{=2zLh6_J!lOjEtNkd zo$=X{(@A!!wBU}vP!+!m4p|hAWvnG0$N))JJ)WU0KK4g_t2z#BVa1?ElM5Am^-K#~ zN=@x#5Y~JMsu+1Juwj2}OSPXkntg6TtwNk}^l@ff`Gpo_2X&3E9t6k!N{ES|-*)oNxIzkS=x}yA}nF zaBypVVj%)cT&=)6WxWSup9%ZdQ4<{UfTl*TmqOz3V~?U)IYjqyd<@Qx;0gN^y8`HI z#~Nc*n~xulE3>7BYb_pZJ4gVEq;iKVS`380df&-wPWRof*6qqL1MQSEa+YeB+BGu8 zk<42wdDwEy>HrpKw*QH0S*;5+KG_LzE^>Mzp>DV2v)lqM7r#E2-I6iq-dM1koyBLs z(n}%o?4Hjz`PG1-awcbY)Knw)kE&_q2PLaKJ*|fH5&D=IEZvxqY-oZU;w#CeRu8GC zr66HfdCD5_h>hQT&pw_%`|w#X96jQ*da=LnxmeF%)XzJcW?|`z19KakyJlX2wyTSa zJzMz_+Qh3$iG*?Xk>jS772)6KCjet=C7l*Zokas(8mWStW_t{nYl^cZJHhG$AjTF;g^f(`@<*) zHlE*bI88WqnYUn?&(AwX!gAA`={LksbR^6Mp?;XZp=*lQIhW^ogd)T;_`av z55fuu4?@~#TqKi`7-#Wq2=k+1Mgmobly#EW8@dtL4KD$=VIS^{ST&BP3dGkPv+!hU z2_)TDKP)bdn_SE}(l0n#JyB5+By5LEl~X^2tC&G?6f~R$8{zw!T}~pCY*kJd_QP}; zaX{UMK_hGkA?U#CfM$d*u=HyJQp(b;57&WBDf_ce8DDpXZXrc|A8UsdyhPGp>`}N? zJo9cyqo3~sQPXRu>CUFh4o7%vT%=RUEbLMpugs2Z=Y7k(Zj{Z)q)&xo#y>ZtX3(5r zv3YoleT$JFstxos4d|Mj?${L6Y@>xTvlX{K3c`T%n^w%@JvM#&hVuq-QHbE^T+j@D zeYT7R*BaYS*gR|I$S5-LZne?M<`i#Ul03DWWy*S!8eEo{w|k<|`6{RHzum-xYR=fK zBpuDm`g}K=zUX7_=o^y9=lDI6Oa*qphCB&t!Iu#_sR8{#-@a%=x~U&0PNBTz;=fni zy!F)htH4?jWHs?@dv+*|)0wux(+O_Sns)sp#rh=N8nte+D%BOwZ~|}dDv?OH3RGc& zP_sGNPpz)?-ctIZ!}gn=r5Q+6c2uWJ#(wH)H?I|!Jpz67ZX{0?LrB$aa;24A%e@W=q+~^c_82hI-h7y&zw4*-ztMJ7!_ftV-QjX*Sf)5hmPKACvEYSViXXi_)avLWx2>wP`ZkiR08(24A) zM5YB2)u%>-);CJ{s|KjPOR0lqIjEw^v^+Gjw`P!jgZgzTRaKba@@ii`hC~w#-~Kqn z)hzqDn|4g5NxIO@On$#xou-&Sub0&Ge;PdI%=V7?dTp_*+C~+)iR-nU;NwqJmJj|h zRttAd=J-H9zKG7I+MDRpH$VC%xmMRonEKYibKOGu)e?;%v=tR`?+`~rX$fik9quOP zC{A@#w9d(seHwp1G{#Fc${(2H73gxVbtP+@BT1O1-MDS4)FU~=t>|o|Ht*#(6xQqG z{V9#g_huTPGQbv;-8uf-Ex=_#e&M;2nogM1H2?^Coc4mh8!CW&`R~`D6op&WgK8)r z_?V`xFnj;}!F`n!{^n#SsU_9hzirdKA74~OKoK>fN0!Gem&BN&xr*N7mhmES?JQoR z5ySzqcXbe@fO=4PBj3FV?r_Y01=bUJTbTSB#{dHN#UI802SVOb9&WlZg2SU9A;e@_ zzvM*0)6t?{=OxcPDUDD&%JXlR&x{@=YNyq%zRgWcUIuxItynn0z20LyR{VqXpTJ?X zXP_aJlbCk2ucK8tpO(+ekBLOdS`oV`(!(!+{^=JmIDEPPc`>RL2}jY;bPOBIL&MQQ zJe!w~MxgVvi2^i1x)7U0rcvm^Y!OkK7+st#AxV>>OS7pmG+DabntVT}=*!jx_zNX` zv@)EnqDoVvtFtvUXCwz&n(l5WMewxQY5?b!AX zG)MYg_C6L9}4{A@<=AS}6Sp zn|_oQMh|Bni=ai)qu9~MX)*Lz_K7%JJe|R2CeRY;C)xj7SYboi`gY-Y3JzY*%#QfQu;-ZT~Mt0LZ+I@O6yQP)ZMsH_7=%97dAF{h1(Yon9 z?A|_FKYf5bI7E9)f5LwHj5bVv&VKQd_KH5j9(_$4qrYK~zoosSPp~JaXw&o=_UwDw z+&@qUepsM=q<><6{zCgoUu1K>(YW*__VNntJAIY?<0tJG{Wts18f~4v!T!5R+oEr? zcXnw|ib6c%N}I?PDL+M?5S3LFsWTy$f{5_HvEaNHVXyj2+3y@6mp&nDX-b(u#x0uX zj`2PH-OoS9HP%i)zXdD507U2$8$9d-0>Mer!O9C^z#cw4vPHaSWLXf&vRgm_nUHB^ zn1A97g1Z9HpR$y;5cR7EUKfkMA*D42g#3~j$M>iX+Me(E^HOluW z1RM!TKd)?e#VwZHbUs2i4LfVwo96U&_AWDQ-WcCY@_pRQ2k;uyFSbWs)v;FUw+EGS zQ@2Cx6n-oo%1<~=?dVaL8odq*owXM5?qTP+hlZEJdk80oxp?d{-%f4owG~{ROlU=& z%&Wx@_GND#=qew`m)9In-d)M%K73im%Rs)DdBDrP`9jBE6l=)jopCAmB#(>_hc|?s z7)O1mo6=7vH+?v#EimEm!}(kRMPOc4VRu}aN2!;GM@cmUZ|%1myAlqH?SZZW=d@a; zW_TU;#1t6vV5(ZqJySfq6S{t8;Oc;N!9&IU4_SUS-w@= z90!urs#>o^$`xPf4?kH0-=>co6i=K=bUszfd2?>pM|?F64;B|^&xlP4l}8dEMOO|n z`KeRF68@EzUsQX|YDL5}bla*0xFZZ9<#Wbr#=cH~`g&3^un-2iN+mI^7 zx$1&(cw@fn2~NJG9opUKaRwlju9tC>(Hu&gc^VJbv-$pp*`B#Box2#a$x8KIV+5z4 z*Hc}Di&fxz_9f@OEqYH*%pvCaR>P=S-6|ifc;TlC zoy{l}7>(T-haGxp)#pH#w9Gu^OfxrZ%^XTgkHeamrzfr` zqViD|GJEJD(vignZKQ4LEio&FC6{pN7vG8rwV*2G?=W4A-sVpE1{SJq^terboKyfn zaYmu6+*ZFzgHGFIS?2)T}nef`S)aKtlQqeM96f=crtlDBd5XfFDtT6|`J>>9#z zF~{tc%v||Drk&hLIKZ-H^((u5I8_KHOy*`Q{5wnd@kznhn~;fW+icc=1Lf25W+8k~ z@3o_T`r%|iy|u?HNye{20vFM?c|#{$SzIoqoGhwV!LIF5)m8XOFSq*SSk8xZu6d0P z47qL7=qZ%;>EV-%O&tHJDBQb@<(h05J;=hPi{vW)U}k?3YN49)aPe!*7a%TdqDkq(}t#`I1h`O zAE*0#X7$e@O7u&6Lt;p>yZPW`{wt0qK&aXx=a1$0>Lltt)ysHxt2eg|5&ib z!S%3&%u)xNZUyKtMQuU_X}-EuR__}L|T-f7%@89PS7l;2BgAyjqIz7EAR zvS1Hc46IlAJN^pK{T@5bN7?4@ubjMCte&oO0B>KE!r4fI++i1!_`s$$?DL*(^h0W< zO$hqtPtCdI8lT-ul5vL#qlXA7S;>;&et;M|OWAOopjwXd5SwsgZ9L3oFWvvl?5P?x zcY9)oDp?VI*^VSd@bT{@H~DE8TIoEkylM8URO9IbrJkuAWJ`(mwHOdK+zb=oxAl?W zHCTc@+pkDZx4a&`0Kz|vJVZPx4kEbZ9SIitJrTgS6uv=dcL%%${Nc(IP^o zAE`@H&yAE#LfcJ#pY7J^7OuC;0JC&30% zk5ZsPw%{vXBMlqvCOeX8k9KRJ!^XFuC+e5HDt_kkn5XLAr20p{vLCQabB|nLUZ%6wO}E(^UEJ z7}oE%dp!SY4lr#?U7&3(jb7-W8(-b?eEU_`g)5-bebW93;;YFUD$`aZH*;lg(_j^} z%_K>GX+Y&j%CZ;fs*lKDm1AhXNz&dWtjZD3WmnSGBgnrhmgv|?5@Tsw<%r619O>%G zjlU{nOhQpbA2ELkCM0gC9DYzm5h>v8dp?w8rf#wRM&;AH^UEQm3V*>lM(=saq#(S} zaXbk6<2BzzVM9zoOcX|t9+W-vnjtXbl%auk=w5>{BSdr${_8h}NurnpU!;(Zg;h$3 z*_zOzXPc5QJPH*RN;47j1lp-lu?f67hLQ$Gn2ikOSxHk~0vvj;Jg1*gj;Ood$3obAZ?vh;@hPS>PAq%i zt)S%aUO#n_9|K~VZ&A25xxq)`e5SLgc$26onLW|&)HbZKObe#|Zo|89EEn;d`1$!% zRIb6OROf*pH>GGIw%dwAgtemh^{0K58^UZhl%WHJ!Ow?bF@N}A4x7hSFd(P7T7kg{ zQCC{his(}#N4bQHbr>v?aK5Z*^Vc%x{7|a+Wc6qpb-C}>*U#NWhflewyWD*(`)TOY z{UphAvYJt}Ppyt{IbDgT5}*E_eGm~QQHqQz#7Is4mU}YZBI8~$;Pcl~qq-wdK4Mut z2)HcQ#CigxJvkm2_2{S^uPaz+owV`RKdL#~-AR$Exppi0_lIZi-1)wI2k@_K^0ux1 zk?nIy;e~g}SrmRJj;f#1+pAKdDvzpga-!V}YrQZA0VY~eW!&NP{*JeGSE5!|@tpD( z)>9Za{n2#^H{TKEX2`wW`4Utu(=M#@T9vMdIl^3wMq(aRklV%Nao{E6Ae!CYK-}3p z0b*!5R1ZjA^t$>nKY8W{G8aR^YQ`*HW*o1N(4W4P__XqYypg|oRTj^W%f$>UQsEP+ zF2{U=>YR{YWAW(b`_T!+Ewc&r5WIAF$@SOb3Lz}gSw|i`Y|yCW)QYU1DHoux2zPH8 zmvdj;HC1$~kSF#gg1nV+Y6_N=@^%#exiZ1D(f$l!OPC+4osCdUzx`jx(~AB3t+A5E zPm57JKo7Ry_Nq?LUUPo#PaqM{%&?f=;NH`=mZPfVd+&lCQs`!b3b7FdQk!P*8h2T< z08O23Rv;2Qjx0wkkw-AnT^fFY!xxQP*WD(xyy=^&BBtO5aLLB-W~f&K2D6kg0M|>> zERs@))_ff4XiN{B^&3_rY;`$d(smI3x(x;q`ofu91QU75SsQM^|InBasOi7+MIYIw zKkSBm(^BH%w*{PW_5s!hUbi;+yUyLkvc6(i3$G^R+?T3)ZpC;Bw7abJsRnL5$Zqx6 z%QFmH1liXt0^mXo={%+SSVke%WEu7#lb5YTXjFx_RkKkEU;5kUBSo8@|cD;5iX zC-P=aUR=8YR$8IGat)dHyp(M`(#C;}JzLILK#^-ugv8Z;PhY+w9PV_(#cXi86TA={ zm=CR|eTBfZ@4GiG58XFyPBy_L+hglz8 zw-Np^2?cv;L9-g+|yg#O7FJ4==i(Gpp(MxgUb6mVLTdrw%TFMRFMC-l4Q z(}J+JTa%xFRzsZ3!;lywa2CCig%0~MiC#mu8{vT9Z}7?a{;*^<53MPb?c8UKhZEk( zeol6syFPpTy}JKPzBL~unbjo4S+d_r#YwUPl7u;>oV~!sr~Qq+APEWFEiGd`Q;Hc# z?w~w_ca@5NV!b=M``LGUK_e;X(~QFEANx3Wt?RVU>3q9l&Z>>z)puXs{Fy&~^K;wj zPBphro>D^{s(Xge{wnZ1E6|I0uJXUS0+=g02WPqN-Tqr!Any?}e5kFbCx2u%kUX1_ zdv(<}RQZ%`*=%KVft$#GuKfjdg41t+E75o7X z_}UY0nmmgUQ&_kfI9eJIy%>bQfcO}DF$y|XMw8TeT27pbC8S&C+7>hx|D)7)5_dw? z3Q8#HFwSkV#x#Lw=kZtsB#P_k>OuMjhDOFF+8V#ju{LdfxGbxo};Koy|=d#S**&;Z}rtL;zF1_6KwipyBZ$wLZ3 zimJ*g!D=y}yRSXu;YIV_cfc*FG{xEzvU70U>*TzDPmQcYL-Hrc*2l)x#mvI;5NLG| zK=bv9m}>znz!L@$_$5_M_L!QrnU7mpZ`jz{`O=z8^$Q(UVHHMC?e{LZIlE|SoCh>y zGPS{xYllNZk7U!kvyb)kMIRr9PQ=ACmTx5? zQ198ac)+1fIDI2sgKBPp!roR^PHY#Y=HK_b$cI=0F5boQ9tPr!_w!!%E7;3YmtMLE z9La&DO2U910KO2lc%`aStppy%Z+Rbq0Lwkyj~%FWDRl=+pyT}~Y4}2S-XY&j}i0;KCuZK~E`yvsDV}~NG?jcw|C*UuhT_u!)9E5gV z6zuL51Xq7??6b?loc_$%xXbHT`aNS0UE1&HA06{_0lk^QHwEGa5!I%avlzU7(z^kK zOG|;i>)7hS81X&kz*`)42zeJFnXUY_O#yLERWje@hD&yV_AA~ab5Z=%2_Q-8G{O)- z_V8xvI18xUg9}}S1MnvTNeB=?$f?{$>H%3Sz^#Yp(QP~$iJAl82#BzYkw;@;5J|^C z+6YcJ<3EX3$mGA?ds>gGn4{QP6)WetqpYp1G9pXNXaTnbSLXj^)X&w0C_s$GR)>aw z@5>kvobjR(lcmGK&MK5~Q+JlY!E=cyROZ7}yhztJ>i+Cs@ZMY}^3->&b-`MJ70+(e z7ZSH+R@zdsgb{)faBgXhp?Z*|AsM2Hkf?wm5t1~fNXUr`!=Q`C8lN;_F_tzOkQJx^ z3!}iG^J-FJqMlx)H5q=YFaQ})B{Y2H6?a61UDXcAc1hT&yyym6ypP$JL00~Poil1r z(Q9rhprNS)#IzO{j}qbE=yug~axA{1v~MMvO6o-K7v+9{QM+ie;Ej$ zO3`E}dhtSr3Zh~nd;;PzNSuV&A1aSXR5ZA7z3bO)(>vX2TB&~Wu-zrEvlMlb;F2?+ zA^v9sq^eSS7$*GnfzRQcRXrZOQR`1K4@BX@RIpfyHcS5y`0IaiLkU4IwZ`%_ zWf{Ga(!R9It@ovcuRFOwn!JL9uYWqA=%|maN|mmwtov)X9@ZImFhYR*=)HL| l5{v8s>X${}TL+P_FZ#GvDKsyDfT4w1z(0dqof|*X_CFWnwYvZS diff --git a/fonttest.map b/fonttest.map index e5209d52..eb1f9faf 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: fonttest.exe creating a DOS executable @@ -138,8 +138,8 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5bb0* CA_Startup_ 0000:5c00* CA_Shutdown_ 0f25:08d0* _finishcachebox -0f25:08d4* _drawcachebox -0f25:08d8* _updatecachebox +0f25:08d4* _updatecachebox +0f25:08d8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5c6c KITTENGETS 0000:5ccc* kittenopen_ @@ -588,4 +588,4 @@ gfx.lib Stack size: dac0 (56000.) Memory size: 0001d960 (121184.) Entry point address: 0000:7d82 -Link time: 00:00.80 +Link time: 00:00.16 diff --git a/inputest.exe b/inputest.exe index f2865a44f4b01dd7b93295ee5803f27af84f2b8b..9a32f8b618047ad2c61a0c941bb281bec6a9cbac 100755 GIT binary patch delta 25350 zcmW)n^kfSf>J5Cjw;0s!#>{~P{)k^a~D zL9M8!35S*!OvaXUc^y5s4-NXN4GqsQ7Aa^^?M9ll2&A+(KT&xcP8(6UzOZDbHpdXf|Kf(OE+Iw z17}A-EpqZP<_1;2EFyKKx?gzcrqe-%C#wq-F8s=G(^K*Pjoz%G#ppG0&Ll^ka;Qf` z6|e2H+(BD^dK(*Vggi`Hbm zTMb%&;dUXe;@l0s6byAWgvagRYP6Uswhaq#)QGOO2^II2Qdz#No(Yoz z^DjW+m7LACyx^HLHk&QS<+Ua6nHH#qKAG&w9V!+XII!Vb6 zqy8=&|7j+w30eQ&i4*DhW|3AzWm<25nXF!$*4EG=nFL1BM@PWSqO&5v_iCX3LuJg- zKU(7)-+l25;+ulKHa!xj1C+??-OA$5;)15`W@KNiXa5nBWUk`v4aP`*pk3$YT4tv} z)-7KVBhp30Aa#M|m^fQ?_Y!P*OhpNjxFQdV!P@)3*5B0BBby`anB68fX;Xd_tkG9o z(<#yMpqgo!Yt>0@Vohh1=o`ou!cDlcnUU4+Wz>x>U4^}!RdwhFGMn&SUvVRL* z&HaK|KPW45)(O%-H*v)}TJ+lk6|?47j(+ZKo zeafw~bQRHuwmgiK<&1kF(b)@`B+Dt>Z&~_K&~q8z+vvz!W!Id}(55N%HnKk?g8AXH zo=4J`i-=|}gskcQix@moeVVUteLgpMV^?ZZtvi%gW8K`D+xpqi3>%zGF$db$d7miJ z)$Z>x#Aji%wTCg;KnIWl(ca9Sr+enbSz8`BPT)_j+eEd;~~4NZn#S zSz5+jsXwGP`TPToQm=;!A`)nIGTfil8Y|q}wa}agLa~26^iWr#ZES|D40B#;={w4H z=x{rHLn@JhO+!4-doLdN-0g;b_&zGus&*%`4eWDmNw9Y)gmmVG?i|xzZIZG)>a&~` z18@DjIMS&zwVD2P4S+8)Ci+t6GCy3`HD?(xFZ$CBMK@_MwVSEy*2rMEUMWtq9OHWZ z>^`_x&}Wl=TW8$ar|))Lm!0IzF^!vY!6Q5AKfm9sZ{NP@Uoc5B(uGv&U`ghd4_zx} zE*8&6xa3uU!d@SI%@#0ll~+_3ymQEL#BzGwCQs-_X2ElJ*)wXo+6B+LyT0n5m^9jI zJT8Y*4q*YW->Kl?G}I%V6eYhu2|MD`lDo73H<5#RhDTJ%8lNG`b#{?qaxc8QG~^FW z4kMnuu<^GbwL4gEvc zAihl6H84jiaduK5KX~HV)b=I$;|WYD$f4?|o9vK~YH!0PGZ)LJV6?mu;n`s{HcPa` z;L_cQUaxw%Ma_KDaaq9|xezTtQUf&A+VfO$mI)&zX2>f!Po=M-Ro9WR^mXW*46|0fW`E*)3+`@aJWsg={P882I!oGvoc1Y#~zbCnuSwX#di_)> zZI|2LSQaGVdu6(ted6X*@6A_`N#k@`J@53jXX2ZXZ?g!!$!}-R)|k~b-E1imQ%qjB z)66_lXxHp$Gfzoiz)O5CRr?<_%E@bKX6N>epYsjK)oLep`GUxan;}4bEv*h(_FVKK zqyrZew$v|oou2W(%Y&U52OojLFOlz%IO*OQ zCT2%|kz}9T4{mK`Ju(qp{9Prya`9xdFgx$zHdxbscX$X=!wRRj2&BnVe$<#={#jR# z;dh!wy@HS@^rv!GA1G7apx%;yU+MAMbxdt-fPul%>Ej=cDdF~M=}_(+owiVQawI`2xTzxrDB^r=Ibw8RjG>=Qdo3Df=(bU6csjAW3zxOyFLDBOe%9|K& z{=PXS31glZQ_bJP&!wke*+_Ku93R(>ll&EAezwtPVRp@%Ah6+?a9(W|kZMv}6F4># z$vd1qwB8_QQ_~G`)R*G|QqN^LI)M3VGF8WYpO2#iq#$QeNRAXs_&62w56cm9Z@3|Z zp`Ar>kbnbf#+9?jvySU%f+Dpj6wjl()4Ti866+@>a-g{nb_JvgqRsnw^7=~{t^D^6 z^q+7g|2QQpWQ)*_Vy6(8H2~>65Fuu8%CUpEEvq_dEY0h7i zmIctJx@WLGFpn#L%oi|})ssqYvq4vAq^nnUxSxe?1t&>Z+Z^nk2cg9_iyS3^Jd8iYlKlX59O?4 z8&lZ_jSGC0Y}Uk#bAd@lvk*-s&VHLIR(j32$j+~WN${cH7lbX~A!XTD<);~jVx{o} zjw5jV$#W4cHP}H%~`(J8Q(kXuRuFh)GYOD~W%JpBEPy7F#xL7%6UT>k6x0kR!4 zMF(I&qr@I`y~SYUm(?)p>6PCWm=B&V4Y5IC$!||{=Ho~b#xQHJpW$WW6T0@ttp+n6 zX}Cs(y-GarATH{%2HwIVF23jjSTDmgIcYD8?0tb~C<$`u9hD-4y(}Ra65Hv$j6qq? zJfo2wukN9>^%WYV_%rgiliuf(BcQe0((zZplZ=eMr&q#N2W9l^q@KMSq%N|nUo;a# zMG<9?IX|`msX%@)9=kOm%Nf+^SPb9OZA!cdP&sS)+wG>i{j3Zmi8O8^ z7~*eqPQLhnb+nz>TP|B@P7e3!3G`Bu{HN&7r@e8b+$LJLgo^<;_s?tuV<1%Q>5ce~ z5$p+!9Tx% zKVCceO2%RVrf8O-`0URtb?Tt#a(?i-)fz+UC_OYite#k4dSq(s?3l=99iiT}PHvya zozb8IkpvxD+oF!Z2k?Pc>jz9%*QG62VM!_+N2v-40sa~4(F^1RB;B#$$PZEnci!%N zuRY(S2OkRD<+>Jf4^7d6gXRT$4EQAdk%CGy+}rJ<0iuoW++UOc1FjLM?6-y;Kj%*^ z!^|0E_BtJu8ykC2X!?Z8P&#`_ ziei~SfAnb>{B}*>W{9e%)Vge=Go9O}af0Hnt#WtV_Ih?OtRSZvQ9yS z#!=A-)+8$$7V_3~mqeY0CVM51HR7L?oL0R=JhCS0Cqu3j23OxYc4bc2yq9h=PlQGt z>T|IxJzE<4?mTewFo%6J#{DJg@U;<7S^jX*=74>C!SBU`^T|TH-MF~AM-gPFR$BG0 zpy9{oyK;StVv36_yuwG^3q!+Cat{DC{yL2ksk5vJ#^^1{Cr`ceXh%eoVj)ExeAz$Q z^%H$Fa-yEE2inp}#|BnMzEP?OI+1A9oLp7VbJ5UJ~$9GF;2+=e}Ph% z{5CbRsa+Bgm3uNZS%Tt|EAIE7=tFjJ-nB0jXT~?5`gnGBRdnMFTYETD70j3yPG#!n z4$0@0?h-lXye7XCP8xibd*3|Xc$Hgn5&QJ&GWW$rYrl&0KB(qLc(KNzX~%EHeeyNeH2a~*;18%+(@3%!q4eZgckMRSqzjS} z?iz!qB~}6(m?IHW$5j$(u@N$?ZxNBIl1vHzSA1>Bd6y5+6(eQb0?WQPXsxj(--Zhh zYycC=(6?TxT~#@Tj!sh$djZpCV8o)fWVX4a-d?qf`ZmaZ6f3#bhNh9Sw-c!FBufk;tvBXvUo zE8#GvC(O~|SR3L%kZPYDA5vCQng4pU4{Opzv9#dN0Y67LY53sR{=r36p$+lv*+FSGis7SoqmEe68Ox z5o_500zZr~e&-n9=j4lbln}wbKVk6alE5%V@}sWAn?M1J;g1p$uJ!_s?}djSt}i;X zzqLuEF$6g#TlnF^_r_tH!-|90AFacCvdJ%!_Yf(W$y3QRaHQB$0PIQ~;LeyhXaNJI z6zM+402|a6=Llwd8iHR3<*tx*q^nZVj&I0u`&j%>0Fl%FE#0|oMQ{P{ekZ(Tq98mB zzRUfu<+mCH4`Pv9KC44a>=T6||KGLapAxo`!bpJ+QB!QMxk^F7bGF91Xq;|J_Kj-W z{rcDLv`JZG_u#a4;fJ3UY^O3g!g#3rod^~kBJ?1lMd8-z8&V+V1Ac4N1Csz5e(SW9 zD{zqQga2Y6Z23a7AXPl{LF5G)BnTvUW76b0B)TV^vvEya_>UU(3it|C`1qkA5ztZ= zc(%>+RU64|WUBC6KCG$@^x~l zfTg0YdRM;Bt(~qvrwB5hQ5(o>*Mm8tO_@hQ1O4_PtVvWAjvri7^ScpcYI$!T3QC~u z11H7uC)JT4m*cECHdI122NCrs;l`8ZBifF8JB4#`8-=nN8L!e4SfDGZ_)xF+<-%9$ z(Oz?_uIe!2=uKaTA^73;9j~RzcrmXucHwOBS|RhKN7dE=5YAu{@92U4NqoER1`@L} zcG5>O!y8wU&cF-m4MCugTtY1XqYapKC6Uy-2BqDtjQzGI_RSDKU@54WPsjigr3WIj zyY1h2{XAHkuK7sLD>JHw>?YU>JAOJoMHcU(>X~>i>*d!xAH0yY?bZs8KyBE=?lo>o z!abWk=5u|NWJaUqVsH7Er7Heg%m%rYqg#E$C=v0odt^H;J9TvrEx0F*8n>-+^ki&Q zncI0ya*q3@ui;7M*5?z>C6>kakX7td7s+1dG80-3kPpR0%*pGR7#s66bhWj0HPz-o zWLNLQ(}&5Vq|@qg?v{L!Q4QK2keGhqECcnUgk%dz~JYnu8*t@DRD#ku_6Rv;ae za|F;`*#oM{e_rHKfo5u6hsI&c*-H#dV1|JJ!(FOvfIp9EYHbx2ZnX{C21+F_7I&wW zzPf(eH-{$rF7h$Imv!oIh9MN*N{7Rl zGfbeHRNcB&;ZGS2xwzl3(&j&izsI&( z|H1TDyqPP@jR7RqE^Z&z+Xz^3CFEq9WeePupA!`=gF-v1n!W>#VDO)peiSo7mt__H zgKv26uwL$-xu5P}ntkgp5G}_3iSuOqnd`K@=co|UF7u;$*SkYl^0IWm1<*@xz8XId zzW?guuT%KlfuaUjtztK!u^A@r&c8O-EO22vj<5Fc5I*xqXWjr4*qYp$&YT267dtbD zW>}NbG~8qk4)_j}8Tkqw8L%!LnZ#FHr1{+M=8>$P2E*&_{^(n-eBVw0+5q7)nvp>6 z6cmnXL9uH}t1HFsAs#P9-cUlFT$Z?iuD~da9o0(_Yx6ojA>^b|dk{ng0I*;I(=| z?45VT(HXYs1}MRj+ALDTu-PevjDiO9ZBKagp-jc}X)iyX#(xLCbl-5lPHy-N*_v6r zhA3+=gZ8ofU_%27UbO~)Jz`&X#HV^Prn02FB_-uT0{sX0hWE~j^YzotIfcSA#2sP< zr{Z~;Q=sYrWRSFoEYrdIc?zE>zk`6d#c5qb^(QCxTqp!Pv#Ee6CeX+1P#@mOE!>|d$Z}Bo zn{o%1{0rC?-aK-aJVcwE9-7vd*nRgmaSbZagdO5IzTycFCH>~kZ6aNVn62SGdc(m% zlEY;M88O9)C*eM471nBIt2;(KXSozygGl^JBM9GSGFVi9CP%IR%T=9emzw;TYuHOd z_L~#wyJTMSEO$b6@R~cAubB|hDC`o}%en`$ta*?jeeH+hEC736U*F53E}I zi_XYUj4wvf>SI)GU&d(11?#lwc~T>Ls|*j0aU169On1w<{WWH#xKwTn*Ydw(hhvU; zRh#^)$Tghl_;)1PAElmrT9=$`hSOM1!Klx^z06_=aM$LzqN*AgDfNe2=YjJSFvqK^ zjlG=V|GY*PRA9>4MUe?)5psDuPP486So0#^1=Z%#yqq;0VzXmLhhd+hZEL z+^kV##0bjr|A8^QH9?GGI%Qy*Wp{r2yjAK>-dUS}B31yiv9m^M-RfwS=H_z^IN6tB zw3UQEO5@5s$Lt)T?9a+IO>O=FNscEd&nxJ@Tr1MzJ$97$6@wgo`3)%F;WK0M+d#mJ z+#3p=Qz!UCJ)DETAUKM^*em&QU&XLZMrS=6lrk+Q$oB0nRkk^Zs%cKS!#N0yaW(_C z+q^t{+7CY+1FeXs?|5}kB#1etN91Y3J^ZrNmpIF$u~N_d%>wRv{={CPyhSjMICWPM4mxCExyrTSXnYSfHq<>OLhBRfe=#gp zaXz`EDB-2y5xD}0gXMUu*;${YcN}}n@r@LbVhe?17O$N*K5$Zj4TGPx4W38;b8B|_ z3d~FQ!L*V(awLr^hMHf@8&-He_njcUMrFOfwKmAv+A4ce`pdp?g88rDqSeyKuT5Z* z-i{yn0qp@3J%Uq^UoyveAez}Y6=K$Dm^o135`92v#U=8yNGpAmF_$~C&2ZhzpPuN& zOb8E;+Le~8#O6T&y?#S8u|(wc{VPL;TSnJLp@#0w&OWEJ77KAWbr&pG!prB255i!m{5LLUij8(5_t7Le zks?wD7{4WNb35C;rOs}B*_z4B{BrnxRztl8$!R8?JBZRtPIs^Mur9iVbzAdR@I67v z+yTncka6>k06?J?CbLFD>PmCGJc3om zy)49R&UBi(NKis^XUSO$ICtv@XTC!fJ$KqHFM!G**$IVsErjG|S3UViHJMPqHh71n zL94Z;TpSu6dIyhPJnr-qZ2OkC>9|{=T&ddjHi!O$_9}Leg<&6W;*s(eq*~;5tSN4C z?hlXd>MRqaFS$HgT4gH(a!@|Y9~y!WK&Yk5l~cWlQjlV4$r6zx$F2TKFhQh_aE^ng zBzi@Nn-5M;HYADG*ir;y+gKX~sLyvZyIl5rC@;qUhg)%_aK5pm zlA}6P*-R52Xb{+N^Rgml#p46@i(d1Oec#x%>_PGaD$SwWUyS#tr!J?J5H5Ed{``*} zmfXSUN->dx*(Iw23Vj?Pby9L6;Mg4+rK_5 z{H%Y<-i8bQ);(p^r9cLkK;jYf{oQG%)i^N6IJVne64ZZAm$;qvgRl{L4wk=C!9P?QE%q6I`)jJ#qH${JfaJ4b&nTFvq@ka91x%i@Xf$LxRwM$C(_ z#LmnvUo0)#2RMdlbW;Alaa6+oB3pDFd@xF`GV)TQDI#DJ_$^98jBqf@i`ktveyOn| zx#}HqJth|}OXlpgHvG1>taU_Te3FPzlzHLSltE64_m~s?KpX^g2YT7V{a{oZ5`Wd} zlT5)`R4=3hmH5xd0SZucz_8eTxksi1EM7o@M!76Rm}b#szAx|^Ww#Q(VH?`mnkTu- zGRSW-c8&0N15p5MoHv1{<%#GqMP)xos0N4y5rkjqu%MZ=CZMg zggU9&?x>)Q$CFkCMD^vtE)w5@LM7|bFrEtGQ?hBtp5#@1xmy{9m*g*8-YUp|uCxFB zD)9Ug6S_qaHK@1D-CN~0*@<%e1ZiQsg-gOWrhTz(u?Glmr<97x4N%6{E|C};K6^sr z1}6*@-LZ;r^3i51iasy;-+Xe7zyL)4zCn6 z3bn(yIJ>`@rAe0dK1lxE4nJ>IT6utxzU=1ZHdm>u zF7`38Hxib-1Pww?WBGfR%#h2}t$?%ymQnuL9PlgP#VTttff4!)^rckhmuheKHKIuI z=rF9&G*MB%k@$0P9+oIolTiM7Fp&Qiq?!nBwI__h1lJGVTuDcWuYv;8@!Lvp?xM8soqx7^8W5z#V0J5L36i^MrPbt;%iJX;`6OviPluP%d zU$j{+P}+ct<_eq(L&ux^b2Rib0PZSQTtsfjIAtUFgx_ckI{qUst5Xg|y`V#ZP%cxl zeaz1)vqS4IawwAvBAhZ%fX3n~*Y@s#J4ZJJ6DdlkX=1)HOrP7hfqwZ%suF{3+$lPd zE^yO55zE(amXva$1NW&g|DMU>_(i34FF#l+5K(l`B_BmGa|nD(*CU*CE=fs?FnUO( z{KQWvj-jt|(fP1-7~Y?VV|}(;I)4Rh+XER#T=JQuk;=TAeK6qK9n(I{M4f8TABpjH z0}DaxJYdPz5VH5|FUKQNHr;~m@agaw+H3nVFaen0l*J#!+3ntGH88w^@@m@r>{ z)oI6nMr5Mv+KCGG&jnIJG0NV;6UZqc6z}7@Y`-mWZ9*B!nPoA`AvKKlwW{T+2;^4q zvcyQ}-zn9;7fj4MSKjPdHs5A!$0=#7R64vgdexJ)pWGof|M26Qn#-XBEcK;h)| zWa;Z&B~RkFWo7Q)ukni;pj|imiV~X&k;f*uZo)1ISh!c?%`s1NSCAU)ngYdc?$>wt zzd3d0o*Fv2@1(Qa{o>KrWzq)R<3D6G`2g7%g5 zU#m5`5AV*CI?=>KgZd_sW1uPXMtZ3gUdyf#u{Av`8!#;rcw&AA=Lt2ra%gpwsQ#+r zjnr$9pXgw?*mzL`N5Oo8(ZRQPiTLO${o@XqetesDw+*rIm;Mea*Uv$LpMjeo&-F}E z9+J{|E(z-aH1sL>&H5b3!Pu19cO)d&pDLEuS^LIvEi`(7PZNu27Zy*)1gUdHuZ!cB z1L8$&3wxk@;)J;mxwAbc)3k%|A1?h`NELEn6>V3^EK8$MbdDuEB`W~)-ERY(sg9)P25A;qYI zoAAuS=zSaLHb+T}(WZOJ+WEL_yz+M;kI%B>fAoar_!l}55SeBO$VQek%ZlU?uF7{s z|2uH<_cNi7&%O?FKhkdn!$|GdqH@b_Xz-ZQ+UR0kG}6#|{;%mxw|p%+;`~pChv+n4 z22GXkJTn#YI-YTnXm6|Z^uDwEb0hH|--Aw_*2_oSlb-yhU@$g2&yCxtvAuBBdxC1K zrwxyfWCxO33MSvFZdd)45{4;QrK)yNUuP-?MK>A#>G^2o!PXcGTIc3u5<9;VEiA5{ zX7Eetkq`+70~NUIKFV-4g8GiSq7q&)ikAKHR$)bqlA7m9vIeI}@bV3ZIq5PmC8BKJ zni>}Cp!4yFLpT4);IFH-R#hUNc6r&XXeDDEzW2!(62aE&&S>H>v{=!lG;5*tbRh2Q z5&oiWeks*cyg=>WWaD_n8;vgpg!{I+LR^JQ)0#hIKl5#lamBdnYeV^8)V0zzduYu^ zSZY&a_yE27WNnqBPI)e0Vc8!s`vc~Z{*TLo5|y05(eXd8%Z0Y7$8Wf-O*b@D3Ih_2gmtm)C37 z-=yLPH_#IlWU~W4WvZC(0Htt1Fci!@7Qz4iRB{}a{SsiieMOhpZP+$B)9>7#UX-NX z@vc3H)^GgJps~%S%(vhjdqeffFHz3_#-|JxECAb1FB+_I%`9!pe zl0=+%ZpU+AenCJEcOQi;p+yr)`9c>^oht!%sR4HO;6YP8i2Ke(0++4r)e+W;du{TW zmmE1d#9*PpYmsMDa=8#z2zMOp^(4MKct+?#Ns~7y;m&GrD>L~UPF)TfZ7%O9W}z9C z4yWIYnPORN!ZnT~(8i@s)p=go*khPCwf}hj(#5`2T{1o*Yd>f(e@EGQV9xzGTCrh- zEQM@tERH@Wpgg-c#|Ls^R5@T{`LC89uKFDzBhvE79vghDU~>t>*DZKn5!KEa6q`@~ zRb2WPJ|8MtY`(;2^$G`ea6N~C-Fvt&SI}t~jjwa!`|_znK|4xko956tSKw%GJA2~g zoG2Nq&p%2=XVONl=a8-8r>`gFV}dnpyx*8Nia*dl?T)=G z&`sab``tDrn{Y^3qFUa~#7K&_m&x0C=Gxsvz&T3ga=|Ftizw#ed= zGiki+zVzKMm?Q!na`~>yI%0vlW~v^V7{vUN(}LjsO6PqcQpED~Qw8VRP;5HTvjKx} zduf_%c0M{KR0j6P!pq~zvF!2>$J1uBhg$t7gKWREult*%X!?gpn;r(wCBc0^gr3-h zv&DmES0hzp5kCtfBZ@l}b&M|uH^9*DJxHv*yY5?&6-`qpJO~bN;R9gBmN`b>wLvg~ zwYD5_Qvu`gSzT)#fiA7bLCiULOdO1c;5%7bi-M>nF%Bu%bVN)@CLay*Pg64}a<0)J zb+8Ffo=mj6qQE8ho=C9Q*-&s!+>!vSNRd8W!9JPLv0XAf7t@j14yl5_8Xj7>(*Omx z+f=Eit=ZVp7Xq)`41fo@q#hPvbW~G}C~Ikh8xcLmjw<@PHh-^d%)_GA;IyJ0ldm=j zyP$Tt`FB`Quaw{dEdLm{nYrSFSV47JAh5xV?dr+|#thcKVj-`J(%RVHE%nsXo!H=b zbRyINqFFu^HDmP0Gq*ir>_8jH+CKN_rnSufa()}ods=2Qql_MjkyGp%&+9Ic7jG5Y^hs~J6Z`x00|T$_8EHd9=s4th zqqXzwJ>agah$)Y>CT(7LvcY-_{AUmr3``bLbw|P@{%U@(OTPCO!Klx#os)u zh-9Rs)yvXq;EV||+PE9l>3h z5;U9VWbj}e3e(iew#Vdgz|0|SRXWYi9H8!IDH>wnV zlQMedx zRj5Eaf7!iD-=G;@1H)#1~jhEcWPpR)Q0b^f`+JoBs60orU6sFNut&q)FkYrI zX^j%ClAvyzYSNb&f{jt+d2$-=Wd5s1L^m1bo3!&%oSEJzW{_CwP%@@L#e>_Rf)t55 z!q`^r101mFZbirVI=b2`7Gr6&)qH{Q7@JeE_K;aB><$&NIxhgeX$UcFs$o+%W{zu3 z_=>=9WtFXGB>y1(YS^U~rHy%G{wl|Q0Ngb{ra*r9`_(&NB;Z~#t(AJfe*-E9Bf8sR z(UWRocA!l5Dr9pmj`Ei&f~_%D(UJs@Z1P!C_v4^q3=y-cPK5|(8YKB7BWN6gg1cV` zugi+7fr|21Z10(jQ7!I<2?cJ!&3v`r(TcYVmE9JwW+@_RC^IKi@~o&6>VpvGAqFFA z$;=wo7SgYcG1c-o4_9d&+i6)%dGv#dyZb@+Tpli}(fWU}A-IL_eT`2QTOP2t(Gy7u zM`Bc0CWaONb$6F@Y>OQi>%KeN1dfmpo(qB5Px*q2KdC4@{BUG2d&$to{D4{ z(hkQ#ydfA@B9;$#;OJG=d)#$lYBXwqY5bpqgpv3^AiGl=$nIbiNqY$6TPQAc%f&nW zi55NP?xWkUa9qTlwM13kE8)gOME1yF^@k935ERfUpUpv9-Ssj}Rzf$Hzj+j}nHaAR z=MM4cJHpBDxqalP>Wkb3&-|*KYa%qd%RuA*EWnb1+^6~iI>;F2jHt~OW^q-u?jqq8 zq6@0lEq_RgJL7I~b^MMYd?F-RUyR*dHcja7?i1A`9nhYN_fXIfne4?~Qx zH|@dxb*Nk|BUyK>XC;3`iM;%+ZjD$tw9{c~+Kql#Ge{RXL;Zk$u}XLrLUZ?QrAzCq zJM_Jcz0qwzXrkP$b2#H?gyS2^b)VnCuINj7C*mtER=!EEzTBGExnq0o{On+^hfN(0 zN|X)+%Y)(OL9@k@=tg^K5*mCT&F?a+l*`BH4uo7^6sHn^I91GnA(CCzG%QwjrdwJY zrQ_;2+$is(wL4ajKkY;#;*@Rs>DH|___k4_1jP>E0L_rr1BlweY$0_X*_0{T>M=*;K)IdXQ+D(A+2`wy6}^wM*PsC({JvM@Zib73^(@D`f~Vuh z#7^a^CJxypk|WoN9_tZ-8(oZG`D_2PsdUP_#ja`3-}6Wo%T+}CUZaSqdY83c16*9l zQs(yrgQ@aTQYjx~61HR8RLlH*wNf1CR$?^;WU);k(~e;-uB%4=zD4Ib-(ut=#IgCi zkXx*EGL2e$I)`M9oUi|aX&Vm{5=8IpK|uRG zq*yC8yrGAPaBO#n8`s(wgCCkzz5kH!VA?%>;2Xo6fNc{4z3bD!*{fyCIgVU;ujng^ z)Q2AqhuG;avv8op4&Y@Vb!Y-dnS~Sx6gt?@Mrl`a6be%7-?~#|8to76ssEf;T#e^E z-Y;&rsZh0Q^e-H=_Iuod^UwK^%G4hb{-6qcNDP+#p5Dgju&kT`XVCQ7$bSXMci~Cs zbfDGdpC1>Z9(y!tjA|fO!yijZIs2(M+8z9VOPTkf*xcdYMu(A>D zxsw@X{I9`S6Qd!0M)e;-JLsT%xS`5+jo0t~B0X%HG5i&BzIX;GRrC2+q_`Et>2&p>_kCbU~;X9&866Y}bJo!u;l=<}v`b{f}3h`>Ae+5QaO zbt6(>X+=X`>8oV;cIg)r&S@aKeJON0G{5fY(B}y+0H4O*{#4MdG-g`dRQIt;Oe@V= z8&J5dalGf-T-?`0flPr5X@O0!RB)d)?zxBLrkN#?`Ph!krZ|@qiQsFOX$OX^gU1fw ztikkwYTDo8en`2dLOG0iKoi9+eR}LScZIPXn(7+U|6bpP(GN3EVrUtX@_gIC`2jg1 z__DXAO9Pm;17pKHkWUM=)~CopeA=d#&&Vf;{P^#hME{9-LqZPnMo!=c6&0B29h?d_faj{wM>?^P#QNK7ef8KXghYC%-RX9`oV*a)^JOW6VUK-MmbWNK(-CseRAQE`UNnUD->woJTw+ zzJ!$ehio}2B0|}&9uc@#QX1(AYbH6Qs-@V1D|-|b78svt2dAZlbtv%wAr|D4)SH!F z>xVkr<$}W-?iS7Pi|9khm-o!js~^YV9EtR^FMBh^EUl@ZEM?usQKAl@-{q}V^MCRu zqBPgo>Ai(Eis#APBAuFh%oe!U-va+47r|J=-orJ|6$(yFI)tB8;*?vBU6XEOTY||bQRpOwEXy8dWQlD7}d}b@*GO?}W!IbfsK=O4;V`?<$F^H|Av!`Edv* z2&kt5T|@N<^eZ{F@;M!@9MTg$p|o1IDhZ#6ak=UzLi@aomTaG~vzatd&6an0Br>0> zD%;isiKOBCu2waJpcHtTgUb6S0eCTE8;7<>pwF-QJmDZnNd4$MCU`n+jR`f+$o}Q} zh0v9@2>Q_W)7tne!H58jxiGF|#^*7YLI;_-F20y$j^iLE$LZ ziLeRUZa^jt4-a+6T<~2wk?@&NW07kRHC7h9mJdqId-|xv|Jwa*@A6xJsjiFu8v3Jl z0J&^yAge#wX6{JtV)Op#jYpL4U2VyGA}vLkKX}k-l-d8hF9Ef#?4d9xn^4NrddHIT z)(qy5!$EOI0}|bRRJQevs5g6Sul;wI_2oPoL1&Px7zPUAhY3dTIFsi9k&3%u3Uvys zHV4*NWf|CS(ZLRMG}&ITR6)(dIZJ8pR0tdh_eQ^p_@?)8xl*VMcJHN>wgMz)TzZ){ zQ8;TcqId4w4obY_M0vd0 z#!=hzz_x;bIXW4V1w%Qg{0OoFKz9W81;qk97N>4}E$An{^1L|ueE!uLsxaAQcNZ4Y z1drR_^lKU}UmySRDVaS*{yj}AX()-+DJjud@&PLQU#uF{NPg_5z`b_;$UXw?+}A>~ zZ#5gG{0G3UV&YB+IywG-=;1w=hw$||Wbfc6PK2F$S?mw~jc7ao_mJIOV?#TyuC$`y zUV=xr&kMaU{@-(aHTTS1hvy=e;2j!Sd6uwt5VC*ErpG@2&l>2t#s-bA7rgken_MF$ zt~PmksuLu5$!j9(*gVrwNT@9?yI2}3Z>=xPgB{9enn~`!3}dB9qy(^p&Hji(M?r^{ zjp{6ebif{@o2hp>0O+t$s4TsZb+B~;FO`Un6BovxW?>29mQz5xLMw46+*4F*wrjfG z_uS?V=l>cw?|7>JKZ<|uzFgztx;EF$yk3gq>G>nY<`T6ht_xtg9Ki;o%Ue9yBznFl{Il6&*3yRlL<_g)G5Kqh$ zi`^{`oeJWsN5Ep-B~;p^U_CIgjlpkkj;Hp7TlPbFc8;UsjSrk0Xj#6*u*~cOCpXdd zmI|_$GuMK;+Ii)JnP#eE6*E$Yu4K&04xGa1r5W`iD{HW~1q4}g5a!?TwIOR@lXHus zmMwMEW!-Y$Y4FnLH?g&|A*frV{6ooYN$1`O`Z76P!O^ME?DOegEG?+uu3c0W`vA(i zg6R#boYsF1Bl&-CXI`vgDxLGp6+XbUtbvSBk7xKS4Ra2{RGse5vQ9IVD383DFti&& zfNQPf;SVv(O5}ldNtC2QJb(YC9A}yi^?CMp)puu_kU|`=H-I@v4fpS<8)akle ztNXU%p5RR9Y+<{Ay4A@GQIN&ux1IF^sVG(wCQcv|WA>}9uqdiK>1_K%%S?mwtho-j zEo50=tYE)}czeGi;5FT*@+EWer5`E_NHv*yByVqJOyh0M)O)AOs_)*{CYAg3R4*I_ zJ?uDOrF1LtOou+Xbp7NPkE5eIaxP9Cs!DobNcR&SQw66|g-D39;FzalRX<2Kds85l!;c~dtIOo7H9 zbG%{OCtbGmug}IY494$&T*CsSA|s82%3CW=X=d5Vo?kV8XPal{ms)1ettY&P0tF^G zFP8b%@&i8-nKWgWud5z$R{62-bNCxs+6Ll$d*I}%jBZXEk|)JDH|$k*=HdELYER#b zxqQdxEI-(m?pY|kB+?U~HMAE^1Ow8MyU0GXzJQ%hq0g0gnp`A$9KdDR=0iH8w0W7- z@y~p71*7%hLE*ENC{)r%1*-gtB~1WFzm>DgWeY(Z$x8pr^FrqJf&(H$un+w34K#a= z`zlxR?~w6@K5b}db}k2g@24REp$UHWVLQkV7#J1Npn)-kw1U@G>q zK$!A0Q=MivD?)6BbYdgSOcKH#v<<^Bhr>4(VF$zsE0?mp|AKe2H3in<&w8|}?{gB3 zmi(Q*xU2&kvax!RhUIjiCcmU(;5dqyTNQ>H#r1S;+`=L*b){jGWQE!`?#QJn=G#Vn zG$W(xPVSw{2sV&atKgk#ioaW{2Y3 zgO!b(XHwFFG?5+HVWjD+s;*OYF z9cUhrkvT$-7U3M_l%8x!kH@Z=ou^UkhbAQ(g^eabMR_$^)AKzZZ1vJL1Nd~iM|fP_ zibhH5(HAhzV0?774^4E#%q4E%6wcMpN())dQLbM%vybcavq3E(ITecHNW;(fwq+2B zu*ln)qGcSJFrW0v#p#D9t89;_O6h6+rOB8n)*MrMe5A;|MG=AWligM4OnnnK3txC( z)4>PwBBzljk-IngQBBSQXG(2OBL@PBvy6IfoVI(mt<>}$r<3cJ?rZic$6;WmQ`TgF zww%-T$3DSs!IG2x>#bMLjGL%aMg=8Kul@-sa?YTO?lm2Qa(p(fauJ8?ZW1vp+ zO=w`xakXt}lYgKV?uuaX%*41<%D_T>{d-Uxsk->TwgVF>YIYZfMGJd**VPX_TX)I% zlu6%J%3QxdL7(N#n6g5&TeiSwRiF5+AI*`o`BvUMi-?4GrxI6LADU?aTws5^;0vNl zwjlXh&T6;O!s9p}mlwV|oQu9e;=_$TeDGt3lPzJ|kJCZk$d5jH9wxUj|FBE{#6&@q zfKA!>6~r9Y=J8ibb1k+??+MuWYe8_-?DZUG0791da=vW%)Pd_EZI4!n)PJ{58pOi+`c1vS2qTjUind z)iu{V;jhYn$kBrHjicXvW>!ZGYAOuA+5Vn==;coRb}gsTR@A2D1@S%EyziALvH?@`e}`67CR z8%RDdyq(>i5x9;LoXs1TOJ6w1Ru(>7X2BW$pe|JlzOu+4N?%Vnnoh7}dhj=S+o>3p z7i(_=WT>F=Mi{@kIVfv=q3U6LAlCdW)L8l&X`y_2o44@u-*~Kb`ia-2W3Tyb#chJ= z6={B0GP{k4AeIlL8_5Vlig*)UG)&{>~Vz75!J6MlQ{zl6;M6IG_ zx>jP)9J?hQW69Bw#hEi^C(mgPnz`D0a_!Eko7vDR@R7XdK@onFwvP&RquCf?`t?tM zQ#@zhhb=J7?Q~)rq1d91J`Be3F@=+(g^gkjA!7`(6FZ zqcytj>r@SL{0KLrPT%_*0kL__yCpM1k~rD{8g;jh9eaoJo2n$)=RN`Iy!1t+=(VRK zOX*K^_ghO0@cQV}kFBi${5mlLSl$ONQn9zPZ6vi>VlBr607coH%6?^0AsMK_yHOpX z8K|-ALNmjcYsqKTEj@3{kiw7Ttzgy9U{Ty;eLG>ew~M#=lcC(y&Q=>wR=*d>d2EpjhA_WztsYyHH4)8 zBoqY6G1HSrO^~k)uaNdtNNOT4;L$wiwd%`+jAka)HHeD)vSm-m3u)&{$udR9P)osr zp!j(pMUSm&NcEhAk07F{=K{<&PsN@{IBBJbTlC?1O}>hI7xV#+_7=R^!}ngES^CfK z`PL7!v}D%Irc3P&Gu!FqRTgtQTYqQI>tZJe@RXq0`G(3{e_`w&u+R;F5S@#(-CE%I@N-cz|ABTox@hpf+Q56W7N{0BW6xtv zmUNB7;ZI#)wFngE{Tkn9EpPmi6JX<@7#)3H|G0#**u{-kn3@9VIaDR`Fo^%=xG56= z-@F3u2tMTP@69yY`AM2Lk59|-va&y(6qXs387+m2{j%jvk<#QvdN0he zl@CYu!7~pzmnO<9PO4et!=&_Lfk&15U)8}7dct~q`sj*zTcA91^1>}EkxKpxmyVp* z?Y{2|JtcjF>6nEEe^mUS27YOMnl;(_Dy--996ru-_wrNcUG|9Bws_6HDYMWLK1;tT znfBWUJME$r#=J8fkhmsjQ+5f>?jM*X)ciE6^i>XO^DuomH8!y|EKGhuIT5E~v@Hw+ z2PppC;qCL!yv8TgO_y+sGU`~%MHBC{vTI3VP3-@o2ffVo;8T9`jKs5^0d?t*IJFcubd#X3;N|sr|~`g z5|Yx=6Zqqc4n$3PHF}=6^0h~Ljg@;<%zujOkc{QK`kkr#RCYq@CeLO(rJh?Svp++6 zm1R{!FVj@H%no=0a`zF09!IFdgrP=rQAb>5^RcBgDpkgaPlEo+chOPt=Ly`RHhfJY zvmkjgnfllwL^QuFJ!LZZLgmsSY@fP$5l)9z&-s*z?|-sQj}Us_v+4G?AcoV%yz=tw z6NR|T0uHBbMcRkhF^T@CH6o*P#*fr&7hgd&Klm|>89?!X&u2Hb4;3X^*}d=jee*eM zjt3`lF(xE-+ulu>4C6*_d&#=H>dm%$5MM*1~0v>(Xop1^N>|&szLb^Z;xMg z2qS6rqbB@v5`M&keE110TtLXm37jgNs>V>)plDLH7-VhA9{Iu0 z)2A3v_cIKQD8^J1#sO1`8P%L&agbt3wE`K|HWXW`9mC#%;z&KjIP63@LUm@i9HqEY z-5Bm36i=!b!`p}AOQkUU{3*w%0gS*PN-*^}Bjg0-B$diI6-o)Co@Rufp+rz48Bx)c z80uL@>^TaJ8pnuFpd?cN$2gxvNv2+4q<|DUHIjArR~T2XQLa;OFc?LYo77v3;u6Yj>K(@2dz4aY8Kb;{a-aHu@$eC)l3K;6eoT2n ztzkT^rPNXD84b@UjnpPaa|`7;wUyD`{$FsWUP?jA}nwU6=YHRTQUE#qB3 zrOq+tzfrzZ7Z^YOqp+xK z#v+HZL|taA{G_Z>e=&alq5P$;G1fOIo78`dt!>H=Q*Y!&Z z-tR>=o|l`u=pWK;8CFZO12;ezGNKE+|qANFcFHizk+0k68p zba@6U_$}sTZ4B6R&o^ak$L>6>wiQKl5+fhgT|RTrxg}@wVfM|hZto!pw-^lZupmzL zI!4nfM^LUt*owL3u+__&zft+~@@OGv5>N8TKwFEfu}?wv@s2!Ktq!#f#^B)Lh)5DD zzppE`#;tZbF4VZXZy3M4pCA9ui2R;@W*MB*dh8)s+Hxf)rGCd29`-jlFCLLQ#nhAy zyRWuWDpY=Q)>c(ebjXXY@TI@h2qE9huD&pwoxBWF-&$2e8GdFh_Q+AaE=;xrGbgDq8Ag=b@i{pQ9M7p-tkV8rR12z&6C z^0ik)p*TJNFr9Lq4;tQ|4rjZ1S%*olNJ^5%p$Aoana4@nuyd^FOXED4G@mIITxcq2 z)cT+oZ;w_dd~zEWTy$|G-Hlm-d;U5&GOEKAwYlnVkBqa1H_O-$T&X@hdj2og?N2lx z%LalW0&*YcW{TfD8(|c?V>#G73j-0;7?wO#ZtFvOwnFPHET8cotLV1mHzS6B02&kA zmwx34Si0w|$y)PxXDR?6-6V#4yg95m%NJ*af1-}CrkiKg^#$}h+uqI?nV}H_KDr;2^ZO#b zH)rBiM&#W1jMJ%V_BF1n6V;&`SD0lZ88hx&T!ZguDtq-+kR%o^?T4u;(NnDkf-{=+ zD#nF4xt!Mo5fw{swm32MXF5U`jglQma2(o1r-H8>m==6eVlA&~uu8o-Yx}!N4`PasL zSNk8D_1ej&bsjL^$(*)>6IgBca$TdFKNVs7cV@{2P@TG;Q<_(KXxzmkInou(0BqP5_1l&FGYzXcFk_c)wpMG<&{C}v>;X}2=TJipDxzn4$0+=6ig!n zZsX^3ePF0i0+A15DM2^z7Xst} zq`KebLC(E(^VGDhSgd(*T2X|Sd<(#T94JaY4=_NIEAdNm*+(Qf>pgP6gJ)-C>ejV| zIJ{yi*igrN(@%0l1l6d7dOKrOA2F@rli#Vqbe;G%<`K>>ldAV931NKdD`=H^iVH$e z$ygM(WMZy_CRL(&al^7k!zWEic8WCvUn!aX7l~pv!)LJ@Vkx_o zH@kcA$0JhTW%y?45)WS+4<@LZal>-dj734_xtdF<>mI+d&CmfBJmopBdKA0hfc14* zRadFG{P=;f9KFjy2%R^VhNQlX5~O_$>Gr%Wn_Kx&aQ)A!=8i5ucr$@qrr>^>uQcEc z+p=9QQAH?*JSs~+sOydIW1>w0FPAw=pDjx*Rs0$J`F(&L;|V07D1=U2gG zVYA;|f`5&Wj@9#={&aH4%-tkds-!tfjF}KEz!~yzvnt+OeL91Zn#au~61I>?t8B~@ zn-~9;N*`4JULxG9<1V)85)Yrg#`N>=xpL86kKmN>YR>*CU~;zh(h*M*(Sa|u_j+82 zKr>C)AIo2c&}@kW1(^D(d4^z|3vS`&h>-smP_;HALT=7%2@ z+SZ?p@>KijR<1A8FTN9@9?!k_%#!@{(s-&d>iN*dy(hx1mUkuXARhAY%g^gz+#iFS zpB57kBpqhd(|@nV9_np&aPY!1<~(b<7X>zwZtMQ zY7V^-9Jml(D7_`MWhp#;IW>81?RflNo&FE8l|7GfIKNo(?W1`z=S@Pr<(o z2zuQ(<*#riWx!?Iu@5f~A}) zAAt@=d1M-4n{{jn`?6wnvPUE|2H*Jh&+6V)`(~b^S4AR4ezNO2nCf&duJW`l7jDq$ zpJ!3}0xdO)x*IfM+ifpI(^i`Lb*JzW!M#417==9m-2on25&XfF^wEu`zCZ^Ph^Ati zaz0+^48LVU%95zM78qJ_At-U2J$H;BLL1>WxSi2o*uS{FY%#6;;F?G7Gbu`DN}geo z<=##QzVsayqvLtbr06!r-LX^YC%~QjSB20A7Sp>gheU(!SoTyRf?Y6mHds!doDT+LPgscqagwI+lb z)c2~5&fO;TdwQFT|5p3J@B574IEqz!+cM!oIC(%^5%mO8lZqjP(&~5AuH`q!5)zX( z)xQ5vHol-+)uz3UDiUd^T6#RJ^A>{h$37%EHE%P`KXB344 z{cz+j5!evW6vRYegsFj9lb>lqlZOu}zt0Wx*9;ezI2JjJeQ7Asj`2Z?h+E{!#+q%5 zusrUe08kM&ww>n2cj8{aGVb z9qcDCcGD=ljw7CEY>oXHxMO7b+#fcx?eUIC1y3?uuplID?BFS zNcFud-m9QhbOy!iuh^I0!M|dPI%m=xA%DQojWmHZmLJ~>g;$Ie(1@e?qVph2X!>a!Z_9aZS4Kws=}^%fLAY}Y|T7X(Dqo>IKVH}dONIc~FfWH^L z0aF?a2e$@C&m*)Wj%!xeK&3b9;8DsU0NTfqZxB;*6LtW{>nF;oki8Q0GjQoH=Tq$p z`7mA6>f$6{S?qld*9^S-90mM6-uSwQG{rnfY$P+hS4nvu;%`fz9Yc9*325DX`pM@b zU4ODmmq|jHbAAIe06E>lcOjxd$Fsmy%}cA7>n@9K++WK3P^pC+=|-6-jd3W|I5DSe;V&oiBeM><0r`FVM5J=tL8jF6A-4e~aIW|E2@hiimXfAMYa~(xnSeK-v-tk3gS4syK zU8EByfzv~N&VA2VHy&Wac8A`j&!ZYeQBMU{=XyMR3d(pddesF^d}_Y|1qI}7q=NrJ z;9BZW?m=zi^w*XU4obldvIZw?oi2!4zxQ6tpD#XW@B(eTWkyp zB6ufH_@@E+$a|ugC7nylJs-dWf`x<$X1#5de z_PuR~_p5wJz7aHq=At>sF?2tO#_SC+i|g>Q*`8#YM&uf*#%AF!#{Dp^#!g{Q2LoAdhu4VU^aHYP{A$*5%Q);o`Tvqw4}--iVj zFPoH2Kx<-j7@a5w9K(QXR%@ywEtPZfTCmeA{xMP^aOM&G%Wl~ zgekNy7&vx5@kBfn5*4XQ)&|s<0McH^{8tMty8w+NI-?)}SV2Y8*R$jZakp6lRv*y+dt1w+H+qAxbyyVfqwW)=riLHHX$ieDVN zt_=XNqc8zMu8g|L0aG*cnPE#SYnypnAByY6fqaK*n8M6kJ4aWiI%n->z_nRkM|dFR zc*u#9RLRcMQq0IG+~8R#_8cuPJ|XdcU(N%<Xt z4zL$1tw@{IQuu3uB0VWpdp;>a&wmzt(+B}H+3L+4^Ai!P(K90c`r`a`hzLelAkhjGQ{uAFH)14~969rAI`3^KSl z6zzPr-k@gWvA*@YJ;bjIlf2PF66J?hX~^PFB>($&A^M< zXkvWE{jQ5PB$c$R{?eGoCw5hJbkqhE@aaw9ig5P0(VifmbWR88_L`#*F!d7ywu7Fe z;Pmr}8;|dy5{!+~g;wSZQ9n_Qt+*M-Hk9+duSdp=kmIZrZHtO6q4el@7(wb;0!nUd z6zP7jEd={B-2hfE3dzaiO+-KmAwvi+V@#A*loEqrH;uKvlVQT;Q9957`vQ^tN(VDzY+ugE+{Dj*lHQu6Km(>hS z?V&g;DX9o?!OmYUudj(7G>OZ~TIqC{Rf&^nfd0Yw+BGXhn`3#jM zwk5^+g`|)mue2nIN4zRxD)Ro%r3Qjf~Z>%UEep0b0C|kS1E;yde!WW4xe(3aL%>f!oY|n4S)P zQy*)jo!nxnq`0kqM_lph`$Q#RUr@Bj0bOaxYoCggYLb=zhO<(rKGn#bkGRVxVJ#xS z*ZEXeQqEtBd!1jJFCX@0LZW2^w_kg$C zNf~Y^6y4?eDn{w@eK1}Km)AX{(hPr$922h3APo&qD1caStZ@l7zK z7=}zh&hk_7iElWFuTXIEn~xZ{7?}c-AWWM%%;e2PRm&EbmV|y0Jp*aY;T)~uy)eQ7 zwaLnvw(6~ondw?i^|&*2DWag3Gqv)_wW8OIBiSVz4>;tr0xbrt$We2wk~ z+MfQ?w+$k8yI!6j4uEiXCVzpLU;9$XvD+deNukp>QvwoRKUWR}nhCz-mSEFb&}L1e zYw8QuMJ@vjnY0?r?qq8$x0xsmgEm;Itu9vJd%RAPceW;!*WKF6W!`1?8Wk6s0?b5m zKBF*bCgQwQTa;X=cBSz>6$B<=vS8?hpfIX1Sy2DZ7i(Ni=tQl4xaE%|MeUbrVWrra zy+~x^z0>`tMShu>TfQYLCHc#|KEl}89&*@Cpniq{;U-;E{!g!x0v?qpJ^f=fzQOW6 zNKd`W7tu!$jXd{Sk+(-lCQGDLz^z=m!51t4euz+35PX|DQWRig-nE|D70v5?D@l-$ zb{Roh;Id0>nXRT|xr`|@6qQ!wq!IO4+wwOYt0VK$rqR|tEkB7oliTCLb>;8Y8?te)HHydZ>XunmS&_+-AEYgEaK|^88_HK1-(DS; zk+HWgfXqG2wMdT+dZ=t&-}*Z8B-R7080~h{S7C$FzWGPDTOAJ`@MqdGKq^BvH!Tk}oi`l?h0PA1&v=*!3AMA!s742vt zFTYy{bv(qMb<1FKD40_~<_$2TbGnq8D|@(zi}X>wYvHLN z{n)|XAW=!KkD?r1l*#w$7*E-T1V!lUOR0q0_agkRWt}IdP7^I~Az4!Csxx3JSpF>|0+bbhT3~<~vzP{>Uz7OYDbZIu*s*}sMU!#}Z&iaoo z0wY~IQ|aH=fjBsILOreUU*;!WxqiA{!{u^{P)Ib#LDhb9&>|to?+A~vCgz@t)&+1M z--Hk6HgsI@rO)l0?gi`Wn;Ky^6-HKf(|_Oe@7RgFSvd)280gAXVfngDA1%35U+yiP zcQh^pJ$oZq^oCb2OKwr2g^%bk5_@d3&azPX22||an>uA>IQeBeDMc~&&n`H9`W3m( z-_<6w&^b&6GB~QHWbG4brMm2`A!qY&5~2G1r)8NLSMs44PjkMHY7`)mF%zT1u*|WZ z6PKht+WZdCiYU=E_8lbImdLV=mxZ1!mC4nBLP^j2E5i>+knvZ=hHbbNT6{qs7^-u(;sCq4N4O| z-IK2Fx#qkq!NB!87H5E0x{s2&j zXwrm$0)8qaX^w!xcVa@%!7aW z2#~^w@r!)b{T>XZ__^V$3qR34gmGr%lEORhy0Oy*!zsIY@QF3P=mBo7#{}xKY~9C_ zb0)GvH@;J|mIrus!BD_m3N>wr1B3UEWgfdJoJl%yP!~XJ{B>yMOyNF1Y}{elVK-;? zSB;}KOgv}qGqGdRTB3Nzy6DC z-vX`hj_wd&SfXSw&^T5PZ6LpdJSqu(!qeK|x8hM)q*;pZ4|UIf@aaN{NnmYZ&Ys0@ z&?kw4k`*%1?4GxF{kdGwj69Uo>||vJf6^t)WE}P?FnR3GhhG7d7U!8 zsAHAX>1n^al*58?78qI!m(IMy%1^H~vUiSHBOI-|-#$)Xd~Eb~!~31UcS{(@w{@xUxRhVW4{|QrS_%MuR%8AN2mA#A1ZYa-wI2&<@TyZ@1>2k z!@h&T=~JJ6oSNfFhqB3cbY3#lV7&0O5~ErR<9(Y@n=2|N1ZnMB|6M9&S>0DjiU3#a z!q=eQ=89bJ+#w7hOm!3mzwKtfzha|7oY@22W;Vg=iek>A_k96dNyeLMD(6u9vOWwj zBI{(XYMZPlZ>8CPTiK4=0>g7-991%V=)>f23mFPD1J=SMX^*omn2f(n4Oo>St)9S?96mD)dWi|ziMDvMrvG-kB$sp4U zG0oEp>)xgKtyPapaDPDJY0J9h-l>Q~r)y%H`ofkwb&!hgqk(`#_)FG-4q%#0(wTGP zVkrVCbR-JNYLXC$B9Spz7QZU~2LBoc#UdjC6pHS`+Rr=W?qsw;SG9=w9*?K@4#J~1 z)h9Nf?vEexN)(@t?$0_r8oH$A-v6=oH}a>bs1a4h&96`4V=r}FC;KNzYvGDwt8m&G zm)-S_o$4Eg0XMJkJ3Shw$ry;4a>tis1LWzc9&B$uO#9EiDTcTze`eY>Ft?77OJ3Y% zk2>D!PZC9`^bkO32xqkv0-xt(GoIX@H{#nC3Tc`*BXkqE__}M%aoNvqjk&tqaaH^G z2Y5z=i@wjC1T4=naR_be9t`-2m~bQ{``TG?*Y+4W(j)d){7BHO$je|osHnz7x=T{s z2_ULQ`+AtawL?dp`1Oiq?n^Rc^lj=@(MS5$49ZD>oL+GZ#C^w# zKFreV_38%sdN0tWH1tXQOo!EyzGmj}uZG1$#dW?5hM#~3RQ@t@j?K~zP58*Qtdf(a zG`zA8ny&_i!zowf9mrAj8->g99F`sMG^SJVz|~P&!@Z#{!hY2@np}rUNQQ(aLhvsl zt0A-~!WpyHlU$N6krUz|h`*Q}!a|@~wZ#Fz+j@AodL-J_TZ3X3;=Sgd$@SiIsU>{l zeigSA5gJ>lUmqa?Q*_tN*avxg+S-6%N~gU*1img1C`j%j26m)D2+m2xw4C$S792Od z=ou3q_2jL0`>Y4q))u4@0n#vzMAu@t-RZq(v%%Pi)zwhaxs4e!jK{QNY+!0=@;TPG zIIw6JEDH?J(~mOLO|~(Q%|vVLxkQF0s;f-IMQVhaL8s#4Q$Zp}l#G+2_r0Zk#q0E+ zfcoA^;C)_+$>?{y?VwNxNiu~R2EC@9L&2LXAdOO_E7|^t#F-Hb-rJIht2^LHYQJh! zu8M{f)Y@3$LmFw3r#63~wKha3q(bB5CR2}4tiOmo+@6pb)aksvxG&eDbX7Sp6C1({7E81a#U4azR+mUbho)8CzEGiB$bm%c!Kv*h%@bmd3&eO+d^ zhUje{?GWKOTY-4;3$t zFrJ;dFcvKss-xA{#qNiTe!Undh}2>5=t!@VKk>ir+%*2ODk-P$r<%ew9MJ+zhuoQDsq7s};Vid2+f-|pz}clUQ= z=dSr%v)v3Z8ySPv)2u&K6PpU0oU76+0e(8P4HGi>O75?YbsZah^ohxy*8>#@W{8|~ zeS!jt?T#(vKi#Z6#N=BVCmy4qzw8Zz*N=`$kdE-T$65C4Om{L)eyE5k_k-1Hw%UPPtqHrVZb|M0%;oBl)Ob&awr@okeMS+f$wfOwKMnCL}3NXxFrQs)`M9-VJ_tpKy z(`awBF{BgJmd8w;=ntEb@$esD7zz;{4UB+Ug>~QvsyHX57jz_r3~u>%vR{jC zK>du9cL>DXP%rEbsufMg>qm8F!be-1lWVzw39;fkW)nq>o2Dk@wxB2lL^Rl-J1k$} z)oZb^$zTEF;)qE_gp?d9qM$_#7aiGmCRucX=p*v}Q(_#q-EiIG{H3?hMB<$pyD4$vAZ*7oR9j7( zGYl{Fjn7Amfx{W4T>VrW^7JFI{t3tj5Gj7F?pLYotb^T;*QNbNb(#by^470UsA1y> zRd9^K88__=#+@Xw@ON$+2+^LE{-(x}Dcz{t*l-yRo%|zQ#j>J7wB&UYqcA609pWCb z>l*Nrrj@o%e;4+jz}7n;d8ugJ>ZjUpDt$Rz#ON1$6S<d;RDOS0%D`!d}&; z$)>ighm+;M>aEpgnn&P5I3d-|&M=`P8b2-yqMGZq(^cG?V8gi;6Ft0DfXzg3 za;*ivvR^&IYu!Li-^^Sfn|7SfPAGyQQXBZ(noF7>6Ro6oz07BI(ovzf;7?tMo#6Rl zx$lEYDl~pORL!V*<%K@J;#xc9h_S0o?YMBF54l_9q@DlVc|6}`yV3uaHoy5!$ycS> zjaOEM?R473jvCU|?G*a46Ypy*hLsmS#EbSz_~JxF-E=-a()+`U8&;0~{6Ta*fOl*7 zbEK$|4X?;Ym*LHei)!URM8P{$K9`#6HlWK6I9!?xLgMm_XGu~i;EmGTwaM~3FOiu@8aV=ZFTj8a(mfeo+>3HA~qC+kH zni_+zVF70q7Ti`G77{AVUjDCbLltEKkzQ^aRYU!>>F1BY0V053N@xnTDFseSu|;1q zlz_tLP=@_zW8D;4=UU9c^cy#sNJC3<>JH#%3ZtISOcF?y@Bz54xl`dUi|0-ub%69zWuIO=G|E-v)OA)iC4P1k$;mb>!K6=e0>9CdUVNox*FI{zBphyV?MfC79yY`~y~sw8UiP` zCrl8(U@6t8FK3a23q*+2+@4?a^-CaaZTRCsSQiVbC`-WWLfO*SPOOg-n;_k)b8}Y8X0U*KkV9 zMQx&f`ot%NIiRSoJsp(q3;?<-`?b=4Y6@3LKx^UCPAB@YEb1{jKt+J5@LICvpC_t} z7i7*0*LrQezlvpx(|d~FUC3Wdp@}DpUPuX($Z#Y3;gMqA%LL)Nr`e9 zW+vEP`3cM>miQX}7)(2)Vbk+4a;*%i#h{ljwen~b2w zyT4kNg)V%Qod5txR0Z!mxnL?1Uf9PBFRuy}K7u&D)PKVXHN32wDuD4iK2~u$MVRtD zs+Qm0J^DCk>359sBmxzD)7e z`Td>@?@xZc52;?Mc5m*eNl)MT5N(x_l^%nVtGDGM49As>x9u3N^BLbexMt|8pxO`!cJQ(!{_o}Kl2f6J!cbk3!DP^Tm@Jx9fC+5`Ls zxP~w6h;Y5XXx~AG!?bOu_@?F#Gp9fUA;?xqL2JBiddf*IRWVy$ZL!r0pMVNbNm5eCb1?Sa!nBL<&}|YwRPgUIN$jVE{>5Q?`#9_G4hWvV6Yi;Mq)7dd1Pm}W8N;3#&ls?#K zv6%1u{@qobX~(dl@oQL=g3ZcA`kwSb@+^BoWl+`)f^Wsoov_g6jAYE$EY=S?^R;iv z6@v#g$T=uJhBGK-0}5rA)kYO+OMgqE>AyvZHEHzm6gr8Q1ndbK4G;x+Bxu5XXV;FW z9nykfFRX5S%%)YXvA+Zr4|}>Niai~)Y~OV-TLMy6Y~awoqC?|Cv#Z||t$L>|RSzmY z^X+q&a2T*;^MwAkij%7r4MNRQM8loP#&H$0RnS@OnIIiTlm|PaPv8GIySi!atZ^lW z{k|@_oM(^S#y)n+&}saXXQRu=w{6_sjwPvzP{henmcTl^@1k?aAV++i^-M=-jr%IoFf zcR}8-KZ1c>53XbycLp5X&A#E#RexG6r0iDEI|NGssD3S1(RyD_egW>7!lj$_(3=)}6-}NMBi;4>po#hmJ#mO=xo}gpD#>$@_ ztQ501i)!~D*elzEz9%pIE508aJG0+PUymqE>U*7dD8+8|6l>+1=$!zKUe?E<4Xz$D z>a{w4ND~Ba8g*7*2Zfb1;LetbKEWa^zUZdFB82soPoNIIk)$)Q*8=MADquj@%`UI9 zEm?j)8HVR{V^oSo#K|?nPhR{@F0+__b1x<|=Q|+D=!XR-DYB7fsjoVd?~itE?;Sl&1?JM}YVGCc=rx>$!VF`r8KApfB`GPY{Rb z-i!&<&n3{t+#vs*7noO%Fv{rs;S}LA>Le04 zB7sZs%O@0(L3V+K+||(boLcuCVs#@F3dnLb^nKA*fy|P57$5t;#o9(O%ME(p3Vxk`{+#kMt1i^ArSr72dlx1q3($ zKsp#9#_5(m=Ui){(YRiEM=N!DS7*(*<0a;d5+IAc3gM`w9XLPt}GbwVf{^g9a@v;{Da; zY5Co^4|Z1#bZ=Ha);LRyv~uFVcpXcJN#Y3mz-`eDrN z54_$GGD19K3A!$J;WAF{#g3?nblUVLrHXNTAKPf!kd~^qL&4RO#KDhH4^pS}dQ7~> z$|>qi5YQcPPKDc($PgsT-t&vp+hSBWq_YL}Puv#T3h00{^bcge7~`?IIMFU5KN%lO zr%0{;JZzR}-u{90XD~JE*~^(yKT4&VGp0J<+`^(HZ%p`pGbB2S?I2Id_MVZtE!1(p z5tFruODKap(8!k-WC#p(B1S?67pr<2YIohDmt(WEe+z!g*kSS>_Q;h8&s9&bJA4*( zI6md`;Xt$d^)=NAqjAU^k6uYMxj_003AM9D45q^%B$w262@~at{H|thxZ)ia^C;JWU(%RxfrR7-c2VT5s`)qLLja$p6~njaz4VrbZ*AlH{$tJHkkqBF)$%kg z92gsCGGh;ZhU;5Kg6R!&N)HrO2N!MXuWvhp?hWd^&&=F`@#4?;OQg_ch`N%DI3tqB{ ztCINq2Ylqtg_E+p%}6wy;>{$!hb=EUmx1Lu>>{JtjsT5i3!(zi9Mf5N-AuSr&=D{)T5(<^xX4GPna)ZlSMF9W_?Q!QXRgTLa=X=>z1zhKAc!87CccZ zE#k#T6V%{Zk`KSCgOV^6r1lz?i-~{1v0{CdEVe>7QMh_>3A%N~(pE0ist{6x$a*+6EQ+AJK8W3^UnuKx`>XAHMVaFRSdm4Acxnb9#Kz%uSt3$EFRVt%|gnVm-Ff_otjwZ1YtCx09TjoCJ0|Yd{b0{XGSM#(1 z;xxF>TAntm%_qekWMzgEv7zs#9B5ZpiZGpxW>!R1lS-l>$vonuy0~HO{hp+gWFMKo z_o#pH(bC5LyYTqd19feb(*OK-^@QEOIB9X0^)D}&3ttGuC@Y&(51>p?z4U<4o&95! zZONdzWLAtT1&32Rsuz~S{gFXy%c3J8>?xIgS|G;d)*<}@lPh+)GscX4kLGH7i$AJ? z2LWDUO$6Pt1YMqs_XClk!*J=%70K(}wvO>K>r(gc*VV-hC>ZpSQNs1XcG!6r4vNET zR*DNT6?!JJ!mGh74p(5)zT>yWZEddKFh9Q~g0xNta<{yE6;>68zx1SGqjq*d5ef9%3~ zNAztjO;JpSfOfhdU5zayB2QRuj2Fy)PypT6K2hFvoWpKlD|3k`gfx$>CP{5{)tYXd zSbHo*>Yisw0T5Dq)MfGT2w-gM+q`L7P?R$Jo+?)Sa&KSA%xz!#$C z$y^B6Y-L|91B(BE6`VgCVvUl4s~{c>9%MpU>x#luMno3nW|URD%TNAtnac4azxms^ z7do+!W33QShBd2|9&xCC)vkN+->Ea-pYuO|_?=z)`4;FMjF53{puk;8gSIcJjV{$i z^XRAlWsq*VN@yWKe}CJWqOa6YJ-GgoX=#wt@t66<^RgA4?%#4dX`u7#L*VGSs3Pn= z)5#wWdeyTX>^Np!cB+&&sn+U92z)Mr89*q$Jo&+M$M3I%BJ8;No=PX_jRGQYuqF0S z??ZFISY_9+l!z(Npq|hl_ozM4ed&JKKt@hTNS1UiY7SD@LRy5SXe@qr6 zXjqwOeoZ_jAZ&@cLQ&X+05jj6#Y%R>BveKZo3%Hj6XG3j)Fccj^>48G+489AfL~Ul z!ec9JVfN+PI9(pee|<~yv95#qZ^1r` zq|01fL8k@aqw5O5Z;c=#aQ6WS!ujWYP7b2 z8kZb_yKP;@vzeiu*`Z{fH%5mQ$?w0GQ_;!b^@#P90yaE3m^}`8_Vim%(2PQ=ZK5}5 z;m&MdJ1x1N$43@AXliGtX%-j>hf+&V|e20*==_uEg*F50-|1Jum@0l&k73b&=;bQEC zzkQL<(vA$*IEL z;;&F#!FbJ#D$@(iA`hd_xryAB>e&UI-k74u%saS>%7wTl8kkVm?WXwWt-*;sK7R+G zLQ&OEmGRv#zQrB_07E?C-#2)OyRTDU6^{L`>h$AxNX2CvRJ{y(VuaqI|UNvm_uaHErdI7rJy4#U$Y=aO~YXn+SI2y0ID~kxu)Tk4R&$ zr60Z(Ckhuvr^zweQA`TZ3u8jqeF01+xrjf7A%*&r>gj%pH}8{2)WK}tP`lroK&t_! zpL}9UfM2_$u@g9{4etA?2EBV69&%+3M{z zEKrWt&CF$Q!5AObxpplQ$=@^P_rRI5A3Ct0A_=|)^eG8;D}JRKF@@?j!(!i2cS5S> zsWYN}-d%-6|MvF@J;u*GO7xrTDnn{*A%T^VWixSqJPJDc#?(O+3#yk0hhi>nw}nI8 z3pSadzUb8*`8rhRp#WsKh0B$*2IoNM4*lU1{HC%f{JZ4AGh$?uhPD^*RZCft;|q5y z$Yhm0cSg{*y3I3P#mueX?aZ!%KPJh&VPIiD!r{%np_HU$r+?*4iJ=ZUsO$JxBt zER=9iE*U#(G(d7J1AZx@OiTl|t$3kdyQhj5rj!Wh6i*oAZEQcaiA*2UT_j3<>)g#J zfN_`Y_NhCdTpY9Ifsx3cT4zG;l$;5Rk#UIfAyO}sXX7Eyvp4y;>?dQx%->NSvO)#b zJK=hDn8Bi}%-}KkX{34GlwAYSrt;I{>1LT6fRDvmJ=E%O;2n_3#yL^aPf@Vs>KH|iM$J|!Sj`KF!Q=vyMX=v?8PV71Cau-x^_ z=W+u;4Y@?s^N+_!mSI8-H~i%Z-!pshobcVfwN2PVj{Qd~o6HUyx-uINw|Mu10RB@# z9-OY0CqWWBs1pC^Q9ju*3+x6@d4o&bZsa_{lbXSqu_W-sH+2)lH`rJ#wlbSBZ_o3< zh9}qn)(M4c_8WD=#bZTJmAKQgt^h`ZiRzfApxAlgT7o2h^5ie?OvNCDaUD%laaUpEE4LzU5XE zvG|MXtgF!<)UnX|(g&7EqjmCL(qlF&(>jCzzx`Ux*7n5r3IjS5WHUP0EB%%JkbN)u zZ{=gKM}9zuC%5fjfreXbyp(U!JTclW!N+RXs6R1Syupng0;yqFdsNj5<>G zPtd$kv_Q?Yp=7}(w{o^}98z$=nX0nU57=U%t`?_+JE<=+7N^OpyktVym}Shg4TRJR zyF=n$?cfC~>%;Yv>PSP(nNM1^zJgr0D!4Y2;h)6U;`T@-2V>rtC8eoPKuO@|6v!vP zljlxc*5G-Kw{Yr({B5in?Ct454NiJ#S%WfHhajA`IO1QLph#VUa*!B!gd=Q0ira$< zQw2@B{N#I2KvvF>sev^R6vk~ktN}Aq2Ne>3vA|{Q8*fXmZ(*B3FF>gz1udE^7t2<=dSL9i#+0y z775AEk}+mA_x-InSJ1&@A%Ijd((`3%*9j8a zS~y@<7y6w?cM>sU_;pX4#idi*zE_*cUrWMyEOzAaZ@WleVkk)B-|r|xu}!A1BL+Ey*s5#V1zXeM=(p1e$LqG%gS z?n>r`kUfNy9VSk+fBDBybna6;Av%bQ*YB%?#Y^yxtW9SFD(yocob@--{s>dkFddK` zH{qKta^K@i_7$DJev^0eLaO5{Fpo(9?V!|S5z0!=3Jqjw&Sz;)xxj6;in$qCx zHPpBb+xqMIu(JZ|J9&K-rbhba5I0?8lQ{g%#Z?4AV=iRx>D%9Ps!6$T8ZCY9@`hwANo2-}4%g2leW)b1-Jll%!rA*Vlpv*9INcVm8o*hWM(#AGLW* zuSb9>pzGY-l7gk?h}`Z_?lI1w4Y;8JC88B4Q-Xa`B_1wkV}C2(FBXpIqD{FrQk*pD zx=2#XCv?E7`tx=-k9M*oC~TAVu6XK3kDhu9>#l9g`C0=Bt|3u3#;(Xpw6S-h%w=!i zx6qu>_AasAtc!nV2Swa7d19dYk^vAqF#KX5y;KZslORbD03St*J1Wu(xTpa%$fCS9 zNgbF`5mGNB$Pf@4FT6hp=I*NLQ7I(F|3DiyLJIsME*nK_>Q)a!xBirDOrOY=Wx24aD z?p}pL5Aw7wkiD)Tj^Q4r&g%PL~fRYb5HoU4B+kW zAmlv*t*1YB;t?OpGmUZ1z!$H(4`a)Tka5c9N04aCqg15A%mEfkct^FcmR-Vt-VAjB zpV6*sL}B4#{-3{ju+`lehgnStMkCh^t}5lCTp?WK`QbzLpDplOXJB`o+9Cf*Ooy@( z2_c>P(+^ILuH8os&eFabh_%6RhVu?TSt4#8a=hW6z1BC^@1BK-atXBppNnPO&3u;c z2pQ>y>f=ma3uueYV}FvQK6~|tlpgt-AQrN2`|jpx@LJObgv&~2Kiwv#D00m5Zd{%p z@Trdc(_4hoEd)7|Hr^f77(XUFTHu*jmXm1Fzp3xO*%z=y%?q--_6n`DUD+cn8#=G+ zzARjzAmA&@6jrHfcb5fjJ4zdy-jjVxvXd}Q`E)E{XPTkn=T@VYQZTnNr70zY1tl2| zXOHo8*V&sL?fT}JRqlhC@`5;&QnXAh0E|7*jm0g%q8c` z7wdXmsk;ELtHlWd6Ev{w?TT2DBf%bl+y;CONniv1On(wyH> zZyWbUDltcmgS=l*H^&J)^O9Jpv*fr;?jUnx_b5S0m!EfTJK{)6R~Wp=Q4O1XzB2G zUiAH!d+WVf$j`mkbbLHG8pD-}KeQb$A>y$D`NHMe=gDJ=`B}DP^q@Sr@`Te(wZf(z z*pS?|%^l0GiT+|sL((_eXCiyriim{>IW*}X`XL1y@{6wdcVHg;44(9hDLh-(Z_SX( zS5Bf|pYqt{bOS^@U+zx&v3bN3gI5qg``}eO1q6fXQWj2lZK9C?T`0lXa1pFYhldO0E@Y5k^i3=-z={jcYWf>=yn;D#V8GQqJFUUk-^}lfpOE-PvRUbn5 zvIXf&nv81=N{9xkTx`EG|EFkTKy!ha-e;+=uqDkd39q9afv0)?E%vjx%{Qgrcdzc0 zy!7Wu+wL>YEOXB>S<~htv5JO)U(~zd{yg8R|_$zsfV8;F5l!Wi<26)6-&bXopS9wYs1!Ur~IEOas zByOQl>4*)kBE7D@PU(rjFg};M&mV79+k2NwgvG^=gG<2sg~W$cG@2e#sJ;k{IC0d z95Gw=<#&hazB1O9hv(NwE&~$}W(^)l`45C*KP$m5U7#GBc=~)AJb;|xi|0o@nBMvR zU{Z5&mUL{YlPAp%z`sPR8cmX4a_b{M3_!N_ejt7~!!Q3rfI#nr8DfwU`@$8 z7Yha%Exh=(R^vjUO^JK0nTU5D)Qap&z>YkxDLNSf!=Uore$mYV5U=KetfqhY;*Eyy z#W%z~A}?3XpM1SY60mgS?7@Ot;4=rDx|XMvn>D|_tT3mfHyX>D%E;3?Wg50$eE{G0 zfvW~$;h%~5@Mn9q(ms+M>^BNBshScAeghB}O_3r5#bWN6hucRsV&B)t!N%5ATO9TE zm6ab9vDmB*FSF(>Fri&5lI!4E_Os+mC`B4u|S|UuuYjR?$3nb@>uFF2fpxG(#Q)co?B@uFzXn{l2jUv3s z(Os1OlqA700V0|?vS-^_td*6iLTx3e+khf6qjDO7&J2et`&7)e_FA085A=+PB5s0? z#p@ia2Re?o>+gm=5elB2&g*z}ab=hF<832&;doiZPdoCB{e@zd`u~-5mQhW=?;l@p zurUUV(H#<_kybhsK{_P_36T;<{3JdDBnOCzib@%XA~0#B!$6}(N$ zEgaVfeOzQs@xYOWG61Rv>tXY?p8+_IwxEW^Z{jRMG|E$aAKNq5$0W}r$(#6iX;i%y` z#!!c%hs#~(yH0r8yLQ2Fjwrmsofi%zhI-J#q;3RP1}DKitv0AwOjkg16jf%a5a_p9 z0T6sE`Zcb3O_i0GK|$5dvYJhecUC15bDf1GMU2~?^6>G%-kI}Z}&gOgNnNUzfqA#ZLe z*TJc3W8bQi`}IQ~0t6Tuk9#Bc6`JWw2e@Th=A}@AHRHAF!R5AY9nKSn0ioUJEes!gIUq zcgVt+7;qsVjPUSojWv;jXgOY7}THjdO^fyIob=Z@%JK#DxPv740A~d$NQus0K{IuQNPbVPs*1{ z0!3mHH3<>8VgZV%Q|cDQ;FFJWJq6=+BYq?Em7K_}Ptpu2lNkygdl$-gaKPjNS;7vD zR~LB_>$v$!hv8hsFw{@xU-ke|`_HO_T?efmfwgL0UnPCCx|I7wBK%LL?Qcx9Y!t!e78h|E*ocGKS=DW>M;I%jjm^m>mf zA6wbsc&yjogtedMfvcskMyV-GNtG}H*T0msz6>-bv_+*|VvMyy=b!yWXj6yJDn#fQ zT@ofNMK)fOlxEDU@@$LlN4%A2sJTj>XU#8wafD}Afc#@ybyz$xLiwH@Widia`-XXo6^YpX?466e>(wvTlkvs(|&`v?qY?rd#Pz*XR zEsc+dQ|8b){ORI zt&PCYOR`3%E;$>`pNMrd9@mMbD&?Fm#%S+R%$UKD-^Q$a@g&6PtI)7}@MKd?rG-1{ z+>MG>X-%WzM(g3Rrwr2##=^zK?oj9WSf^R%Orz4{Fh(nvDW3Vm&BFC^y3I0XiokM1 za~(Lgk#{2$IUDaB7Q22{SvU1`0+clrH&^9O;Wt{hj~xsqIn5jTaZp>Giw5-=99P z6LE|mF5U1Asys;cfBVU|w^wmXRR15KBET&(cXX;jB&BSrF5rVY!VwtxUn^xQ#mRDa zl)tc#xaD%=mHk@I=M3tuY{piyC+;FYW+n~QMr}gOJ9>Jpp3afT`qpUK1)qZTkl^#n z9~+l_*pLfx+@u8iY;K<$IU5Phq@JDn3ILPV&< z9nom~BvFcStQbov5021jb3LvBUJ{Z&V+v_eXys2fxPl4Lc+}t7+*IHj80Xfqvx}3R z7DgQQhY!(^W$gs6HWd1=t4g?7%huQ?Ou zplgFPYrR{QV*Tcwer$b|iHi6@TIABwTMNfMmlwf-BsP4x+q;23^V#b>LPg!?Y5a4H~D>ne%}cT`r!!-5F6d5NB+P(d0Z4i4q5B=mGcuWLW^9pnYy z)Z2Bt)$;qA7eU4y0Uv|W!zA#E?-r-TJWZlLeJO=0$9uCZnKgP(Nw)?#o}WLA+FC!I zPNa4{Cd zzj#k?`F z&KPU{g)*a0Z=eji*B4M@maFO)h3f)VIxiTVyF@lBcgS}+u)L&XWKOXnOmbe9=eh*6 zNh&?zY|4CH;Szk62^uk98mrlc~Jhg*~dCx zRXu5<#;k>wtI1W_(dNQb1-OqD@E^TpIi|3K+DT?J?RBN~Sal}0NX^hvS7Ae!(@w@Y zUDL8CQJ$FDhU?25UgKMJwytAdMBvWM`I7Kukja_YBOHL)VyXGK-}OJ!{2uF7*(Pa~ z3-PF}3gHuhp7)EOntEu2WeAmW)@VVR|E@!X)uTl*{3q>oWA2(04ud(>rZaemwRjH0 z;UvGb0Z4yQ?}8$?EAQG;!T-A7MZTK9LnpO{2?YLb2}Vj%pQqcN~%vGFnHD?bO&)ncN^ zo=U_?DVqUc$Iu6MZ3EaBe@Ps~j;28QvbD}HILE3bijdE0v`cU{lfc~JN{-gCGRl+|K3G{dqv z`L3yarAYEA!OMYR=872@?ESJpV7VN;l(0Ks3RLe2 zdLJ=r4JpP^>t_txjP*KmXQU_GIIS@P4WRhuDvHS{omc$nt?S`n>*pM6*xoiVwafcl z)iWV5Rz7l%7YZ@HX%hP)(hNsFkz3ne8m{A)Giapp=@B%yKZDnsVHzQHdBkt zEChD16^~zL8+vf1Snk}t!hTvyeEpF5Kek+apK=o>L!(8tOMB|s4{gGwmpUc_Ev{G_ zxpkfcpdnWjA@s7cKP9Ty_lJ5V$VkZ>zs&Dg;GABa_UPICe^y(dp~?IGz?oEG=6dQ5 z$IhB(9ot{*f+)wrvT1c0#8X~^>BIIoq5#N*yD7osz|dn#*RYNeXI2sKgA8S&4b^)`uOTBI|9Fl@mT}BSZv%VR zi#AMYAAY6ZiC$jd5a7c{_r>hxIr92IBM$al#hn~9I~^HA-{2Dmsl81@dGEZ`)26+g z#Vy0CIh#oLuhv_KnjHF6UX3~NKh^*op%DYaJCy$%nY6W)_~XMsIs24?+40!gFYg)^ zXr8o-w5SVF(ynfY11H8uNbg4|5CwzverPO2A|_zSAk=L%#*-t6^EMXe$rXgZ%}wy+ z3F5uYNA%}b(VijNvMJ2K_ zoy<^CC9BcZ85){oExI=2s18||uE)?fARE$+7{`v2jp-%~Q!}zTK(}C6T9K{kHjEP| z$+q-U3_E-BX}SZ$(TVI#cR3We$nJCxhNlHuxJ)98{NsgjNGcLxEFVQKC*f?@LJ%RDR%j86Q5+gZ<4A7~J)HHHB{R$%^lbl7* zX5{3OX>=fukzYW*N-tzwyH37Azsb0Dn_NV{!?=5oTui^uc<_*1LN8^Mm6I#zj~I`i zke|{k8PBT7&*?81)i234^jbz8o&1Vk&uC~QH_@9JEv@7>`fEme2f35p#pv!K_tN_q z{R8AT^tX(6@5v1M2gb)w{v!XT|6%;yB5%`o82@(3d-Q$A0hngZ)yLfOEy1_wHfi$|LRv`yQrLUd!vYZ~J$g(9@`F@r(J>v1rL z>rSndXfs$>*xLwb{>ARp3ywAMu_1a@b)y!BN4YFCb!i_;FBmSUJO^BsxmB*M=A`Uf zn6!ub)8Zi8xm{#0@kgAY`#cJF=Xp4}k;AV3(nlEzx{x&~W>sU!I%k`^%L@qiw*?ej zwPk(>SNNi!xi80KFNgK|u0F2c`2zG}9P61zz-T(6T1{)7bw_X zo(>1P^wvy|{CtR?ZcE?eKAv1u#%E$I_lmP)_K|4n>a9)gvGIQOE{Cz}R*1paYM5BP z)itG)jhFwxh`+sY%U$N6$)ZoyLax;v(Qvz%{WX)Jd1w!Y}C4rX1Zo1-)FTXb;R~Lk;|uZN+1c zkWvx07mYft2 z^-8V&q1mNSC3rS+E$#cDvw>*C>=a%@yQ@?R0^{S9YHeCx#TAt9_sqfJWu4#}&;o@jLzRi*p%uP874s;`?E=Ys z(Y#)B%L6x?W9)W>`LP4+Kh&4yH|{$vkYoF0vyLXM#MTn&n^7vCi|Ckr#(cpGP>Ez) zdaFFNR=GKvNfUdCvW!2P4iQh&lKM@l4}?zhU4m($Jo{stySF8jodR~H!Llui-*Qg~ zxD4bzUwMH&fzBE0E|?X#pFqfUho**DB0F)*vY@$nKa08emOpXL)xRvLv8-j?QN$wO z)`(P!M%qkU9RwAXFZoaMETRxI+?d;HyT;LSyE_b;D;*y8p~q?sAwK`8LrT zCg!i{`xLGhJonW!HJA+oVDUsGyLf75p;)9Co3%|)R(AK7&6;UihOHM*&Hh7nMZo6U zF%!izE7ES?3=SSrV72hCGIx3`dIiJ%&%P&R;z&+68vTj6+-%_-PD{P$OUgi=|5lQ7 zwvp*oACB^z+5wpj7{np#rb4E}!k_%Oi5%S3BDnh7fxO|7{&BQB7d6eQdS`0)&;`u> z!foUHBdrV)(3O64#zPds2X)*vELJcXmw8%N`oY+>j?iUVq<{5#8Tw+GWGH6*=NBy> zo}d@h`^jA2-_ED+awc6);^hW@b;JL3>+)9Vu^6S}s&h{hOQENQmj$BZMewZQL1)L{ z4(b&<31RYCBeqaH0%4kser~SzUn$y{v!xi3*XM*B-if0&-RSbF^}e>?q}k6KzcXO< z5^_erCePUggS0Ni1@(yq;3J3|4R2pG9<97L^yK8;EKe0}SEN#vh6S8@545Iq42dx( zkKf+xk#N(#L4jWzEGC^yUD74~Ib>7=P%f5VvK9N=4#@e*Jq2cgp9gR&C-mR(cJ%%AX(`@`)=vbO+z+SZ3)M zs+kJO!cu~JP3q;(^~3k*nIk0Qu4y({3zM<|JZ%{b4mlGf=*#s z-%(zkPxeP0jyeT5zy{&26Susx@1+c~?e*Wp&1on=6?Yn(9sbO68dl zU!ujg7j;>XqNRG4wPZa-RN{q8TRXE&16p z+BnszWF_`Ij%`w-?Y&Gp{u(OMhix!81(ZBlp;8UQ`R(MAMl)DWWad6`!-Bl4bpom0;T|`Z45I3 z&Wta3n5&wg_~uY7^@yZB%x;93OO7q>B_4gyDx?=;ko}<@O#gf`oOo)B@L30|7-s?y zKRYO-C~n`kH=7`8ul6a%E!-z+x_ovMOj7)q?h#J3*}^DlHpST!Kb^~zfnER=QPC4b z%Id!2x%{;l;=_cUe~SM@#}(xE5ZYFQ_(XIRLeFqOkZ$0sV~s|{PJem8GtGM<=R-i~ZF1Ov;2H0EOq7mL zB-$M@z;|3aE2hJUZ+Xo5$eKG0nHTOEsPF80#ziMOu6a9L>}Vu&3DUVBd!Ph^p|M?2 zhHjCu5`&y$nSH#CVm6*0A%fX`v?4F$7^lp){tm80L*ym>>Ts!i1II>5jNXLspw+SeiCkm|#jkhTa=B=?lIn?iWC8K?lB#`lY!&8 zMKrVCA<~r@pt6>+8V0QODD&H;hf=MU%dsJrA`xF=r2IX~l#(LIW{=1}DUa__YoKz_(Ejf^5Y=cqyisSc(BZO+~3W+Y2`n5#u~yyGfM$&9ey8Fl6z~<`>&-2 zq@R^%K(l?Qzhv}RV!chifMpMf`!Tce*TER~_iP~pHm9V>@5QZ+ZYTv0y*C11xl;9L zK}6)~-b`1a!jB#5J1DC|vVRL2QT+?G`HP?GWWas(HAo6`Fw{)=ddsNWtwj9@*L_C^OaSD{_u*@BLKNO9G^i{gck z=tLmB52huqS|C0pr}`$+O|QtugAuQcZ}(b5ryjt=G;i%yYWtjI!AB6q;ajjVZoN&s zkLrb9?R$u6ZH9yN$mlg~@jV!iu^Z%25aRWEV_yScsQ;mR8XmIs97`V##Y``YalysjJ9t$`}o(8;V!D^VCRxj9!XbE-UioLAh0-F z{R2@k0#hpj#rK3#)~=%Ptp!rF;Es{S*GxzZs3VM);7OhHC}w_Ux1>T+_ki6AW>j`W z6zA5?1O#CB3aAO$mbK^U+y<>N_`8^1!hoe6btcd0);{^0GyDOWZ1-f?}H>|k-x|b z=1a+Fr;}J^OX=io*7i)>EZK`of6L=Y#>Sfb48O;``~<)DdrMqyx!*4eHuc|`=<*0P z-QwiC?_G9EPIV}CJ#sIqsJcuIX0I&mFD*V#{5~bOk^NUyncv|1hb5$f=8&yrWhYac4W%ywhomx$0fE3pKn+bT?V~!ndTf1lm17u=i)qN?gOvYu zzK)9a_TlP=ob8+n4hapr0EQbL@rRtboB#&K1w=-WRS&b>!@Wp{C1Q`Oe6>_Q6{ikB zAOt`}%0xyMl=GEWQc(0$jshIrEkP$2PuCNt9TI_}WK(C*!php_r0pq#d}*D>Nh6@S zo0+|x(Q#vcz=RG#arFouQ-e?;&QK7~EvBSzU})5IY}CYb$IRTq-ScLacD}U|G`s1Y zrOm2?t(}_6O^Axr74^ZAvjKtUGJ`rZ&v*4iL=J%$V=hr*XZakHFC<0*7Z zF99SG?q|Iv$uWbmfu=L5mmpS^0t!26Dwy`;P@5MDhv1Zuq|7UiJ6!Np$ekKa+*wGB z-YMLDk31VHSz`4L{cMpiTaNh38;gVtQsC6#*LI_Zu>yL-@S}E`YTD#sIXh_yZNf0l4#SSsY8-}F*nNH+%lB^>Z3S4< zj9anZOf=&Ou@woQW?>(~Uz%Vab!vMdxGcZHA&44iZ-o4R-ZJoSygcR5v>Uev)aOiV zxzo==Rv%q~k3;xyFjF2f&lA{tzC;)V#v`=~hrnf?AZpEFBnZ@;1NM3ki$WkF01OV| z(X#od%L5?ssEqC^%&4qC8$gxCJyJhw{!X&Aj8Pe_1O_Uot1D8Z36c$a0B`oB?gM!4 zjcI?#5VesELUezkBLTo=Uef>aLBjKr8Kj;i2(T--6w?X+{2L_x0nc3B=sw zvfA;E3ZC@hI4E&O-Z(42>N_NAP=BJ5Yr=x%77vQ&^vl6 z-&NVrC}T9i1Sl#9A%W1F%HqO8&MtdIDQ-yt2yj$VRK;DEEiWWsuY6j1R@6fA;~b>H z^}Ho`*TjprZCd%=9?C&c$IuFhH5CyF7u@IWv{yZ8ZPU(oqG4T9?HTlxP$1Xm+Rvn@ zX+*8w5pmnMfanausj?F;m-;E#rs*I0D|E`62l;Ybn8O8r0lWf@aVjZTb!t;`dv613 zaB~y&yrd4VDUvEh-UX+_mJ<>d ztf)uemR^^aL|u=_izp-> zn0njEhG=~ZasqKAoRAqG9vAgeLs$az7P-kKipz&KjtDjNjO~eEGe?U^e*#2sqP#Ar zl@Ds~DM)EutG$t0eX}M*;y!rNP8G+C|L?CD@>_(wZzqi3N?$waK=XGMv@K>ir2u$bE|9@n`aU+V>@PGNIpcw!F diff --git a/inputest.map b/inputest.map index 5517be24..b82ddb38 100755 --- a/inputest.map +++ b/inputest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: inputest.exe creating a DOS executable @@ -137,8 +137,8 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a00* CA_Startup_ 0000:5a50* CA_Shutdown_ 0eaa:08f0* _finishcachebox -0eaa:08f4* _drawcachebox -0eaa:08f8* _updatecachebox +0eaa:08f4* _updatecachebox +0eaa:08f8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5abc KITTENGETS 0000:5b1c* kittenopen_ @@ -572,4 +572,4 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) Stack size: dac0 (56000.) Memory size: 0001d1b0 (119216.) Entry point address: 0000:7ad2 -Link time: 00:00.12 +Link time: 00:00.61 diff --git a/maptest.exe b/maptest.exe index f9c51f729cec30ca159e3fa36715a9207287a25c..a3f371941874b5b6c2e5507e2f87f6a6d2a23cfa 100755 GIT binary patch delta 28176 zcmW)mWmFT6*T>hz7#lIVL%JCaqg4b(BV8gQC2Rvl*D#-Ccd#w7b^k=*48-+m4W`JT@g6~{GY;5^f3Sb zx(iC(P#Q?f@9H$JC2Gw`+=AFOtbYnhzO)tnLN@gSV682jU_mzeDH3_ZrT2xqeg++I z_tZwAaoW#<4g*#HU&yU$+G+3U35s&9qRy%cY*Ic z8jjdP{k) z_P(P#Ab{EWJMh8mp`tjkS;1xB1Kl*eRa`$K?|5vZicP*&n94=55+PEVT!SxKX>e4k zG%w^9^Fu)(wySYwn$cY%aMSypu+-*x7-d1*F=^&|)kUB=-a-X%Ps^Atrf}wC+18>( zcWI90;Oqwy5ZY`_zQw{Y%x?FHV=#FJXE1CkVcm?chr}IGM zN2hC0N&I?ad80uf!KZ8$yPpd1XnJ~T#)TV}pa6zLcotIV)$+frser)c&W3+9c|C>U zd_htt^HW%W>dURnJhyVNc~|qYM$a4kP4bHESdJah=LO>xJ;|qnn5D0bRN=?X_X|fm zF%aLaFr&XP^qiIFvdy<#A{|S}EUr_%%x;pN^DtsFMk+=AvTW>Ps%hU!B%FJb7P>f_apZBK|M7AL6 zH!l1p!h@j(Wf<)Wl1uAQX=&`{J~Z>j z54b$z<|js&^+PNyK-K1Jq+GZUS599Ln0+aCb<>=#l431)s%8np+|6aeuew;pD^?`pEwE6C+!;;YPL ztNM&87Q~tM+^p*2(|OajE8if|fr17XLE+Zj%eR2bTO`nOJ7_5D#~OfYl8v8Q9o~p>^?p4(?E}dhM_#?(j*J2WdiIG**p$XHnyyVrP5j)roMpqcVNrLu(k=L2{a+~`t1ir zcW&3T=~tViW@JH8O*)|CkkvL(Q;Nb~Ua~DDjA>?)OP{GH7+<8I-)XV8UxDO7)D-c8 zB(qj(OQEE`ltGvs7%M4c77L1OF95aan1{UrM)U!NRC zlLd2jj5wjoIdie~fVMk`0OCShBo`smcAL$g!z~zf+p<45c0sGDm6LY#b=)X;1?N)SBnA)%V`MQyXUv3 z%o8Bphp_+~mY`G$qOSzST9yRh()a<1Vrvz!C=uwd)28qp(EXeeRd86y77{53mb*W!s+G+<!Gp(xk)u z0z{Gr#5t{C#If14$}mB&-eIBo#Ou`j;8%G;6(VgrWBJrq;40%_$lqLGTWI>Lf(~%t zA;!N<$m2d92H3_rLCZ~VO|<48j80Ly{S3GD8F3DKVW5q5b39)8zeaj`AnIap%ZY1( z`Hrb3Fn}9>-oOcOx8**r&p%+!twA5)7Iyl~6Z)B3v3*_9U@jPD@+1xIx*m^CdUIREW7j zLqt~V5(H5S&VoG<0I1i8-e$m>&hTW_dJ+c^6ttgj)}&1kY*fU>#HV@ps^F15tEHqF zfx3d=nx_pr7oiki>Dng<=l}vFs7Mm?^&d5|y`$GZ{EHzJQvHbi&Q^_Z5b0!VV*t zorZ%fcSPiZ$^y|84H8GodGe^rk=merK%jE6b}JwvZYL~O#3=f#`|djwKrvJ@5J7W2 z=1H>gIyekCX5;GgM>~-3nWR*V9@zII2OZ@%{K#&@bC^E9&Rljj{bH4!a_o2;uGB4K3BF>0>5wk z-^j2yN5W*J7)Z!nG&3FG?df0-012I>dtq>40J@H16bdBXkSVg4hH4>ri=j?iob_x- zi1K))J~!jx-~g!A0^rSRX-GB-RzA~*v}_!ST;C3(#Quu6M0vzH$Gr{;qsIPRjt4A= zL%M*V9)f|1zPY_MfpJmm!1Y8}(hbek_!C;X8J27D326Ydfsk2!^1iy{=S207uX0+%y_$_UfBI&agdx$+H$9xX&@?p63i+EA z&n`Ny+FePejiD59JM-Ui5!!rpCWptCn4i6l04jY$e#`>jGpjc&n;{O$dCGtGD3ga@ zixdR^eqha%MiqwQ%|s_DsS_o_dKX{ycXI}WL&s(GI;8Y$=HDsTX8ksMurA}Y4w*?- zb(A&|x7p*Tc%@y$z_E_vjD>kS%YJ)k`R6P|Dew}lu5oh(xugRH;yUw;_zeBwiYlDu zTb=3w8l*(d&!vC_j&Uvx6#8S5X|9a55qCorvclRz{a-@<`iyakIFAnPF-v_eezc?m z^5WtS3r?L>9nDx;ktSOu(jN|w0oyhV*L$oEx3g^YrsT)TrMk>d7j>=`jT}nAjK*&v z8flYV)F*R|dQB_z$TN0}gbt&;*U(&?o&*O!xDMjo_vI~3!vhM{eHzd4m~@$mQV1E5B!w1)F|&1gl``Arm{Y>${}Hc5>``S z>Wt?^a|O0EVB_KdfcgB+4+b%`J1W?MfA-%Ug)tv_U{ksHu4|CX^@Ox zy@%gX+j~_o@eOlSIj8pOJsjcv^F4)uMN#EN7FOv!<^_Q;Tv`}4DSe2Q&HiuPz54O4 z@3EYgxDikn^iTHE6nkJAhw@+#bsQ0)4t)SF=l=pmG9|ZC`TzzgG+@g=zX2VVG4nlE z=}6Gg>zesJ!PVj!ctTVc1NxEH+)S;`sN&x2gne#%4xrUOs4PnLS z7E|07zvcFHD5ogd!X#~-Q;PojByE-Rg7^jRv*XF^{-xPW#-U=r+tE_O$lm$<6OLmK z^;Uer1!RxuFOHMsQzJwr{u^Vp(2`dPNYYzi30-mk6#533@Ttb{dr74hwef4oK&|Ff z3HTcP)jP!4IZ)-b4`{x_q)y!q=Vbp`DoJbB>eyQ0$HIUQlj2c!RfW_&mQsqE+(&=$ zk=lTc{Dw=K?i7>3W?DqxH$ao@2J7X{LxJfA9RgWu>mi z8n2?tY2IUu{i1*Yr^2p3R|$4GsYi_r*}8U;@5nEw#X^c2C682}-2V|18Pd=w<;{w`TuIIH4ZQKWERtIZPRONPFYuri?mX+Wa+L{VHBs(bsBnv-LBafI z4LC9?jkN?8uRM8C)w|<5>cmOOAGdbdy=Iz zdPZbO)+T=2>>YDhG%Q8juL>^pg$$D2i}tVjAY3WBUiL}VlX0R(&JEWNiHc1~k;gi# zc_jUp_3}%;kFa1mHrG`UKSkL`hwXR8pp7qK@@9Ov#?qAW0d$4*0|Gz98FiP!q&bt>)z>EStTIg$wCOK-9V@o(bUB+^`;oT{CgT(9R@ zc;W?3xg+rr?TUJ)CMH@3`ntOM+Uo59Ialvv#~S6NlGz&Z#TWP@PZS?kUxx!+6DH8u zwFHVCPJAf4V09kAkMe!GLF;5G;2GTlee^H1$u*|THdiH2CL9Kyevd22a$|iFiGgk9 zei&T=M#~ep&w=5I~>Z+^rz9TJ|%oeZx7?oq%jE|2&) zba@iYcJx1f+f{?jt3UcqK5wp|pVyuN$Z_H6ukrS)<^H_Lr|?=^^Sf>xvs%B%w4!CK zGhqyvay8H9&m;AY%1XDXdR-%xsnSS%_LRyA*Wm*TaFSnvj8{V3)@wjM@aHzaoSfwX zO)%p;$i$ekbBKN(V)?jY=N*tEWm)VuCjkiPLd)G1!h#H@AV>>tJO!TdjmL#rjzQ^J zo6jk`(AoXg=Z=mX_#=K#;)P!{CLs&fNWXlFH=WyCnh2BIR>^@e|Fdn;c?Z_-)qQxI z>V+iN=LBUdR%lmCz_(^D5cub%AK6^t*6M)d;a8;xcu$f?-mo^RmA1{1wEPz!CcERG z`+NVnycBIO_r1oOBUl4Dnc}^X(U+I`YW&>!?rCFn4)K$Uc{;Fqn3FKs51U`WABM`_u}eK- z+gwpZU4~uajg5}H_(;F-+PtM5e$gE?FFRAbrLwJRc zQ;!aoSBvvtCNS#h+$915MrcT`Xf0IVQoecg#}7ffxD}cfv6Y+b3A12r2mp1dXm)P~ zw`sLii)ifWhNx#_<1Vu+1fM24p+tp(cYSUR_ky&5f5{NeDj=@*LZKJ(`l2-9#b0)^q1CWYG+IF8&e5WD`FuhvWk z_du5hG3jsIx{h*bC_CxlB}i(5Eh{(hYFj&eAq8Qet}Ij|AVn1PBs6fCUmOs=+uPc4 z8?j^~cPpv`n-q$Xvw4Ynhd8|n>lYhROYR7i$t0k-lXtsMo|cX#JI4tYI96+IH}`)* z1P@XmZdu5#irnGO^b%HVT)HjDZpn4inP!Aj9a1}K?y4X6=ZNI3LN7x%C2MWY?cCU; z90xeleTz>2b`Cr_J_hX2{Kl0V{rXLuboxG2=OL<)JpD2^x_l;vy5F4@ z?Vn{5lMwt+c5ADLNQPx}>%&#}7l}61sW&{EhruL)y27vx4QwrtIdn#)9qqD{FmulX^42_d2?g z^Xn|#h` zh#pU4+q#*^>GxWw@>z5Ub|ORyOck@R$c;l>P9<(NZ7H3dVO0r}D1vO7b*sM3R7ayU zx#(C31}A2{ksZu^qhF?vCd4`IT^w55CvEH_;W_~k+ zutMM-(O^2^lq@5(GnyZTCD^=CDNBAak_FIo+Xvnkmx`0(@(b@SkaDCh*699l8c5q& zq6u&n@uH7CHrbp`pT=w-lP_0!%^q(LtI=XURot;Sj115FvHoA`B$OP2zy{0+7+wBn z4$I4Y#MPaIH$o>}V9#zQ&T@ba*1ICBd>SW_zb3cfV7o-yT~(CdlkV^90$QdEY1l%v zW9`^I z-j@g?zWG--b+HaNTJ!SuLd`O+(=%M8mYx7cu1qkl8M_CwW;Oq97s5aA3;8tBa$S7; zSnwG7$!;LACXiIetRUyecHwdj;zFYV=+LzzoN@-!3Q+S5Wq*r-coI(Ce!MLdA(ee&U43XV_J6kNdVq3|N5T%6C0Muv*W` zZ>&i`dAo-N@sXAk>%`5s{h;xDRf8iZwxI3!Hem8SqcyZfS9;GQ#!)KuD`Mqi9^qrU zz;#slcMJYci@db0LKf`%4ME{rj{waP@+p>NRdDe@+xGgP*m&@)Cjey}vULBnv5fe1 z=v%}n5?KD~@}uUZE=~IBAclEt1XX&1n~0i3wt<5Ia#^vXmw zM@t|`8^X`8bg8YC`9tosjG%{J*}QTVudCK#?)cRi&PLez_CBwyX3aN*cDRqZ z;gKYO7d;AJpC2|dX<2}BhDiFRwG4M$>`#W{{z?#}F%rYSG- zYtj-+t`pj#kO}4mq$L**>|f$^3g#f5{3&UUvZ=nwOLWbrd|AFE5P?n1iH?V+;LaCU}Qp*NDX+lv2VIA)~gIG5?&OC%jT)W_ZMoay9$s-E|z_UjZw-SlaUH0Flmq~Lw?DUG|5 zL*UMk35CSR$O0&w&ErW*+2yQqk#PBQ+4ApqIGYZ!?w;;`j|_LTPhobo%6s+&MauTB zotGiaJAVtbZ0I10fn5cOc zO#3oyjxPgN!p#(9{{X1gY-N89w)l=L8P{ky?b`Ux2b2{Ui}h$aCJK$2EF)os$v`Du z@qglyp;T0GMhD5mXUTPAI(!MQ`h+0kYN+caEA@MH2@E4nuL!gr`UCKxo%(WqOVYi) zri;et1VIDNj%F;(1b~4Vo#C=Jw)6#D$2-3gAH|^1FE@w5kZ7{;DMEVrrX$+qd3=mM z(sF+D*U=0VP(bAIl@WIo) z9P_bpvVCx&m$K^Nt`+AfS{mvAy5Rlt0}X6M^~md-u+Rh$v3{tYEt~mKdcQMshs5p8 z`oQc1U1N5{ZF`72gbP<3UjDT_2f)XV2N0fHC?NQg>#3*k8BB;j6nr^Vf#Qtv+JRl6 z59NQ<`Cz7e8Qv^Ih=qI-iHxr#rFih_%&_HaqpE{1(IgwB|%p!g5jSBRFE3j))B~hY+y6Cz<$kEL^_$?i5qNN!l3d z2D1ID{XR$c=9JW1j2TekZ$A}oK}T|yd1t`v6Jt;Q&Mi*@OGUi?p4NoMVH`wa^bJiQ zF&~bp*;h@O-ljP7gbi*XXwnveCEEhPR@uw_q=G}SDp_DwqVe{i4fvM@SoF0-7;ExUtZ3TQlQ@z4C7lXZ~uxxwc({r+;8eQYY! zs0KAsaAhTAB4!HCtQmD|Alp~GE1qoT=knv0Kdf_g=mr%}z6TwpMavZoK*)Feapu7| ze~~ro{zX;JxRkP4XQxaTwka|>$@v_!iBk`Ho$X0gFTCnGZs6#MEs^{q=dMx^I9fO zjWPD1OqC=N5870Y;S}uqv+wlb^AxANk~xBJhp>)Ax#>tIHDM?OV*00pQoL8?pNXo{Coa+WY@}DW6XcPNj7SGC)J&2w6o?Q>Tn}%ci8P0SMbsu~rV|uPFcdO_hMN2C z>e67AChY<|H?(;QpD<#}+zCMyS$9GR#-dOgsOMH$$H&Tj=1*VN$()_pI|F!a07`lI z{yV1Sj(*KqjMfyfi@K3sX}NH;#&p|u_Mpp@ovg%Psd#`zVEDrxVz!5B?&pUkjT~9*^ZL^G!H+?J>FpDIHkJfNZRUC_s6J&3R_N zEzIfrJMVzGox}{}_Xc5}%sgI}0A9xn3x^)rU|0#-YmlF$e)`|;p+}BqE0muxW=DK` z52{0etx~9~%qESMUhfqhl_ZGmsr;j~`gWQ_USEmxag|Bae(bH{{;JsoX@L(vr?Plz z?H>VHA?}&|4@=Qy%tI+yDsHC0eGfti5TB~LG!Vbs?X-c zF0Cu`mo#DacW$}(1xO`dLtQKY>}wLn;0UI&$w#1)_AikwCylk_&bWdHbE;@9v1pq6 z0_A=*1mh(!pt_Uk?XD1D;1!qp36&#i3Q=p_5z&HPEl^RuVh@RiKtG}CKLwt)D%#|Q zFAcpbL`a}FOG{%X+kuFFlS-};lN57H|J@?$UbsrKsKqE?)N)=LB_&~}U4YUPGmYLn zWzZL~{&S&C-k$^xT%PLdqRBIR5*@d$7j3lyXwG&wxuvG6G1GHdMHNP6c*rmWR)k0F zA<>XO7+?q%DR@Cl_%B4v=pDQkCc%MzA3EcNfA=e5GFSG*cTN(Wy}0Y;{#koV;{>i-BKJ}zkV-B5o0Xz;A!S^ujyzgZ0OgOYZ7EwZ6S z&G52=Ef(al%w5_L3SzUBt}ZIXh&Ym44!3({zg8sLdl>4~dTRN+(l*BTUH)Ne|FP31 zap06^%OXj?+WzhLS!&HVx$fte?)pqxEnR{YcBXg@hbYz|ySJ^EeR$$*%+>T?ZA0f9Z{wsZx zr@A7L#ZL!zI@B|KT^(;Q96GG5tk3iu65+SuRI-MO#a&}Q@eFLyza>CoV>WN^H_Sr? zg&e9__bvjhRYZSuD}laC$&5TioR_8Z%YA9*@qCfv%Y*kRL_okx-U2_xyb;L98w@`( zf@ceyKT1peE2Vx_51pxu&t;oSRw&vSWQ8OzHeC>y!IQr|9UF^E=A`uCd)wrs?Eehq;jAA5~Xjw{WNlh2?%;j{rq74k0LKze^hg!_@KC9(}2(Qgmg+{HdP<33RA#u5Na z+XWu!AAyEA1sRvvBjfF595cT=A)m~%^7xp6)u7Ri#p~B4lhj0&KvvhqMhQa}g$%PU>nBz(yS?^j(? zU>s*B$`JjHOFzoA`YcvP^ovRh7{cF7tUIA(XfS2*7Vk7x+UvuPc-9AO(VZ$IgXk}Q zza@)auLP&z4|>p50oA0EK!pty0JcU=mQ~HaIY$;16_kI*wMDD5i@1-Z6KN0ot{H2D zlpx$Dm`h{aJdGA;smsvrbCjJEXJUT?q;;#KuaHqhd8AM#u-q$tl0jPl-Fdd+_UMwI zX-dDmjU(nO2zH_d{^msjh&=VJD zP09(OaP}H8kR-rEFEqL#$VRpss+6j4Lf(k5rw%(q-90aDfS~c%_E*n(ph$T+)g|Md zhqz_$99|@_W_`3H9{SiJhpcS24hkMJ7`=uF{0$J%6XX`~s(WY+UNtgWF{jR?D&}yi zK{!(A@M6a5ES|A;UUvFiK9>e&{NS3-J$dM(nK7~!EC>yp_-bU?8dVP(QGCrG6`;z| z9C00OY^3TfsRBuR4VC(ycZ|{3AuYMuVjgQ~AfZzD!A;${W5U4nC@{~fTZ|)Tm`|qm)@i=H^68bcCgL>qhlzi+@cC*X#u%b_AHpjh3<$D z`?xMguaAF~!0-G|Mf3@K91opmKU3WF>;`lVDqsJZ&Q@u|;=8imaLFp>>F20Q6a2*W z^i>g@cjk_hX1)K> zyciGD?G1TdhthobK*p#|fL7NoqYK1yQasXTsYptsmGe;1|D@`yd-& zw?3xbrslx#ixob+g;3Mip}GnWM?%i4Niq^+g~?m{YWh%WlJIcqPP*E!PFL#vv^t^r zff)ipwIdL83!$7*(Jd|lX;}a`( zywB9Lbm2>NA{B)uNE;c$cNnTO(&pycFskg7DH$JRo0w(_C&rN`1}cJ8fXfYRP&G#^ z)x;L@GkD(^B*(ZEG3UAV27fEk^*CZ8n&%BO_lc*-Mx!DxH7*!Q4;}W?6x-}EpfRET zsA#$cwWkGA^3*Nb}{4!dK0&C+q&zwkv##z5CS(6rm`k zDRpn0J`^Km0jZ9akOzwy`ty1fOXb%#(l>Lh%i@ESSTUNxfRl>(a{2&0bkeJnK8l0r zfm1p=MA0a%OF(>EC8i+beA!6MSINJepTO3Ww)=%DMW3J&+4&FN;zeizTFbwi4<>et z^OntY;vGPF5Q< za$v(ckBu4N2E?@$(mKReIyRP*Y61pFWKCTxnbyd0owK6(NN%sK>{A#pHk;p8yAwGY zGq<_+Q&5+1{_@8_^n#%ubm|$!Mno##KH;1cqi+-6Z@AQCt7Op(0 z_d{EfxmWxSdW>qI)&(+*#h)aQ)XxYyZBmg8EAl3ng0h`KT$;6!@XL)0l3I0;_2$NF zfMuqXXy)LI(qExeJBP3^weck~q1v+i_O&k4t!`?YT2+&Jz7tSTd?}??vpUg{%LwJe z2|9%GtF<1+ggn9>!1K>@OSgkPDQ*nLd2gpiBMfRJzZ-uvyHB0HfHn4l^gETJprH)= z5ZWgb>m7cWrEbnQkOMY>c8Y?0Tdo?rJz3ZC=Ak0+uQPMZ<@KziZnwF z5O16n5dx=J-?7yxD7 z<;TiQ)iVaW%CJ=j`9%fv_ju`HYuN3hNcLUQsMTG#8F@ zV-6WPJcEocsi`gqg9(`*ze3GA6y~MC0t=%p))_A6KQ*cOb85*cb5UnUwD;uE0JD2z z88>5lYM$O~8D@6-8o~WqjgQ|!8NHqPEk|*wyaFx_i2d*v*-e)8z3omVt8@$3L~NsE zOKq4vN(cN~^5>sQ35(NYGhKU)_Ch8v;F~lhBVqgEEi42i6Dpr318*sOTL5v3Er9S{ z4hDMEb&6s?COB0#Y6$^%92T1Sph{+jpfIG*X;~Hq5Pqybtf?6wwx&BnI?>o@^UwiM zz&EJ8)A$-C(bug0s0`BZ$o2bgti=+})Ui+!gC1g-OU(+~cvK?hA0Vd0t^ zSbXUYn*Nq`NbV zP?8%totgDo0Tr?eMD2{CkE(v#LHGT1@rs=`-qU39!7aW-awfdl%IAyOPV+dxH?{V# zbiKt*$6CezXaPu%hnf1G98w#c)wK-E`}`cL(bQYEgCI2`{@@UR6_@U3F4~?JZ=ww= z8Zpp}D=H;T;Ifs=g3_IoCiGc38!Oo}-Qq8&FbZw)@uLfJM6>y)S2Tddis>Ocxr9kY zjc=|ywxjbE!^OKNbjiF%h>1qIevz?MU|A(JW2TI@3f*ZcGhL3YdMBQv&SFMf0;{05EXp(^T$F=mp7RWqsfT%w4S0c^a zN|IPoBDX<4>abVw1$gz6);Q5M93p6gqbFC>{{Cz5G168lha3^&LvZM2bB8%=%pFh$ zgf`#Z@W^tLGYEgy#Uva^<@*8)01aKj{x1f5Bvszpu!QGHhu{RJpK6#9S*8ykMFmwGt3_ zS$>DC$^vSBkP507mVfa}kOew!YUWU{c*>HqDt~O5WC;yF5AiShZ^Po=`X}1F`^xPM zcz6>m^$U?QEWhUVKc@w-zmnFjpiA$Qb^Tl?WRsvQ$0-(kVUQ~~o4-@&U+H{53-gUs zX^MT`IX{W#)jc;BjvpG!E#GK)7pDS59aiO>rAaS#y&y^&nJ(^-l`cmFs$|}~CL-{j zoGDc{$g+o+8<8nce!;P^W*Ug&((NeaoS$Y;S9nYX67xyj;mhx1JNjEASmAe(G3Spp z=d7@6OXifY-V+@}tz$VSgZ(BhE&vDcnCq(l>rawZV=^v4a-?v}r!aX_v1Ba8D>7 zv6z$X10Nrq=MzdF-^kVP$rwnO#rLK!V&6sofXX;%p|bI_)t^+%Kl1Tir+YBgTo;iZ zwhQD<1l9`1SD@0<+%Fdp91e0Ngs}|+;@)Gcp(N4;-b(Yyk9a@JyrQUy$>5LkT>C_$ z+)tJMkM2f$gD%i4DX!=IGh)x!@$mB~g7x4Ebx!5t%;G`prW^U&d=GWMG~_VjI~N>> zFmD4<1(@658gG6Gnf?U-zEoj;yCK)Xv&8<<=`l#S*QZsVwq2=p7Z&3 zh>Y`DTHfMFi-5-MAhUeb?}DPv4Oqo%CXv{#u%jekfn1=1AP8@N2~lev;DkW$f%iCg zaq_}M;h0_W`rnhGR1HVD4xiLcPTfuUHEn5Hpwjar0b-Z}I2|~N^dQ~l!F+dpj@Uc6 zsXqJ(3CJD70V`+go{GUuj=#)Bstr24M0+ji_DjpZbo?80!ND2`(||*-y_EU$q7bE2 z55we$3%~|3^-GAAAV@qBMFu~+%U8!Tz90wlG$4kIAHvF%qu9xCpx8~NKPy*W^wP9^ zo8-C~8)+|C7*H>m*9bjyvd1$n7phYRr(nXe)@grkSI4KBTOaZ|Y{A|oKfdf0u&>hG zlX{H)gFjU*Lr3U^%+_9-%6VNvKd%b)OV&5ahEmi+L4W?P4{3wgq~v>+oKajmBs*6$j5>IF%53(d!`R_aKs-o z3NCP^F*-u>G+NFN-mQ#{U((@sKtsWKK^tYvYzWfRD9AZ`%W;XxK0!PmV`cJM{R&g{-*rp_LUYL zU!|*DpUj2u2`fv|9Po+njEf!D-QHcAUxFb@is(l3tJ_2CZ)|d3tAib9YeDlvi(j?A z@R|>+o*HtSblriv|*}E!RJ^)jHkr=Hd-ki4=Q>R?hH%E| zob+Y6+a&P&@bsP%fNiw4R)XvVXC+o-_7#?;OMf#fNwA7mn`# zj+51T8KF5}%F&Py!&YRZW>#o~W6IfpqN0+;DFZ_vWcH%g@~S6!%q4POBUbintps-X z>-WfN>i6T9#rVHu)f{}gwyhU7UVabmkg9?>@e2>-?d5f<^f^dw%Pj?hFjxLy?Y`72 zCv>Qj9TI6m%<*%&NwKO;*yz?LsEl~2?G*q-AVN5=HQQ&C{I3S{clXSNO6^!;yVh^U ziY~DJgB_rF$nS3(^G_=S4)PlkReR-AGR^fu{qJNlel2Fo-v)MCR_2b&_TY-cjrT3I z{S^jfv5r)^s6FL4sn~~}mk%2z-$P}covF~cjiRY+L-B98bQcI>If?K_tD~wJm46~T zxNuwgY6b4djRsuBsgy!>A!x|Yw2DF{tT?e!?`i!>Aywb(kO_quzh;^+aL1NJ1E-NYNM6WihP4 zB_H~h4SRAa%f0!fw+mc5!im$jxLutIqc%;#xr}I(+$jnqE$B(1tXVO?fpmIlR8(;m znFRL>rhb#*17q1NFJ<72B!*Tmm$lOsD$nEbJQGl1QXMbZr{Rkjpbp{|@RN z2e-B<_l<<83ys*|#)A*Q^{T*27ZKijv9)B?*S*KK5a#*)kBRw5d}cbe$xc@WO(_Y{ z!~mJTc<9hK`>f))7rdy54sM(kFZ;1ZIy5z}BFgdC-)WBf)GJLDhuc#2@XOZ&qAYtO z>i_qra+tIFg=0WFt?5p z>Fx7v!wI2Lg#q2d3LY0efhP$Pw$i~sLAuf*JwPNfQ}1bC=OY(gpIDJ#udZXt8d)^6 z@$o&;c$YZ=@5#q_W>S3)72m^ z_x{a?J<44m3!D9cK64aX4Mtdg;T1>sXYTKw+9QVLu22zqOa1*ep9}cy#V&_J9ewK& zLCbhDSonjW4c5J5xzevsBJ6+fK3!+Ei=k4Yg(0DR@QnZQA*bwaMughK~IXfxN%*ZAqE188m+c`u-NyBJ}h*RcUS&=R)B_ktAXI&~Gk~eCsx!wJxkI~&%IG|uOhBl z<^q28bdy`+h>+xPnuRZtmY-6EeS$s}MCKsNKF36%dz>m|qoYd9_$^G?&2y*mkAwW^ z2N%sF6pbs=%L%|)h$?l~Hm3TB?q#@!hpa>_AWj(b;h7;|Hna>rvIuQzj>qF`iRBUDp`>&!Dd`0|eS(g`A_LER9@#z+n<%!^C8n((LmKv-Fk>7tcb6O>y(-KYJ->~ znX2U8oLpi;h`K!jHCyur@NN)MLn=sPkhf^y_t!gx(x2*j-Mhs;6Fi;%`q!QO z{dY-tw?;%U{zy=10@p4W7uRll?xkRx#)ON2l|ZBrD5dZPwZxjUa7k&>cLn5i+8hm^ zPftwkeBe|2&&@wpL4TJI0vxzX9*d1IMN);Vb6sCi!ocjNl}A6%)ErdPK|UH^)Jd z?Dm4^ zBjfnPk)c~O*MQ|3Z+CvJ)Wpr3eOyZfOC(R6xQgyD7R8yPV_FHy(V7_B-~wzSl>qW*rPuag7Fo>khzqY zcw2_jMxA(R3CL&*So+75L!qlX2tH=_I^)k& z^*w{)D)wDBV5@nrQk?yMO;^ReLpxR2|L2_gOBu3lSHh@1;iVvhjrDe({O0u&9_uR5 zjnc$UrSphrI#WMK2u1zgzw$*tcjo@_DZ1s9c@-o~dIj*+JiKy)EBxWd8v%S-J;Rn` zDIu1_DpEzO+eUF0(;MXNdAqdrs#c|K3GnJ7x1smnKUjN|#EvZ0RjFsL z`n#l#qD_+xQ+8szGZ?SEVKy@5#ZGY(lfcrXC0x36PMLZGUgEN`6#&^0CN|xL&)S$w z7cR|8?DO?S>_C7y0ShHwScQf_+{G4wG~X*o+wI}D-hHjTCgqXB3?U&%eCN{# zh>k-VF6gOBUb;SgnD%>tx-xQ;`am+s1Zt9_f_7PvYbI0*xfbQ5|4GHR=|`mg;Z-_? z+lq>ML>2ywor<5rMeX+rq*msXq~LDRdd}?Iyxrqf>c9HNe4B+GrB_yo-C8%#c^kGD zgEx_m&$&79`#&mg#2?J-9n}RQRk{sumYQ>*XnDu5M!vwniPUbpu)O|)>dxj{j#3ub z`(>H=_i5Ze(&VJ>F}L%0*~GL-F=hH9ji>qcr7!CkyFVzTN=FQ;t-@RT#SJ zOeMw-MkKnPNzhk5z#7;Dx(6W8U1;~)LPR(mT8u!tp#ssx7_1vEkgJ#*@5U2IDCXsJ z;|~-l78G(54iqUC6>}30lqi;zayt-6ES8pWlZ}$=c8ZK&x(1yZP{b*PL6wS#5~F}CX-Br@FVm0ZA3zFZo?!(AlR}uG ztg~UHaApK6GKzGL8BJxKk0He}<5=+tq(tTg*2N@JGBbrmrIAvZX{_`NQYJHtm7PPP zGjmytJW@WhfOV;mRK&c@x^k6tjakgPeuGrPyvZuPMY_$r!@65WDrZ)(D({i*Gas-X zR*@btt64RVNwv&6){}Zt1Cz;Wd`f!8Y+^OHkXo5-ENc6Ir02{Rtd||6PG;A?O*ZKj zvzOKPn$*vH!(zQ94KN2;L&KyI<~!Etd(sEy80+IWX@WV)n)*bVX3nr?=SZKK^Q+?lFQLhSYQ>Q z*1*}LU_$UT?V1bu!qG>%PsKZiKk`v%L-Png?vY`6kV|wkY=wisIQdj&^!(P4?Vd|mn^3NJ)USTy(d-SpyV-pvCEvb&Hbv&y= z=tufiwtMfzgq@vqsuEJ=X$LRUhl79EWHbtucKqaPu~_G$*JzmDKaqcY9c`vL!XZh4 zQ^ws|Ym+}r>UjQ;H5#DTY~Lt+hMgR>-nm@Z6UQkd5>9D73puC!QR_t+W$BAe!f#Fz zre2iydh!tCgeBa8=fM?uG(SCXIh z1>%z5Gk#KIDU)2d++lwzbhj@Ok=iH0zo*<;4>7SasW{KAmg+6z1k}lh&|5RzRjXWh zq?+tduBh}=GekX!ZcQ_@_nXIz_Tk%RMt{NUci4Rn$F^GmqrLJfCyQs9ZKkZQ)S;>C z=snWhvjU-YoQ*Fe&WDPuOMSn@_z{de<(rTWNNMK?2R!w+=gv6a1JzdgeZ7{km3E*> zd`IO8-`-}7H#-PaVH4Xta2?j>ZI=pWjPnV(J*Oa84ch(WeYPBEX121VXhMD>>>TD3 z2zBUc<&F80VJ8vS|TP^LZDv0m&N<@WS+ZI{@0C3Q1t`8(KPyq@p6AC+(9Kljp+7WU=Z!uc9f!Pk z96me^6|8Q9YQF>4%}=Dt)JBzc@My-kO7Kda+o3wG=_xg@|J5%biyGz@Pjt|y_~l>MNgA76OFcX*f8ID#g7!nz`BN?olM+ zKs~HgVs1mJ%LEP$Kgo;wUNvlmJGJwOzPpAOXj*^$xJjpK{N@9+iw*BwHKWe2OO4?G zc56@R845(3Hr-#(?sA#dxdJQFx!&m)DIoKgF~JyGQnRmmRr&1VD5Fy|Ub|mAGwkJS z?Ulhz#t5U2@4mqWf#NIMy#mi^u^tWg2dU0uKObYdsx?1Wtv;n0ypebA%FS-f@wCpH z6dJY7&ZE}~*aziW;H@cfYJYjls=k;7t@P#f zm|QZ~*KjGT?+pA;{=bK7M|P+q<7}AG@oWHbd1Mf1MjI; zhtfF<*NI|L7$2xbncnItI<&42QI4aIq8#u|ku|K$6vF3Uqr zJdD-rt+@iY-k86pEm8t`Q!jUg^2Qi@orW`Qx`sP4RB!d|^D24GLi}`vHKI^~aPxd^ zF!EU?RDBARN^U?tn!1F<7sN<2241AkA4~wE!7wq@0ogRym!(TXt$cyIsk=K9k@s_& zBeB~Prazhxzh?;072js%)cH;VthNHy6x;7OB}E~KLMkAi?5D@YJok7yfLfS6-@Q(y z_9SXI8XXQ5Nq#T4Ev^9(I;{Z}SAggKeM|dnFue2UrBZD|F1vkPTnKJhfoGiCn^}r{q&5z6iqa(vs z@%YU|)ZEs{)(mk1^rq!&G7ppTeoMnVUsMzk!sqf-17eoy zD~(+b^E-(U5D`V2T=4?qr3t%bU;#U{!j0vjoL~r?~aF6VezM z(2yE)#R&G4)wQDRh45G^(G|>ke9~tJ*fbL*;=r;j{J$zB^bfU3j?ANUU12YL&n)~pS+8L4N_mYu${xrpB#XgT`Wi(w zRNMrgkTFI3-T3yoi;i?Z$_DH*U|4R_lzwNTa?k@6I)0;&s-PtEwwUmKDGg2x%jRdb zK*6*= z665ADkht;t02X+9A97kBAU#2vQpG*)Qk6p7G)x3Lj@K$V*kyS0mKAV~b_%97L2G~1 zpBjk{zvN|pO(|AUqG~Q1m1w>V^R{0kecTeLHO4tMqfuv5>F3VP)8B|K5D>b2!=r?H$20-9nO+%#QPeWGwdnlc_5u4e*~Cy(WnQ66>3+)m`S^cIu{jYpyNcGI z2(MqnjJtj_Xv^9;_Et69#~W=rNCXJAYR@2>h`t9C394@gJw{>$P5FO*a+Kq2$v z%8=I~dYX<1Elq@xv^0?h*!gx>56z9f91s?H5U$qFd(Z+)_$v2!_#mxv8j)9y-i~Q0zJtu6xSDi z(IgFfX-1HG?D^bPB+i}usavWTiHJSF>8d|(mLiuvw_QU)h+BfDPeJ3h_b|<=JqOl& z_Jdj9Zs_;)AG=bqKh>>y+Ips74Abv`$?t-_9dC+yreRnzK&SqyAEHHni%f zca5D$7R_wdBSTWe@j$2O<(Hf5fUXarzQ};DRU)6l_z7A?IgvoaI%3RUX*U{ zMaWUyCI`<#ggP1mju_a9{qh~~*N|>TB$Tl&BVu zwDe7}ESKzV`O7&Nf+ZDO6$~csg^c|*5xIz!wQHzM)IZh255zmLTmEjhz>(iuW>>z~ zQ@^yWb-_58R+vyT7jJF?r|km_Q1}<0DD@!FhQ3g9I>tE^S_r+WW27e0U0YvNj!yg8 zlM^pwIaw~opDtv2y-HWPf+_plcU`t(CX&&@1GMs}ubaE5_iP0#NSTkthy`6cDmbL~ zw%6S)`Q+j8#_tLBxFnKG!Q^`ZS=N5H z#>MlaY~+gm0;-$+3dS2o{t!7hcxUhz7k5wQ#TP7DUzv+(ChY-36PVi87jWw7!LfJ! zjU$4tvPvIt_7^VW-_gA)riK-HU6#X!JCWe{g<IQ<(+q|-n z+^}q2T)H-Vc;7pX`RLU!7{5{?f*&K=Eg4^cqo;{A^VI@nogpU=$X8rw6wTOp@{9fx zI9$isl|<=_cV%ZhTjkg%8A7NkcteiMx`WxpZ;1!EU+6Z#M%bKoh~@guvm9lUEQc># zT)fS>XD~mw+a?=YJG(j&rIUXcf`+fZ)AL_XlSBkF0Gxx^4aDWoHI@=#MYZ#vzQA*Pf{_7xmprBw32Cz zox?^>Pd#)X{m!#j_qOBr-K`^Y<&zh#CHH!s1EC&G0;!fSP_c z?0%}%s0)w(Qdz<2i!WBeucgZzwrOq__x0U^WiWRfL)`&6x!u*ztPi$x)$?GRe^m>< z-tK@wBDBB)nemEuWz1XV*MkN!VuW{PUZvc+`r!vBDQ?i>81;9s{0CY*Mp$<07@ceQubnV0x&w@UwAdKS9NT{ip?!wETX@?bQ<8&d2LwALW$YDI z4*DGFQdio3r~oLorQHz9ST?*Jw;Bqc8JhtB-t@)%{Nz4L67isVkP12a|M`w zk3cO}>jgQrW(58Cs>~V#6Uz1M>>ELjSunUi#)NikBMzQ}kK^S*8*88B^Qi!QYVZ0| z5a?6htr8dzbe>H_d_*Woqv#on{wS+B1z5K4Cx0rBcAO$oT5FzvS(mZyfWlSMwL7 z(wqM9XukhIy5LCmEZCtr2J>RenEZwcf%Uh~-ROrl?GqYbSh#q0ZrsfI2A)hk({^BF zrA$0@Wh?zlFkIz3FQmgeCM%m^?IgVIvgvKZZQ}5<;?s}3N&MWM-0{J3)gDKQV}v_i{i(bQJ^hBbJ_3lX^9L0hZe&{rj>T zcrSekmfj1SoZphTo)6m&L#(!~gKH0BKZDxCb8w5=NYemM>{WcMq~dAk1k3)8Lgs*T&?VnPz~XWBO6_LmBjTqM=tQ`pHnpv zGCvvTAPceQWY#Sr3fB@9&&m8mofAwPjUu-GM~tRMSuA)*N5 z7vtMhA8JAMKvV(Yzp}x$A=THCrV;KMza{<8O5C5slIa6UTXB2#z%z^7aJ#mb_|0*% z8|;xQKt{t0b?xKs=x>@-(y=pPo=C*&gHBB;ppTjwQ{UtH$50yMAkIG*9pG8fKQ;0& zR3JB)c2)Cq9yC}gr%bqIDuxzd_$@BzgXSg{m!^Qp__Y?B7=D*GSGdKYl%wJz^v^OP ze2MKH&igwOpCe4$+@Z$n!$IRXSTD-h(tHXR-KKs+@&0h6%mx*-4fUWkoxAnQy@mfh zN`=4QS>+k#Zu{Fki!$yuwa`L11Jt^ZU_`KjR`noG@wo#e+bWRgwd zkEAgZUu|i*SK(lYfNHFSg;|hSm=PUkz$Go7L0sC#x13E^39qCln(~zGXZleKJBS?+ z-=MTfFvRXG7nR~Q`>d^HwqOE1aECjv+T8JD)xmHJ{$SN_!Fe^Dw)WXnv)E(4%i! zGBi{Awti*4TXn64;&UrC$k5*2?&>Y}-w>*?@~x{@tN#F&mM0j3kg0>F_LKSb+*q0# zX4;@St~b3KqSq|gLIRG7Md;KQpW%gXfgyVRlQOv<9#)I%y-NOScTx!P??^RZ(1XB^ z5On8e{c-#h27~>9yfC9LcmJtgJ@f02$e7|EVBs#H4hyL-ysY=f;CpcOt8LCs54Ar0 z&ymq!TH^Cy*~We zSBa$r3;7HQo~{w$k>~z=dCyps1pKT#1DWx0Ctx9FFw^r`lv4Aa@M!80yEnDcne)Pr zk)%+pjnuINT5to|GwQMwq*tT};10gM;#{RS)_t~POzIt4*(EA(L{P=3=KJk04xyMI z#g1aS*qXlIbzFzrC?=YCs>Z$r#4u$hUXfUT!YtW(u{vzJArQg$fCpx^a{uG&GPF=| zhs@fQBrzvh!MJU{^e%`Xwl0g0mH(^fmtk9NG^a%d;eL0p>=YE)+Vyxx7>!k%jta-t z`LuyItX8kBq}m^wPzEdfY`ZBkr83GG(PV}jO~mlrCal?4GBA@$3f{Ta9&VRpx;wXn zRS z%CelR>N>DHM^fStAS&2z{pE+geBOZE#R&ctfm5PGOl3!ZSm)es_!PemArOS*G| z{s~+a<^mLd!)qP>g;(6yq06!zCJ2QSaLy5Ks|Gw!v{F@Dc_ zqY#3O2PrX5(O)mVOE!;;$7*32k=}K7Ca=Fl!V-`6N7~v5J}5ZX z8aa2!-%L3zhG<=iRz9(LYjX~oB%JC87xB+fc;;d4hf%=41$quDC{zg5_$fzqDM-s6 zHS{Z#tD_pOFRu=$7v7c@f2X;@kCD?1?EM<7GX(uXVIxCxjE@?tn9f@=e& zJhXq~?~?oe<>`$;uiL^%zF+QxA8m&6b8RIOG1RkWr~IBm8V4a2S-ixRQidl0iM z^3Cw{iRb}Q{ggfH${TBtyOn(3B4lU3o<5t(UKN4=7@XnCFJ9QiOqn~DcNvY($Dbh% z*>Y8u_cfFO*rH1j`h^kb{h$_rpV(JUz3oj&CoNi7-JOQ^(Z{_D1!5N971IwGWir;! zqil)yQ`?>(3EovLFdztX2V`W;?=p(#b_wZU%&NVMNKqz~gU3tK(HF6?x_8AHnhB7s zPqG#!!)uPs6NEM$QXw%ccdeU^{COR9R4H8>2D`qIEq>~_AkwK^Br_r&C0OFCAtBtDG%rU@dE3lj#;}G+k79A zd>>PiXyb86K#d);s(>+}c0IUvM4NLOf#j?Fxf&+?C&RPAfNfpb|J74#IU(kdX-I&D zC?{Yp`;n)#O*YLzX*CuszOWPy7Tm=}F6*$baEM%-7iSw_jD=A5en7g)G_-}ATz{#l z#(eU!S*F8>(M3c71~OJtQlHLVyC~Wfb2N#o*f?(_Vx7M;E6s^&n<(^U_Zp9V3j@7? z-Z6c{W}qW7IMHP$MT)r{<+E^v4a%*okbVea!lg8({kkIGc5>qd5_iBpa!zA`{`^ni zm@i1^qljn*ysG^2l=6?PJr970o5z;D#lmL9R&UASIStpd~L7EFjuEHm4!R z@tXx*K732;L)4lJ|D^hSDp%+8@3L<_d!{ z8D1SG!=Nj{m7|+u4kDae{;BD!U!Wk~V z?tyviQ(+vdDiJDG|uOq@prD+5B{#6rBLAnDq2;H0u@aY3k)4cL(6=Y^peALO{6>MYUW~Ud-BN9dSfcl4S;d`ib$yg9e^?j!ShI} z96D?`b;Rfc88k69Ge6~KDZ!QBEaPEk4@LjAI7YEKnW@eMvH+P3iTjW)S*~(T{#CSI zXLNoE0ROam9?+26-}CkJ4+zv*3(@6-KR1p#7hR<=>l>etcp==;vdjqjLgpYX``^f& zc7nLIE2>iC*ckOl3j)QRymGHvR<<++C5J>q4*NlPhgM+#)UABI3z@(lnD~!#V}r}1 zpgf1JELVF%e6Jji^rQZgYgCU&C$$*-V-PR z@dGdbVd>>OK@d8D3M6>|${GB~RitqKZ2~FPJPwbM!UNsyl`s&!Db9a_Tzc}NVqGHK>&cxJ>gmD3a86L9FhQ^Ryy}?fh96vRf_j@y%j=hnEGNC( zmy=bwE%HhBvfTvKiz;YY3zHB6O>iz$Rb>ML&kq>|qM*76K}Ubd86wARc9nWqQ^HwM zK^#=NXyS2FE=c>lc?gwDh)dLzA1c>840-h)qL?YODOF_V`dh5P@u?3)Jw=Tm5yjWE zD1N<-&uLRpTD$1MW~zX6*WLk9$Kw!n85lurkBbk#_`5CMR3}Lb+^B{;`O4!Urn4rg zQ|6cT{UL2?oQ{<{G{;gzAYZ#Af#k{4e-_6aSw_ z0)8NyxP4khU(@y^E9xkDeNZT%U<$g)QP6(;=h=}uC58{3^z*+rRovX%Fey0Z0}#-{ zL-88$!uv{dfx#RcBBt2_v--a-TLXiu0GaMUz!+O0HsoBKqLSI6yj=nkaD)6D7+I?T zL~ugu8y7L}Zt9@QfR43aQ0`!OHJmSvhIw17i53I{>uc@!%Rv9DT@ySB{9lExkOSBM zvMX|(P%`k&l-!(6T;ztHohe>(DDPbQnX9{^ui+_&V}RCBebX~~zo4gY@pqqh(=Mh& z0?vKgGLZaL(Ed>evz-yMOFl1{oU}y>2lzXV(!e*;TJV6qKcEkPYPwvvmqrsndUPD) z;gIm|q8%Ad3~|1d+!B!R(?oujE$)-mWO`T)Apd^tni^Mm@g`?7lDHYfV$zvvt*M6X zqdW{{F&C=}AkVFS$sMw=DZcfquESo9=?8WqPfq)Ke&E~%>U!&QeN8gX$ds) zXU$HG|0eBsK*Qq`MX?RSd<>tw<5W~CZ!h-i=@_*_g)lW=g%Dvyn^pV<)(3U6UspUT z@9rJiSXV)SW_`mPwKIyx>vocW_?62r;xeaQV$*yTCDR3C+OBXy%>WBSJRFbO9a`z^ z7BW)ueMdmWedTVN5PUt->vvQh>t^T=)E`X;DA62X++@tZY7h^oeaLW;cznSbYm%x2Ts$so<$ z>?MkOejLu+>8jB;cz`?h=A8=k#|NX%ZEOePo-OOydeN~M=gmuvA_kB%Rc)BR+RUHT zyK2lk@4#!1j?mXo4m$|!x7GmNeCaGLraQZyj*{Vj|61jd_q}c~dGPy$P@D4I@OGg0 zjWyohks$F4ueBF*ZIq`8>l5DVS?8f`<11sGS~I)pU$@xM71~tam1!#9#Micy?1Zfd>A`O|2cQ-fVO}4 z2yp9V7b?N@=&{RzKY6_*Z^@CE4{)yCx02+qGAS zvSZbyG<6}`Z$5?DzTk}G*xO+yg_yp=^wk}jk2dGyN5TDJR!fYCEaUD58(tW-Qm;d97YCfuK_f!hos2s`1|BraXai+w`~;91EbSg7H8? z5{*f#O@*=A;yRhuD0D2p@mo;%aRI1R!zA-PS7cjEW?~pAEEaav|4M6*lCe27dL)UQ zDaFIoV}1>0^A zYG%q=?DA3v!cfub7WV*siN{7)_!Vxw(nJ-TNH<0sUDij42mPaw?7B}ac70TpjCJ#+I=R~@R@N}RFJx5z)b)wOK8=LnDJm8ZANtC( zH+k@nU>krfGtdeV(Q_5K!4L!R{^9~82-j7>?gJnJE7{Vhz~3If$z1?JoVn+AI&GdM^()Q^8HnX1@EW5FK9;v zJf{AGAn*2Y1CLu<$Pu||&FvO?{l&@u-F;<0J%zYrx!c#;GU3%MUmuwUOhoctYKl0; zrzf1U3ztw?#1HPUJUSB>Fz4m58DT0D$KS&G%~6jQ#X1em<$NRnOjlULTWQ@gRhq6AJ% z>9N}`w!8z7!fye~1ilCL<2*Ier-}~iu%bimU#9{OzQu@g9XlG^9n6NMu$N7>`k{GJ zvz}OIiWfQM{O2`};@@(ZI{?@4h|po;S)K_yOXXn|&GL}{-Dv_gG~|3pLWFJfv9wiO zq)4btY2Uz-w?f}iWBa*=MGk48Mv^oTPvqi``U+cKFp^yaql%}>`heXu0~l5GBiRxb z$p=~-e#x*lwFMKT3%+Nt3(Jk&q^2c+&(J5N(RBNs_G0EJ=zu6|ORdi$Q6rBwTF3KCsP$AqX(J8Y@P)#tnN9K;S2r_pL$g}O^)`$$YbM}WQ|fE==lC?B;by^?#vy&E1-v&NdFNJKcVz<&yWnYNzi zqVu^B@x%;Sg;O)>n;eRt(t$F|%7J8fE@`)0-R}K*3&cX0P1S%-`KPBnxPAE%Z-iyF zL#9npM|ex7oAxsQGFBXsjzSbFv0LQB)j?^10Qn^KGk`Gt{g9ZPqNt1I$Ad@!Z+>t< z8^XoO18>RlbO1P6y4e3y56H@YDSj`SGR)U8@%2COaeFKtlOI3mUIb{86OV>8f9OIX z*CP&~cE37#2XI`zPgZE_75kWCt6B%$Juunnj_dWzT!rG|exu(uW`p~H%mm8)jW3Sq zuWl&27{_8e&mBM`3dSYreLyFkIk_ny+*lppHE#CBcFk9A844U%hfjPK61hPy_La=V z2zW#2yh=8i$Z{DAS(<*VP}v6z!;W3_tXiPW)e)=a8Cw(XHnJHNjmU{f2}y*gkt-wo zL6I2oQM2Z1PBWK?YB2z$UepdoQE2o^I*DP~y1To&f47UW2FxtSS3GaG@6mAy5&ysy z6c;`b5-X-39wm%$ayyd&*!S|VwE-Yp&Uyljc&`EgA7t+#5s`I*oT=-`rYWyrCDYdz zJtpEK9p1m3Pjk1m1*r7_{EP?49KlG@vK|tL+3;-mX2?7-X4|X@>0a*`duA_$6vKEQ z2Nt;oK>#`R(Vq;p*KI6jsQhZXE)gM#svdFG5o&ZZc-*`ARDh@FSqgl6)D@roKnj%9EN;Zh z3^KTA6H!ugv5UEyy+|FZ0A~is&J1-b^*)$j)-;K@>wRVZnHQ$b4hVgOGi!M%shY5V z9KTx{r;1LORdNlJN0GU_ODo@15zU-~hO(oylIfFpkWa<2GU zVBT5kDEF_zcQSwZmoPsVw78{WVEqeZWnPahxBymQdw zyA(jIR;(=F**L|KRmd8VU{0r`4UGb~4s|!pd1czxEwyH^5xg~X6uzK8{8u!5;yw3B z+^%drnI26lTGX0pSOZ?Tfm)eq*VFuf;Z@a&V*2)Pay~ltVKWFfUYfq8ZRtFcxYEOX zdgMDVZ271NwX3*iRg(Gm?AqK{R^51;zD?R1rpzEp_54G{`qizHd4+g|Jbtm`T+`eD zQ2sqyl>y&0bL#2vh|AeI?Ot2$T22i&yT6N!*-h-@+};B zfla?}L(kvvj?AMC{Xu(W`A=hp!eY8b2i}~m%hz{~`;wGZUm|@*3e)IC@}AykDTieC ziyqE`NgkxhM)b4N_^nKCTF%xhDGBF+^wZ+Kw^dJ841ZTlA)fhoiJk70G}Sj&Aq@|O zklnZ84nib@HU)0M#=1pPdYgFlq0Q9iSBJSP*dY0qY*gWA!`CzVLpj!wQ4)_^req=} z?4ETT1Uf1Ij0NH7LfJ#BQ!#yWHY)A|A? zZb18tyK$0qO4T(#uo@kn?mH7n=YhJD8&^oxkSYV?^@4WOlIhmRk&2p89B;cq>w|2* zT}swhu)HSwl^EV63K5Oa6ekh9g|&SXy}+kZY2N?E&#Y$GN6js*(nnEBuqAo^_FUZ< z-Yxv~SEj6{IA2EEF_c*}XlnRtlUa(o@%-01^EK`|#`~)Wty8s!u*{46QDaR`&LVgl zaM_LeKIvWy1RD_?=j`%l2v3J`h5ENfp&v`O<2kH>+9iP4J`m+2JpN40kI<6xdeXO< zlD+}exDqh@)Sp3w!zE;;!=OukHuxoz!NgOzH)4ec@tB^~V$`_S3n z3JN;~FggVK0LUHJ(FPEs!xw1-t}WGA`-Vu6`lIFp5wz9#;H7Y6jf#?hL>q0~ZU>fP zwUHLdzho-^b$q(8GD?N4bWavV;a|kbgXcN~yz^m53yxe*oJfCEZp-p?l~N~l9h(_k zSkj~;RWPjr2RKHy%&&q)&U(ryzP<_YIIFn$^Wec^9DV0OhOkOH2gj8uvc{>{h?W5#h2TCO;Wy#OSo8>c(sRx zRkT*ZdR$aANl?XZoQw;TWosE38meh)YHnz&D+7+PE?!Pf*n06KmF_q&=SFyh1JDOf z0=UGZ8qo2?DC_J`12)O)04^lxMax!43rhw=?IiP1z8cKXB;)rK@+5adVcH@wAd~RqR*kQQ~YR*4rGzTG>!=0O8?Gg zshdG5ce7p*ix1R~_L^VW*}?sua*-e4wrLysSuje&wR3a1?C#P87*-(%)=azKB&6C_ z%!aEBX}ABWq;{HL;J6~(cmyhIL*#KM!OEJ6u;kMh+frdV8(z5^>y3xvEmye7u--HRseJRER&v4Hp43eS>@x&bnGL^L)b{xwJV&y*>1iM)zeRqx$wmruy=>@-55=n za4~*ZMJvoNW#ui&v~ z1A`J%?)->R5_7>fx4S z?kgm&yyKveTm>-O`N2-!|4;>xW8srNe6zCXA{!f|w7tG_JChC2^ZixKHq zHDCv^3k8)^y!vggiNM%~Fw*&4eSMf^U0sq>bNa5E@@=1=d}I^rHMLkAYdFb6&va{+ z2dIg9H`UF>T5oPvGXULlwJn~aUW=XLb9`Y>K{oRr?08oXb@Rpp2@q!GvmY71lxmAO zr($%yCned;0;V40DgbS9Lt2Z5f~=mllZG{dvE7<@-J9-pv1diyi*MsUz!>uEs<-XqK9GbE0lFFzP@OC!s2#jC z6eR*az%;Ah1=w5KV5=kBsfpY`&>IAP(0a!g*4r`Qk_7rD$y<+PJs9geZz4f(wC0N~ zuv5$0>!p81^zRTMuId~WxtO6et`^Riv?wbfYqHCZm7Xtc!1CnTSr_d;Q732a6qdM_ z$f+MP@8%{3=U{_-tqy${l^6A>B~87ldpYp9d(on5A3I%GAFMx0M5P@mJxN0r65ix~ zkFty2BpqdmMvY{3MhB9gNbPpv2=%b^BfxEsPtebF$91#gZ7=VGJW>$|o1)AU>!rD| zNbdZsu$y`R5C9^&Wk;t`{;3d8Bdwuv@$4fBu6nLr^?;$bS-V(*QDb-OyMn#=>pgih zaazF7s~Vc~OPiWAN&QFI)Sm+m-!%RC>o$&<*=P3E2&K%ocOSFVj)naI0^)q5{L-i*$RTZ z5^fwK7bNGf%nUK*4HlN=-!!$I0r&o=uDJwf8fkn2hP=%H&m|ld+KFTHFOe(F?;aSN zE`=qPWaZbidoUnc?7cGjOQZbdnZTYH6@Hy;USth??cjwi|hq3u8!gQM+%U9K+ z26psP!5l4BDh4 z{_O!7V78Vm(9U{V#&`>ZLhp+Z_+l|FlxC^#tXnned*4u)_SFq_=6bG!8$nsCV}2&2 z_BPZfquyts=v_WQk4Nz#Y%VrlN|oBd^}j=-k1$g3Bqj~FXF**lM>--^;^HMNf%gaa z9C7_j&7X?D$bI{(JgiXJaiLcCDQ1WFoow$p!yD}A#*?3*YUD3oC+<1qapVPT{<=Q{ zB?JLM{$f1UdjFP8hVwkHvc%x^P=9BbSKRFdCP3ffp!5T0o(czRW)}`tj7m7LRCIja zDZbgw^WG>GU8sm!cza~SF$G~!d<99X^rNWjx{8zJzRcisM-sF!1HSsLcE9jh{dw&d zS$Y8<=Z~08AFXX4tMalmm$8S_KB(As)jG@!r}U{K>(BV`-gv%)M%-%1%R6*3M&Hy#%Q~-8o{L_eME@6Mz~dRO`fslg zJa(1LKkJ?A>@(j3kESf21>$O6<7;i2D{`blcx~%-f}<#~VA4ruc?Yf7-1LE)95q8J z^wHk4%)PLlq*+1!){VW$cIoEU>tdbxr+-#YO)BnUncq!PTVOXuB0STlpMo#A?Fmq# z=XqQ>!{gWaw9GN1YCPNWdo2*bs}ftnHYwy*Blbrhq43GwH&D!6EAZi=9!e!4t*iLy z{3+76X2f`2{x~)5CcV7Lw2o_KfxTPU0{i|0@=Cz{j_UmIxfWQ}YG9wn-rG%KV&H-& z9grV1v--%OM{>^h6G)c>7yVxP>ETeQ8f=>ppkou9xDG?+-r?D$-m)sSN zk3yF<$yXboGPp!;jwM^$Al>WYczM-+&}RkJc26V^=SInh+$j;b8LQ;i06b8FCx-Io z&6EPL#do^r0BVPnglm?WYhfog{sK2`uc8ApN0Op0HYQmO)blMMdDPL)F5~gVtX=ya zAS7@ky=?|Wf~5b<2HbZ2s0Y4D4&Dca^jHoGJDz{zh}*VNh-v9ET~>s;mVFE}%H(J= z>{g89;9~qde9WuF3#)im{&jSZ^y|X#F^DF!5U)gHf&6wzaSt5-7V5-yGT!ORs=xev zd+A5<+Es7SwMiLcHJ<)6kI%jViOd69s9fD&sJ=TrcAa*Y2=wba^t>4edE@Gt&5fNx zIkZa(*NZ(m7tzpI=J|2GGyJpiSx;LBo~Zn#TnJ|Uo7w$0;O!kzqGI~3s;Ru4v0#Q9 zU|V+T%E=Q8JI@&0?7<&N+9!UTvlZ@XXIoZ_LOQwRLRy&R{IC|q?qoD z?n9`AGq`Ay*N_JEIxRh%>@QDzb9N{|Ks_R%bm`N)#ei<%FyVZdmdCXn6HdF~c3RrK z7k8$D6~7$h#1*uwT3_Tz%it||Nm6r#)&_$#t_(0auXpn7FzYsv)jnFvJX*-6Wy8-n zk-2xesY`*?NLKxST~PXw-7by!{(cf__M@IzTt2g6P)l^}mkvdj%q+tD!*e;${qw#B zUt1mfwYxadfgbzO0q)^DHy;RJIG}z3n0yh6GU*%+3Vaem>!rOMu2tov?R(d9EeKn0 z`@E`*X<1SWZuca(@P6=>%6l){%beot@g2EXwPlgP?bM?l4RIGf&);)#)kmz*(B1Ol zGf@bb3`Myq`>S0N2B^OKf#%X~c>%I*fAQO`ASQ2y8-?EbT5IpL1^%CwYKT_=g8^{~ zwILo$9Av@`DO_TZj7zX-`gxq}8;;Pny3tebr3L-|gWcMPlp_cju+6L#J1XJoM)6iY z&d&5NQz>_AVfVBVJN#SXY6}*c(PWbWV>hHG^;zy;Wp>Er@H+o#w2GwO4rVXAh!Gh* zS9!v)OF6?a;z+p}13tIgUag)ssKC=G6JumK+4hoTG-wDI_62(ZwJ+lOMW&YDBi)Wu zN1wZM3l0Q$i-A?Dzvy@Q7k~4X-5HY8%&Xj&<>h+$g(lm7I7zAXPUng!<#4TPMZYcd zop{AQF||Q4p%b_y_6`u11$%Z$6~-y}#@GjQ2Y8gmHDvigcTQu{KgAah>yK(>+g-!S z)IHjkT^*l^iAwzgu>p8c#-we6BL`Fh&aP&Q8CeTXu{hw?m(bRqx0pM&Q*It^)lZ}L z1I}?D_?I7L^Qj!#{kM-T`V8Q;9A01L;qkBr*V|KNKCAc%HA}K*g`})JLNVa!8{V23 zaI>o%VkOYNS!sm@wv>!!wYO=zWE**7qU|!gCCl!@AuGEt{ScXwgrUe7I*S_QvO|nj z!V)dQ7Gggzf2FhDixGtKqe?4?rHH9&_QD8=*=x|ST2Rl%OT85&dAOaH${t)jJ~@#+ z(srx%%;kaATXKoX0k_&Djh%o=tx%uAju{HaFo1MIe*?|FxDG{446i{ z$2kdcMwh+AqZJ9DlWa?E5&dA2U?8Zy_?h>J%T{CPDhB^NM$#opv)n@b_i8Da3)i&9 zLpbpVP(?o1b9q;+tR{_4rgng!4Hrk2LGuk@Uwzs;dk;;hQ4v*+Wcl6J1eKY>*HTm-<`0o0imXZKXNS#g{?S`^FA47Hb-d)nIO6{ z(zHoiwEf`irLnOWZg`3Dnb@{|diUD8d#PWnoqB1zE$G1O%@`S`x9*Qfu()LTbkFv&SJy3ZXWoFM^+qO%w;s#@du?SF$tMrd~Wh#RfOCm*`Q%mQA zyo187ZOITF1<$2(e3HnozFUtLKp2f+}*pbrm6t8Vyli+#0YG#c# zpH(D<8TXo-ya_-|zXEti$scBnS|sjhWz1HubXBV@swao!3Mv=#Qg$^2Gr;-+^?Qe6 zOD{&dr7iVmX*>lIaZp!K=HD})H3gMt4L^w+gY^DhC&5iQfRhaN1$acw=<~Hj#tc}{ zru^@uDs+b1R(V`I$`CO=c29{m>u*Fz{6+fKL z+#*L=iuWN_@A=7)0(t8MXDoVG+?bW(j>Z`srgX%;eSF@ORE6_4A*y(WOJ>KYFEL10 zY|%=8-yTW*SKJ`n1dA8wNIu2R^+M#Hff1Azf&n$lJu+ZF})usjW4 zNf*qlo+B5>&(JUX8`?+5+JGQViOSE&vjS1GTt9q%053l#UeB{yjP;=i1lg7w4U-^? z13?g@Kg9Inl07fviI-QR*%h0~$sj*H-||!Q(ag7eTTVSXqAz2HyMsHR?r6TSV*qs% zv@0e_DF4fqj+vy~mQyzej>!c15aYl?8#Dov&hv7o#6aijX=gx<2$h7;xjM2+NCH^U zeTHQ;Ni+jPIZ!Q76CceTGAz}QCynijGD#K^K$vXUBS?aC_6WXdByt&P?weIRimzHa z&!e#bZ8^LE&`AX)Kl$;9`(Bdv#ZYelSsW>AInB(g^ORBOUe>|^-DoOFPOMaEYIbA= zN%H3xuL3S?!pnh^UBPU!-XFMgKcl6c-)7t5zZ!&9fBy`PM8M29N$a!*pS7Hq8skohh^%vBr^szlN3yTswW52Q**h+1HaS&xFEoj_xxnoZ5$i89>wop~ySG@h#fPz< zxzqsbVAB}2z%9Xi%ZR^ZI&?)McHws}Ui%6Mr&o0C6af6%kWOXtZA}qQBE@JNflZ$c z)Nm^<@P0u*a?=!wTt=in8it5_>h`&9Wq6g!)a!W8qHu#CT zMb08U_cAre69^2WhuGslL4I%pThSbRhr$AoHR1Dva9D)sB=pC?S9{F%gRtB>sfa;l zBCvYp;IZrcr~Vil-J1*e0Hv4pya0v2tRe%)MpR8jO8J-g`w?F=$iula*0eL`x!*V@MSxAA}domY}zxtk5G%Mtg1Fbkm zU|e?Clkh3Zzd<{5J5maQ?6KC$UdPgX31>vx>Ky}GVz+N zlZpDR3(*{dU9dTYts9WVD1c95GWE6a5O=jI-$z4HlxrY7v@AzD4He zqr_1PYHfd?0bs>xF%FJ%|B6dQGR0uX@l z@KsWs!1}Kq_Pw|hueWOhbc{ua6kI-PL1B8izx45k#hsr+bH02%6HlI@IH_-H!-<36 zITE@ZYj*JNZ4MYiWus}XbKT{7Llbfn?Su=$9^B-~3(f|*+%?r{qb*w{MYW^XaH)&5Lei4DiRjieoP(4ND2DKD9m761wvNx#{xAofj3 z#Zf$2Yc|%gPc=Xx@2cHCswYSvp{cJzi z>)b}0nQY=#nCL3#0jylDRuZTE#@=1A=*^4a^@FIOx^+q|$&n`1g@pL5y;+$k zubLv3gTylVNsob?PmndjwTd<}$`VmYg4#OYJwW_PM#;C5F2n zZVB!(j->d{XLslF3DdzBxleChk4gmH$jcFg^skYl)Rx|5|Myx0Q$z|F9cI12JvEVJoh-V zV1ezQYillXFA?YTbNR4#j4Rc zKN5}=OwulqGWx%+RB0^FFqXN3*{~NId#twcZpLIHU6`NrjLJkADKuVZNzhr3nuW^KbE<4w)PN z{?#gv6Og!h?-;Gq`9>bLonI4zNdl-C3B5S@KGm&R!o=hZj3iZWM8Gs#CF~l(DYN*= zioy>HKt^2+sCrU3#V`x85O`z&#lo)kE_%#<#DuH5)FP^)SY9v_?>M5=FtY#Za;%=j z9S7gj!mC}8WE!*%*(lrHy1-=uO=23wNQW7_#bOa345h2!Zva*C2nq0~%ji!nF*H3t zNdwnFeC!Ey0|JNHMFXKcv%21jF;!M83mvZ9-G(8aV)3UbdI9tAFPVja?!Kk@rlyGz9q_+V^yu5s*-;ZB-$x&W~1<`C*L&7eDnP_RQs-%ics4o(tTks7Lx;1*x@qN)%iMmlcnH)Bnr-1wKo(I+9k<{lY1l&ujV# zqfF-UXZ$|CFnORSE#fMIgd}sW>~^>Vwz#$0rPrS=buo7)&U2r7cx~eN2c;j#Y<1^W zRLCtO7Ta*@JfN_PQibGCdkzcJ)2@4-P~K7j1+6g|_mwAv-9w=ud_H7Sq(F)?BRcRO zT`I4y1>{W)%xXpU_bplEO-b|}`^UBxlGBAJ+LsvLYU=xkr4pSl(Jc5T0xzoP^RsfY zq+DR2scfz+bt*@6+2Y3PFFDQF%h$>SgqNdyIbYTjEd>qpZ5A(yQ+qJ0y*3A;Iluow zcDp4W**NmqP+68%&J#AJ9|c>A$@kYPiR1G`VVGappf_g_v%AhirgrI4jjaHT3|*tyXt;cx){wdw{~>M=>9nq+M2AGhsGg0%E3fbs>}+sQ%?Yb4A~JKH7qyU~ z=ZlGL7GKXX_hQYffF&E`$R=R$woNY8(!?+!w%W{1<3GAl=LV@&sVYu6-yS3{ zGMxO@w|d!*6%6B)k+W?VQ*t132c6_Sgy&yo?b&?rAi7dvmn#eEqqzl#MLX3_@#ZT} z(b4gqkY0PF4QH?o>@;`y=j9=9?2^$R6hC_S-2#~ zS@zqb8ZiJ!_^L7d(p^m|yHk^$pS_nYDd@r*FXc!vXNAMSiDssFp~<)0EGEoUCQ<3F z!R1%5p6s#OtFq)M6)3y1r6&l!W^pe|!>*9x_4N`CQ8(G93uTT_4%YZdpGG`A4JMh( z?zTkJ8v@Ndegi7%DBNxYJaZvX4*}6IEm`AS#*D1P(kyIS5H%Ye%sI34FL(8!Q$c~c zLaU9ucfo5xrn>GfKd>$kEkFV4IcPJZuT}OHbq*&?ess+zqgB(Mu{5F}teCmiCB|+R zkX739z8akK{VhM+v-#xQy7#&u>;_)cP5IjCNdC&tH!n_Dd(>3Eo)OS?dwH)Muom~L z4&|DrRR;8ZSzt(UyC=xWu52b^rO{WubOqMOPYSi?*(`|wf<-)(KzFi#cLkuHzod%3 zxh^wTLGBj9#t7xYWnBmO^l~9S6T?bwbOE?NwEP>A&~Do<4(I6{X|e!4xco&a&X4(a zHFdL=89^AuUJ29U! zrJBK;3hxyl9>)|QFxLV>&uTM-q~j~>>plJW!M0n=jToo{K^H>jcy->qg&WZAR1nh8 z2+-UJo-ZD#kFk7`1LTzGm*1-YAfVgR=;cr*bN8%xq4Rl0*VAM1O>D=vrB&0Tf;wI@ z_4Lm;uB`qXUj+fBTcK#R(k&F_6Xh&zp#xY5V_ZTWMf9k*a^ROZ)#`m6|Ek1!CBbK< z4NZJG+ucyEf+u;o#*hLATR9!?ApZVR?lP2s^rCTm&E6Sf-(ZYgN5)G+qe%a*PyzuC zO)!7;mAKzn33!td?qKrH)J`QrR-5e4_v{HI!ebKO%F{xJjpj|iLi#jx+wCJt5HWvv z(ZC7kPH*P`kCcxiy6*K7P|$Vfl6Y|08Xu1YF{45FA}+(+{6eSBn|f}U*15QmWn8*( z#|udnuq^I4Xg^oKPF7{W#cF$G$zuq2@KJM>U5~h+f)6i>6K^c5FsPsd`q6|BpxKM2rU;ZLzx7TNtYF!8aV|KK=gfH8 zY(NtP*xrZpdi7Uy*Th^by~G;3L^zdOvG)~tgG;Rv_b?Q}mm!-33?Z-mt9zw)Mh=4i zk6#GEECaSWFgIxXkaP}mM`zthvsGq4&&w>D{(*SD53m48j~00M20cDMU=mt*K_1I2mU|tU zYxyC6ztGR~?jvsG_Yxyd=~vX5b~SdbuYuzHz-X@9a#L)P0!YBY?aM{7L^nMEXP{@a z-%XI?4r@|?8vds&^_d_JweKg{Kuq*jiBK`V(Z;7_5VNQ=Py9~)u1;;ClM`h8i)Zdv zr*HcayTxdw@S^B{PK|;SQ*W&n9k8p@ySWlwRVjEq~)wgM-}}6C9Rydwn(FyL4B2v^r3Ku)wnj6VPQ64{Z-tQz-@^JO`+LF1790%Z;{u>g0BIBZN|;>S!QX zT=7m{sQ)D8RRPX>y=&B?ZX6=4pHUSXDUi+6E=HW;J#`H!d7Xbr&pvShXp6}2BMX8) zm2iK<($0Ruca$1lMzSFY4e7Ty$dkGQ-}kbZlC$d7g0y8?TW${FjITGR9_@%QT= zLmR85*zNk#hSFKBQZ+Y692({`8-%&x z;uJ7PojYEG4fFBdIca0->Spl^@;|W43tsSwe4z=yaQY2~!^8H!HzJ4?*mIrqpc9p7 z({jP;Bsf@D`Q)F8>#uXjYOfnCuTfK%Ubk>Vy5VeS?1{749y7Vnz%n?IyEAK^{O4|5 za4N~-MEMQVnghbAYvm0`3S9{)_bH#bQry!6`Cpsu?xreS4vy(%R|&32y^f3~-M{Nr z->Vuc&MPPpYzRQ~hqZ{cbKed(SY>{%<`=K6l7Zj%ZK)+RXH!(kb6wc6j!S$7*}@6x za-)EC$avqxj6I9^ndZ&kUtGss3MH26hR&QkTtNVE=l*(Cc@!{qazvx$1+ZdL*|wdR zrb0g>z7H(^V+V3G-$VRg=dT2Q#ysOlAHf%UL^JX~9C%K}t!jwcqL8q>maQ@x1kL9W z-Qt*Sx4uf7iXdu*`1?diI=aPT_0rB~*jPxFkz|CoSUr20~!RzZS3h>`r z;Wew_8Q~v84{m|1{{H&Q>ao>3y$*1`nfngHsVJ{cw#6(B#ueLbJ{i2a+5tmY7Xi*M ztq*K&ex&9qr-JPkKoLuKR=)ZDP`jV5^dWZlB@;o=)QG+6B-S=(r@LiYFg>N8BavW8 z*V~RpuTq5AFAG=LE_2245at9WZIXe#TMYZ$oz{h!%Zv(8TacP$u1yOrTtv5M0&gwo z>zk63xXcpc{J~h6h$V{p;i;q8Z&H45dR3R0Hr~>m=nBwwhPz5Pw_6RMNUvn2UPsrP ze+BK&@R%ZuI`=JkPfL9Z7nOee?MGkr#*fq7!eU>uj>Eq1$%S@KxEaE8wiM7Gx7DER z!@LfK9$PW&uhjq$x8xt}wXad~3+>7T+hsC8-^7k)VvJinI;t7zls+fEw+2A)^a|t= zvb}d0|G2~cUf8)(84#1MM*dA*(*%x1Spx@fHGf<=0#4wt_j? zc#kW6f1GLOU3n~N8bfp%HZfKsJ4oD=posA^*Ib$5S*u4Rgy|*1X<($;I z92)Y#TSnz!Kbi06g=0*=+p3MCc99UsPB`Saavj zHmzA#twQsnX)*STj*pDih6x)pK)bfY@3?m6c6i3{VoGniOu`|%@+#ph*-@C*8T8)~ zPPE{)QnB!5>#smZ^6{d;Y2EeHok|wsM*qkmYT7T87X4?%()_+-YCeIrAOX9GEOTDP z&#_tYB4TFycsb#+MAA?eWkjx#dgXN96SS3r%riFSMV@6ls4dl%CdGM=2h>Le#n3Ta z%^`cwx%q*dUwGm%F4;VsH)+*d29Lfx&-Zb8@2knY2BCP8^WX6XJb0emei`SDs<4Pf zetz!bAs|jKR^a9r)cq>REsT}A>b(n~POa8uxh1@*EP|`|+A~-3_o^XWq?tH4i#m+N zjS?ZdXPy^I5sF21jMGX7BQ24HVs76iw#aJ*OJD$PPVkB`G~Z1NcTIV90Z@1LnB=`r zKZv{|xP*t#17pK8*a{CXO<%TJq<8nB`{5r+dA)ogxjeVf@EaTqhC&tO6y_z>JLdyaI zZ8#Q$p?yU0=w@lC5@jeeWHGO*@5}ZG6>fhJT9xmuz(-86y#7WS7{~{3I1viy=YWL0 z=*WBO9PB+M7+B$y>8L{HG@htDR6pGwxXa^_J0nH?Zo=pgbSg1tP7Eq@N|QIt^GR6p z=7=j*Afx?6T$DHHCJNvI2|D~2((yExPEaKLLB7lvo(@Eq^{|UadZGIV=ME{Ixf3LW z$ZA0^b(%}UMwmMoneP*YXl7s-V1eg+8R#-bx@UEdD4mx^kH4snLyD^xwgvaV5un}+ z_UO-nFhIlN7L2>2aT7+gU4N)a7L5sAi$8A~p0uWFiZ4?l+a@WSS`#em&5@UATo0Rn z%2dZ>!})BHnFx8uZKe-{JDRoV$S{C1N(4V%iYHJhx6eAcWt}Zed3l)XOZe+TB6?g8 zI7RUVcHzf^q$KJWqt%6Y%nEFXb+(g=`>_2hEQX7Lj3KiI=ZMpk0FfJh*@7t@3opmk= zA)jBr_rLIdJf6?zTC!k*fSq~~=bAyR2r=i}&mC+S@N^6t18 zbZ)Jpb?nR)3uUV;&f`vB0k4xiOz-cxQ!1vF$O;nh5u#3e?ht3}7Ipz0;A1Vl07%IP z+~uO(KA?DLQhi~~s#0}j&2mT*_<6o`J{&|ifhiXj^A~X&(|*|*^yvz-z}+l9DFZ!3 z8UnN1&wBE(B8RjzKlNArvca*@5_MMF@x9C=x$27jrxX3hRl8T)4xj)-cTR}wwiI|e=CZJ$JXy4k(A;0t75 zTP#qMV$c8yh7lC`6z*9tmn4H+=`?5WsMITFq%YSSBaY>qb5LV~K{tm;I>sjhh7?~b zE_}ODWbw)OMN_x_7kr!B-@beHe;4P)w#7YExW*^TczoMn!cx2G>~nRUxN#3v8`Wu1 zP*xfBVt#NYuRblp&f!@TrjqYt15IaGuMvFF4`(C8#}jq$csOXxb-4)x5}y$S25pp zb~n*i-430VtgCfRpTD;8yFTik{(koe6G6oCl<}0%Us_(+KZ7O1Ebq>A_wnyKpjpYC zG4XS%nDZv}*NL`i9Y6Bd!2}xl<<5&KhQa(4V-vGEL22CWkoh1@Q1Ak;AFUWymYet6 z^-@t&H1kk{DucP#t7h={N1aQkO!Vd3Rl{^6uYm;*|0ZGT!dK|;EZxbJ6zZLvpiyE& zMOwtCR4W--bXKp43vOK}ZgE%#|7dW#fG$+}Dr|F2H)d?Ht=OXMHtkxxGwNY_nd1HV zM=?pwr_`gTn8)gCw~Ox}sw2On{16!2%tq#RNr_qJE8kV|QtQ3%_?-)LW4HG>2N5`s z$R<^@fHwN$9j0g)Y)>%?=_JBQyvA~V^qJ*p7-YRGGvjg6;8N6qIInc_<=@L?83#@8 zv4V-my;Z*r3WK^5LbTU=e%A`VeQ$BsnVGUt?;LttVGGNNC*ua*kIWR655YC?!bi%* z=0d=ew`C=u$1jT3(Ey%93R>?3;FkmqE| zZez;i9bUfcO65&m6+Nl1MBG0zrt>*)&oJ}LQs+pH^{*CncUtnnwV(vi$WLR4wOc)% zeEFN-s;=TBBxlR@_1sVBmP_H7S4GD3tvAab3N~VOYZg9$x^$)>!ailw%v{r`8yKT; z^SJL&vA@LTb-K9p@4HQppx8#y*@hn@!t;J_Tyd2spFpm@+7T2zkG4r&tfc5QRqr%(=EgSx=ua1xk`u`%^ac6Ez%Z8X$Hq+hRmQDYIuD8 z;+`mcm1twJ5b6(9q%A6n@khbQQ*>h{rk1Alh1i@piJRGdv9}5)v@cEU8a*U;m;Gu- z!FfRSC3Em6_N{Q0v!oG^`3gU_6DN>vktk&F`gamdVd@X2e@%Uk49d3w?y@c&PWzt6 z4UT?=jf0GC$B6ua!cO<7Yp$|+#A-8~z_pI^HkGV$w{HGaW9RU@nr1=qS z@SqN!4O&>riy5``CK=6a(shwxJQ_0QDgIkTJ!C zdYElWrkGL9*%p=*E2=fy#+Gt~dX#NJ4<@CZsIHHsY_Lpe>2rLoV%QR1ly?8GF>S?W1< zatbAtn#QKlDGX{lJ0p{lMa^dC(m?Uo8^=WY9+hsKT0+A7W;M$rIuR9zH^sSPrb*!-#}@kK43p=qCBEDvmdum zTB&VpTKf~qQ|dGJ^A1WUwTs=|L+PcyVE6S?2Bs5=k4OpyH;TucMrcqQJwMLMs>}F<7%yRH4pml!>$IWq&hy96Do!wJqW`0SAw3db5KfEH4s4o# z33$~j65#vz1?Pk4`0roy>Wx|*VKvyO=)kJ>XFG9Y;S;r@PM(4Z;05N$$j_2Y(UWDX z41(2Z9)WNtAhWu(;1Um?qsy(-FaaN%cJG^*_?aQ-`-dLTh){P>zT5>Xdwb-<@1mXr zE?GW*f<*+3x1Eh54GN_HO;%XZ`s?W7Fl%^N{D&Jd6V}D=yPZN4=_6~}rW^|pAvRQr z*}jBMjf-%bawm_@G(%u09!DM&$|W!iP2!%vFPgTCugc-2V5y&87k1c3Dlaev#Q9Ta zWF>_wvhkJ~*Kem6XDyA!lVx{Q@k4Him70gkRZE0@v!Yyrb^$YIFRcZ1*dgf%O-_Z= zi!&=yW)=_ikoEVjT3AFe4f7#S4iG)pFy#u=u48;>)OenqOep= zo6hT%Pk&w9bs3Y(Mc8IXNlsv{KEgLYt9a2SNLmt;_J$;fs#uPtRu(CAK8oB|)gZKJ z{cGG#IC5W8l~K$)Rhr|kyqjAx!t}3@`hwiCGM#xcuBhXkv*)|*zG(!bPg;2IW@iJ$ z%qHWErIeOGRG|i<;3wWn$a}m%V`q{WYetN4|QQ16}8qef~p3Om;wLqS51JQ)vp&yy=5C;-C#}FvU_% zXLp7^e~c^30V&GV@(B=ewuvgpMJNDTxrj)&b-||Ga^t?vD}`hKYG6rFpuGE1x2n_3 zxq^goX11CgROf4d{@b^qV=&j6k+>}@en_FD>VE$>55Eghn<3c4eAl1w=;$P?;Z6WIXA2 zoa(YL!l42fVuj0CrPFyDcO!du@nO7H1=6@2>Be~0pDZ!d0?(8*&9Ltc^{a{asyhIv zu3-%E<# z#YKsXY)l%`1}c_f3=OOxhR;jl$Rgt3CS`3{(*mo)2e<1-w`ikdu#x1W8iE}DJeCeW zS1AbMfwct&&%B$@OV!*(Xx?4K_bUr*Pr6?FIDGOxH}2???LabLMJ%I0Q@33H&brxA z*9DXAPEw_5u|f>Hcpu)DJu(0(6{J5|gVclC!a^AQ`;%?DxgF&F$&0H1u&b4E_-@kJ zLmh^%%wBhG8rnq`$!jtww=_7Z^*o?1Nh=>2MQT#w;044YWzR+tQF3fUulm*yBlbUC zsO>%jQ&o_H0%b?ZhzO|ABb3h+G+0k-qEJ?ett9%v#%R#bt9?*i;OY7$6yqz)uV1tN#J6|7FnWy(q1i5mdSD=;i@@-FVa79pF&Xil*=W zK+%WC6i2b{3`pH$HD96iE>ZX8)@+w%TMWdPbMwLBDX4II1V;ZkIu6Z=T!yq}^08I$ zi=tT=s?1e*21VG+&VHk&tKdMSAZx6oHBVLSMV$F|i>yfgm5fzf*zv-k{Hr4KAVa=^7&)(Ni% zv4XTXPmLM){SoW{ykV6}|7EDAB+$Ci=n1I!_Pae&JROLJuMXT$8J_oQoBqXUWFC_C z_GQK_VuFb96y)L1fu4mI9(FD@R89`JU+(eud;Xa51Eeu#R(4JOQ!@Iu8ebF>4-8FyriJ=wkppw~xiik3BiCc)lY*uVr;tXdokjRK zl8kD>0$vMHc2M_FiaO%JBZS4XZzz|exXaeh6gCz&rlvk?cwggRzpG--TUVYUdaWss z6ID*UC_vtM1057nEvK#dkPR{R={vjbL8sz!wWT7IpDtYdw_BinCfSt`Q~~2$01_+AA~SA`TMs=( zg_SlG(UhI6O4;)BHR))x2tnbN5-7L>SKU0wn%(>NUIi-BVFuc3^r?+R{aabB{`j;Q z6SZVHXMNF7Jtx|lTbcJ=X$l}L8P3(N*g)$DnxuE92=1o>f-ru%OMDjQ=0G$bzVKV7 zHyZLra#ijybCn!0Iy;zI_ZnU&2z7{DNIy@*^)UY+!6pOZy&DDZ3MV{J;);m+60uoU z!guC2oV;&Hs!k^*^9dj#6z(|=ha8>N-j}DVxi}S^*~bwf+@h_c@bPb$jEQ7e>Z`qn z1i--7=yshSh1x-*o)2J#`NzLoEu5-%Z$sQxbQffP*TEGha;z;Q;@V^JfVjGXA`Oh8 z7eQ2ABjP1J+!A%#aVhQj;F&yf&_x(Yxd2*ikUk?HCPcXRW&dCImW`0_3Z=#FarKye z#B_1I_~w59&WCD|NA&;EpLzRw2Yt(GV%VSRGhb%-$#r2$2+hmOXoi5U$p&dPdvKz$vPo!~97qM~#WO0r8< ziYR-YV%C0sai3I-Kng^BH#$2?%89P3{VpyMVqXi&FgTr;i$tMJZ2Ts~NgYa;I`ci% z28>5cX2h;9!>;&#!PZ25KGM-yMs7KqFrx$+8>Nym z_dE)HqEP}bl)sfQXO9;@{TYjS2_!SIjsJcZ0_2T?rKp!QO?pT{xY-i{fVdCjB|Ry z**a8VzL`&1+V61^Srf?ceO8#& zz|+@oiv$_SE1F7vI9{HO{v*+{_Jmk=z_%DK!9OrE;FbJF#ns5U&swj5`W(8y{4j=* z*pq-g4e((xZ_zsP`tCYnM)H=}=ft~0cG;vQ(&?CgJR{gh)5JZ%9x#eA6sE?Zgkaj- zgEmW8AQ8xF7urVx6gE}W&Y@AW0eexWNMpLLBK^7|>+

0Ad>GqolKM{DhzW1L^(3 zl}y>w!O9w+V(*zJAa!F}StS`{zBA|tZ!phXzG$KwJq%^ps9Fpe0 zIBf5vVM&X2=8*sOTBh-8J`mfmyuHZqrKqsakgZ5pjNJ>)Olv_Asp#b-zx8bZ1*u7A z-85^?1|*J>E_S%T2b0|b)tarjKb(&=A7A+^6ZF>jPncT6v zox{3ilH3hl5l)hgUoBA*Y@6+Yt@zz3{OogJ$20IfnH4~?BtLmbkL5a{ZAhYD)_$0p z^yj+g%17&@faeZVzVDJU!%JI=&;NaR&ZR{dm_EjNx!VH&f?R1Cr>!)6ZR>(Hb1^X5 z-{1zJ=`?Nwt?hjq%wu=J{rMh~_;WqZE}MUttMC)vn{9Iy@3)XbGh_&9O%KvY;VBeh zKaUFnt(9$to4M7vdmC&1q*)`}vbAJoDdF&QZwi4Ds&xy@IQIiZ@_1D^vF8WxZwg>s z-Q_^lUKPQ;=1k^Kz}oW&`TI6FlQemSe}e|clh%|slt$N@Rk#<#lrfdcrc5Ev@!B~!-=7xiID-F z{(YW?gEKZ~UwH0W8$gvj7>>}a|MV>|FEiv z9T(JI^bdR$=JvGbj&WsgN~3q<{xs;db-)%vxOy6n;5mftiXkA0$qwKu^pA1{wqK?u zs%i-86bx?K{xl9gR|Ij9Bh*~81s;LtgidTmIEpxNLB}nZ+@1y~E#&l#$=?5FY{O-o z4n`2~WSo&CqtCor6+XjRIa@>;5O*`*1{W6R3DFrinPI9qWQaeA)enpsosDmZ@wneiQF z|09oMKAWqWBnAU${I^>_3pe4v$7`=Q<-@Gngu7t|e*E_ZeVogy3w^oImcVb(3taQO z@@%m)g$v7I-WX*l30!pCLk%m;0>O}XP$0c9qPl6RlHH9`XPMDfUYI=yT`}>0W9Um+m zo>+s`WfW#r#WdFn-KhC>Bb!Q9H&%PG59mk`7wBI=750u z@biQjOp2(5w~4>TO;|ynIfj zyAu5UyY&nHBmB-aWhJlw0m{tnyoX~IjgGDmm^`dGoJER+Nt{z-PTN=u+=8_rmH?4% zXT;)+%|8u?+Nrx=o1HUYkW~+%rQ*@8)#JIFDt(>Xacse7wcmB&l>*2G@$+Moa;?mA z$%Wme`82rDg%gdjH7wZccxLt;#-+$PSY9)3^a2I^FJm5-@d7qEyCKb424S0sk|iGK zcPsw+7rl`g?jFHh)Fha#wL4k3Nqk5?Qlj?Q)Dgs-I_r6wwE8cVI7gF+Q(OIZ264Rj zjO~-tXDRotX_!~N;(y7yKHP1TYOeM z7W-u5bdm3qq61Z@6;?A&Tb{e?0R5zoveDu}=0{R9_u>%1ZS~p4tK*!daOb#lE($(=2Sw; zh2;}JRa7G4K3Dvw6Y~5`#VzxYxLFPNPp(;pBCv!CffIPndo%ZJ8ZXp>5;_E1a$0H8 zDqxM|1eMD&ZlKGe&(PjZEL?ed|vVQl}1 z3_B|SH!3H>rg}e?Ts~d9k+9?J{zyJA(y{Hi!1|a)DQEN|klFZ5+w-V5`iHKDZAgS4 za&Nz;TXPy1pr!SIX6W;DJDkqa#0BS}Pxw_Hc|1B8E|h0U*XQ@m2ST@U^O`P6DV+ce zyAw|8)~sLt0q6hri;M<8LceW9TUaqHx&76SRXJZ2JiJKl zZgBI#uC_~e1|qra_iY25J8_;E-vy7gKF94y@&&J*vnd~(Yz1vk@4+{LVOI6d(pECA zQRhtHKW6xpHxL=U0y8b)8CA5V`g2DY8G+l`^dizfXw0^#oB+cd!}*+!Pd{pFnJyeh z+n?j7)>+bQ9@Z!wu|ip@{|L>mec94J&A4X-G<1H9Rbf~Zkq)M7IzQ$nOQ##__Ddhc z`g}|_e!F#Cp|*V%+c?5+f;svFU<`~{ax|_|)Y4lJD>XG^^(y3rh>ySs@=SdC zT(JG&4`!sknWtI!lz8Td^ZB>BY4a8K8L;uHQ(tHuXhrJU{t*UayG86w5yKCbt_I5s zZ1o{q@tA950ksO<#>Ek+tHQsZ%_@qJ6!<+d%ZO4ua`p(1L|c4XQmfv1%JmqTWAC(W zPDZBZ&2SE*C8fOaZ`&bzaYvP2>=iM070*8XFm$j+7W29z_+~df{6Fo3h6+#2q)=l* z!nD`vb9-QD&3vJjA%Q}yP%*?hiC#enL#CLwLs9;|v*Ihd3{(2QHKWKMfOI;C2=Q$g zTb-agm;}xQ;@>?%k}LaFd$&sUupYnlXU+_w4>;6Vm+<#(aDQdJ@#?6D^76KYdxA5% z>k>KgrnT2DS@Z)nF#>*II6Np1s$NYaqX?8iT^$PpFf3F1YeqLjYLe)y0l?P{^}X03 z=+r;0VXONmY%4Ekgh^#pv{pD;tokBO<+au!mnJzx?+5&U-c5dj!TtczCz%Zke-@Sw z3}^o_P@(@ha1+2Sse~0>;4kcEHBsG9*Hgt2k1F74peU9T*p!GjN(&kJV@yC9z-9c}%8E$5H^=q3!eATg7K7=reZE_OT8OGkq;iCx>_<0w0@=Z19 zXZub9D*@hUEKmWfh{M7rRd>YR-e8=eTL0~u75jkHFu*GPLm~;dw9QE|#Tv*#L-F)~ zyABuC+z8>_;Q=2|_uxV;`|?Mz1`=*VgI^!HV3ODs>sPVw`#%2WJLu{SwRk^ zeNI%t+p5Z^{`dV|0wYP%;7c*K{zt~_F!p_p)I@;dsn8NxPvxP>fj47zoe2GQh02mRuVXkiacsb}S zwss%_eMV|hmtpyI@pX~KWBu&_^``nO0av&2FC?DXk2w5-Q&3^UeHB4Sg9Q9bdb8|? zg6^vx_YLQ&_-gl#tZbOfF5bPV8#UnKXt6CIf2m3ciOYr+#`ZRdNJ}7VUmy8pAM1nU zGfCcSoyeh??EQo{LAY2L0jkbngs4#gKLkPZCqx`h&k>MWPmGWq#=E}9UXry7hXQ=( zm;7|!pluEvf*ugv7ofe3I|PBmERb?GVBQtr{S$m5XkH#%EUEQG5y3lvXSopCLCRO{ zIPZ7mL{Y%L!h293ZG&eSL>}BvpnpIg>X#6BiUx)ISQVbNJ2AAsi)WD9oiOz<0|lGe z*>nVth!Y!Nr!V{WJ03ut22P$GSdQtkyVZF5(^Sj>K8qOpcr!|({9eq(^=s>Z;IC?W zFvAqjR?Zs(gKd=)UICi_YIbIgV6a1skTOQ`r+;vf{Jm-3!s`>-netpy-J-QCn(~EV zG*IN)#1i4c?r6x;s0SBwqyD%+okP|g&flP-B8Rb2e%L4DgTGclz}sUWs9 z`rx|j1A*w@48)}Ay3<95khU)5my=-B3#aIB;y(_#9Gi)KJ!rVGqf{9O2~DoN_#<8s zb3UB0Bwhs{>f=i)V9#wUO$y1Sn&8F~b3+(v22GuP`i%g@I$YDRvng88qNN8AMyD=x z2H-V9zt_Yi!>6L_1B!A)=4fQeR@H@*3h<{T4p8)@-+g2?e!Zpz24HA6SlP4NKUwUV zZS>cJCj+X`VrB?Uc+C|2uL-tU?Z0uo2=c+?vKjSlb+lM;p+b<^J7)@n8i(GoP9X zfd^Kf0T9?s_UCPd;ijd$tPC9h_m}r2Za*u($zh#q|BMda+P^Z&bsCDmEkQGS|N6Xn z1K4409v||EZG@OZNa?ayv1&@+)(5Jb|ATy?{C7n=+E+zH={09*Pd~;ey~^5{8;(Gx zR~^Skne$})b7+MeOw|F5zw&}(+yV5dV^$eljhUjk*T?t9 z;R5Fb7gE9vZ~O#fg|P<6kkCxeFn(xrcr(#5cEZV`&E@U)a@}w5As-U1WN>7XTO{HR zo2BHi^Jhn+crl$X(=*(=zP{NOtP?wlo`VR=zVu_EY5bYo{@C(;D!Luc0qwb(;D~pT z8J$?J1rOKjcQ#jBUVDZ_)W|)a(V6?q{6k#~1o32Hf87(kRbQK&id+((o`B#;@#U{D z&U@i5RjvLA%9c*tSEIiB| z?2X3`o6_(a0Hc9l{Jb_00EmDP0YMqH0|%E68JoNZ$!6vjmYx*rZ0Q0YWk-fHUz(Mz zlbwB+_Bdbx2oZK%=+=QSC__BsRVJ!^QDUob_f1En7f|ZnTdZe=T)E@!xWk7&b0Rqi|C{3Wt(!5W)r{FYk|TwQC0u1XXPWKoQt4q%jlYnMmGiTV26u9?i&G9>g8u+pi=cDW1j8i-u#RCGU_NQz0Aw zi9MIEbh{v`F(pFS$B*m>{le7tmIOjTu^+8?2u}|K;tbUtiFmRkDCZ+}iC>zpU>+h` zY?DSnqorw+nhVfKfAe-F!z&9_DZ8{iifY%z6uSif=75MC7|RLi`nAcrCt7 zg24CRZ7b&bDf`yII>`NRg3glqGL!msZX;>OdbSqfUwl-~LME$J5hjn-U>CAB&O_YQ zh5vTvjY4js#*kbD@c&1+7<4ZMl5}zU Hp4I;VX2>8$ diff --git a/maptest.map b/maptest.map index 70bdb69a..6b5a3d55 100755 --- a/maptest.map +++ b/maptest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: maptest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1366:0000 0000f0f0 +DGROUP 1378:0000 0000f0f0 @@ -37,27 +37,27 @@ kitten_TEXT CODE AUTO 0000:6dc0 0000080a timer_TEXT CODE AUTO 0000:8b60 00000235 _TEXT CODE AUTO 08da:0000 00007bbb bitmap_TEXT CODE AUTO 08da:7bc0 00000605 -modex16_TEXT CODE AUTO 08da:81d0 0000242c -16text_TEXT CODE AUTO 08da:a600 0000010d -16_in13_DATA FAR_DATA AUTO 134b:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1365:0004 00000000 -_NULL BEGDATA DGROUP 1366:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1368:0000 00000002 -CONST DATA DGROUP 1368:0002 00000076 -CONST2 DATA DGROUP 136f:0008 00000102 -_DATA DATA DGROUP 1380:0000 000005a5 -XIB DATA DGROUP 13da:0006 00000000 -XI DATA DGROUP 13da:0006 00000036 -XIE DATA DGROUP 13dd:000c 00000000 -YIB DATA DGROUP 13dd:000c 00000000 -YI DATA DGROUP 13dd:000c 00000018 -YIE DATA DGROUP 13df:0004 00000000 -STRINGS DATA DGROUP 13df:0004 00000000 -DATA DATA DGROUP 13df:0004 00000000 -_emu_init_start EMU DGROUP 13df:0004 00000000 -_emu_init_end EMU DGROUP 13df:0004 00000000 -_BSS BSS DGROUP 13e0:0000 00000e82 -STACK STACK DGROUP 14c9:0000 0000dac0 +modex16_TEXT CODE AUTO 08da:81d0 00002550 +16text_TEXT CODE AUTO 08da:a720 0000010d +16_in13_DATA FAR_DATA AUTO 135d:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1377:0004 00000000 +_NULL BEGDATA DGROUP 1378:0000 00000020 +_AFTERNULL BEGDATA DGROUP 137a:0000 00000002 +CONST DATA DGROUP 137a:0002 00000076 +CONST2 DATA DGROUP 1381:0008 00000102 +_DATA DATA DGROUP 1392:0000 000005a5 +XIB DATA DGROUP 13ec:0006 00000000 +XI DATA DGROUP 13ec:0006 00000036 +XIE DATA DGROUP 13ef:000c 00000000 +YIB DATA DGROUP 13ef:000c 00000000 +YI DATA DGROUP 13ef:000c 00000018 +YIE DATA DGROUP 13f1:0004 00000000 +STRINGS DATA DGROUP 13f1:0004 00000000 +DATA DATA DGROUP 13f1:0004 00000000 +_emu_init_start EMU DGROUP 13f1:0004 00000000 +_emu_init_end EMU DGROUP 13f1:0004 00000000 +_BSS BSS DGROUP 13f2:0000 00000e82 +STACK STACK DGROUP 14db:0000 0000dac0 +----------------+ @@ -80,7 +80,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:0e72 jsmn_parse_ 0000:136e jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1366:07be+ _inpu +1378:07be+ _inpu 0000:1500+ INL_KeyService_ 0000:179a+ Mouse_ 0000:17f2+ IN_GetJoyAbs_ @@ -128,9 +128,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:5acc+ MM_TotalFree_ 0000:5b62* MM_Report_ 0000:651a* MM_BombOnError_ -1366:0920+ _beforesort -1366:0924+ _aftersort -1366:0928+ _XMSaddr +1378:0920+ _beforesort +1378:0924+ _aftersort +1378:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:6550 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -148,9 +148,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6c90* CAL_OptimizeNodes_ 0000:6d40* CA_Startup_ 0000:6d90* CA_Shutdown_ -1366:0930* _finishcachebox -1366:0934* _drawcachebox -1366:0938* _updatecachebox +1378:0930* _finishcachebox +1378:0934* _updatecachebox +1378:0938* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6dfc KITTENGETS 0000:6e5c* kittenopen_ @@ -161,7 +161,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:73b4+ get_line_ 0000:7426+ db_fetch_ 0000:74bc+ db_insert_ -1366:04a4+ __kitten_catalog +1378:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:777c+ LargestFreeBlock_ 0000:780a+ _coreleft_ @@ -186,16 +186,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 08da:0005 __STK 08da:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1366:04c6 ___iob -1366:0944 ___ClosedStreams -1366:0948 ___OpenStreams +1378:04c6 ___iob +1378:0944 ___ClosedStreams +1378:0948 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 08da:0042 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1366:0000* __nullarea -1366:062e* __ovlflag -1366:062f* __intno -1366:0630* __ovlvec +1378:0000* __nullarea +1378:062e* __ovlflag +1378:062f* __intno +1378:0630* __ovlvec 08da:0072 _cstart_ 08da:0145* _Not_Enough_Memory_ 08da:0277 __exit_ @@ -205,10 +205,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 08da:02fe _big_code_ 08da:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1366:094c __argv -1366:0950 ___argv -1366:0954 __argc -1366:0956 ___argc +1378:094c __argv +1378:0950 ___argv +1378:0954 __argc +1378:0956 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 08da:0305 __PIA 08da:02fe* __PIS @@ -217,9 +217,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 08da:0359 _fmalloc_ 08da:0359 malloc_ -1366:0634 ___fheap -1366:0636 ___fheapRover -1366:0638 ___LargestSizeB4Rover +1378:0634 ___fheap +1378:0636 ___fheapRover +1378:0638 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) 08da:7efe bitmapLoadPcx_ 08da:805a* bitmapLoadPcxTiles_ @@ -236,7 +236,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 08da:0b40 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 08da:0eb7 __get_errno_ptr_ -1366:09d8 _errno +1378:09d8 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) 08da:0ebe* _frealloc_ 08da:0ebe realloc_ @@ -267,22 +267,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 08da:1364 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 08da:13a9 _nmalloc_ -1366:0644 ___nheapbeg -1366:0646 ___MiniHeapRover -1366:0648 ___LargestSizeB4MiniHeapRover +1378:0644 ___nheapbeg +1378:0646 ___MiniHeapRover +1378:0648 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 08da:1483 _ffree_ 08da:1483 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 08da:14f0 _nfree_ -1366:09da+ ___MiniHeapFreeRover +1378:09da+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 08da:15eb+ _null_exit_rtn_ 08da:15eb+ __null_int23_exit_ 08da:15ec exit_ 08da:160d+ _exit_ -1366:064a+ ___int23_exit -1366:064e ___FPE_handler_exit +1378:064a+ ___int23_exit +1378:064e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) 08da:1629 ultoa_ 08da:16e7* ltoa_ @@ -292,7 +292,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 08da:1a99 __doclose_ 08da:1be3 __shutdown_stream_ 08da:1bfd fclose_ -1366:09dc+ ___RmTmpFileFn +1378:09dc+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 08da:1c68+ __ibm_bios_get_ticks_ 08da:1cdf clock_ @@ -303,7 +303,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 08da:1f03 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1366:0098 __IsTable +1378:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 08da:20fb tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -338,31 +338,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 08da:28f5 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1366:065a __8087 -1366:065b __real87 -1366:065c __dos87emucall -1366:065e __dos87real +1378:065a __8087 +1378:065b __real87 +1378:065c __dos87emucall +1378:065e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 08da:2904* __exit_with_msg_ 08da:2909 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1366:0660 __curbrk -1366:0668 __STACKLOW -1366:066a __STACKTOP -1366:066c __cbyte -1366:066e __child -1366:0670 __no87 -1366:067d ___FPE_handler -1366:0662 __psp -1366:0671 __get_ovl_stack -1366:0675 __restore_ovl_stack -1366:0679 __close_ovl_file -1366:0681 __LpCmdLine -1366:0685 __LpPgmName -1366:0664 __osmajor -1366:0665 __osminor -1366:0666 __osmode -1366:0667 __HShift +1378:0660 __curbrk +1378:0668 __STACKLOW +1378:066a __STACKTOP +1378:066c __cbyte +1378:066e __child +1378:0670 __no87 +1378:067d ___FPE_handler +1378:0662 __psp +1378:0671 __get_ovl_stack +1378:0675 __restore_ovl_stack +1378:0679 __close_ovl_file +1378:0681 __LpCmdLine +1378:0685 __LpPgmName +1378:0664 __osmajor +1378:0665 __osminor +1378:0666 __osmode +1378:0667 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 08da:2928 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -378,7 +378,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 08da:2d9b __FiniRtns 08da:2d9b* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1366:068a ___uselfn +1378:068a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 08da:2e00 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -401,52 +401,52 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 08da:83c4* VGAmodeX_ 08da:8456+ vgaGetMode_ 08da:848c+ modexEnter_ -08da:852e* modexLeave_ -08da:8546+ modexsetBaseXMode_ -08da:8584* modexDefaultPage_ -08da:85e6* modexNextPage_ -08da:86b2* modexNextPageFlexibleSize_ -08da:8780* modexShowPage_ -08da:887c* modexPanPage_ -08da:88cc* modexSelectPlane_ -08da:88f0+ modexClearRegion_ -08da:8a08* oldDrawBmp_ -08da:8b6e* CDrawBmp_ -08da:8cd2* modexDrawBmp_ -08da:8d38+ modexDrawBmpRegion_ -08da:8ea2* modex_sparky4_DrawBmpRegion_ -08da:900c* modexDrawPlanarBuf_ -08da:902a* modexDrawSprite_ -08da:9090+ modexDrawSpriteRegion_ -08da:9208* modexCopyPageRegion_ -08da:9372* modexFadeOn_ -08da:93a2* modexFadeOff_ -08da:93d0* modexFlashOn_ -08da:93fe* modexFlashOff_ -08da:94ce+ modexPalSave_ -08da:9524 modexNewPal_ -08da:9574* modexLoadPalFile_ -08da:9656* modexSavePalFile_ -08da:96ce* modexPalBlack_ -08da:96f8* modexPalWhite_ -08da:9722+ modexPalUpdate_ -08da:9c92+ modexPalUpdate1_ -08da:9d0c* modexPalUpdate0_ -08da:9d58+ chkcolor_ -08da:a070+ modexputPixel_ -08da:a0fe* modexgetPixel_ -08da:a184* modexhlin_ -08da:a1f0* modexprint_ -08da:a38a* modexprintbig_ -08da:a506* pdump_ -08da:a566* cls_ -08da:a5d4+ modexWaitBorder_ -1366:0694+ _VGA +08da:863e* modexLeave_ +08da:8656+ modexsetBaseXMode_ +08da:86a8* modexDefaultPage_ +08da:870a* modexNextPage_ +08da:87d6* modexNextPageFlexibleSize_ +08da:88a4* modexShowPage_ +08da:89a0* modexPanPage_ +08da:89f0* modexSelectPlane_ +08da:8a14+ modexClearRegion_ +08da:8b2c* oldDrawBmp_ +08da:8c92* CDrawBmp_ +08da:8df6* modexDrawBmp_ +08da:8e5c+ modexDrawBmpRegion_ +08da:8fc6* modex_sparky4_DrawBmpRegion_ +08da:9130* modexDrawPlanarBuf_ +08da:914e* modexDrawSprite_ +08da:91b4+ modexDrawSpriteRegion_ +08da:932c* modexCopyPageRegion_ +08da:9496* modexFadeOn_ +08da:94c6* modexFadeOff_ +08da:94f4* modexFlashOn_ +08da:9522* modexFlashOff_ +08da:95f2+ modexPalSave_ +08da:9648 modexNewPal_ +08da:9698* modexLoadPalFile_ +08da:977a* modexSavePalFile_ +08da:97f2* modexPalBlack_ +08da:981c* modexPalWhite_ +08da:9846+ modexPalUpdate_ +08da:9db6+ modexPalUpdate1_ +08da:9e30* modexPalUpdate0_ +08da:9e7c+ chkcolor_ +08da:a194+ modexputPixel_ +08da:a222* modexgetPixel_ +08da:a2a8* modexhlin_ +08da:a314* modexprint_ +08da:a4ae* modexprintbig_ +08da:a62a* pdump_ +08da:a68a* cls_ +08da:a6f8+ modexWaitBorder_ +1378:0694+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 08da:39e5* __set_commode_ -1366:06a8 __commode +1378:06a8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1366:06aa __fmode +1378:06aa __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 08da:39f1 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -477,7 +477,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 08da:46d7 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1366:0050 ___Alphabet +1378:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 08da:46da __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -488,9 +488,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 08da:4793 __GetIOMode_ 08da:47c8 __SetIOMode_nogrow_ -1366:06c0 ___NFiles -1366:06c2 ___init_mode -1366:06ea ___io_mode +1378:06c0 ___NFiles +1378:06c2 ___init_mode +1378:06ea ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 08da:47fd __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -510,9 +510,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 08da:4b14 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 08da:4b30* __get_doserrno_ptr_ -1366:12e8 __doserrno +1378:12e8 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1366:06ee ___umaskval +1378:06ee ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 08da:4b37 _dos_creat_ 08da:4b5b* _dos_creatnew_ @@ -523,9 +523,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 08da:4d64 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1366:12ec ___env_mask -1366:12f0 _environ -1366:12f4* __wenviron +1378:12ec ___env_mask +1378:12f0 _environ +1378:12f4* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 08da:4da6 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -546,26 +546,26 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 08da:52fc __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 08da:536c __EnterWVIDEO_ -1366:070e+ ___WD_Present +1378:070e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 08da:5390 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 08da:5565 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1366:12f8 ____Argv -1366:12fc ____Argc +1378:12f8 ____Argv +1378:12fc ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1366:0710 __amblksiz +1378:0710 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1366:0746 __Start_XI -1366:077c __End_XI -1366:077c __Start_YI -1366:0794 __End_YI +1378:0746 __Start_XI +1378:077c __End_XI +1378:077c __Start_YI +1378:0794 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1366:12fe ___historical_splitparms +1378:12fe ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 08da:66c8* _heapenable_ -1366:0712 ___heap_enabled +1378:0712 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 08da:66d9 __flushall_ 08da:676d flushall_ @@ -577,8 +577,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 08da:6b06 rand_ 08da:6b48* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08da:a676* textInit_ -1366:1300 _romFonts +08da:a796* textInit_ +1378:1300 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) 08da:6b6b _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) @@ -596,22 +596,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 08da:705e __setenvp_ 08da:71ea __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1366:0718 ___IsDBCS +1378:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 08da:7248* _ismbblead_ -1366:131c ___MBCSIsTable +1378:131c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 08da:72a2 __mbinit_ -1366:071a ___MBCodePage +1378:071a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 08da:737d _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 08da:738b toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1366:071c __8087cw +1378:071c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1366:071e ___Save8087 -1366:0722 ___Rest8087 +1378:071e ___Save8087 +1378:0722 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 08da:739a __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -625,8 +625,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 08da:7565 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1366:0726 ___EFG_printf -1366:072a* ___EFG_scanf +1378:0726 ___EFG_printf +1378:072a* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 08da:75ad ulltoa_ 08da:76ea* lltoa_ @@ -640,7 +640,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) 08da:78e7 __Fini_FPE_handler_ 08da:791e* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1366:0744 ___FPE_int +1378:0744 ___FPE_int +--------------------+ @@ -656,6 +656,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022750 (141136.) +Memory size: 00022870 (141424.) Entry point address: 08da:0072 -Link time: 00:00.62 +Link time: 00:00.82 diff --git a/palettec.exe b/palettec.exe index f5c6870fbeb39a84eb1d785103c038dd0158fa71..3d0732b90e741f318833a0635f6be5d31e132930 100755 GIT binary patch literal 41737 zcmW(+bx@Sw*MD}|0+v`Bme{4cBqbyl5b2a&8fn--kz7EQ1;qX-7IvW^lB=YIff9d|`s4t-1ZaeZ!S9~=&pkHX)f%G>w<+~3pAO%M`H|8@RFH9tQ;LJleRh8tj>&Gq@< zxCurQNkpBd_Q(GIbG%B3`dZGEPO|X6@u-gBlKSSCnlJSY_7mGK>+aDCPYBsT82nG zOeKpxcugKeZPPcdJ-H-$Q&F$ISD`E#+zAZ{2zL3$VL=meQZCWS~9t`xW5Pa;L70bWcnBFgOE zNse9A;eGcsl2=5VJuxNySbUOTGId%%DLFBDf}E~Qr2>FAkr^&{BAIhC9dHz{7pc}r zqN@6&0ILK&eXdG9U0~IfoG7wt6YnL~U6Hv`f}Qd8>Vt53wm$HoLkTk|df$(MBiZ4l4`Gyx4jp0^d)76veY zK+q9)4{cd*AMqwxM5nv^2avXm+G_~|z-9G?79v0=Z0!^i4tR6u9q8QE;=YO15)trK>!_^6JLV^TX4WCec9i?6DcK_?ZiU=GJNF%mb)pVVqH@ z-n&%o!ur&>H_ABni8G}XZpmer40*Gs9*w%cjvM-ZZ1c_`ruCVhqE@^$((A)!lb+@# zEqO@LY_1l)BE1HcHD`$5G{0#Oe^YfR^ndi_U(`Rc2cYqUg8S}kcmAe@_)^J;6z3e; zTORi8{}jfV(Pm5TBPJ2{_y);r_1T2hpZ`adI_tnSL#U@ z3Cjje1`5TApP|#+oDx?~%?e~_hP!;@^XkbaF5%1D+isiJlWlfdAVbh)xs?YSyWsOp z@DS$^s1JfZZ;FMNT}Yr%$*BA!*PjyR2jmnNxC{M2UJNcNU*Ukm+7sp5@ZTW4VHu>i zu7b|c8%ZaZ86!mxnR(qIO9(phH~)LH`gV39<*|!MVeMXPo5ad5QcNpP{O{I)>A{Nf zO|kCFu@EslW6CmQqqT3-i@x?9wWc@peH9KeM~wK+au+T}tumz`Mzi1a-hoEKYhIKJ z#&tiE6Qy588r>pSXGVdx9wDrmA=7w;bZa4zIsCA6_yhw+T+7s~Pu}tC6rg<5;z^go zL}+wT1p%Cq%+|L&r^t1(+?Go53=d~n(jte)Z`5lzBsvf5{@I~3ia466Rne8OLCrFU zFrinl50zR9kP}WDrd*?RazWb0AtASojpV9Caz)tTnu4DjqZHq~4=`F!HmYw@dtQvb zIsH>ZR*1WBFddvANz-;w6s|@lwwuz(+1#3!@)|?Ir2cfo%f#M|)wF)u*jctUy291~ zVVtGMOw`qo-y|VtDwStlO9z|GF66#afVRHbPpWt)UrQN(xn{*-y^tYZt=^F;V{V*L z3nKYlDH1v^`}m+~3dz1}R!&oiCvlruQix3ccY!rtCl@x%HjH#whQyPH#2hzfWk{|- z@X1<*r$q96VZ#n6D$qXbS= zQHgYxP7}|NZF7^2gt!qC(Q&t?{BHas@r-3elX!JAK*ld8T`He2ehIxh^$ICOpAu`3 znU58Y89qAN<-}}fC1*G>*-UY+<v#b_!;%qm~lVCSR;pc z2=X_dIv9#J#G#&+Tq@EX??O(?p?Aq^$L2o??se@YX@M#Ids=L$LB~~vZq<9#_Od47 zb7{iCYsLji0e8+*NjYO2zb7 z8WZ)YymLfS?Y+t^xpCzxMqQ<+Mx_A#gPclx10_?W-8;}?w}<4{4T<3g!#U5mZO%|2pN8#7_x1M{<0GI zG^OmmZ-byXtWA~6w}zvrw_SB6#a522yw(wVf<|0UP7yO*mE`BJjx*8_T&qeG+u^H` z4C9^XPy|B`_i2Zt%7AVv?o)S@EAkcPj*GK~mG{N*YkAcp7loQbut|FJJV9_8t>;C` zrdVRTR|A4$G4Ag3^(0jvW0AcoOhsFhc;Vv|-VD=im%DE=ID<3Q)Vg{1!FkzD=Yvwh zHm*aSvKGazPjp1^l+rP=??hLUo_zpjbTSmMuDxbE2v?zWH?gs+*MbMnETpR=#i)2iBLxuT&J6b?oZM0T(+mDis9he4Ymaf@pwXBR? z#0@Hwi?vp@Yp%O<=5DJ+G>^*U%dbhQN|z7lB3IByIdeJdtl5L*>u0(@OVRin&;IIp znB=M@OLHjBrh(A3_xFs&J}p6jT}Vzcn+m3lNvuMjy1qy-?+ujrELGQ>--hRf&~~6y zBnzPRA8-MeA+T3Baq|7GlgWZ`vLr!`55c{)ppazNDwBjT8vOy_4EQ6yaghhR`fYiG zQol$f^Y8kx5VCG8Y=Ugmdn;(i);h1a31WxBFy3{?ufZV5o3A>dTtV1gjQuNY zcNBV!RT(dlm9g|vusR7>-~BG`_aRaq>V;Lf-G7aR29N#fg=TMzwCmnt$AlOKfiC?<+*Yn}AO_fHD0+@Tk+NI0Tp{Z*O+Zh6e1w@w} zsSy7YxL7(eR3^=k&G`dTHW;pt*A8w}u>N))ES(>L_d0#+>8Bk&;U3m&DDF8fvh#=K z!fedDy7hcFR$B8H%Cxzc$?Hy+xwASFafHK25`z3tllU1Yk%yAHCqS-_jWJa%bjQP( zT7*$(@^~*$qeL)F8DM@O41J0Hl6++_dT1~aHPdO(8`4db_5MDeR zsAQo|p>p%&7l8fXVevt}atD$z6A$ z+qvKIW8I=-;^OxU!(KIUR!1#7@eX)rdw z@1qe|uXN@k3kfk}^u`iDs<;26RL6ewTg8vEZe*Q_ttj}&>qGI2{TOx`jBWqeL(O%X zOv38L3SQ+J<&FKAXe@PB87zpostp&CNtunwy=t`lQD*JJjpqN>a2t#m*}NF5pPZ=7 zz9`~V`LrW3j#AfuvKd-R#UHI?D8exx{eI#{H*RFCMB7}|c@vFau8aPN_B@eU0;?-9 zi%MTB9j{<4=R{LY$%@z?pz}Pl0K$DeFgjP(Fxr~pqZZwD6j9c26p9agLU1r5jG}G< zb4yVy_JRsAZ((zy&Y{`BX0+46L@M8CD#LfAPN|!_4_>VxC^#juz&tDgeu=tppP6o# zYh69pNO4w#Ojv31)A)WXLJv(5Cf&4!K+QwgNr&ItERvj}mYMFiACt$8l6_px$#0;T z`Vv1uduZ7mN}a7$<8R35G6~}NM{F&p$sN{GQGn69u^U-tHBec6LzBC(GNpn1;c9;er>U z`16UMTf5k_+>~(Fk>LEjPA}Rb6iXdn6Qd7!#GW$pTH!vCVz?HeI8HFzIc=BiaL%-d zbDzp@nQg7Gxx(h`8;p;ZDF{r=utJu9E|-E@%S$~=2K<~RQ;0jdr`U}}dpjN3#BSfe z-}JwZrPs{Dr6$x&C#U?#O2htq)n>(kB*+d16<3he5far9_L+!ATeN#jMV>2Gub5^y6B@@ULOS*8W!B&vx(* zJSE(%?OleJ+vOZ{um8=(9E?hGf=ot$>eNoxD3b|_Mxd}Nh%?I-MywGf< zX_E}&aRMn#%UFcQY3}FaWKIpu;NbFQ7OB1mRVMy@Yl$_x#NYe)UiTDbEtOPIF0QQe zF;MladvIVUB#FBR#+3|tx(7nehxil_msdiqn(tj7n6Z_JG2$*h1XGLQ%ICy3hr;Am z);1pZ#%ym4{({Mc?>2)~GP?~l3+oOZBd~kvfJLAkjP`zRK^#EvykDEd%D`Y+6#}2; z>=;&_oBSoPaA%vP5L!_?SFlldbn^x6cK;>}C@?!U+h6RTx|-lUbSw%4FPy z>X`6{NlBG-wR&8g+%sATRm zT;zUKIw>xR*vgfhgi9-n%|=9JOiM*YrsJZtYb3IX2?@y&BkEWVj+Cr45r>VcNpm_A zOPolGv;@+14XR1X>0%0*iNq8qY`WkrUAr+CYk{ovC{jaegma@{5@{^q#U<2k zjhvWbC&tS;jI&MLPl!xXAvIX0s7&Cb><)Zf+_m+L@M2iA9Q> z{76YZH~gIVej+mRW_rSdbl5T@wb719PLkHjTMq{Mdy@GKV-opJ4u~X9*d@i;Y(+%G z1_JUiiK4_>W(LzToQMv*o0i0@Yp}%UC6Ro%KM6^47e5pemH64_dsaLyDOpS^dXI?* zaQ~}+-xzkX#|S~@%!!SX13IG}W;Jn&m2$XODOmYBiC?;dwgadQd|%*dcu`b*VKSmH z4OSE|h=!^T$t?@C@tpM>s6 zWrj$uRxqVzOj~RpBvOcR3B+(IT};)kS8OuX#YXIF9Wp*|QjEJR(kLN<{9bT&Q&{UR zIu@n7qEh=hWk*+dMt)`7;g!F=hVb)DoVK>kb5aH~!8HxYdZ#tuoih6f6Ieuz<%(A& z#jm8l7Kn`EaTpE3h4D1?mPFkdHnYCcHc{i{>c?KJ^WK%YcdcNi>f>O&UVrbiri{ZzaZ!=NjLhTa zM)3y*#%70%6aS$MqYS%}6CRizZO}=Rj}U-|2|trJ(etme3EO+qmJk<--<+_AtHern z%WDKaw~a}Nh{cM%*&-%J36nAs(`gKUr&6pK(;`E1E}YGx=!0(BoK*z>V-dAI`xk0*&HpzI=X3kT&ze}b5jO7#Gv=!d9us6SC?q6$D|`` zT;M|`1|JNfQ|y-hg@+}^9Z4^%u@!$|(vWgDranHglUgH{&~f+mndx!7zyeS6+C_=&`cWTsQDGi6=>ExivPNK)u zQ9-V8Ntol$5<0{_&U#hZudjN4`uqz8^K-tM@?R+>*veJZ;PZH;LH-)NWOtH2xF0cH{4ji=?MLsXhIj`(v z3Ihbzcx3Me!!QVrqN9EloJrcaUu=??)t+jt$(K*KWM?hj~?=!!IBdja#75LHZ z0;U@*YgX@--9lh+NkdoSwjJSU7tdFh9Zm#^vkDs&y2&-!>J|kn`eI@0b zXYo#_R_N}(3ofx^72WH(m zE(lBiml#Z-AIPe5mm<`;C+vRiWG*n#@fHHEgJinbJ&#TO=HOettPas;5>r}6kv{6X zEy_Rdg13}=CD;PS1Fmhn?PP)6-IW@$5GID7RE@eAkkwGSJT6Nq8&5<~NZ{&`rE#FP z1twTdFFZ}z8J_3WgzQPv|6m-Tc2%CBol@C}FMG7^RSkt&5c!%PomPamrAqxGUUIhO zN6Y;9+>lsrYQY#WPw`#z^}~k=I)I0}Hq>s3R9Q1qD5mXRXyo#0_S{-q`qnXc@lkC5 zE6f|lK-Y|47N?uC@mrY=HM>6b^l!Ew21e{jw(Fv3EU|wu@cTf{5F2|c`VgOEPXe?l6NPtm&Mu@z z0nfex{y;;XXxs$0Rh>JTeZ!gAX=QZ{D`mnkcx(gK+cp-j5je^WICR{FRxjRGsr;6Y z{yCoSeK3Z<{;f*&J?s_w+aqk{Ui;A`04}_WaIf|2zQ$mLly@rs+EY2sKP{1<@YeXy zVO}ci?PAb|xN*L5FUJm%3w(!~Jkt56)J&x$q51+8xx>RV-+mJ~9x{Ed54M_XoC;+etY}3x61R+~ zGQ@8+4CH_w(vP!@+upVX&|>hdfpL5)@5P7zLwG7&o&Jx)4acKfZgGF2W?C?5cOi%ipucav_EL?ig) z%&XdNg=Tjtbs@2a-LEAzU5)I&WxBR?p~H+Y-?XsYLJz@u$3yz3SXVn+8h&CObLVCS z)P^&2)TSjldA)1E0c}LbZ%s~rc3u%%h2h0&Ul;P zgND4JfY!z|$&sim9(V67bzkGY-#d)Agf$f1?1cjndxV}Z-f)>xh86lByR>s4|NJV$ zV85Nqc!f8%2xB00HY3ZSODqv%+^9~M*0p5H#EI2I zMySp;)d?8XTiS89@RL*FG7}KgFnhF5r;O~yfr^|vjt-hzd9vC7ayZ_?~ZZn&(U>j zX561Aap&>WkkNR9Uj^~@GVcAKa4R5*2bvVnguj1){W6yzdEz$m%YD@8_(QSoqx0b@TUblVJ1qY|VU4f|G&m;2{_l$SoI*B>yEaj>GwnmM6+;* z>aMnJc4DbA#1KL;WQ1y}QbJhP66bE8Sxh_nQRHFlwJ`H4!?iHwjIxlxFAkwpKmK1v zymOLsn&Thbs^sRB%Y!pte&B(*fBM7_zi(Jx9iKA@H*3O>{AvuZU$6~#Qu<0~&6xY} z^FDB4qK@^#U6cgAF>>^cEwg_%F>pseOx|_<={kh_W}Y5SV6EIY^KJ9%FkK_R3G?l- zf}Aq5weloD_*HCS7lw}V)BWx(TE0gCnvai&Y_scV`>}HO57_ODgG#u_YZPmdOL|T4 zM9v!f{DE=_-EMk9b+;anNM1FkQ)t^PA~XPVDo^sBOz6&v>3b4>ul&Gtl>86+;=!#Q z3oall{$_{T=$~a>xd3id;PnOcHTb}HV=>cC@;EO;P)z^lNQfbi->bOr6!6)Tln}7t z?^=n(vA>#f%esGJ8{bYK>9o$=D$6GJ!M?Sax58JYT$>t=6?Yrkn{nSYzGwJOVgtB6 z^WLX!Dr{HZv-??sp1-Jc3vrPp0P96Q--T%`VQQj}UN+XAN;rF;eR zrH`gXaSlp+^M$3J6H9OSx4GXbtk|u!^Y8p3tlqGHa?{DaebDL#uXy@-^8oj9S1+5e zQU_g!<-M)fOO}xL;`~hB7bpTqd`nz5y*0ff0HKjwda4A99^^Meo_3YmbzOyZ9k4-WYA?v) z<B!5PRVAGPeUFOjUCXfjN5p@sWy?j3e?Jx{iG= zUh?suza(~#UD~Msl4R`5`fgoQ@dd`S`5z&+xVv z{~h<*qPZ%0?kQIsH*EX<6mU5EpF(4;@6Z2r2Dx-tiVg5>&Lps!+NC>Gh0eMaBV_U3 z9r{qNlV>gU)W5v*`o;hrbaCM!w8D-wsoRvm>2~`|9TQylN%vj3MkySxPdy|5iVzK; zrKT4~9=a}(4#r4y+L_4i&we_D9DJZ$sYnhbeF}7Xk_pQGI>p9;9`igNU&23epOl)M z$$EXU+@6Q`4$Q!qE9kNMZSpAQK)~{7`QVemgYr$-<`#x7+i1-8_zkiPzwtg>oFq}5 z7A6fn7pu4{tZUxqVM7JBDH_ed8b2g=p#COyBZ({|`{)+m-7EL+$sObp)&+8V1bWq} zU*WfpUFxaUROe|O(6mVy9ng?|Yhs9)4{z?M1*+G@JT#7v2CQnHD<64Y_tx1gqPku1 zss3e6Of6{c_=q?xv4cf1F26PTy_(;(QgryYS&zSX>TLGTnM5r7^0w%fh&tTAZ=sJ{>GmM$=c0kh zI6#T^d#t?ukj=ol3nzyj-(1P2Fe2@JYPq>|&N1DAm90O$TP?s_H_MqJZ78CX`8mVN z@pBJ{u#?! zu+^trZ6L=49>_Xxs&1>fxBkHg6#n#mVQvhjRh8_wmxWALq-M1?C=}^FI2@@ap*EIU zI;biVges%Bk6szHwSHbOS~C`b?5a@i)OZ;1D-wHbt3T6*^!`|D)~NUI^Ar)eThs74yM<-CoUmS8Mh&-DsfZ-bJ*Ps z=ZE%u=OQgts&sjsK3pDzZqS+>r*#R4UJ4SKmOhOj&)N`H)26D!3WSww)RejVEEFNt zXiRL~AV-K=7RpQL7B^M%e?>wk&GR>Z6@5O~8?g5%)C0D)ZaUjV)%JY3q#9%!x$g3^ zU+XC<7hdqRAc`U@g|{jabX;fsiH&Rj{^Ealyn{n2bovI}Dlaxq>M~9gr!J+cec#m9f(&c!3nstj_>7Z@!>|g7Ohgt>7xILMEYk}BoU_CEO z)dC)fu%9age+RMu6;9}|>;J(n=sjf^lnDsAchJ9u1+v|Y#s)(yK+>6c>Sj+q~ka%%t(;xw_r@nLvZ0tlgLzQ`-YuxbtUpH6(kFVlw>Q^G$A-?Ap zF};JK(y7n&rx|wYW2EJ;zRQL2@U|~=!(DpgoV@up5I#pA?aP{`^`F-_B^#Z7m75^S z;Z|4O*|6dd;XTCfpzX!us+6${>BabRE(77;F8Nkmo_uR-c=4ImMY}h{J9)o8T8T zx#Lrh8tGO%?5@3`foU5vZfcO{C#x{mLG?Sd!Ua+4pR7X_k+=m>H7hw4RGksdS_8Be zlPw(Rf~oylUNw}oqa;)<+^ZzXLc08p+^`vIRNnU8$ths<7~ZTH1u&QDMD61h<78+G zCCW&p+M6oV3_|?x+xnQ#xm_b94QU(=ZIG7R-?gE#iC?hCP0tg;H1x03Wsw!;YwplB z#9NmYtGeJ}Vf&Sr(p59Xv?yppF?4@vfY7sf*0vm}d<^X_#t9Yq6CZANN5cb#5k?DmOkoR^IW^Uv~Eafh)vV7c!c^2NsX!?w(8c zPKKRHx6;W^x75nhV8T3~Egdd+m|@y_%M=z61Upm(oDJ_{U*3nX-J$HZm(ar9UjW6L zkXf1T2s?Qx{qV_)B$J#AWTRGhxvA0*9uqj>v$-%n!3p*}ylx6cxpsRZ-5z#hHO-jf zTzTuB3h@S)#|oUC3B7XvGd#!nPMY}y#R73}f@B7}OJZ9T$TTfK$ngrRpvujl_wXoohOiV$Y%v^ycj|NR8M-@gnL+{aC@_Cf%kf)>$ar6ks zpI-(5jE#n?ld3g%{kp#rDBymBiU8IulA&Z&kBBi38w(_3_<)owiO0_nqV3FeIDp)S z-YBV3}!`;CNmAUmxErAjPPDV8eM86gsP79%`(_wg6Q-~Ry4sVQ9n4ey@1(AkQl0-hMInYqc?JRy z<{K;R^YLPT?K#N(w_u?pDt-Tcu%PqLRWF0^5;=VBYtEUgK;jvrm!hmMizV4e!S=$5 zELmZiI3*sc<;~2?JrWeAaFGl<{{YI=U_!k2FPu}Zu+`x#FJ0H^UX@|_F0$y;59MK} zB^QMV4NCDR7b&cAzFL#@5_su2{O-Wusv8!T)UcUL%Q%;2*38|nFdeUikJ~wyysajP zP3xH=tbfImw0eNk?rR8FGUF`eU+#p5V*M2`q6_EW;wz1jW9ruo7*L6H!lnYPA%E#z=^#53Mg-6zfp7rTCl1 zeIJ8QRLoNK+69TWjaXU6_RS5DQ9ho_fLzNdzxf|od}Om9FPDx88ra5qu{olESrK-W z#Gi=(P~Cj9+hMkvIml{xMcHL#D}%xk5MkDp~@(E+R`BrWx=~a zW~24m)6v4gdzwc;3QD9p5e`)yn$8=StA_>=UL1&-b_k1WEhCTU@~uh#o#=-E!dh2$ zYm4!R{v4I!%}r$Heehy>nFr^kqgI|+WhR?NR^h?e$88Thz9vN84hQ zOJO0Ph%=!wqOP1jX+%@w=QKJ00{2hRpKP9J@; z9xs5kP<#NcC`D>R{{cZt>DnY9VtkvJkY`1XJn7KS;sHv-5`$2n>oG5PYi~zj>zK8x zgZ9TD&8NxIXWrhBLkJapMbBU18VFc&7c1*e@)TzYS(GWg;k-qc4pHZJ;6}eOQXlxB zy|?JgsM`Ezs0@}UUgJL)w2b;7s}DhdcjN>oQUoE;z0)+yi#jy&(b}CLM#Im8LKgs= zdiZ{aHH~1=`d5RaF~mwZQa!q6)&vBuIX8Bia^JjUxUl#QODMLoDVBLwQ90EVT&Inc zd(bwqw1D}o9$d3)9O52dv|RiFaEV^B?wxW8}VVT%)k#z=(uYU6HD& zgR4>RMAGsSBD%#Sz=Q7W)m#g$QL=?aNU_rABlO)E>!F6jlWSYnjwwAzEiqV)gEYAb z)8{{(YT4ztbkU`2r`q7l3z2qLtlmv z{Y>Pf)w*=+pQC2Z^qk)olSa_>W|SZ7tQWq^wo4-I0>3Ud+QS*;sqRPjT0j}?I(Rbu zK=*W`5zI6P)T6EHno%~hiX2wfwvsj>8fTn6TxnWElR2-wXT`h^SarPm&5PsP=R#ba%6%{{i7zXW0;QpP zx2Q(uN$Izgue5j*hmnAqPnv84g|5C&3RlpQzTDO z%Zc6np4g4!qjOw$ZAQ9`0JEJQRwX` z$(m7Dqx7So=JTHO=s>%$+L?H%caQ8zGI;LG-OTbI4@^wxh>arSR}53pBv7g%*ER)m zXvO)t;Cw0iMyFwfcQ~NovvygcQj5$@a$0o{Z<;!5QsJj;y(Vr_3NA`2&JM_EBV9g; zdt5(v8}C2M5c9Qi!N5`k^B&nbD6G(p#2fF5u?cnHeP0oAw?${1R$1+n$iu6#S|~;e zw1$`_SpOs{0U8}BZE>`votNVpg>46fq{ayd;A)f4XD6{;drwa{Pr{|!wp_C(k{>>R zNcZ%+7D@l*%SnnPCVD3tMc$Ucd+1T~0Uxg!dpiIhW8w|`!~hadjsi~@zW#rrI+=Am z%|xHv2jc0KLw|NCBpk3Y<t8rhm0(yy~S5=(Q_a*Y}zrfIq+MRjSREM$|C2Qq<o{mCLf*gOD+L zU-6QyjM})Iy6CrInfEO69I3{yg)fXI`Un#1FK#PS5#c|VjNTf>vj_A#=fd~&o72vU zqHu#vCptwVR5L8s-7dP@P02yirN5bCLIaFXDpYCJ;;w5eEwL1*?PNZ49WD5&@OiN7 zSnt%=rREaJD~3ydB&K@kAEw0PW0O0Fm+CMVGKC97xlIv&Hki-^Hxp4pp!QG!4<>Zx z2PO(N!fO&hC9eF*X?&TL1-LK!Bk)IwAGlSLl??kHLwvhm*?D+6~7 zLp=%pEK+gd@HjbRq)J4u;B{};QlCiF*M(paX}xw6Jz9Ue^UDq1!>i`9XIEkAEvf{W z6OuH(EwXneN*akHs8-9&N-xdWTJ+k%AB`2F<{++XI#*k`b>Kh>Cc==HF93;BUR}D} z!4p_A>Av*)aG=zZajwUDicF=)ANu9&@_c=_)EB}yrfzF>*bC@i13k-HUW2-OO}pMA zv2^c2qw~2yPhz5?P-2W~`yi`)k!0DF>-Kr*J7mp(f8cHZp~^PvmwFSro%R3OsC4fT zzww;^9a>>9m{h=NNbIC|O$X{VE?ViQ;^!*c4GC|c-Iyu85Q8P&cdL+`8-5_WhV)cA z^ibXpf0@~r^tBt6GChk+_x3(ab+!rJK%)ma4mPi=6mBkB&L8}&Z>zGa$zH6xYiz4| zJw&x(rZ>WHCr=t;*IAl_WtcO9_>T9aFBu04Ey*agB=If{=2K$yXM64IDgtx{1MHsn z$IIosPP9<39B`FoJ(HFaeVaRVlCTO?DseMQLpCl|_?~OM@nl<**Bw}akD~J1KuH6tm$i11apkp1Pgs*zAH_h;G%d@Mw$fg-?7s<$xmMs z^_Rmv6^7JYI(}wW-@G^1bPmfE<<#eFdq3lD;`>wJ#bb19BDN!W^w_y!Pds0^XiMO} zV8!~J<4=mfoHBo7{qG1tg|?dF-O5kTb}^B2yh`g#L7`8`=UAi97&lPpX@ypRj0&@O z^y*iSC--B0@&;Y}5)VT=f!1PH=4fB|B;GUdL%Zb%J-1;9r3@1397)G=s6D_TY7V6N zpMReY)0qBAP|+zk;DTn^+mIT`JTar_P8$46OB1CATPsx&c7-!q-fr%`-1c5b zR&jM~(o|Aac9A#fCnDTev@E-it@JfE43FzapLr93=P(T-)vO=YOWuCj*j`o?s|odp z+;I!~!O+QCWAa5@7v6jWQWnd<+5FHL%4C{HN|^p!+CZ+T>ruYGiLg3;9SKpqN31&b z>}rT%jNaGC(Cn>;Vihruoz@*TD#F#srS2lhr&x$BLak;A&vo(0!eeY(a{M{bET~GW z)tg8~uiySPC01{tHiu@BqN30jLJ@c`Ez3+1D@F~hR)JEiH^ezZ8$7^r{~;uv?M8`- zoID-LbJJAA2zjJNnDH1&kbl-r6AKnu*yrXdQ&2&if8`rI<}#>MaruuX0a`w!I3GE% zt|3Guh<1ND`}iHELW}@MkDOa!=|_x`d?gqJUi(@+<}~5U^~H_ve&dRH6@+h(pN4OD z5)!|dFxCi4edy75&#i`3XWu7F_Q@Oqf}PfLns9}#A$LRu6AHPZ%x`$Y^Uur?8Q6^gKEV1$ zU$EQ>F6x>!40=Q4S3J~OvT{^hEf;$uOAcoO0HtHsO`#Wh>;%{sZcAMK<8|f{n#;VO z%St&3CsSx;GR5QnQkr{svzE*5@*8MH?Ml1+zH(I!jES>T_@O*gj(EA`SE%95WgFDd z1{ilD>1`j}n2He&armZa4Ee^+kfeydo7B})jgjW{iFw?ZM?2^PPrQj17w$uJ;vi>Czf+2V~uknD}pv?wcW?a4IVPGcr(` z)B}~<-41A)I|b3r)xIU~MT@G%xnbI1(Fw^^{2SnJ|F!ab=`(tWFt8f1_z6!r z;z3EW8Ujd%*Y_eD5M|4E9-MC-s~8}?YM3f{hvx_B(i=}i9I_4p3H48?~K*fchuNZuQ_Tfbz_;_}&fgQ{{qO`6h zf!uk^RJv?ipmM3~9&C(j5;IudST`@44TCd=2N(Mxu%v4MTwLY|qlo|LLAyqu+2DT` z!0e`fd8hsJ5+~%EL>S|uJ^zDzPyIiJ&cu=F_krWPH`~k%bKmz(<;pd8D)%|JN=dG= z;Ttk%=4v`rs$Z_kks>#hxr>O3+*hT|5G926`Sn*k@8@~muh+Ys3#^d#0)9et$;_DBA%54y_&3%KUnkw@wAx@?gFrW^w6sGx0b&LK2Q?u>gLR zt1+vpoEn;S^pr}|xPeVdfFtnU1bWFkU&`ae=r-pS2<(=`Dq=)^bOhVd+#M9w9qd;_@RC2$K2>*)w}&n_sT?WwIT zvZkEZzkE}?Pr3pU}m?4=yh&6(qx`#v(E4U;4uVfrUZMA|23;pf5AJles2&anD` zy~S|46q7GWtaTsb?SiknKhe=f;dL&aB4JYxQ$N9Pk z2mPg8y`|_!D4zIYdYUi=N32=86$NQAfw#Ll=X$nt4fM4g(tI3JUA-M-9Vu6^U7n8{ zygs6)c$Y(5!TAm3Am&57 z$ZyQs|LjsbQQz8Yho+(^X;YxuL8QNwplnGy>8UwJNV1*J`%m~Gef3BG{XIb;S{YAa zrgMNdIbG@>c77E^KyqHPN7dhoPY#S-5))sZ*o?!fch}6}LnT#7k%LI?^o^?ngC3r@ zCv(=h&}o6Um-ubg)qcmp0%L#d3IN*<@iL>6qtj7GLp&D3>$1hu(QaJ_GRPq%D<@J1t{Fs%gyC#3{0SNmtt?aQux~1;@-g}%e=lBd})kDA^pJo)RJ$ZdGwhD56v?q zbk2-4#n4If#H=>M@7^ahe-9pC7H95erVx`|nV`j4^IjGY)-E{Z(@&oiBm2!4Xp3?9x2CpScrv8O(N@ z2I#ERRW}#U&m!ZIIU*^0Ge;?+DhV`CxL3W4u-$1YZeXTV%N{r=q*!J~eS!8lg5aMUMqP0} zh!XjGu9|J4SW-CY&~_Y5*h(en_|@|hR2i)7ckw%luDR)N9+n=Cz=th+7o1ThVu|8uHGOKpC=pZjBIa{%8%_h;8!5_U8Oa=>b+g{@9+R zb?)LnE<^iJ({2ICj=8kYAmh)QRETkRoy%Zat%ilp5ATgnk5Yj#`h4z3y5qrk{`BWQ zbouxP?jt=*r|CS%Vc@O4vG672^{e}%`a1>%&?^0sla$eR59So=+bU>tafEQUO6!}~ z+{NsNasFs))C#`C%+hv32u--0KIzqdSP~!Smo1SGvJK3`@nbZy zDkW8oPdkvrs}7vRs1*ZPeOF%70SGAV6@=fXh!(2AE#tlCkM2fOZw4g_Upxh70zs9C z*fl}GFiK{Z(;e**Ah|QQW00OUha_V>tbNykt=|mJ$kmRN3(hXq=-Z(8EF5@q9LO{6ZhM`UlX>vBQ}tF+bJU0`08iToDC0)Jcrt=2YBV34C6qUOp@c~y z8q8qtH3=b#4Q$&u#hwPPd9S;;f1?(4M)W-pMUWL09^a=aKVLy&)jMVt|Hu8i66*et zS32(zNTxWcwV=sHRc>3fj~bIMk-(|ULB?`Es;H^gk(?3`uhp+HfYuzg6>w@nRJ}HD zuu7Dt&9b$(`+y<(p;s`p+he;!1D-LR42fjxcHJ&V8C%|;68e|!y7d0nPE1<+kIGe> zu;YAX{nvIAA2&_BLg?bmX5etnqNI_F?qzViYo}QPr~;A|{Q$3x65H-+l5wb&A+~Ic z+-}!wu%sl8ei$8qM|?eNSD}9X6?fZqze>4Uz31f(+Hx}C&$>DT4XK^sO7tyC&dcqQ zC{Fw;#cD~1SBYIxiX}TMEM-6rWAt!Y6ZL=wTeYf==tq=+2#;o0?vhs3IA4f3M8HS( z*($NTe$;B5X)Pou+dtwbBdHbweifnviD`LV3}RW3guB%jdUJYC0DY8apOKg>+#@(< zBBs<`cP1<6F38Qp;+Khsd3Z*Y#U=gJ_k0h2bufs1$X;GR_>}Li;XyAo>>(>ytBXF|W3(WbZ>0)MDr`Zon($_rCe2U>$2$H{i#I#)bL;?n7-q-2ZsS0-w@)Ik@>lLL zm5j|kd0P>(e!Mo%hMDBVrJbiOC;bCTKurFY7b>62j3W^Ogr03blVBdhR8GAW-y#nk zbQCeD`N>5(SBJ|E0GUvzs170?oNObB zESKvO89>D**0=YBzS*OU<=vwur(;UW?8BLEHYL|HBR`mPNSyw+Y0+v(=$aCZuei1y zU!?3^S;fzHily1oXEvVyNb@D^Xxtn2F#x3|sB>qq7x%DJUhPcu#h0 z%LEJU4NdFWzH7C_*{HmSKni@T+QQntW({qC%Fpq8be%nFg5y{;3#k?%N`kF7zjmX1 zv?J=JauMgu#e;uBcsP^wgKaa76vs@v@VJyoySahjwCqy==FH`DoaJDi4; z^^h`CW^AJJAzi4&KarzQU_}>Pi-Sh zp+`0L@G2JFpV#m3rSdA$!J-$w1~tVJV7*+(Xn$;%)xkCEBd+Q7=~42TJB*;zE3CS8 z#&O>ZL0vl(y(Y8V*#k~%m(?pD4Suf9Vrs%IFKh%;qnY?m1JaZTrSEIY-xHLMYkN)BHNXkWVPeNMgdCQ=xFT zMG*I~(~+QbcR~#t%EBq!y>wstq6^JxX1uO5VJu<2B`jM01_Qvcd zz*%Lrk+0SbH+Q^>DR*_>%A|Gf{;P!RuBEz$so?z5kx9R~a>e1JpV|}JvB!8J!04I` zZy&OR$>Y9?6~Di>dQKFzP4Q|+BshIuWt=_Fcy}cK)~i(-sfIH23pR8&K1j}tb#Ily zXeo?9CM%7vMUL{Wy9g8SmrVt^7P@f{-yppI03>CnpIf<>FA9-cS>eh@k6EUFd}`eL z(7DdT%vM@)sH4x4W6jl6EIb z$8BybthmXA1&53BURyCnQYUtNuPnA3j7!b~bG~vL?Mn-E<`eLvS2s3P`?CuS1WVSd zVaCyy6hm8%e2+A{Bndqb+qgCQmKWkH4#rp$w|>I-f9BszLClGO{8E14mEfGQOgH64 zRw1C2td8&`h!bbM2~^iafzVv!B%{QOg5~j_NJo2p?j1!Lbc7R?Y~4n>!q4% zbWl53C_~JX%^H@?b$Ns-SlH3*qV-S(e+0nFtk^jA!|HZ@oLZ;&=bDjIh%v`q)=eU{>n? zw$VqsOeZWU6>HTL!7=kQK^l>UokhJv-pRhQcR$g8d56({`0la(`%BT#!8`IA)zsSl znUxWD+;~{Ua#r{s1C?&Q?Fy8tc$fS&>cM>FR9et!57LC6DF^n`J8QaDPd6h2R_8@O z22G8tzR77vJ95?I<5-x8iLS5&&Rr*A8#TqyP+U#^C-%gvTNa?ijwl~cG8Fzu=I4~u z^`7k87iFavi~L$6PYs?hxP}rA3(Ppoblm1&<2SX$GfmVU>#RwATW0hta=r-T)w9P< zx?C@R$Q?D@#VD~!8Xod?hvoZqcm)t^j}e#HOl7cE4U{snfF`#I7*z=^<|^wzzK_Fv z+9YIhOG&05#T$LNN5pf5Nu-HW1)27=53}E}U|6#Ypc)keI`opt&!euWS)XJs zocNi_GZO}XlwA)wCfF>mfQ;r?abD$hH<*3d2t{DLwa%giP|Orp^;M3L2qSKl6)kRg zuMc`4qF5GuY@gG}QNdsdK{Mm)M$(I$wg217JDl80?O`*e0oY3CyF`Q2X8`s>AmDb= z)vxg@MB@a`?ZIiJ^RpmlD4FpWhED5-XUVEr^5tO-i^hsV8~V*1VrFSsfi&ygs&IKmFL$&$}@H+ z2Oj@v2*I3$6_{_Rc2VnzDVSD^reA&Lah;l$qd!+U+2YcN_RIlZ)u|I{(g5$0IdZ;a;8scWZb^|d*)L%*CCIUL>;%dB#T z0wPNa!z8bv6%(v0FW*XT= zcJTey5N+W`uC;_@Wy4J|kijKXOa;R4MfbV7bS*SsA?WzZ=<~N=%6wzYK ziB%K8JVfWm_?>a871Nq}^swvfULujh(sAnw?!eU>ed5L{1dr0&dB7WI;^T5TkoI8C zXRzCosNR4XLPBY)7byDfdVfbw>{pz+95mEK{+O_-R!9n*cJqQ|yXiJu^DKhLu*|MD z&n*i}M$a|;;rd9Ic-QnudkSts;6D6ylEJkMCXZqT@uLD?TXf0Df6~g<3yT5d+;0Bc z6`gkKpGM5Wb6;zIY zpwMWn3mvTTgm?kDD(FBjoofYbuw{R$$jVE{2Opz6w5|B)iH#78k}#4F0d3qyg$Nc) ze{=74xBnkiVo%9o%1iJ^eTC6Ttbh?W5N7m?S_~Cx_CPB?;*

oai>pK0g`S#+$kZ#FpNS15$qJ{|;E1@|Kd61tC5Iab1cvxlHx zaEB%lGe%=g95yD*yI|itjo?GYKLXRT6opgUe1ocur35*B2fuA_k_+@qKaZ=B$5D^i zVgPwWS5PF+B+YD3bDmoKcq(VNyAgioVV+I->U-+L8PXlp`cCXB{af>nH?z%J%I^{= z@4Wc&jw~SlD^vczB!rSteBp;%vO318D3WSHOaSuM8c-GHM0np#}`SV?h z_i^A4qSISzi7#ZFlV5%Oo>Sv@w%R17Hef@TrJ@O3P8N$>QFkg(b$s4T=4`32^j=Zt!>++DREU9si zU;GSM8zsP0cZDi7$4)CLmRZB5UCPg?GIX_^UAtQEZ>(L|z*--`7bs1+@ zC6*LKKjI?D4rq~)^q4M_-BFO~LYi!ugjF!&h^20(k=T~l;Xd#6-5;+#Wt~$7#HOSB zYGsEkN|cI}w?UL-_*JgDk%i0X%)Hhbxi5GsqVwN?l8q_ge76`mJ2u@wmI#TJl^MSHb0Ly?EM zKe@5lFPfyIh}Wc{}N|>7=&Hl+{C-Q_q>-qCwFK1wslQ9<}?pJ5&mWh2ah|iru?!Gqt&P$tc zJSgqmJAI6`+AYI~6X{o}&trB^SY9?|dji{{xB|)QX5s#VSW`}M0`gY+gs~q}sM=C! zAI~-f5XCa$&1_BYfNY6Xf^=#bmGS1I?lrLOTYtCgD6xxtDkHpIl9Fd?058xb7w0su zZ>R*Sx-wqylEuw)o;dG8$5|H7VJzxrknf0x-p23GE_YsOLbEWhi=P_I!@($Xr_s-h zc!dYqZBh?GLye+2TpF)T2LR}J-7ov>>aOPV?>XRmxR0?+lPo~CZy@UPB_5ahEdhC| zYn94F44P0jZagjjS%f~;Y%WHv6{Q>7nHLPdy!3&FUD@4bgSe5p?7hF3(_*!}OB0;I zC<)<|HwwbPu8$|M^#FULvn^~D0HdizubSRQOPs>$bjH3K|CR)y2)w+yb9UHet6Tio zMOithb&1Q5Sk5;yloLI9yqqzS4%Y<6WhUbI9Lbo&6`6sx5=k%3$31du6!8u!6Al>) z`R@k^tcvB0Pc7<(-;chFZJzR+iGXjJFJW^7p0R-Jaa*3HIgzirZ{K^+W342={Hv{v zZD((bi0e8NG8R6Lm7T+FKLde1GmdtHCf={BeyBxWa=o;t(?wgpKk8REMO^Z+9EmoO zeDJ7Ta-s8hU=fwY^G}b6W5DFjDJ7mBx;QgN=aUOZj(|`80Qu4|8WA&+8Os5_OnUI2 zc$+bYxKfcC@zp&LNK#ALHb;=)Yr})w#n`nBv%_%j%5v_R1Z2rvoA&j!hM@ca}`^i$07zz14*M$LL@>Z@T^G_erDJBtl7U zbpVV6$C(HGq^&xE@q%~?cwI}2!Z#!mpBw)4H&@}yUL}tGT@>0lZ9Vi@w2uSQ6>wmD zx{KX5`b0Pu-eWtCGFh@6(Pq3}HI0=@6F|dq;urD*vih%O!}ujNKIx6^+x+^tf=852 z93c@2v^bPS$)s@wc9r!It1I5{4tD{ueD+JaHbN3-g0!KDH>p;X0o1y;Aa(nf3@r z;r`aXX^Rr!oOPlS+-tY#Gu%iAKHOBsPd^h17+g>0q>k1ZQjhZd&jR9;BZenPPZRNF z7nJ#&9YowxUEc3A2;3apbwbHag1*eb^fwu=rZ&$9TLIGy= z3J~_s-Ezw!J`f{CislG!MGhg-W>@`XmF56xIgLRf?E)#5%)wa4DP+4wlq&b1@H%B5 z9n(pq3BA#uzk%Rv92Li3BIfqY%{6jKp6&WurK)%YITvwa&-D_q~SBA>phh5&w108CAr^ z@6xhfUEPYo`CR7~Yl3qh@}v!z;w!0@AtgNn#mQ>d>x;w3N(v!qHP_*`b20{hh!nKT zi2Ca%wuCarX~<3dqvI{s2(9yF$3`Hoc59FAmh)``uZ0nMU&=*IfI5`3xtGcnS+qdDe-Q1s(w)YV^zD0nUdB*@bJW%N zE#PayLNKC~9@anNze^#{Q!O-R`D|mF;Z6|#^%KLDI@%d3a?k>j=WJap3u(erWDYPd zelxi8c%I#Ku?OQ5n}vi=;cO6tp3AhFc6*gnA><1q>cR;izw0#0q`_}ThR<+w__bme zzj8_VgJ4tZaGTd7%5jBjpDEH^ti5cB+fQu|dg!~yo`!k38Og+6bAXnm4;#m`Q@Qk? zxPOwOshr_e!Ji(Q-zJ+*nbUqhUs;;ZdIR+JTmEXHCP!f-lRnOCw)~+&W|CvKR@|tVWzs)V-Tb8V8kJ~;O|-@a zkOx7TIMCI4=K=oi80MED#!LwiGz0^W-d4a>fuEn_M_hHR8Nvz_;!6Ur(3IaR=*YXQ z?27AN8zTPjN?;5b@=6**I^sJ8R5!tOZp!$ThjyL3w6t>?%Llide5XLZIi8izs;{4H z>=vkV-V+TQDLYchg>S>m4n-#9R5=1v&q7yrHXj*%iV z#^gMAPj6}-k-lURPdCSx|8V;cO63!Pqra(26==NVC2pQ{}>`7D5(vAp9$tv_4|Q>Aj@TpTA(+Sx3jL^*5}AZ8*eGxCDzb^Wxq#38qOhc3_#zgF$y zd~kyac0RmOvWKu>9%?=W5$0KOFJKbUw%oKJ44jAwCHfy@;R5TAvypj; z1IZIc{ZywksE@42=qFxi%UnM^eIs%L--QJaZT8!tEsB-=#{oOOzq>7??`_(=jef!G z@FNZP(kzVrZp1v~u+!?SBrG3Js@tfs>NmhLL7>Nz#eEKQa}PLob(xI$9@5)J@aZf= z653vcm8P#pZ-IC~%Ce7Q6_V;e9m;qR<1Xj%&)iR_L4iuhZlP&0 z=6p*&K{MmRpc|J=O`Knkzrb-2&E1z&xi17-t|PKO9;rVpuWo|@-ognnk>)vfcyAU{ z1uFv@;BT8Q9f4;Qb7^}5vF|u<cWESP|- z4mc$vh-JzL#LoG{=Rt{B&WwQL9w-n~WSGs9pJl0E1)(6G?_? z7*o^HH`&1<9f$5s2%t1#3S~#Jt`h2ipFGd8Szo4I^m)ouW5MSknL}|%>JX1?XCZHZ zvuj2*{!}nzK_m8TuO6g-RBe=?-E&dYH{Rn7L;lnr)=$qj19nN#+k7X@x1^(6Y>yGL zrTFIbHCiVhG+f2c@~JKy89n4*G962>mdc7ZiZ10L_U5i*=zfqF$E>JwLy?`T8a@&- zYd;D{UPZ|EN_FXgJNVTf$v?R8d388{+Aad8jDDMY9V>*jE%%z1EQt;_4Dte?h80UZ zq-THr{8oeK&S7o!^jr&5i>NxIA=|s}n3Gcs~_Jj2G z##=w(Fm3s%ebpB}$s`)me>&Y3>h}nCtMKr`1C)$m348Y<{_Ueox-I*&By3w;UZ}DG*xg&vzbAfdomUV(nk7c;+rB^m()#HR?0!5=#Y}dzQ<2o!c%;Yf zBTA=iza0lU$!{$Wbc+^Os|C%fFlo+pKsUk4F;H>0=@m$f(W0Ud!9QWn!!V9wm#6*} zQNpK5u+|O#Fiw%u*Ke%*6>mEHN$ z$VT*xxWu!vD&PFF_7CvgvPdI#xa_e0G*Ko~_VK{jgd2dr!n*o~(W^9mw4}9hxJFp} z3P}f0xUG4%|LeTgnM-`nZmeDLYlU@!-;C=%6H72Q6OWELu_r@0Ue>+y1zfqi~oHyI(LkbLw6pVTvJKOX@ zDa~Ina*>Oe#|mT%>(p$o{}b<|KOiW8Gfr4c>+3R`do4cQ+5z9L$DH+`9UYoWoi*c3 z+oXMi;9XT6xG6qsg4y|Je5284m$w6bypO7O^jk@1gH(FkZ%ny<+U{wvAhDeG4BxE)u99s+EXF+ioJRr z|`l<@LjQX6Fk?Lm6>h%sp#@^k(d#BJ z%T)e5x)~==!ajY5<vHR>a$X#F-9_;whc0NT;ka^>Y{8}qxcXQdVbHdei>o_ z^HiQ=@U;owwB#l{+!=E1ZS%^xPehDquE1HJ@c{bqpgox&z>Uwt=NP8fLvRmCb=>kH zlrLBTR^K$~XCyj((@evx%*474NUC1Tt=aoTE*z^!+!&9h?KGR|6R2GYIJyIwP**Xo>nlTtDu=J z6}LKZZ+73{p0w{E7N;C7f0@>4wxxvw56&QWVNvH$_on}Txi_f=L252+cj1z30pe30 zHKX58dG(P4gOII#7_yQH4@G3^?I2LdCX72;a%a*$kRtW^zub{lK2&4y$kDutpQ}Yv z2(eeU=q^#Ky9#{UCOoka>oL%APhoQa6vEg07dASyXv`k*W)%hI<;pJ(+Xl>&po?R*qcU!YA6{>RH!re zcB{@wueg`7kZIeV%{?r4z*_BMP`qI&%3p-qjv~yn8<-NG?)C@7KL<)%Z+M=7QlIl_ z3Q3yLAsu=(=VYc0{%Qr8P|o`X($B~qWC3t zp50(>FpgTdbNco*x0IU-+kSPwM>>|opY!AQ~{)R5Rnze#3 z2P+#wGJkDKtTO^cHBRL&Ti7M7B(B%=O$*jO|K$C2RxnQo=kUolE5`FR z%jmd>;UK|J(^NgG{=WVT!wKx8DNuG|2xjDSQ&0jE;Xe`xk{gDM*U5uB>7J807oR{@O3W#;ajt7^T6&v#F)a4Vw*!hsXT(EuiXrIO zM38Mha{*`h$&vGo5p(~2Bfltzrq66!%sNru<6X$t;s_^s;-y(-ji}_!4mJ2n)BXJT zmfSKD_7T{%lDJBT0g>>QcI+8ZRzU+}IAZS<;g$AmYl5cxggg6d;=7h( z@I%~xBRFFWwg&KAG>*lV1$fRTV(XwDZ^F(Fi?4vYuWHYZetDCU(H(YjD&w$=%tj(> z;iUvdlvtecY`$c7{T539`B*nlpEJ>SGi5u7vMF*Rg5LpjoUr$9tu2NLvipD0*=Ab2~xFHsUfYKS(@I!ca)6cU18eQ;lN76ySXi z=v)dnF^UUqJD!PB0(b7L94qP<%%x;`Zn1lCr+;7E^r-bXgiwE<{4;Gu2mCS2O7YDC zit`$`_Ajr*4(dFLm zh*suvZ~keMhi?9NjUYSvGviWJW0uuv?u|N z6Q}Bouwg7}!{joBEZCeS)&MhTmWQmWHb0Z!+jyFLB_W?N_y|1-wE8fR9d&xHBSb$1?%hDE{7!2TW| ztO$VMl(wg=U|u7{mO?Eq6`^@<$6B1zYE{)}2uW3$G0UIFv>UNTC~Mw-Z8NhszmYhb z_C~qKCcixScf0F~1e@insVn7Ip8WOtIk|+l>U4zl+y% zv?}|lmR&q&X=kL?d3<0BxyDUH!+GDrwDq1c&Pf-8LY+8QO3&)+&w7~YwgRHhlq_I= zv$H};M{OOC=z3n3X2sVgoQvJ@+})F%z9$CD(rJ=~OXrB^Cuz@tCfua)sN`527ZZYU#HB5K5Q)_}^_jcQe8b!*Gs_l#P`_^hL_Cn?C zKhX#mVKxC&)9^uFxmh=5=Py4Fy+8;CHeBm7Ky0D;= zqWZM@j_|uUw*5g}`|}@FJT|mPXAihA_MrnePok>E6{;L9S$x7n0$qqw7s9=O;0FnU z8pK8sczZh(OduiRL{tuOJT8~t0=hi%#}GoJ0VlQc*E5ojej3}iGCmcsEi1R5Bc1lm zj(uL7zvL=sa!jrAY<#j=-pI$uZKpJrAX6>2%d@I{y_dIjU(f`o(n(F#{l{5%&-w?T z?wbM;#r<%;ne$ou<$|WMD3?2ito}(|-Vtk&g`n(z{*xT`Ga|G|kPwQOyEDk|TOo$! zM-{UE+td;&E#feO`Zka#<$gQ&W{IB&)b!2<;%cYgI^w9!>adQO{;3s%3npiN$7q;1 z3wB^^V$@8mswlpm=*!l_ylpXZwM|(_f=b3&Fi~@t@Wew@=ZuLVRfCn((T}S7;{v6F(h|dsV142RG6H3J8jvIukCV8rHqEk6 zd%i_YT~l6}lqe=EuPx4RIw|x5zS_yB<1TaXovjiP;@$!oht7w@_?w*FxO6)1OsYI_ z#f#AIcU^cO5K@Hjl)BR`@($^5`N#M3p2^|VCD!~d<$19Qru5YYi@9&1RF4+O{1}Jh zF+i~O#TB=HgW1bqwSOC*=Rf}2G<9wIaX^WOBO)vbur+K)q&gMO0r%_pka{2!*Fog{su2wc0eA10j{*`*tBp=Lj;ByH8T9NTh&{$3-ok3CMKv)GY;fojsKQCFHWv&%LpXyy zhp=7BN;9!(NxEzC%u+n*q{!vds2 zl5gjsZ>c?-$=H*>ce$2pTq|~^WNss!8{mNX_aXHk_e30B9Tk4k3rKxiHh|Kac z{nAJ8vd~xkBTe}Ka+0$pTwp~Z<=vj$djZQjKzVn|MCau!u-(IqGHcHuo$vD7fFx<4 z?qD`Ag&mi&%d;CxuH)89>ZMB*djn<1OD2`1+5TK_WtB>KPc?`VkX5GIg~vDWuR^b& zHI@4?4BAES8!N1a&MzS=Um(9Tbf*&&L#nTy8WpN7xe`8;WZ?ipfqi-(VODi0ocF=_ z!3+B|asGEYpLVxSM{{c13a-YKI#RFU?9S)x#ImE~o6f7JdMTX@tJx1LfKNYKMtZ?5 zc@`FT_HQdLTD%kfiMV4`?SeXXVd>JotqVa5oD-pP#Y4)~`Ov)U;OG}9cQU2BV=DJ; zjV>vY;wGim2?J+8KLqL?0z;A@-R~Gpa2R9|j?h59Kn?PsH83x*gS^|m=L$p5lfyzT6oyBTBSWGJqc4&#g~Sw6sN~p?xWf4V$d^MB3KNsaS3;5tX({B? zkhH?|402}3)xs<~IXfh$FgK5!A9AgbQ9!;Pa-;C(EplPV?ZP{E$weXe3hzH4|1acW zVQ~q$G^DJs{1N$a$dkf~N^(_5b>Y(*^0ScVg|&6$7a{e94UOcckmkacR&rZNd*RDh zRvGZ1PG7r*L(RydLtSaATAFGvrs{)^GB5$WGzz zAM#$ve&NAiGB*U+R*Zo@5Se`-r4-D=bPWWcLScVVQf%lF7f=7$jTPO-q6gn*^*p>u=hVNvdmoEeW6y;!IF;{p>4R~HyRaNqksG~z zKDi8}jvc%Adx{j1J2J!n^d4RF_o+i$yXOM@zor}gz!5xp?ol} zxotR%dVF_U(zd|zcx80ZR>}XYiy;#)0U1d&*v~+v`%_wY=&J+L=t2`1iriw!0-k4O z>yeZWDq|k;ez7cTw`;L+2rLYTJLn^ANoena=t`5AMcV2FJ+Td z7!!b3kwOO^&%Q`t$fGmqnWcfGlf>(zA}_lwWxJj?gI8{Yu> zdxQ`nd1iAxz=urY>&YKR?VXz(%w`83jM+edBKY&aO>+#bM+n&XHyR+Sfle*zeeLgh z_ChpN17jb{Q#-jSR+&ZL!Pv1kV!UV1v(dBE=lN9_Yq5->>~UwE|L}CzbO@p3w9T8@ zJt?-S>B5}dJIsGx&McpE^%ZXj%dqgiEal^T$mIMR7W+}>yh?t0iUAeh+^_d7JlN1r zN@hgK#uOw+`YDM6k(|Cjyvk)DQIS-X!nIXA4?CMS3k$Ji#9vL?xxVDkbesXFpmXkE zgxqNh|h=D&l|X zRZV_;TIn@D1mI$MZ@N>ILR6B+hYeTOboy~M;SK(~86PA?p@0P5U{%gxd@_qNoS~3% z6S}3^nb|+m&jO|(<%wC%MCh+tsK!?#+}ia~JYPj`6FAY}CX(sgc~(ECNDgk(TXP3G z=T~V{)CBwS_J4PBjwap#jV%h36`vbfXQ+1YkmXk*J83&;@X~xd&(786=Z~m5*e5Xy zpMYxCgxCcLk;Wx`Ax@Zcp&xK_my>ASW(z6$9v6&*!KRJVk&48(|MKxA!_S9|CD~5S z{dCHx!Dt=J-Q&gur+M{Cxz!IA&w;w5FEX>Oxjp`1i67qxx#C6%tDT`0n&G7d15Yx> z{k+NlxOwYE}*^Kuk@GObL^sjx2B zgDOXnS9uT#P43Us_fn37SBnu4>8i1b$1bl9j)XbE$xr4n=+Va7|tJt%}oX3r@P`Pm}!S1>#7@|7;!c&`CC0;`bt}(7Ih5WoXr;H^& z%CCBLcXJL0ZQ)`ZU4E6EmW5TZZ=3jEl{!#T;y;s4UTRx_CUc`zeJM$cA*-~dc!SBy zS+$b1-;)PNCtf#r4sfV9E%)Zt2+HD-|ps4N2LC9Mb zLF#4wh1lHhForTppJG&p@**%emQ+u!5+DhIChS*+F{gBtP^#5t1ie(%+a~Qyg-#T= zYqQ~Pr>rXp>Le%9XpgxeH7Q>$HW+3_Ptx%AU?dx4Ndv;{+y35WVJ#Ns{lQWc_N^R( zx>K8vTd714`xg4>5+s70z`|_|w0m)l#O%xHC0wRRRdO1QB`gvXcz*X@eH$<`H>J$U zMhIgz4{F~J%>9`>nB!+A`wTsGT1k*PUMfXw07CyA^J&j`=;$=UnLtsa-J(B=Yk_9C z`>j$vf6-cuAAnA%xv`7jT=v*~x-xmH1Jdet5W%>g4vd_w{h;ZLQZkr58m<$s%7u(2`m0W`Q=^ht>1oCLEo!caXkW4; z+z)_lldBOIh6yo?d*pz^r6|Jvi(A{|Ye-5qy#@boF`8FcTQ1}*q)*1PTmSel!C+;8 zoMRpCN1(f_TmPq#%UR@w(TTt$x?_|F!ccyCiO4V{&pEHO?_XoMzn`#@{*)dxDjp&r zcs6_z^-f!`0qF|k6)-3f6+OPoJA2AQ)|Cl`hpC;(I(o`c_20+^%G74{5v@1KEtU5S?v{`6~?xLdM(YCKcXMDfPT z*H<@QfI;C7iZU&hvbzk#-PcbRkO%9NVrQDd^M4;jB74@ZJ#zYGcAZKr=t=7+n?TOhQ#0;3t~B> zQpz7q3E615kG1E>{o0~-K^7XR?M2)a=UDtxg_^?bBM1C#YG0A%5{5nDFv7HdmW0V% zI__U{9sL1Kt>3hcld3~!C+xXbM*WHfmNc#aaP|A@SI$3s|3oysn zES$b@=6Vm$k;R3c7t3iUs42#2W7y&-KGmcnF?n@jSC?nAGFpwt$_=ZXqw=a(@K*cn zUFLUC$vMNZde@`6-+loK`s6-o8gc5aH2{DzZOP#D(o4GP4SGA-`F)#{DB`u&K#}~A zq_X$E(~@!~qJi{U8efj{B!5_{BO=9W|GIg;`lQSEZqz}=8M~7;ZrSJu6b|mm%V~Xi zhorSZuWKl0i-t=p*LFDR+IYG(d{V%@+qjHO+6QaYPwWh6DxX*Y=V67~8_-ZL-HSL+ z<(k$nny?7XPY&DzAvX#{cOiH&7hv;i2GiZ&?!|i)^*55*Gs%+dtIRh#@mHp~X`=;^ zv-Bp-iD|_FIXnB{rNJ1KH#v+$^DQa{6?qi$CM66jTZ`xONaZ-92>VL=$crBH-)w;^ z#BxP2@@a3*IS7}Su{Nc)-HMja7u@4&0xCfVlY0!q zE1Rt-R5(=N>VVXa@SzVNUsY~d6h{A?pQqbfI|u3ixI~+V6CcBIajUJTTsgDR=xdc; zX`>B^x4k_X?9HU#?8Ky%gEB+iL|TUthMlm3>6`5vrFy>_v0wNThP&D@-3MIl@9VXk zz-?SYZuS*#@?RWgdzUliJXpy9FwhA^-^s+a4u9ESG<=H`nPsT~4R&EbtMc#yzkqMh zl|};co9VUiS;(`&;hCX5wD?=UNS!f+=mBy8HjI!#o<##H^U&eRSFQ0675;_8O(TCI zolZQ)m`6%%E^OA0jVrpJ=iE5#I=owz86&=RlNlx=y6EECw>*?$7BETM7s^maYcg~H z-Tmk^QqFu|J-{s9dc!3MtXYnU&dgnUCyhYfKb1d4a8UC#jmn| zn$4hW#HZ&9B{N(lnf3B99}w@z&`&@ruoveCp#MvTR^F?L!r{GElCPPX@vrttLrVQ5 z2BMACub~MoL}3+=BF~JCt0dBY7qSqfAuc71Hu|VVX{vt7IgSPogWz!Fu`iaSmvI0e z_o6xpU~J0CUnc9h1&|?~!P;u4;Oc5{eQ|LT6|nd9kls*L5Af6s6Ui#&mG{c_j|?N& zNhK+Zh29xI^&HROEMMdTb zQY%!4eagWCc&){6*9vd~u~&jkDil%CR=(o0taeX9?!3FQ6<05v_-^d0>da~J zciMa%NHkv5PEM_`wCy&(b%;*DA!>B_lPbyJ z)uDX{p9MA4HPyN?UchPgpl-hohFGc7Sy-vs{ZZcnE^|bHeBoH`ar$!oAXxe&EtI3s z15fSwk(l1ZS_Y`Yi*>3F?Lp_q1*J096xkho|5=O_m-1?!kk6;}xWAOsR$3L1gk#Jm zSL?WdH|r{^++hzkE!?S?dqEV(Pba?93Y`o}-hAKs?0%-}YUZ(-eE%Rt7t+E)gPe(Z zigustJfJ&9D8W@WA*PlxM?l9@r>C|uKEvTnLJiDynchw5D|*7r%#kAk@?BpYKwXJS zd{M9C2CV#%%+)XaS84zdYqrzO`~{F+nmeR|++ZX)Prde=?h>QDphW>>{@lV$j6 zf@AVJ26>iteDD5HlC!2nhFWdLR{2GI%MHMLpGnJ(78ak!7 zRY6bEM(?*j@{T)5agQvNiiRW$Wp)Ryp`xwIZ&Wz)TxVB9`=^Cl`ngLona>eTxQp`* z1UcK8d`Fx+86Pjh@PojuQ{vqCud-!9XaTFb4KBCu6lOX$DlYs4)r&^)4qosDLxeog1W znx&q<#{W;E{SXRzJZf1*;jViN}rf1RmLx$D4Pu23?W1q406t)zO~R|TTaFXQMfe+M5nHc$B< zWpNNzJ2W1UMynVxch$!+Id#65$c!&joTB zw?v4mPxH=;V>j+0{(kS6$%Fa7S^PcIxEwHxxP$T^9CuYf`sYl};3V%)j;pN$824W& zf6wpDN&ddeM!w_zkCu;4;%3fE(2%qXDgMPdXvj?=BV<8a5214k)lA1beXDR!-4S5x z@WeQpS~0oQ1_l)`pW^mB*eNeaNt8SqE zZX)W?i-$NbG5DmHHM#+47Uw^+nibZb*UIp3a*4=%|@7)S6J>9caA^>? zP%!1~QqjE%J9Fhpq$U3CVmhy93aGCo(43yBuczj0seX71Z3c_8k8Q%Jq)7!D5-3VY4~rf?l7;m@kLG9voX`ymzt6*z(;dFHjK?ZA96wi@^fkOQ3pB^d5us z-a^b8o;;~wfEirXh9#d)bD^%$+AEM;^)tMI#l1ca-;2M(>&J@+1OmOk78ef%9-HQK z{w`w`M*t}}lK}C-UYheNHYn{(UpL5dSgGUGEbjH27A^PDsK5G)&27{B$eQKx_mO4E zBe7IQBBvK4{CCGowtHXc*d-BRDv`TB@-#KV8$OeeGv-P>c6vgZiM*#pwYYwK|v ztR2%kv$=q3b)E{m2Gy;mm=iec34NSU&Gv~^)}eX`%NJli&{18U5DUIAo?4ioe{lbpG8sGY)wdz5=C63v^MJP%Ryo&c93D zNsQBhB^pw|4@koUi=+bpjH>^s;`(E07Adi`&bIR~bD3h@b1z|CLXtF&I?9=rDK&iF zGcV#Gm~7e{^R2(-%Hktse6T)_K*wSq}@CUC#-8%Zh^@5mHhzA|$X zFhJEE*sdSn>GVp!U@t-{sZ-;D36xhiZEYa&vGULC2O`@G>>j~Hj{MYs_A4r!HjP6m z@cfS)b7N?_z%WO`^b%ep_1?vTEZQ@}AlnnPKE7bJZ$|zP8b$!%W7m7UZ_S{*lV8gl zkMe6SW+o2bwjAOMZa)S9W5%;Ej$Kz5TpiD>Ld(LtG9L8rJGPb%Cf|(^{PbA^XUi8R z?)^?phzAJK*E-491`)_M7Vyt^TGub_Y56a=0-U?;P)Wz@ z5~vLcamr+}0eZ}P`nQ1EyW7@*e1hU_;`8V||%dHYBO5ZK>ZlJY-hVYa3iUdDS3fdHB(1pt=EDq#}ZWk-ik1v?JHp&>|d48@&u+r98R z2>Nb|%%`DnZv(coWPP@A4*;XPBV<;K%uan-i- z1S`sK9JUV|~Tpef-hp3Z<1iibj<@te4AbF$D)zsff^* zDV6WD+p=4DO2PW1PP3i46Z^utUmLKu2nh<8357g5W=h}YTb^h}_3aeJS-$IGvMV`yjn{J8r;Wg@71DaNOZ@-L7XxMA_TDyNk{0q-Rv_lY| zPmiV*mnxSHXUok!Lv=-XoR517no5z|58`yX^v%_wA5I)E@`xICX!nl#f7pd%4#u}(6KHdYUcz`= zoGjlOD){Ozy}xIr2*td%mn|2*xp$(T5&fE!I|kx~oc)33X3?Z8uozLXL*g&ve2xtF+!m>4-?3c0y^v()W-VdUn(pwV^8=aVs^8=p z;F{G&OU6zrpXSbqhFbghae~QI8)~=xs)T~X8UUt0V~AIwF12GyQ9jXQqiO}CxH6(? zxaRIhpM}?VeFAr@@PayaMt=e6!e^$d9zD2s$|*=fYvK|8fJhEc00Dsr+V7I7MPS1LABzZms8g{n6P%MO%Kje{3FATKQUAzG-7x~2 zvNxDI~%p8Bbep7GJj+yFVDhQtv{(q|h`_Fcz0r1PS`i2v-a9Rf}l-T8q`j>HBhZcI#oY z@|tIM;m zj!c7=O7OfpYX=V)TX=!MtCZG(uK*7AeMZ{!E+cQUe7EVAqpO*&v7D0hUE_BsbgWj^ z1IAl5NZNNmPD8IA*YFaeGLP-<#cfA*C=uf?t;MA^GaB*lEtoUx?6lQiZ21}6dd8pZ zS@vdmAP{Mr0k^PhvW|5EA7<@RTo`_bSgBTt2Qh1ndjJGX8{dU<)UPhmY2~U}FIHD$ zv>79rb{lcFjYe$V*|@%Chwd5-cZ3akpE@G{wd^g$QqN^Fs#>lMX%ds(=VqjG>4@ZG z3Zzn!=k6PV@@(*;42N;cki>C~<+E@E{vnt%i<`HPIf@ECX-->KoJ{h#Mny0eW7&C^ z=fc_94=|DQnzOmfBA*9*wBCq-mx|R+s?LmOY@b{3W#gUCa(4tg{6Uoer_kdSAkX=_ zJ@zy4*3WbsUFX&L^aznUXjx;vIh9AF$v*DTh0(&p#h`4Munzm#cbA&!&45u#SqbAQ z$oE0S383AJrEc>)F_Y;g!Ma)NqNsGbSeA3$KUHpeBOz=EPw?0uEA@PqTb*&=hT9^2 z{TeOvt}huTS&@;+@|A|>wjePAWJ6tSimi|n+26R{dN#Uz(%ST#M+gc^5V?+sAD#8W zfC?9OXHT(%#fR0p@IO8vZ&AMBI7(1z&2&4dF!s143NhxVB8F@MKsJB9*Biq&7>GT(e$ z3M@1hIIEkBm35P}B4Nbz?f`=6fcFtd6#xM5!eF<##!T+1`4lZqK)_#r3;wJGFFjc( znEM_4^U05bZFV>a&DVJo1D5so+19?&Ebgzi?Rux#(EmT%!fYR%v0<=Av%kN`_RA;D zj{bJr)+sGGHM9|Y5d8GXV8M%T!SA0m*FzNcmyo-VRE?J)t%@_zdlQ)g^FfX( zXefFZG>X1x8)9&gYk{j5y!LiX8f0ju_ovz>Cu+v`$J$0kYWnn_wRN-8L|m~keJ+Nq z{|8zJ=RF)X9OHi$ixln$18kiYwU)o}=O9hFY!L0Q0fcZAOyC9Xos7_L$#GDF43s~) zX`iPmIDzQoLKbng;jqst6(W(yyIe_6a>JJ zlA*A3fVdC9t;(VD9fN=|;Q$nql!%l$;Y=;ge!n*R7fp?HYi%#;gk=)%@YLTl1U3a!PMh~kkEhw}hz{xEPW1~fcNF0J; zOOVY+D@zr6&t=#u32su5#nU%8`4kB}mWiC&fM;I|;J2+FB==q?$B5=rEt%tLOlv7iW_F~)_hK-R@`Nyv~YiT~~4HBdS# z@g7l9`;zki6Og?5c329KRk_4_OpPt#EGr`{cg1+u!&d6NhGU^Oc83ouXu>;TEiWh| zRFjQ=f9}WW(4VB&0w=!!5{5TW6ZEYQcZJvT< ze4&e2Z1k&j&8h+1U9l+RSuzm4Yiyjf-v`i!56H7oxNKGyL~bwd@1wlzk%jW+NmvLV z24O+A7Uutd1LE-A;Q*%}x4^SoJrQDAHD~rJJ#JLqtjw>3v}U)eH9#NIZI%%{ZzK+{MLPU!ytkqu{D literal 41617 zcmW(+S5T7;(|r=s2q7eN2qpC1l-_FqkzS=odPhhCiZrPqfY`7X1ly}9DAJ-3K$?g& zMT&?BYN#S&K-%+v^Y6vZ&d%(`?!}p%b9SBm&jB6)1b_m;!XP-w|G(h>P2ztZ4Je{p zuh5$^y9Pa^d zH$NF(E-vneh{nrB+qC!FvXIa!;FKB!Xa~c|6tY%$L?lS2##1zt$?+fnKwP12FfS0E zgWyG)b6bJ9CHxcqSpj8G5D@w)j1ZX*mkhD=E7@*V7`+YZq(^WpjZ~z&|f_^Hj0Ax`t=)Ls!OfU!8l7(-E0N}Mv2p``yFM&9i zQWkyW+5!JB)HZ$N+S@|GA$c8Em|Phcxf2rXALKmH)c&&c{n~OH0Dwn5qa!JRasrsH zVx|zz4cJUmQbrXxVMK*==qeR0*%~3?(JSi~wQrjUgvX=|DvBJ~B~l_%0M8jPEMnB; zWQJ{oah6*O#WQTyj+~r&v?&gkl$LZTF)3j+iJGd&OalO~8S-&l>~7M@RKP*RUvOM4 zk*VyRyakYS4ski_Xm0^7)C9pa>o`y003)cOhC$8_3RELG87Mg20OELMs`d?~-QCsv6Tp{(O3ND{E*V)*+BsT{>S|4hlo?X2<@38%P)$;0RwaPi?Wk? zX}NTt?fr*26m9wJ>Fe4HV%hYbksl)?lG=YLa%Z0w6Ex|OG1I7O$Lz&ea4{Y-(cJ9R z58I78$%M~l^ptn<9usX9@EkJfSDYz<;fk5aOeSv=WX9yA?2l6d9K~W-DveR_oaPS> z%5KAv{h{(0=0&yRL53vx=I>8ZZ7Hw2wVx8dL~dgBU6 zZ(F+uLYr=$Tw%l&J_yR~{u_*-Ba42$m3+zST%)?IrqGZQE>g zMen9?cZ1Xw4H*X0Jb0robJLT){u;HeGx~ZB4l--?d8N6Rcp{iH;t>5$ojUzsg20?7 zt>T8T@1i43>6(OStN9BP8mujgv~Ge-5#iT{91jPvuv9ps5k_9;sK1=u@#V{>eey^D zkjD3@b*(_F{C-&FH0rQ)wboCz_rY$4w|&#~8$xnTEThgKcp$h1ZmabhEF z*A&8p5;Pw;QS*_FjvGcudL1=?VIz|N*2cz{ssw69hcP*SaD!IwlY1GKmsN)9Yu23C zpl`PSP?O^49^pxy1}PGGyC@nLGl|em<0Niw&FgtSN5S;|oQX4zt{zs;d)}E;wl%Rh ztp>uDR~|7@S6}_2$UCj2p?~{p86+)o^IXPoTbT_~DlW*O9`D12}4w_q$i`BI9JeN2Mzey&I%*0*@@Fbt?+n{ae2eb7$Peux#+vt>} zxB#su>+K&OV7z)4?jMLY4WYC;QvB{vKX`pTJQA41PrhjR)@rNSoD~M*z+7@6*X65sx^68}K(CRuSlAkWz z`do5;SYT-ENPU+hjm1v-?MPc>iXc|DOOW#G#e>ifx{OB|ZHZXJ#P5&NUfhl{KaX}8Inb6xM^+3qSP41uvSzRENu zqZHYL@hrBBSs{qK6t^JbfTbiA9^v5cGm1n(dzG^!kbDWPj&*Fii29 zIy0j(a)RU4=}A-c3J}YTr7`()A1ypMQHXUtdt!o#_Ht!so~WqMVhd9q3Fr5@w|O8B zS%!5Lz<86{J!we=MFrNXogQcN={Igs=kv(pbVw7@ahIO=yQMCj?(+K{){X_`|pKuF$3OS=M<`>%s|H81~+W$9sUr| z_&E8Vz7K9n1a_dz<-=jg_g2jnB}?;uQd|!~#PX4o>XL;Q)}pYJ>|=~R1ZM5>cEa(s z3dYP%B;qtfI&a5Bd4jSYt38b}Ptj2iYF*F{dx$SEGbPi|aYg*F_w~@2+Qlm}> zB<<~-4*L3Z#LJol2XVAE-R@4m_bT(r0HBroRW8Y;*976h=1+C?pS&6yG$Oe$q>6~u z3=XPt;4hn;Il}L#u%5(hfGmxDy_JMyctO(yunuOgAuc=`+AXXj;|BJ+t0v|y+bQ+z z^j)!=Uzl9%_1%2at|E4I+h(Mi((~kxiFXzJ4(M)|4iXLWQuV!=*nP8cZ9fL8ibx`P0r! z{6-ndoiLa!^v8{94mhOE(+y4{2Hxqa9eJ=4;P|C)wrl@Dhx1|4phy4~wP;kAK-~Yf zxNwk1Kq*PeZ}%*M2XA7+hMY>{V#HutR36lIY;#-9oOa&#mzpk$n%}6hl%RDN6Al6xjNs*hD_{saWx^ZtpCZic!_2*HnpYNa% zFGSKcKJem2DACsd?nE-u%JrEP=FvDI6R)PPG2gj%%D3d}tlFQ)0{x4B{tHRJ8{(9a zP>$YKGl|6;=Ru!;n$6^NL8#i*FlwTHZ)V^Q)(TPl6=I9BXLQFY!8#$xhV)J-CNQBF zFH9KezKmhBuE&uxzb-$ws$~*i_Q!}EZlj>!&mbkXqo|H3Ekh_^)ifpe=%(Px29hxxw7X z=(7C^kv~aGrK4s35*ktme?Zy>!)5*cL0Sd7^Wf9+d3ZptV^8oPukjC^K7lS^7LV^ECg zroG@K;-LR-Y0#l@>C2dlXH1u6zBz=ai}W6*t!Y^cdiOAKp8AB7qMzTvdo^u9kd=`F zM)SNQPJ!bJ?XjHzFk%UYNM7<0AQM5t6Iky^l74_!ljt%5u-LF1Fcd8sdg2Cb;HRzX{K3 zUS#bzU%s;Z3Q_QDxfzw$Sw3yi*tkExSyZtt1}+AH6{`}pVWG9iqMER#D_;YozwI_q z!xR?sq`2b60!pl#7QPvNwQ(HP3v2SX{JSD)2BmBrzmS=NYOI3iJvv>F82dR!*xKUc z{$PP{`tc64_Wn7IO0#TJ*DFzs12HnkFl8KQI^hz*V~B|7+ic;7Y?()>8u7o@0Mkqx z1P^%aR0|`5@)3i2m7E!kgyV(J@_IzS)j!23zRGPcEEPHhlC8X#w&_Tl4BUl}N>!m%SZHo|R$C6FJ*?o^EQ{-3Z_=P* zj(HrOo}kNP+j!1cnoZ?PVE970tr8MF%?eAIq)(T~DbYq%b$X`Es&^%t?%@Pht6Y2Y zFbh(4A#PJ;DpvIz_cM&-o7*8Nq7^7fw*8f+V8whE<*8jUFa&iTc)q8%5QD&w@D44a zKM#{GDzhBDw!qL+az{kh)a_rjhaR;n&qOB_9Gspw4!z()wJyu{Q9rtgkZ{liRlVEP z^JX+DdbLD8R`W^%LhTRt(^x8pl{Z$oTgj%pM2VJ#eA!x_Tdu}FlCJ5dt&cb=W2+G-`so_#Lb!3d`Ycxf3EF z-MGHd`ylG;#>h{+bjj`yP_l4$I?v1s4VwnLm)X0dyo283Lu|wWOh&6&*ay@Ps=^=& z`kiFT&m?gLcMFX7XBsq-KEv&ZYEbp8xi>7Vhm~V6%&(X>-&Kj?qBM zG+rEL_NqPbT8*=(m={Q_G-DqN=KqIv4d(HkgN@0>+wS``B;jF8>|W<3tO!l!zd2?sLrF^@@XqzK$?P&txJ~ScU#d|BzvMDsDPG{pbb*e zt5I5Cv7vF|C2hQabiBXhjVp1e=KQ)P(5*E?U zok9$cNaavs63JXUNr}W1z35#;M7nrpM0hH(QnN#JmmD9T6s8(LAWl<~6k-wy5h->~Z7zk)j6O+f0X(`ludcuxx2B-kb;##qmU zg+&7ivQdpfWM)sg`RZ{pCZRfo$)l~yTk9$M+IX-TNpTB092LfPL~FjT{qCJ|3-YU*#FSl9c9b?f-EQ`6Tyq0CzaAepns#t<7e> zKqIC!n%Je(Sf9MU(Azt^1(w|-Gt+Ec6_Y4;_9 z{F8q=oe-d%kbxx$)w(gb=lo7sS56tJ9)8BtBUxS(mtU1PqW!YBSN}=+SL2D8h;V1d z$}!XFINeX;O$c~Ro=J!hNFPl|W$^mRmnI6Y*hf&-GhC^ZPCSEaE#cT-r<)Oc z>CwE6#Af5l1ksbPKc=FAa8$!jZF=0O<{077+lWKtTl2}$MWm|xJ=iNB>Ght+2YKHY zh8^Wyh^PtIxtSPF9?-fGwVz7qp_y!)_Zv=9cM;cDPR9Mf*CKtO?${I5pGWxh9#yH8 zB*y2Yi~9QBKS522s3;y){Vu%NsS}}tJ|aXQx;}UJNVTkSy$%S&P&L9xsprH79~aEg zlyH`_NQslKu0~{+?Z4XNp)X@B2FvQOB5j7rQ`J!);~G2D#Jl3F5wE0YW#agN^Aq|u z6=lxHk4=ZV3|($Et5KRsl^B|!hbK$-Yt+w$9lw4#-th_H^mU|~*jm`mwH^^zWDN8q zUfrv}_}ttzuJDE%yg>9nM-yT#muv)buR3IWOrO<@ofVzHTIOE`ZcH6FLq z``tML-IO|-4aqnhE=HA<#6SWTD#xR(x!PRLVX?kP`*cr_G9hpHf? zttt~}7?Vgk7GKxlHQovK;y6q;R~Z64&IaU=k6(uHK$B`xSh~6DpA;Muy%RZk;WX`=7s)b9HQYg%@RYHh zKJ{~MHPjEw5#P9l^@V@v&y+i1WGWtxyNP$1(6zl{T-E;vGU7qRnLh~$cYQvd8ys3g zIPgYl9}|6|O*d5#4RV59i-p(XQ`OM1K+j?R%>S`+MWAhK4a%gfsxT%{*KLWp z9p7?ZKNN39?qCGammlyoFwMESd)bAX;1{b!1h9_+0Ecb=ilFGXE8oT3`FSmJSLy(_ zVvktF+OzZjt$6KIh+DKoq#*sK{o27K`V1?26*vbcCg8gH!}?z4G| zoPD&&3ly*3=YS2b*Iu_beQgm+PMM|q!)0v_V7WDC2c}pIXU^kGc5NYf zJy1-79fH*hO?>ntggXx`9i?}b6(*^&x20Ew%PNtNJPJ~il)o2yHKSzt-@7sjNe3XK z(iUW5x$zQR?)d9tf*(HJMG`+U_lF+2SKJU^%FnNgFViEi#kpGr^-^u>R>Ui$d3;0l zCN{;7v}Kre>G9B!liI9Wa+85+GMnt`*R2ghzZOwu3P-hbMS7zB>^b+JiF*&2PBqv? z3HqDIQ4oiv@Kvip^AKn}(d%0mzsJ7pR#CKl zJpY#~EK{eUz;+=qsHAH$VH;04g693WqsKW*;Xo*@_J6@oQ0=wTLp*1$iZ0vCoG$bL z>#^2fumDT$c1EQeI4Pmx1{6D&t-Z;H635HM`rV2BUiQIdI|9-269nzgB3~s(y@HcH zNIP;G+*!N-oL!#0xn)(nZke3UzToP}^=*SGdg%9w3|G+)tCp$z_o(^eu2Pcg*=~&T zb-4|B@_9arqeQl_da9(SIP2C)Pc~koNj9iKvkm7cdB~jz{ z%FR6|6?c5{XoYi+_zCe_MHw!S-@{`cgv@H0=1}qx2cNsRrm8XHTrgP!?X>HP0rxXc zAH(4X|0Sf6=u*nN+@%OrZrg+(J1YxJOxzZq3)PP9T(fE0-*P+6hb;te8D>hP$^Va$ z4;3<;cg{D@Y{0dGPXQN@hehSf*{!3#n54~WFBV^RtWY-YrNUdnf} zF~p>OZ!}LZ8aEr)FzxVJ_w&sUwUPkYT^lvGJ**0tT{I(4;4t#VT2{&WUh39S;UmPv z!3I2IYxpa#?-r+M)yyVpuS~ zY%@(Q0jpQ7h@>K)H4Qw+f_^}kdb){LnEV+Tcrf$K+HD73rqi!cxm|? z7uI)iN~O~kH*`Tg?$G5-YB8v&_U!HCbC#m~SD#<0BKY0!D3 ziO;DIYn7mfzD^{>x2Y<$FWz)wT3Vv6#fyJsj66bv<2J>!>mQ|UeP8hn zFMX(%_bm;NeKW39(@3C)ys=R1y=OPk48V`BiM#psbTeWY8ReaddpDJ^;j?79x;F-c z#ym5yH%lAIBC}@SanCo^FEH$AK7Z` z&JGfe%benX+!nU&FJ;PSOYBz>4|6aZ54osnFK^UI*uU~0qxb3(=IvhE&$bZWDXi-{ zll4vLzm=>poxaA!1p9X=F|s6aBJZ9<-U!4pOu;F#K$a4~GB5PS(bCz6St7zsIeK7@ z$XQ%E!M)|W=mpxoqx_k}#*qfOgX=#X|4YAYP4`Au5+{VsraU%2@8b6GuYl4i652o% zlkmdYgIPPCEL_zR??}$hJpj2*PaoO7oHO>-^%Ln8$DP*avURP+kySvmw=Be1hu)q{ zlPvty#X#y2zQk(zrWp(y(WAtW0IL*o0@U7tuag=569 z_e~4hZ=cTUx{SmS#=FIStGnonWQ4f1V=$o;_)iT4MgCi$!-vBIr>@@ZWH)6{ zr&2JM0jkW3adLZs16JPnE8kuEhSt%sY(4EXKQ+~k zyFZyb8rwFLA~t?8Q^m__Q`Kj-@7E54w2mXvSDx9E6D{ayk*P|WF2U(a>HW@Op7RW* z?mm|R7f)j}UR2ld?0lxZFmEH?K=m_SO0lDLTP%#!uG{S(KA(ke!1F&d%p}ndWYgJ7 z_C2mG=ymDW<;-x{YXKawg8#-FPshI|r&|RnU4MMnFj0ceHdp~sOK|J&=69t23VWL5 zv;hHDbf)=}cmH*r7$>j2TW15qW9yx0o3PQxq(t}wM#EVrDemI+i8GZ7V4Of~{MzAZ z)H;tcEFS8W>QGSRXi~rg>Tqhmp8zrfb@&69-NlK$#}KVl+hZ@!Tpb`WdBw`l#;t#@mTp6{OQdCcF7|!@1vnQ`GMK z>Xz90Ki;kG14d@#XMU}nq|JK+B1n0OhPL`Q%UnE2`0(wySZt2e2h^q0wTGi^_CtTm zr_Xsz`%j8q)85xRjd~$2M9WliyPTnGfA@;}H-b|0)LkOk&~G+}CtQ8U{0Ld?jl}6waj_{fL zaZ}kg`ENNPSPwFx#|Wuco(N`J9Vgvcl1x#4=l-DTn&}z6g7sX*vbo?73HBkMeX&0$ zc)o>ytDhRMsFGGJrw2V>89?K0-WxIk?~RwoHD$w1Nce9Q-%h;~7i@|hD?hU3zpi^@ zHSas4R*&|=4HWno*@1_?m}mW9%n{WFs!zE5FdWS@4N4_3*!LPue0=vijMk~I^L#AD zAd4n89uQH8p^}Zj1&1TXbl-}l?YAhP?$LqZ_Tr0uOmx=0gGJVX`gK7M)H-{KD|y{2 z_F#SS{DESLt@G4N}dsXKeXR7HT%Fz;JYOerf|jR^z4oqByZHYr;tzlKKciwtF~0&Dj$qDHzHXQ zd5+Hb(&VyE;7#i-XB{%0_l`k7@^HZTZMMk@K6D*ZqL&YEJ85tBP+9)#8&qcVg0xKL z@8{N{xz#&0#{&Lw92V8BvzN~+=0qSEdn@}Z-1dkZ<+@2RylToL3#*0y?Fj?g4$d;p zvb94W8oMVqd_H_g{Nls@VpYNVfK%QKt&v+={&l$?Io^`};o;=?wF%*xxK~DIhyX0s zt)#cGN|wRxLLqVs-1|BMu(SS^H}&}Z=p;KQcbNMP8*IksHQ!++ciiPScSR60WV{Z+ zxVaY2n!kDc!BdF=gxu%C$RP4WQV`;~wAn<5tlXp!KAPIs7GT{NG7SB2D`6vXoL}np9sTNiAvMxDq}|_qIX!%;5@{cW zkDl@AQEyOHYg4!4i60JCOMdfJPi&siQV)avekp8Qdu$?l?fE(5apnsr6MShc%lgoh z$~qF*Z7TCHe=Kw-3B{;1{3URP*;Q!V^vlFggm5COV5g{&0N)M}68s{&YE~}7eOp}+ z2jod!qMLr!Aw=$o~y1Q`d}{|4#BK{z6mNLYDVCmo?fL3OS=v zi>d}L)(}rV6{+)^2g)jLZGU8h3w~UBR%QyaQ?g4~Wx=1rPe`{l%8|7n8DCXFpaUmM zM~(=Jpvq{1`jV^ZYRD z_tuExPBQ&H3=A{wnhLmgmKu2Lj?yI)r|!ib-~9}P8NQt=#)h#2vP^{oAN3O*7tOS^2V!*l&U7 zsTz;1b0Fl$GGa7)@d_E4^EgxXAO9HbFKT^PWZdWNp|eVK%g|`w)e7a+*5_h=HXeYJ zv;7K6dvwG|hD+24vI&+?T#O49qA0`_yQL)Rl#WycZU42ZaC$&iL|I4+--d# z{j^dbTD;pcQZYnz^ zd~iQ3Q&eSz{HIHLrup6UH zLx}wewL?t|Th*D#m5)MID{)pyAHI!s?dweC&aZ>baQejaOoP0I!9(R#=i1Xb1R)Mo z^@#a~WnS=^!z0)an&^I)^ejj({(rK6rRb-7KH-%HZ)|uk#%Wx{YmM#X{!F?b8A*rS?PBDml^^C$Cg#Ra0!ITavF38gHEYaI05|LCT8zbts24)Wt>dQ%fOYFrz74UAh}LHjC^o5HsgVIcje&4`z3iQAF>lU-mgsl`Em33YT#& zE;?Ta0CwDJMvltJ8#ng-6hJ<=n==St-Ru>Vit2HjK`#=3*LYqanIrlL@j?jt`xhKQ zeuLgD$yeqr3gMpSCIUbz^hyRduve`$tz`eZ#Je>~beOv@+9{`l;FUx(uYi2*z>lfb zx0@S{r6H)h3q~EZuObnqHZy)~<#;DC!J4zSE+c0jxqbL$?x(z@Gp%r=0LnGI;kIqQ zWg)u|kfq9Sfi8c9C}3HGMB6(bVLP!Lhw2V}P(`VRciYmy9y4Se)&Rp^P#g3!?aj819;m45H~&+}`L(hU)S zD{pCEgtzNr&w+uatWigRC@utU2qJ6iXM@|K=231saR=g$CG59$CuLxPoa2 zM@m41msSha@W@@wu?RdP=SJnf?|@!aV?sPH{5_{w!Kbw{y?jG!O)!n^!>0c?dqWn# zF1B=pG^r5xeQAna&f9BP0)JCFB|Lm~q}i2#Z*APn!KT~2HTlUcr#D-xAaif$+^cOB z%cPVZ(r@ZVnPH6{$hzCQxS-zmzx|fhxm^?g635IvvgU(#x)!3u+4ow~qMVFpNIPNa zFTgpLPQRb@>NL1dvnbJoqJLJI0fC6! zZ}l>mKcnJjrgNCNDW1$B&mdwTYWF|$j95&)FM4VO-PAvF4(iJ|2U(O%nVIA(51x21 zwVf{)Nn=QQPoVB+u*o5Q-h>uOXY-u<`Eu8VAKd3g6re}+tgqXTC=asBwx zToJIq2}o$~@f1&Fz$7|Sp`3~05h9Ghyc~d|RxbAc zGy@CD9!qQ&-IUH5=I{78f1Yc9*xyaKEMd@NMugw24A%Dg4W@MTbJ`A^^s5U$V9D!u zdyc+RFMQ`TTS!Le!aO~HWq|JxgbQ?+Mok;yAdo%tJoAepdDJ8O^?{7W9|zXXIlL!E zjXSJ5NV8^t)i`RSlz0oDiEWc+ARyhTiEIRWd+XZ5(lUWme8<{W(x<|C=4tbbJWc7b z_JrjH{H0%-bqfb8LZWiG@r=UQrXw#Q%+{Q#>ZI)Dj^^2I|Da>q+tchP7nkn0J%{#A znh+7*$?I|tI6&Y`&h+7hbgg<5;g;uZ7oiGl+D5Wwvh!UpdZHC28FdZrqik1K?NE|Y z*2XTaeS4DXTUxKxQTN6dn!*Y@)4AJa^?yR*OvlR`hnVD9xLX-vl#je%#sPX6Z3@eA`9;5~j%+DT6ZALBl zCF{8351T)-U7hx4T{$3qiW9cu0i{rv4Ht7C8^LJKza(sqTzlP~#jG+?``sNNaC$nX zRiJG9*7iZ^$nDV)Xj=X8oVFxBIm(aWdgJk*jp!=-s_Ex|EkSn*aF$W(pu7?xwiWfL z#_bvu1;8L@A-Qpb$1Y&Aa=Df->#*w7aOUuAqcbea#XT|}zpY{-k<(F^xIU?iV zO9)=+@_&k2FA6)q%_D)ZUDr|c+(|F!&$4Zz>;kEkO?Tnl;-|6A}^p#^aJhb z)A}$YFk2^YP1}UF*_CO(n!c5|2@xuK==2~@cyulMl~=Oxqx_Ca!WTWO)95cS|7`dd zV}s?cX>Kz1=c#Sqh$XBBO?KQligN1VA*qU%q{tPOyxIMu% zkYZ8d_7=6oy@o%*Du$Qu&Phl{ESf0h43>Eh4@un0UT*(P)fsiGJc=vp<^?4nqPLm) zriuHhI8$<}8k0;_iEdlIy7(Tb{mP@n0ny9lrj%5)X>Cef8ZYm1&P*Bx$&DxQEbqB4 z(g4%+*yicEb?++S%{7lx@BmQaUdy`G?y>NLqvymo^hK<;>!A=Uonw%=@H8`h$g?6blf^6Y^ny_2q7zxW({2L24?taiESC{b1 zpxVDb_bG6(&HlVeP?*iag==ef{|B)WPmWObIY(f+#>@St-dg?`F}5@#+7;qhDI`QA$;>f9Za zUphnB%|`$q2*VNGAL4iA==vfF)xs-_B=FwH0>K?uC!$7meQbF78Y3EYJb495PJwCs z7ZCuB6qXn@YpvrkbBU-U0I)`J5+X;j**n!y_`uG?!`0(EDcS~@noD|gpJm^3*o7?d znl~gd{3AJmpdTJBiaP2ZbOzY=_O!DFP%cqkfDyhB0A9;oL8BsH3h`vDpj#%qLsd+l zp7i{f6zTBl@l2M7ogJXj2lyKgaEGGBt9xkNX2W-C)~2V-TF@SiP6o}D|AFi^gmh$lQ>>gR)ScO)7K>oJZ)q6b#m{dw-jX);peX_<(A`O z_w;&}|IFynn+8PU0-`&|-YmZe&zKVs;>IFQZ!lTcT@8isjDXQ9G+yNEoA1X^RGuq_ zRgyu|lh%%R;JzL|H@`sMm&fb~_M7Fy6xQ+-ZvUZ9r8x+d2fx^`=%7n_ghEv>4+&O; z8_!Iok4FoVb+mfBmiyphA5R7eB6V0;9pd^R|MSib%Pq17bQC6>Rs+Vt95#ZJng(jhMEjH_$hS~+kDyr^CmkH0li(PX)@<4nNaCb#8Z z*nq<2I|hQk10@yRzyG0U-6=11z41)Ifr)8T3w>r;_E(3mp67{f&DyRPT%0a6!h1fa z9!Q`k@DrkxlSkNcOO&VPIRZmlqmXsoyy5!6!;0-IRyxzAof=UTe{JO}pRCU_Ln?IV zMiM!Vgie~*_^eLT@Dk=kapX7Fh+fX?dVHEru4NO_D5IZQn1Cz<}7 zz8-l~a;ImxX7BmTdOLsKO^hzb{g-tW>ekTGe9OVhhwbsUSxf5G2E*!~ZYVc4_loL$ z-H~vIXqVn5Fig7`fyV-Smd6eFdzTdgT6vc5jNFWBKGbU${z6!*!QWkNFs>+j`jwe# zQ5+9-n$`YYTV5tcZ~Z2km$ePAj&D0zi`cFT3uoNgm}eevx#!nMN^Zur;!SXXo$g^4-zw!9BvF=|Rhk-(uD-eEFK`b;GsU<-3^sax1gTPc4O|eLQ>V zUFvr#ub{<$cv_Mub_sbs-}xv$h|IGD3W1mOWc2p&rDt3clzX3hNK=%KVRO$gZS=nO zDEv~@wou^ zNilBPEVx!`Y?xbyhWUx3t0_$yW2IA(Rei6+GMT#J6)LeBV$shvSW{(%>cGYDEZ4yA zcW1TMnY>|90-IVOZ7J-Nb;eZR{ddb%H*=t_TypVbu>&AuD@6Q4DsD z(xHZjgls*i5sp&z`0c*1aU2~~>Sjkc#U4RyG3ra84@AOcJQs0O)N?|tO!}`j%W!$G z@n3Z%I2|ai`7lz*Qs{9Xf0);hMn;98>mLk{ zulJKuF#7#ZaieI%x1^*#`(rqgm>}j|l-}QbzEQOJ`$J-{g89rw-;0U4koZXN1V(Rd zd^^HkV2Nch@QX%UxY6tH49T2Pg<;H(_R+l)v;*3nPudtwH4PFjvJ?O-dI`GGCmhUR zK-!%{Ptc$(t?gPEqazE!tAlcrFK~YISiy#8gtUDu>1PYPq+=oX2n*F}f_vz6e5LK{ zaWZs&`9_;nZYc@~BDTrrs!7;(nLc5D4o1v1ijE>%eQ#pp(vlK*V`g4F1|r79CuwJFnaf>awbf29QIhG<;6g-(K(p}arrh(H zlNC&PyHpi9F>cWu#n~JMZyZvx6V@9Nhk zC~_Y+`$wdFQVj_>MKWjETw=G;zZfECU?pCyv)ZJc>$8cSo7c~Xs^uy%0aPXp=ZOjH zC^F&kOq_LDRf7>O!h#|HJlRW2Pm+Q>+1uA=g4eIh+;gwpQU|bllQ@z)_fNv>4Oc+q zUp^$s49O^NzCZsQ(TD&B0I*cJ0XAUrCUr+b?TwTh(h&69nlM2?;c2y~p*W;OIt3~{ zyX)Wl?G&J`4Zl4s>q(0sy2={BBICD`(qw?YE!XPGCEUL1%!bwiWIhBdMNU3PDUU`3g+^M7QM6mDW}b1EAT^vbiI8g$sDS$FN8H z?gj7o%rsrqW3Jw_3BF`XSkgfP(MHQgO-;Ee#)G<-sNofp5aAW| zMYEge=3%ds>ixPV`DBW>fhtki=C=yb^Q7(U2X&%jVpx)#j*%f~sBuVJ`;ew;5fEA9Q+JTJZlEx(tA?3-tX7@`F=lFOzyN=A6VF!0!9J($dC@9k|pah`!z-S&5Pa zGluXU41w9=#;!z5QLwrq&yci0I`(cPjH}BiYHm06JHXK`fu{*EUyzIU3W9gqUf9?m z{mOaU$~X1;q~MVhnU23-a(hd;H{jBW$}gvjGFpj12L-A2L7Vc& z;^bj?;bnX*1phe!01rR9=9#wJgEi#cd+*L)3N~I$3Pm`0u>1lwaOb@VYPSm z>DL}f@==m?TDQ?Yt}+kLOtqzucwLG=!wwr1dI{68QDK*h9*RMU+S_VA4UX6sCnUQW zj5aB^T1nh7mKT<4ju2vD2upsq=OHadaJK}PJTFeXp039Ibiey)ZZ%F8&aA6EUKx%J zFF)}&@Gie|gPMzT9l{JH_+s*=W3Ff!J!1k>n+HOUh)*j6pHHDatqybsaC^u)lq~uP zQC`i|;A}nA-(YQ9%@6Ve0xJhz6yrieT=S62E^D0URIiZz4vpOB{t_7Y@j2ybEqK42 z=K*3;g4yngp*9K$4H|2Eu999JKr9`fGYP~uklld})AIp&fTh}n?`xAVOAIglCn zq;(*>0}}aA-$$)_)*e&O51aOT7bch{mx^-rSFuUmy(yQtzkBM)`2m zV~P2eOE+C^$el=r>?{?&LzL7eLI-?cZ$}yK4LUkV8_qiTb5pWnrbR?II?CGe=_ch6nKN|-yCF%pn7H?y|hF+9CG zKIg7OX9RWzWBILBWB(+;fcT%g0{=Yv`_iMIM`wg1roxWS*e+}*sCf~PFTf5Np3GO~Y!VId;pJ@SM4bn&AY!^yQ zy-L!1FFF(x3R`&0quZuZ_oJobXW6VNm66j}!6r?@i32mu?N1A4WS@}|CuGXGBQ)@c zdM1j$(+NaAUl5s4V+qyLM7H$IZ7Zl_^z$9(OHK(IFs4X?7-p_eS*X7qXDv4fW&u*P z;M<@75J+<`ca77BJ?{Y?zr> zuGA7q|Eju!290fTQFA6xE069zd$#|gt{s`(T)lbxY9)JcxVCH_!+y&)Iq&Nz>bL4{ z@K-gj+O4C@Nm^7Ok?X$~+S2ic81>ZzZnfDrne9|Q_QpILuhKUcr;y6S?uise8v4Ng|0>5O|~aJ=b3pc!yOB;f+ z2S|Q{RoQwgDu7}ZCv9~--MoAv#C=g+%-bU)wbp-Q8OkG@QSrHdi9LKPpMam+pDCT# zPs?;@pN;!$AaV{!p9C3Bk|Boq-L83z8c`zG&$Nx+F^#lw>O3d!6V>^kV5Vu3TetjU zBS%$-a58@$a&%DF5D)Zgz9>t9Y;&sUrvK;SCx^A*(0}$Tw}kt&*ePM zmc0wf7U#bz^>{nGHq`vs%L?q(qowVjTcMz~a_Z;S_IncPr&@Bv3n0gW!Z6tZ#B2$B zmFY81py{fEWKr@vv(vhTysPgZpf|#hoU!{*d25b1?_2;kH(Bgfk`VNCHU@xJg7o4A zfs6XmiM#A>&oqh6ow=QsYw2_PzJ8vv^XtGL8oPX?+3&X#oJ4{I%}3^zGctgF_Xb`_<7MBP#H8U>_h&7)+!f4#*+~^YM7Ai^C;;bWoEX z&-Vw7cm(*3O&i+_{MIf1E$2`uT62V3w?gy2kg^-si`mp)ko9=A4uzlp;~a*$JuZ%v z$_J@SC&Pydt(#S3Mfw`ZadP79naW%wyY`c^t6wc7IT4|@bDh?@pUbiY7O+EV`56om zmlJJ-)@}{jg2>0-vTlp*YT`aTV4cWFkC z}@NPHKyC&54#W*M&n$Pa9Z8yWE$A4w()EM7gK{&F(mB?ZLd_yBAX)^*SieLQ?? zfrs?^-oEv~dF%MQrOZbD+}$FZZ4gbl7Xf6trEP!9EN*GOmW@3B1V~yufIL|h0G?+x z9?CaMxB{W%fnONb7tvcQe^I9&z|ZD!)I@nM{d70!I&fa<09WR8yV18vph37%6u)UD zUD5EP$(Usi&h~ARX%5UN!9ShiunbveMT7X^opqDeVupwqfxA7YjA}!;dehkVERzKIzu(cDi6iGxZsZywzXGfvHm=>7736MRWMBu@ih>&n%gmy}_)OSuxhRjaaHy-*d z^9Z|qRZ84li7=lreXahsFv|F5jrUv(>5k|w#@9OkqLezm^H>wN1y}GwDO^Y6??^^> zOjr82X4sNv?^NTS%du76YnnfD!msV#p>cZUiiPH@r`Vld<67IVCo=ghI5Hjw%i+LB3*x&n53Xk)dvfjEwK=)W9U49D zfLXgvyVZO#cqfCT6KRsye!ygl*sU=9!@r3DMJvB}tJ`>c&?=zxh!# z{7oAx1c2q;{>U{%$ql{WA;qgJ&Yhdn{VUAds*v~o0qRy7_*?M)`vUo!lts;GEb}Qx zVB*>hVLgyQ0)1z#MZ|e8S->mzjgxmDaxR>59&GkcPqR=@2xa9+@i%D#Lk(frIC1+L zc7=UWjG)9P=QNyCQH?6k2oqyh^zEV4S69n_e`K}kiHTKfc`2{4V9luYFScOpgMK8q zzP%Ce47^wJEdEBl6}IyLQlwQfn2y**N$F{=g{KvUn~_c-m6AEtvJr@}{KTnt9=k9C zutw!YAazT)AkTQtihW&M6){DTyxZ##iHVb|vj4cy8J;{A`ZJelyYz3%k<4uo z`f&+k2ZD9;%@udBK!{7kd)}3+hDh>`fB)5XJ=DbdJm9}0yV1TxMLYxXKDoKNq0(RI z`bMy19p-Nso37AeUiQNzCRRfDU~J>%DDMf#lo&Y3itr1@U;gV>d@4eC2XrbGo1_S5 zkGtzBG4B9qMKun>>)+n@!LiA10?TPlWjJc2pFbC3Bi#hyU<89r*EOI8FN@0Jq?WiV zrTbEo{;tpzx8V3ZzW+kFqD{h-Xxh4+F93yJcdn%~O2T+ebkXbZ5v{*SwKF99m+CEY zWh=59;$$DwnsklSKMT~AUQm9xyjgej^VbJO;BK8Q{!=7sRK~P-u2=V+I)>bNl?{;* z_O!H^H1tjs(n)f21R%U0mfYBElg>{YUGZLqbD4cim2GD@*uJ-cS8Q7%Ez~#CIBo!OJ)C^lGiB zAj9`l3zh^WM7hsDPYF(ZW4~Y|-Pd@5UMT6wqIC&+qGahFIk{@U1=bn)%Ub3Vi-Od? zGWv+vhjbQSn9{TLoL|mlm_#|6rZKA3dHa%8MOZEn!K~1;%d$+qoA5yACX9$;`>1>l z!Do0!;JIZ1)vzD(yOBC?i!^EX_x}MQ1~O6>J8Ar+5$qVY7*LixE6mTw9%0p{lWKV0 zY=N1Ao7>zIUB(u@B-`gvBtg^XXNk~w{rkNbpYW79nIh`%(w=anf8rkVze8fNX4)m* zp=z7VztXE>&Kp$+Oc#0D7D~y=trjS?DCs;L=n447Hg}}L($|EP;)AH2Ce^F>GjEZB zt0JE`!P6=Ojk%w>ojLxOnQzgNUESeR?4pyc)~;${80)A4*3^^RYv!P&=tDnH3KTAV z7&yICs6?S4k0VE&w?WtW!;J}8Bk)sK%G&n#WtxIM`)eyU zFsI4Ljc_HeaI|w5t<3^^o|ZE>D$-Ah7C<~j#741{z~Jh5C~1M>={qi9;PPToDOi*6 z1J>v_CN6!t6#QvT%&(FoR-D&nHU%vdJZAq9@tw&7R&Z?xRN_F%+T;cPlZq4^K0t0G ztYPjxyjMc^Jm(u1bVgcy3ThPILdi2X>#&?c?0l4o@SkywyYPjg?}jmuvbS!NQ>z+y zO|A!>!Jjq@`kZzsPLnsHcIBbB&iFz(lwoPgx!shfbnu%+$~&mtU>gd20lW@*;dp!u zWg}hYaOxL}G8Z|bArWokIESv&s?YSBFp4J z@^>@jd)dE&mE5S!r}ydJ6*szjfhy@mi}2)0VbWHGj}B# zRX9g9H>Jg+aW}ubWN-}}uyJg8iy5J1Y{uB$1Y3wLR6kmfI;Y{AO70tRY8U$|>~OT{ zTs+*vMHuK@k{yP03>k@fl~)Oo6m8A?E*adIlBKJOZ8`ty73sv?WAb-$UEin^ngegM zq5uh2_6f-1WA~lY&5Q959kK(B6|<4mKR`ELi&P38;VS6j9@Hx{eN$2`*jPw(}87%-ud4gvYy`XJt2C8Yw9Bj zY0btalmw&YA3R|22ZM9{&+xvFjJH8#uD=3KQobHDJGRxTd;)sx(#%pN*)yiW?!mE# z=`9{~QC}(pvz0Wo0K1D zn8PPUyo=(&Wc_XHUx>UpLf4)1eE2O1-lQEUkPMgSIGiy*=9?$D~1k8KC z%DNAOmN)(j*PzeyXZ~!uM{csTf*!9rdUrO7Fv@0Ty5mDQn0fMB7VRdT9nnF(2*ODk&*R>8kq~*wHlK`;XITw3*UCuHvC)| z!bxAkHvgJCj|KP8+}~2pxD>BXPqbM@@oMn}>6ojo@6T z+Q^V6Qb>;@9^&>JQ!p%i90ZG0kIU z@p8AijGwlMCGYURmF+T^iaVn1Wwt#vy2QN_@A4%F{98E;PoAC#1|&m}hVQyhOp)1^ zZ%=76p zh~d*GS6HIJ;7GP$XZ~Wk$=-Y<`6(iIVz*IP?Xi5M^{U*5$5mfosQ#UV_*H7NhEE&4 zO;UCt78Z8l%ZU!a@>?fe>_5bsqCr2_0nzX0I3>ZSbKj-fL~;a#72<>+dl_)2TWMtF3y!e#}5Xx1JJqv*E1~JSn!V8IOu#i}y+gO!_?W zvQ8x)(U&IyE3^S@R%I8j?l7(>p*LYB{`H?-Z)F-> zQ13p?^kvAT4e=^+-8b9@rIf=BIDQ7)1z)K1`Q&A4!=?ZroT52D zIp+Tx%CHedQo#!sY;Su{gK6hitf#rO)aYPvs7IO%;G?sbV+*cmzQj{OMJ!%v0ZH>d zpOT^}IPZT(Zc_ROlPL8%1XP1qBZMmE` zZM?f-{3D9ue9%|Ar)DR`?3ShlCv^5(|1ZCL3c`QoC(8>EslgPkJr`>4FEnP?6ek+p zo{}|OpjSN?!ed#p`T#;2G~LWn?+J8SSiL2M7bjEhyl$qZuJzt0Ea}H#3F^}?C{(_9md+Pj2o6LLD839FGZx+93 znTLZdOcO^ZY4Sa5Ig%5PL5*P_aw)u<(j5SB&3mbAve3g#bRzIanO}%u6POzqvM(X< z)g+zR_#=)#ttFi1K$rp>8=iS7C;Wyw{>D_4ERst7VryFPIzE=db9ZHz)eYik#jy4c zea?*3L@luy^yqIw7r!!6FkpWgYVe&YKYgd~u) zv~zCwdUvUq`6ZOBsDdl{C%fjmi3K$|Wr8L@C9MKnn3#gL@j0W1j#}viiDx7)nNGZ@ z4pvADSDuQ0uP^WmTT6#Ld4Wt4FyY3fyCl5*O>5Be z3N>Czf;}$Dc$HJLEj+D7xtsB694>sGyd4ALuFaU}27TyUclwA-i@h7G*)>L4UK(Al zC45}6_%vcfS$p(ELUDoHBWQ%oJTWAUj-kn%=RcY>Bzb9^y2vMhJUs#q{t2PXpow21 zB$ibJXXwwhK?66svg9DmQaKyduyNa*b*9v*D#sm{{- z|BZbof)^uY_1*=8k!aJ7z+aS;U~I5pI=E#0NIZ#8_+no7i;zE@uS%7e5!R0(=*&y2 zr%@tokfzo{r?G1vcns>saF#v6HWRi+HY5DWwABsc_>FV{7rESpo`OJ|>-sscY!-3s z)wuQ4?@ucf-O}7qJV+-}{AGnk#_E}BO!NkKCQhHQ4znu=3c11Tzp9dH_42Y{aL97J0ae z7e#%~SK!M=d!+N*{?n`JW ze}V5qHX_(mqeK!Aa?4xYawk}=h(bjYa)qLvBBIh)1J-2}0jW*dU`AzOiX>BdyyNs& zWIIviDkpm&b$^aKjzB@Z)U~;}i(qdYpTfpA<;^KJZnJ-;a>O=BLiu4um3tj1CI#q& zEkfA+O^M6`z~!{mpy8Cet8Ys75VL1&JA1U_O2CKHOyZTo!Gzc=i;~ALB*ekJr-sfO z!sC1Bt z^G3c`7nAU!&+T3(%B4yV-n{gS31xp@;iJ%7D?IH+7>baDuD?I_(mNq=A-B;*FHAEO z+<6K=f7RTAvgk1)H{g=Q@)U=oRs%_T7I}=TTiDIh`awO~u6znxmc`>3_j?tgDIWBq zTsq<%;skuU)%nAx-yKYo;kP#9jiR+whFxQ3Sil5W`vTQE$UZfMGOY$Lllsz2YRl4#B=;pn)NfA~;X}4F>Fa`Fyr^R!sHKS%F3@ zI*78V^g&)z%5~ReO6&Si-OtyFL#cFLrlCMbXQzPOCa-(8Qr2U)P9t8*c`4$-v6EHP z)1cX0ua}O`Z#!0W->==H42PGcRu*$K;5Bk54WJU#jWruwjHO|QIyMA|+q`nU3ZQkN4h{&I} zgjxTzdpMJnbxKf!MzWx`lV!=~r}4a?e5^D(y^nC_;$GO#U$BSU=A+|QH5*p#)@%aY z0-WuYnv!;|hMYbhMnx3Vw|iA5SoAfz7e-wXlNJOW(F?)}O@XJNMTv%pJy@h44|Fwk zsiB}8#A>^AsS7H0!yvsY+fU@>ACC@Sz+fbn$d5xm(QXnFmsIsnS@zUYyQwg(_2Y%Jpco z<^Vg4b9t{p6GBB7PXp0^TRkxt@Nw+{RQqmIo{})Nh-^&8rBRU;?(u zhr6R)AJlzD41P=7W;R@VU@m2_5%+kF%}Te-5hpxW8?^SWH`_o%9r^oI3 z`|NJbJs`V_Dp{Q-=>OdTpqOxA&{$)m43~*Nbr?3HqdQimPM~G;1|s`YNxj^CHDw=Q zQ2AyY$@JbS-X~;GY2`+P`e1CsF}OxCWlvx;{ylp@F#aKXF|!)0eK^)``~){=>7- z=@Jf?XFNL48=~D&^dLe2MTm2d3FE00$G-&RVC#1NW%QT^()2(hdq`Tl z9Z4SIqA@yoHC)^*o@Z-?fMbY;=X!O(XGT@2qZ+3#@%X>?9I%tq+C!WYtFa-n7q=&e3ubI}F-jSOHa8I5nKo|TkrFK> zA>zSmKImbuRup8W}s&LU#PtSFdL?@ z9;F?3{)aK8UrcABH5>zP>n!N=T)Bu%5~u!Bx{I&suW=t!_09_7w|I4VzfZubM{Cf{ z>U35+{d-^9{PQ)}9c0TxZ_`Dqea9+Umr8VYu14#egSIUCciJprY`IKa}3|J^9Mywi~?ykQ;&zw`8`%((!x7WaX=KDEGz0=hph3G`;QfNaid z;qi~kCq0wZOVcs`zfTOqlQFbP%r&fiY(Q`(_ zn^cRGe*DGvp0qdYSG+XY>3VT~M0CU_uI_P?m=irdvSYMZ8~z|?$CHKl%Oh>RlPL3y zF79&wA6uRrG@YB=Oc2vXBybf}&zxCR~=>jsaymmhuC6-NoZIs$9~2EP0j0cxtueZVQE{*w;?7!RVdMXE{gzYfScZ z9rF^xMY`*ty#kTY}{to7E=aZKQ$v(~Am2uyES9%P#ejHBpy;pJ< zRF;;7ljylcw><>W;%zVWb-#+o$j-ct8l^1KVvj!)mjE;1v-GB;x^g{gT@Fd6qYLKa z$i1Q8?!9k10}W*J&gM^wmsP13%$9wG?>3hiup+PzhG)=NOBwff;}Pxv)|8nwKs&9- zK3>x5H#{Mv(P5?u;O-LY`(x*Y&c^b2-;BR{sui{X9$;&|6g4(9X%UNaNR}p@aNY0z z3NFl4A2*ZyMC3Cwrx@3yY_jO*>{sMr<+HRmD0W$g9%GHVOR$Hn*3*ym)aDEdeeI&^ z9*F0AgA1%`_5?)>!BM4*@`sqWLVvy9LLlQ_cK9@KRyB{FPhif?(6JEuIscD}<~ad+iyTBWk3f#lgy!}-pqO**5l$X#;ZVG$t3_XGE?&9417g(IqdmbuRgd8_$jK02iHOX~A~BWQ!8M-IP9gJMiU6*& z9U`x=q|@=DWQ)C;<>>On82&Md3+YsJ*~oc)GDdlYkvg{X_PnrJKly{q^hp#G4|-eG zp|rUAyI|_bTjtJk;r1jsl@nF31CyA3wfDWXTxBZB=7#9|*Z04I}!G&Kc7bxkQqn2RRTg%{6%lNAv#m2|FtemQ>k;Ng)xoB7V2=~P3_~?Sh z;nj^?P)tEUw)5OB;p$Shh<4Qvq&tC|P7r%DIjv}jF1Wl;0U0_kaU~gak+x(}NJJ01 zV6vxQ8XXvX+QvDgf6707CIt(30oxAFtehXqAQ)5g&Q(tYTGgD`!)gL5d{uts=+mA# z$w;#E6+f=>7)!uSn2+(VMJj&Y);VhFFyh%3Y2$lO`~hAAm%hwtf}#v(A@O`CdaLlC zu+z1qr|L;*rS~+{bFD3;m2XCmy1u^Ay@mHaPrbWpAT-C9``APIlI)cf7&=Ol^&jwF z5FEo>Tyf^qQ?&Pa_g#9TxEDg#fg|~mWWb;k@=$}=m9T2`*LfKNGyV(08eftz;OolHLGESn4DqP{<~96*p$5{Buy7WYB+kBdvTezYyl} ze2-u8_oorOZ%$Avnpbz__xX&t;vrVypeJ5vQ=ksSw{(~mHndbdGciLGb8@?rRK0kn zj!YGBKnlTUWIEv@jqt=Xt=}AeEeCvH&IrnEmPR(0HffcrPhhUn0o%z)Zn$2p=rDy8 z3A9L4yf!=8^=dLlrX^bBp{Lry5c(8L-V`^N_iTi>*M_~7^+~!|nT)6JFX_esKfQ5i zs&B5mkLe3{&#qjL2Nnz6Htp6N5m^p%wTnjbftV?6;@i@Xn_BzPF=LMXuP%E7qpkK{ zPoR@zKJ}aelT>QQKajI+Zp_Ve7R3~f@NP92f05aG&X0w}ubu;*Z6M&CuavU7;5(xY zV6JFX!H)OM;0>C*I$v@tSZR_>k<~Od482V+{&D2B`)~S+SYD=~fuiNKjE&0mPWWgk zQUF+KruCc?dp>Ed#3z;0D&j4e(NG4QY5k1YMtvU@2%kETl4P|gm!D*I5#{mlT9uaL z_i94kh828%;$Mua!*0xuN&x{6E(8Jp$kUydCSmW7^UNk-@=lcBq_lN4*F%Hyb1E@|LqkJb`%l z099j^e+*#?QMv@-{Vl$y=>q<%zGJ}?!j!)I&U_7Ik8?biEuxsr1ebGU@y<5vG~L92P9&8 zq^Su>A4Hp?=NHiFm}_H?*xzO1^glGp9%X|f>1|!vcj|j;?nt&c!znMYCd{%%{*?9{esOF;W7%;-Z14P7V7LuAY4Ud$@m5ZxA#A4zeeMbHbB?{;C+3Laf{DDnoNpy z$&dYpZV=+4fWjHy+-w_%b|=*mh;m7n)v?zce*22&Uq$y|){&Cp;zlxE-mIEmod@WL<0%g55iTzj&QFakKM@8mBqw}^!Y6|IfEVF(88vI||p1tAOL~`5!UmZKA z(_Gm0*+k3a22&k4VFPOJWEHNmsq|^lD?(x1eQ_h&2_HeQb7KRPX+^h{VXBvsh`CxI z+;gF=>B=-%KmrBwNtINu2mNnptGd6}NI_NNj$SsOR71WQ-m$uXe-{YEuwToxB<2SW zk67?igwAic^WyUP={T;g2BronfCnoPwIRWzronP`G`-c6vu&8vssDxc*9qH40?c=Q z9VadUAO{zZ2<#``cF}}@bQo;E*Qht(fdA6R3UyADRlxmk4mJE)RwmJmgh3YfS&(2vzV?+qxysVj z(lv4}tOTv#(HuY+LLYZ=pU~UNWLg|=k+w4HmOjV z8Q*?x@ohx2$u`q56_)e`bk$sRR!lcX0;4ai15Jbbl)lRXc57*X^Os_=W%l?M85QoMmc}!`Tf@FrK2^+yzVWb0{PrYAN!mZESjVk-$Z42zHKkp z*e-|p#t6@R%{crnvLgpdTPxo5hA&8^Tiq8)0OS5Y~1p6YB)tNn0trUm%#r%J$mo`H1QNb7{%5rs^!h>jURk`ids_ z)0m~h0E*6jE3uZ|iGQeM^aHyJ&4x4dr`=ge*Ky7AR*2Df6`j?9Y`iW3aQiWPgO9$bBjJ3v1W z6uf!-!Yd^LY-sj^Q-T+jW4-47;IcgB=u@0;g6S)>Zi@rJC@fCi$T4o$-N>Hosv)tU zOIA%=MxeHikt`yML63j4?) z!C%><@l`3_B)pL`xpm|mH*(ZGqMZ%;7YVRxL+BuSN(lcTRE02>8skZPE(qcTeFb;2r-=hccWb&; z4#f@rrd(4Wgb&TnM2cMv`|eZwzTBSw7@^JS@>SP_(Luo;#Ld#Wuw-ZIhl~!2e8zUN zuT@%er5qMkvr}z;?>6Wp-$`nS)RiAEvg{F%sV;(W8}I_Opkdym#z)w2&gN^sN!`%n zDta~H?dR6r2d*I9zhu5SQcn*>dewD|sOrG2P!gg(cO;n7vW{M+eH&>4uPn~H}=DlH; z%>K$gnsKpH6RP6#{N9oGKUww%wehchRtZ>x9nNufVJz(f_ZI{=;vrd-0@37Rfv*;Rka)d0^7@o`>!X<*S2iS-op@$riNOv9eQ zZA;nhf8}f63s|4T_)GZM_2a5;=QdMJ@{2#sZl6pa5u|&pb$NN(ujh!i?kisgszTCW zr^m~>J=+5UQO5efba6ko)RznU{C0v@;!$P#S|k0R&+uB62nTlxRvVy%SOEm6;4$0? z)>@Mx+f*Sc%+IgR{BP-q+I{OuT5#V266M@)TPYs(3&G#Kvw^^LwyYz_)~gRSMI%nH zL|-&I8@ECe0p--Z^otj0NN#qbAz47M(@nGXQt1CY~;E)8N#|c zM`fzExj4Dj-*TUsO0#AU&#F~Az8F<|lNL19E8s+7TKT zV012a@l3*5IT&%pTfV>LhQ_-f2;!KR(YHBGp^@2*72~ROxQ3#fQow8P0h3UNEj^TP@{%#nyfaGKO%in>qs`C3n-+ODHKtdYYdf3C z7#13!YYuTokrQIGR_6MU)rR8mifgbFmUel&2?3D8rIdq*cFH4!@vEpKrsprXcab#` zb%`Noq!PKA*=O}}q*2mTFiuqNx{RPjI@NmNgxtvYQW%(vAgH zJpR`qBn%zE4e$&j@|XA#M!CtCip)=0=q5XAUgt_YFg|hfLm-)5^c- zF#5VJ7ag!3FAiT`bvk4T?KcWXDH)w#dBCIWa%;8Sbg3h^mGs$5*_JXN4oLDK`&f*H zwCeImJs;Odg8PKwo6qYrd${T&zKdtbiu)}%Fo<)@j2u;Cp;>32)72Y6nF*pAnKhRe zQ5+zjI0x}JjBH5-1)h->8f}@hJ=+IYI3b3?I#(^{7Ort#I}r>wSpRYECaB?1a~c1L ziDS^ph~;DELoao$9o}>EV*+N4_zx#ia>PryLUruAtGoXME^7kz-Iu1)uYj=yk2A`$ zUjzsLu-OI-&E6fHnN1aCt*7pC?XLOOa)Ko;igTIO0A;sJ>J&{_0h}5oS2wvAsxT*@ z4C~eBsC|6KIc1KhA#=eI`uE~_C5#& zY3y}CYfh2aAG)xNiG7ML|ND^Limm>Cv}H&kCa&}mSrT*o0%2#36>~ZAf*S0lqT^Wg z{&*q0>B%zkB|L*`p=)RVu7i2k`y;=2?qxnNMHOD`iQUh0#RY&P3Cf3_l5Q^A9d3-# zuh7qjsofpZd4qmhlrJQANzZVwZ1eEL>kYOSthv+C{!P?`A8RQ**d`PN<5IdYHsfC? z^(TOVaOYhHvJ*?@uTZG)9T8Dqu~6|l5|X}Bq0)C`WPRmg!KLZF~m!Cv5Ytpkz5zgNVrV=ukH#XF^QO5 zca@PsA*R-)G19LQGwL!KS=mHtT@E8RkC3+rw$Zr&o^uDipydyiODcc1a# zA@Nb&{}_*pi6wQVjIwg#le(vjXBEWCx+=!=7sTqimyB06#M-(#MtuXZv95{H+(K-v zYh(Podf(K&WpvPqopoJ|?jB-qT_2-=fH+w9j=^|O9I6{;d>A2))_r7r8Y7O^O)w^> zh@b1G88csqvvqTf`32%)-4f&LH{$oYWyTLCkyW?CV6PI_>ed-QH;9{czZk!_h=1y~ z89Tegzjb?z{R84*9ftuZti?ee3Aa6xRP5%WxV;6Ss$+-zjjo`nLjnvw8f#ot zU){FR&G(6|pObxi)$3Roy0Zd{w>OV){_Lr}?BNApxDWo*C`KQN#tBjm8`P|_9+!ll zuhzh$Ke61f3skzCj6AG(sQk}TOm%s7cp2XVsZMIDt^YA{?)i6gFN*A|$uM5t!ctyl z?nUHer{6=VTI%))_3D9@TA*hQBp$pYL?`-5&T%vK_$fvCPzkegx1oR1F7>J`nQg*D z_BwZ|tAw(a^p%3ezQ4PxBHKLpyMsOarnji<*XN6P^rbynZ$fG8%KvFm89$G9@v!fY zx>MulQ_4WzJmb25rYSRdBm6Bd9#H)pH{~_&5uAl`sQct>oPB$mwveX>;8wd9&1#6g=>33MtGPT=N8Wp= z7C=NzZKh!4+q+E?Hia1{++sSnEdED@nAf}p%#L$ITJ&7A#v+hmi3et*3*bm7lF5_- zUYxV2$B;T!X!E=uy34Y6GZw>#Pz~}nOB+F{cPDaZ9-QjE?g^1?*`y}0J3okWIf2S{jl?{NR-lSgwWcNW&COEJE0dC0=Mr~>bp?99$n6P+#E^yN{A}H)nzqR z$})4M)dJo+p8dyCQ#I;wDxEgyC39Qe@7RdqAnyNVX9Ok)w}QdpYWdG1B+L9;%6dRZ z$-zzOTJi2|%g2s(#?2g-Yc_Y??h)D zBC?X~%!+VU+#xNbZ)KDuieyA&RvJc1hs>n2CC<#}=k@#V`R92(e?5OZ$_B{WsJFaHH*@8e`n1@w8p*#-oV#vXs?lIVdCQEcB|rvRcF1dgJYMZV8Y zPe#Hth$o|^8`?L;+_QJ^{C4}Ss58uS5P=DLm%*a5t$1x%rsdC-o-^|(9CBHnxK-cee*Gd;yhWgax z2%;>rFnQcZSsWPW^akQRF9V6ENXBg3tHtw(#&jm)niHnU?y%bB}bloogFir>5?i9 z`=jNSxpBF2MjSSEMFoht zZg-mlBizqR_Xs z1Q|XP2H~J0H%fXkR-1ES5U6$|n_t})f|R_E3C1E2#$6fcQ-q5f`QpRor-za)Acv>4 z$1x2Cqm6FW?$@Ur4u5l(dpl+E3^;P(d6tN^MExJE;;UO)E?o8a)%Qb{nxo}~Wlu7F zUVB#1ceKk@===_y8at!fdiV&f!%C|i2F{u{=it}N@;Q9)i4yc-`qRF@UcgE*fj&rY zXjj+hxv1eD{9a>cp_iVg_U`LJOawpEL*EK$L4S6C)JyOvstjhUP*yLGTTC+jK%=Y& zq*H2RO0z~3m1U9ncp93$_HCOvbXhY09V@!*Z^qS?(M|{oNbg)6&dXJ57qCh*2QWR) z_r2_OYx7`cnv$R10M_;uJH;rNO?C6cBjXx9Ra2Z8tUUZO4rPNpoyUzxm| z9VVIbd%yk2L_l->%{M|)p5J)My*pY6&T;=>SU*mGsa}S=>|w4X+Rsg{q~F*qr-xJzD#Nnx|NGR z>yY79U2fJ7-NHvK!G6dmFb=W0%RR+LqGS#}5?+px;&eAkXj&vBNdGRr;&*XmZt65A zgwo;I+jXPp|Ao@;X8`r4r0LpShUu-QkGe zfy1XqCo$S_g6Nn7hmE>{nZD>T79Z2GLDmHpj12ZYbwtRq#CxMIJf?H=kgpaxVk;&4 z&=);D)KZMtk-8}2ca!iVb!fAOrlBWS<|@Itx*AyZkibRVG|af?o{l2<0yx2P{6PHZ zXQzaLFmwt^kL4U1EykXnUf0F@;*ixxSAk<)IK?WY8~YE*?$$6)J!E9`k>DEfqxJSA z%($-j5c+v?+RXsW0{j#1*Qb%-OsxUY=98Xe*$^D&jS&$C)+4z!N1f%W&Y5hv9geBN$3`MqTpq^6ZW-)SLkOJ|GHiRT>1gJ-H?d z(1OPcunaZw-hAR8kB^ot9px5hQ8ybV^sEgDC2yR?!m$ z$tsmIyADe#StwHMOKF@FSF`-vCBg-?SlC}zkB|Pk$}RO|Rf^z_(0ER3H*mLN&q*%m zGdeD%3#K8ek|R1Qt@19^K{wyS^<%6eSIgxkH1!`m@bK-Oa!rrp3-GUqyTL5j5V!6h zE)SKUPR&BZ56zEciLc+TYl`l|aE8vn=9hY2w|92W6ER7@(Mf%bcF6&^{G?ldZQEH% z9h|wNuhJc?g4w`V%m-%;nqYj%!)%%tF;STDBjBslVXSN@j#!_@cIXu1QOYeoLX+=i z2fK4L_ZU6@73yq}PKik)be}J4wCO$}YiEeQr#GJeM{md6{qq(pQXz}T_b*eXh>%my zKV8LwAxmM!`BxxOeq_#*Yp7AK9Pu)GNb9uC9MBm733;Q%sE1tdLUVnur~q|&cV{>( zFC%P%eQP)h5rW)k!NC^1Iet;+rg3nDJ3Kn1$FW|NjMD(YqRXT)MxEd`cN^daFG~B5 zXvo^^#9&5X0=r+Ogg4Z{>U=f(F;N8VlWLxB|6CY2_#tI<8c9GN=UQIvbaWBQVJBR5 z_Z-DGL$}X(&^ICKZzz$vvTx|13#Gg>;(!u3HU^jjqd;rz$jOC2!(3NlrU!uC!GV5D zt~abp=*@vm6aGKL&>2dIoO^XL0G7W8W(%?ob=H3V$1r@&Ey7f<2Ab~$16NfRUhoTa z1h881=2VlQ|K)U}I5y(YqSwV7{W~i=~NW*=| z=H-#eepm5w9SSPg3E-DoR=6y$SM4Vc&pjWk?#S+^&K@T&ejxLI;O#E0ws_djLvvU}n2+rAI>$FG zSkBH;#W$cP2#es$aZTW2XE$Ib;OsQbNWW7Z^i|mH1#eUKlz_sz%K?Cb`tKAG^`gFc zJ|)S`i%cVc=rsWwm?I8-r8ykhS>}ucm?p26k?dD7PTa=t|H6VI z!UUDUQ);zdPN+f-i_m5JIBU!xy@yNISZcKM6i zOu0!*F#Egfg6Wwi#%4`D+Ha9dMft@o&KT%e(^t6K=pIUJ`sxsMv1)}65{+JKJRnlL z2H-2@*ZWTfSMP~2j8NZ|Nx0WxNfFD&m^@m)bg>b` z|IeY34`7^NerV`LpgL9>hoP0~pG_SHU0yc$u5UF@!kgvl#HMfXXy^T#6e)jwc~c|U z{&eP=?P$do^;}5t4mq^J2&_0(>ZGi*YCOrNd~Ti_c%^7pv!$j~ylE703Al9;5LuD5 zzF@&B7bQuo2n-$|ra!y9QBg2)~3&Y~EK?>K1gqIg&O#gu`F<@8f^=HU(XlwVI)qM8BhE2;nQLugqgpj}aQOFT@Y&J2j3n=;jSg7s5)aLPpN+F= z1kTy+glbOm__<9lCA8g8c>BKRC*N{ca|UBs^u9=%%)jkwjixqun}12nq`xaB?lK_M zI54>k@EYrJ?IMg_6TLr*ZZ%^%pw94bYrJQIUFrZ*fYM2Pa(aVdM%Q39?1J|F?vaO+ zMnSveMyW_JStzx_e+?69RiU0?j|zfnV1v`b9fP=%fIJ$ijmv8ucF+*qp=$o*A0Sk* z5L27e^q_FK#S@BCxbx!DS+HJF&5C`7m^Jb~7Md>p5R05C{v<3N^LTA;rx%ej3lIBE zGnvjXf?xdx$2ahg^XcGbGeQ7iFs~w=P1z!seGvXtZ>G zFlT-VqA}Qr1^U~zgj|Q1HCpE*w#ONM%Y&0O`T#bl#NBDo{Q3G2$I#1C z&@m4FWu``rb_Yg4JDoTWAZaMJvSV0P68dk2j67cc^*M%i1Z79>h@pM!M4aDEeHme zG-PA#cf||zFwCDj2MH9bDI}fkBDouP2R1%=Fg5E7kU8%CVDlA0rM{m&F`s&v6^ZKm zF(Z=4>-&50{><_}KPJ7{*;i=1!VA6TJ1N4I8aerPd|jbRJ9XAq<;Nto+IP9yX#9)s zvdHAs84ZagIvZCwuWvfL5lYA!;Ew6TS+35T zTaL8gksU|MfFnCn>P)yyQct;bP9?5&08#y9-7AA+=`S`=zQ9p`yV~lfS3uedb?q^S zS^25b7f)Gdq)Rvnzlq>v)=1POi^eF16}|o@Tu*l=!Gi=929Ag5T7;JgG%75X7qnkN zPR3ah$UGat&yCesvps2bVEGjuq|NZwWHS!v;Qs#P?JPo*3)%mEM4az{mfm++Ot3;^ z`Q6Trp!3-1XI75u-Flo`g)!(~h8!&AdkXHv{9J-8HhPaWiru>ApU`#MV`5 zXYqOpZMhl)&Rt2}IWjMw`&FjQ#LJ}Z&MlL3Y7?nZNmw!Wv;5(2TbbDKj7v}Q>nxU~ z^}dcAl)pul&6g_>2}f7y&i|}3x6)bVOb(Gttr#7#0YvVbdAjq(<6yuoRFt9234*~S z2aTDDFm^I^Hd-?pDn@gA%&__Q_m6q~DE=~r954Qg^;iAUUp#crf0~2xgU?D2505G4 z^20H|8B@|%158f^^uia(D~&4I^!}?pG|^J-Lc+a&W3ey-j`W@B0tsg=TG}JB+X!N$OnLjM=kyR!jm$1)8`LSq#$x=FL)| zhRsXW9dlZJPRXA$*F(WQ0rKKkan*4LUnx{_(1I}@xjg=BpH=Jyf}T#8w`FmuWMqgV zE{;a|ft#;m&Z)BqCDrBgl)oK3i8TI3Gd6`+&cq7kev8T?p}RD$#0>tVoy6D%9fV;F z1b>S*w4m+-%kYRMRf@qICVE_A9kToCZm!(&AI(jgl8gokS|~A^9^0qAYV)G&#(snK zN1F(@+y=+k(*`Qn=P~S+!jM zri+^(Y(UXWoC-DG1<8fHiO84wT~9tnBowkz0icca4WC|nBWMFlUYKZdpU6oXma^^t zdbWZ`Px5y_g50l{!N0QrZLel-fUSCetq{rr*zQh$2im<6?wiY@aVG%N8BF8;#Icm_J0>4l9+r<95-l{$ zmB3QeT)cJuE6k>)#*yG6r6$$UMaYjtRFTGQQC?n z7v6r<1pt_<)xi&3oVglb@G@U7w1__;l|@C_lCPK;wWDa!OamuPx|{T%l02uPw7ejm z%}(Is#}ZLR{Wh-$-Y=Ot600@AXa>PkpF=7aO;XOXua4oWvmG+a$t{89kx-9K+L~d} zXQq1v)HkSxNuYM-Z-61f6xX7Bu3noSX~y#2HU654BA4jG%mWKFFwXBa=OaIs&$e2W zNRREl+x(mM#kIN$rcc|o)H_Jy!428({6~l^6Ku;brtt|=COL~f`l%0_s@kP=s)0Y0 z00cuv(>2eZ6LwR3MCuz-1xT1g^xUH%JI>RmDqvsHyuTOSIJfJxf571#+ehZHIBY@B zwvcSww#Wm3dU(XKqef;Yx+^4iTSS+7xC7UujhxlI-yyc67ZcXSr8mZi@Oh0`j`ZNC z0H}STMCp?izrnTr!@2nvf!z}6PsEGO96NC6LsqvZT4VW z<(&I55jehMXZ1p-BfWU}P-|AGRY3p3&DiGky>8Dn)^%~-5O!fQ(XJM_-YR$(*z1d!Syzc&w~3sW<(~&Dj@! zPaCtZ@Y`B0Jo^5=0;Bz>O(LW;UdYV1FJ_lFr2{0$gy_X)C;sjFtJhQ&vD_$uJbt6M z$OS+h@2K42XD{SL{|Xu17J&+$S>EnipqeF1OLaDqGZr7pv-w|9IYsf7T4iPKq@`u= z@HSVS?2aEt*0f*@y01vQS9}e?OBAfm1!FF$6H^(ONS#sjm_n{6gi%w?-3YIymv@G} zb}Mm$oZsyJQqIOceaG<<_W@V)brYWxLD?iO(zG6(PE#xQ##xi)CY+$+le<^NLk9to zTwXss3Kj6LN2URV4FS9?LiJ$|#k%$IIjN%bOZ8|3FGl?xva9k%S_(Wd?-#Zs>ZcEta%s!AGKuN$;rnh@`-BHVPaH0(hXay4@Y<92!#GtZco zq{j=J9)^oNL%6$YNu(GgC3y_L*Cd&GoIOu zUCAQx>R;e88bWHaS%2G+)OVUA{E-z`|r(Tp1t$X05?6Rx3D{AM_=0`$5ZO3{+odHY^j|;Q9MTsrov#$fF z3@alqS(AMg(^RJ!<%BdQJHct z8iWPXz*3lZW1uvd*xciVU*vD6cz;n#q?tZ!%`yNgVDhakB3V6Yn)73VP)`DW(U zHW~a&4iAOPMGO4aQ#ldw8LRVVk3xDF13o2X{?=4G*NX(00q@^gR71QHaqqOPTS$3>@w*9RT zIMy|=OkV2cFtd?mFZxGBeJLL+u+(dGj;O)Z{KS<+X}X%bQ?{4HsS;pEro63XspJ1_$JL7vt;H(3C z<;M$|jMTG5uK8$FZp1k;EH1^~!7RX3$CepMIU$b;q6A`mVAQar(IloP$60t{IMWgG zJFlnSgFE&X9a_K+v=K!y%{Zf1MCCdzoRfFMRU9O&epo|OOIruhJwX2TF>Du{EL8TR zE=8XY3!fly0^X63pZ~dlz@T6~NY)EDefgqq4CE6UqNJhf`gVX*giuFly+!aq_N3(o2tK&O1Cyq)a zLZ;3pcDBb1480PKUH~Z04gq~tAPH~;AujGi3P+CW9c$44W@Nl?Vru4c%0fgiJ5=VR zJrcWXZbi1SRpmGfaEN=W^~-wuO!@kqeN%kCtvV#Mb>KooWK_1!R_w(~mjQ(vD%Z(s zAoVfUcxa8Jv=I^QYp!!460iv5FTWq5tbkT=BL0V=j{XoVmoD`x(18Ol3R>8)X+V$e zVQhr!VJo0ktfm0S%|PxR0)d08s^MS+w};8lf9geC6JRg=@A*GvD}_r7=jY0P7ykNr ze!C1BhQoAXU%_pk3}`kC*4h@=X!Z=Y*f!tOygQVm!#O+%ud^NO)BHU6(e_=pX6N9P zD>8@!KKr2X@wfBO%f1)BX%7MwpZ!BKc0mnyxSSqmB64dJ4Lixt0b_&5vB83Xs#|dM zMa{tP2HZK^js5T|ucZg!blZ$H&E&yE+xTeBh`}&huhW{YgLalEnIP88H4%uU=Tj{*zpEtS^BVF%`Ao#0Jhj}3 zVD6;&B5p41@tS%?^fsEiKvHxg^)s9bs9{Ntun*j#008^I7Y74iJmLqL0k~utqWUb1 z2!MQSuphe|CzQ04a|i|h48v=;v9NdNLyM^NPv?^I1IrqBD7Cp6AT77AC9k7 z>OGr~QB81_g1|+m8$=~MZ>%M!HUN19D#+)1gZ$9%S2%E0gN>tJXPGe028P>z&mei+ zLEhQ|Yw;?$Gcb7!Mx-H;cODG8j)jXrL}LzTMR{G^4!491i7;^ir-9MwR*ioXAtkAB zPheE?#;{ZeAbSboJE|^1k(Ggj<>HLpZKck=(y)JX21~>WnpE*w?r6a>Dw;9uqNq0DB6uHu zu)6_33VH}Y(Qsw}5WzCeY6GE2WKtIv@qqk*_ kP~fqDtKkdN)OY(p#NhM<8YT9+ZDc+@{@}nllFKFZKe;MVC+T0SMVG=nB{y1O`DsNjL!55%^#6{~`Im zj0G8>+bX;|q-&|$(si$LOW(rbpz=xh5UQMU@aO)%W^SSYU;3|$&+D;REJ6l}djkj2 zJ#zg9{X7F@d7x0PIO&AjQrdCf#}&XacR>{zV30Tv6G@2FiiwQ_B8iCv%}TP4mo5m) zVgqL@0=@kMC@X-14|TANG|>hKfUZr##CTh0uJQAf1B}w)&IV-p8ANzX@;Tw1|1aa-UwZr9{+w1mhc zy5DN)RE->yhLgWG`Bq3+w`PWxaBcFZ1fQnS3{6@HtI^J^>=zKZe&^bBkOJ~IZ7u6s zas%w;888W~xz@ixM}u8jSz>1g%m^==_De7ELC@?-WhSSwtR|Wlt6Gc<&Yxj*kCuGqBEPa zQ=0}^Ed4WF*KnJTQUsJcWa&(!nW`_I_KcjkbvW@KkMLd9C=PZz3y*R(SnP8%P!b zk)f@T>31Nti^wzqochmYse|FVPh&2PJuPzQO~yrrf#6(annx%#?Op|=gO!!W*XB4rA%mhn1m(`COKNG}%eWo6HQ)0g zk>#aoN)sF_STV|MZ?aDbRj>XK%i^s)-9t^b7oi*Osk^60j8fmOZ~qxtQhVnatxtd7 zdYz-1lm;GSz7N~c_g#G)JozN`KGUC1Bmt(f2leMDKxJx*@>69Dl|t6TMNe5orlj`Z zD%WmfS;tS%5P03S(4FR}HJa@%F%Gdwlfmr%R*9f#DUdxf_9jy*6l9Mdh_I9nbVWqh z#V1BCE4D32BrovY2??b18$RE{As9>_1SiSdv)=EFi%N^UdCr<(2F9Ae4WA})XBzLg z)xOE(jLg+%yj<`cS&-gxJuG$D!FS1#)?=4mB{?C`rBuE=86|^;GDH)Z!;K#3{|N(3(tvvZC`M65*leGX zPsVmIr4RkvwUl(eqEGV`&ggL=Ir+p` zQbjjz$>~qH23^6-l`c4X-_h+8oxcoUAR$Sf751cCfb=rTsfOBT5(o9VT{<2H4>_;C z?KwC&)Zr`{Ith|sOIgNAc;4?zlZ%HEx~McMkNzc~^zfv^CnMK{u9FvG>qPF{>&vZc zw)2?7^0o_!v~C z@)yth+VA_5vRSRznm=`?0t1GOdB9R0i6NISL+}BHa90OotvvrJ9Jj`4*+g{%jfL*D zvjHV@v+94I2)7Zn$e!QaO4@-SiZ{2?g@v%WO8M2Tatig%Oj<1_Rk4yI5;P z@f_F=t+g_f%9(=|zBYDy<#H`07LiQ{b>4+jaqqK}5AnTSfsf2s=f1Mv{ zZ{29&lmn;0Zb*GcUUKUcuS6IZkQWzUc2FVmCuO;Gv@A$cL;CO!K-#3auRl1R9i|UDjE={Tr&N!` zjgBOtzPAebfAExPMvunMj9V%%oT6I*@Z$MkMRPS$1{XSyd{z^9_<8*$lxGnPzIKU) zbp=~o(&IHG%P%KdW3#uGI0tmS$ufECeI_exMRH}fRAYtRzr)tUw!VAt>;2uhvY6f0 zCB{K($banD5(VszR#Z1{d9r2m!SuqGOobTmeJK>MT0LDKom($m6n(KJa!w}s``$1y zx@r+4&GX`aW3+|4;`{uZGp~NMbIZxU&;}`UuF-9BRQ9nnx#mJRPhI0WV(iyg%Jz2N z&uIn#SB`>hcfQleulh?iv-t1Cp@vui$LMNY>*@H|U?T)?>{jL7uYs{@KLs>6K!%x6 zPkHE1yV!ihkbV_sMk6Vz@HwSl^n2qoj3Q+q(d&M5`bq#`p@;YB!FHb_Mr;`;7%z6t(zg&rouN#ua)qKmiFga4U!{tRU=b~0vj^vhZrN8+M0>K{S0LgY6+^^O@iPy#G^4otB zJ!+zJ*})m|5NL}yE9%m<7VG%EGa|-5HQP5y{cv(FO5hTKXmg7E;z-1nuB3Gh;OECV zLeX3$=;M=lTUVfn6m_oa&xjm<3gUKE6>F043e{cq?O>Zd&&G<@?cM7mt)Ffn6Uy^N zrnG_!E}%oXyOY3NjDA=|ivE5Cpd04W5P5SoyxQvC(cv4m&=Ux*{$f}x{zNLrtt}jM zQ)d0u=78mi&5>WAQklH~Ku^kGk77P)jaC9?``9c8CgpJ8lL*4OqZ#wWP2<6| z1}6WoqWNNH$Y(jXYhpl66_~|39#-)$)gvrC0LC>Y;TR=g3=}$&D;pZN+zPp!2f$%t zxpS=z+6<#7>)dWYC@QkW+5~oN_G-f4H zfXs%Y5DP7p2!wb;Nym6>t%+;sr#{=z{0hvvIoPSqU5CNIkP~B*PY~h(d`cWXJ%yOY zjE?0>1Mo4irKm}F%_l0|B>GG3ev*!j76YU&si$V#*jQ)A;2a;{%f z;b~br8AVKG63!@sfIv5&q}U{L#oviUv}?0lzWoHha~rI_JBe5b8q&r$q)udHq}>mS z6j}4Y>$pu+e)vkNWRFF@Z;pw%#Y}&Y4mPEo)|j*-Cc8>TYy^PP`%`T7gHQ0BAqXWI z*pZV@9@s=jPsD@dm?wlI6X}`B7EzHCm|A8Uw|2W8j}KGSWQd6*6nGwGo{0TqlaZZ( zPcBFi?~J3%f>8fyyibZaGt`fGL;T*1BL->5wK^Wb$0^9H;!`D1FAINRM)-!iH&Om? z*XE;Q6KYZrk!cc)0HI7e#Au6Bd4j{RcGr5Vf!C&`vKtJNAS7TntR* z<%iPf;@!y3*pmN2mHL2M*+ zi$a^%j*tIsxEZ^i8P9CSn^YBwGS7TYpFo39uD@)lh|xyPv1Z-(y8gGw(y8%!MW<>a z`d9y>2Kz)w@=Sh=jyN{G7#riPGm#uKD8RXKkdfYROWJ&Ov2b|n$bz^^Wa>|_IC9t@ z@3nTC=#nl_7o?6WN&J;7S`d)&fS495R?MR&`y;u#N=L`D#zn&0gWF3{Asy}P(E!3( z<=uGYvb)S?&}jK;0TXtAiK>cq;YZRZ-1 z>Q!sTyEXl=)VPzfX9V8*`cyu<#%CIH!)iD*Y0me=FXl={%3UL_Jn{v)cBW!*mWpCD zI8zmGp4T=Z;7W{4+{F-n#4^$8!&%817EMCA!lSYN5;`_n_j=w$k`$Vx%=19pPTsB& zq_bWoY4~pb1`3BLAnc=u<%cP$?8}*PONoIqll`}y1!iTFY1S&qk6)!aBM)6hiwL?5&CZ|w#ukm@`b0r>$&$c2lf_3PJS-edaTpe5kg|O zfRqWK7H%E_WHa3~k0$E@UPo%(t)rwS8*WQeYSlWB!n+J`?=cBxln&)=t27?d8Eg(O zZ5F6BY;vX&v!ZT^KKxAu*U%t z9RJn>kR0uEpIF>iW!d?LGlkv&xwjFE|MnfkFuYym*$7NAR6S8{#b4LR5St0qir75< zhVSLfu-yqkg))gUZIPilDPP6K67Q&8ZH?-^#eZ%qPjA_YuIHyBS(oxXptm;ZqVgNc zSswi5<5ua@2Sl&@8V{*o>zkgm@^#%!2fm9N1m`ZYRLZ(GuhhCi!8tCnB-VeYKZ(aq zLBgT|&fb!cNm5Yu#3MbG8P_B|UEiMaLh>0*9aD9~oDdfmD_YKSZ}{l{@c!(MmRT+V z;daRAF`33_PiWg1%4cx3D+OntINrr4`R_}T1DPrv$W@96YUZ;0`E7TRj`@_p>rNn2 zeaG)@9c!z;?axXT4s9dTC6Njws{f8KbfMVI(V~fOx*Y)hcIRdgdG~Vn8i)e?$FXbz z>au@!eQEQGG^utv7(ogJ*5Kq=P$P`US1wSnL)sl%5IF*t+@b#AcZ5*AZGd8zvd?w< zeTcOH`Dg%%&BUY?+Ssm%|LVTtYAcB`{n30o$vDA$0%t~^^Iv}&U=x8a2M9fz-nUh) zZRqnPW1n0xTw%Z-r;oI$^V$@aHh?EeBVAQK-Ntw( zTvkp(xNW28dRCQl50BlOwM5Y@X(>B&Gb<4O^$a4VNPP{*ziUZ7q;h=@$`DrXl#qmm zK#cc$$ms&q?NURP5DabI7fb#2^$PntR4QP4RJGef_R_5*6S~!V#2A{LA}IvR+v04G zAth2n=eTG3`a)1WCw+J5Mpn??;}`CP-SL2w&*Upn>-C|{$3hI*=Sy=!DC<|f>APec z5fON7vpF5BGWd06=__bP%t-2;D?G1k5Q`?q;h7R0YFsHxH(lx7R#w*}#EocrbvD2& z+m_;W2aXaA4w-hNyb|fWuGGsz{glA-K9nE(s#m%GoJT73bO9<{W96cL+ew-DmE&=8ip_`|{0! z<7N8RecP?gIikSS(W($(qkTIuL#pQsyqiY{3D4n3KtEJQ5T||QEnNo4)JcENljOQ? zbLZb19BTi0ho8@L27DcYq_}Z~N@7KCr8IPVsKRKh;tPl&3GVD{L=zgZ`=Y~P@>^n@UfO7sPbIrO?4gl4&)>%<(5;E&oE zmbfO61vnk`Ijeb<_CJdkM^(j_Hzqi)17=15Ap^_JN(mD#3r(9TztvAY7hM1?)=`RIjrYV zk9L2Bki#8QkKJU$Ta6S}d^(#AiXtxE(6qK*hV_m8e+qt+ZWs3o9XlvWHxR2dp;&4!R20W~Es%F?|JiFxO{eVe%3kxp^hq^y z&qzo(Hj~_V=Pzz*KSfD!u5BT+#J2^X-sk@7y;C_bqkCoMsqMfo(I6vJ7wY=~%BTm= zF{y}Q`Qc-~c)Q#i6cpDZ?IYvHMWnZi6F03OqTylY_8mg~f~kn}D!%~3lhl!Eldj+i z5lFC2?*p>gtx$e@$kjC=sz}#V-^w1>)$ewb+H;-($y`?G_J$j>*M8m7w|^uR_Yuzl z2xv%4P-|nY+@&DdDY1Mj-f@)6xrw9kH3toOie~ePNkLOXqS`t7=TKAP!gL-L&uVjb z#}9YCPSXr+h<)L6!tN*dO6;=74NV%CGzlD7u)F4|x?}p8Z4jmpUeTw82db=uv8;9E z?<`}}E`LyYIDD|w&l8X&qazF;Iw@1 zJqi?9M;6*ydU=3|x4eJ2#5lxVN2{VTS>$D?(K`MVu)cKhP^rXDaJEHd4|Ga&cFl}R z+Ci|oAwhCmdAj#Z!*`9D?+LQyJBP-T#DDPTZnw?hXH>R*Gshj`x_{;67grGrMCr1B~uD= zZ7oul4iC=bU?rI>MH_B)tmn9m!L4uV)!+QT7D#YC@)miYuOL(3QEpd~j8Rb1zMcGx zw-km1Fa0Z*elxmY`7aH1lH*Bn zEvU1WRbJ^s7Rl#Mey&sHOZ71cB1g{4+rGYV$+n{w={wZ0`ZW}_QGJhv$4$)>^a^We z_0QZzuG#sXM767zGHA0bPTXx`K|_LQNTl7P4%a*R zRl9WtcK4UV+D-e%x18-6BUU%LMba;t1$mac``AR3I%+$v>~FtjTY%q-kg;^`=O_mt zvNv+Y`1b5BFPK7b>#yN0d~m)E{G_MUuIDPOCod#c3S9Y}mh{biy+r(uCWbe>hQZA?aVDA<6F=skuI|MT$Xp^L#<7o5MlQ??cYhx68wS< z`=ZiDZY*M-yt2aO*nm(Wb1_@jnK`5nq44U{C8zM#)nMr~VUt}{GeQ?tV^m)oP^cdx+=!6DGUvK947SpO>WG z23kjgt%iecm2I{s3rLUOM$``8zX!!K&Tey&1blEuI7>)w#=N{nGfY(P_aqFN} z)w6>lcPvAHq09_mrIAY*Wno`qPRn#SC`4+L>rAeyBG4gIr6VpvBB(MF{VSVoi+ZL# zdA%VTzv-ha>aQNSxjo{1kV^ds0d!1OdxE_$ zw1?dKtnBSq*O$2zaKOkK;D0f|`%j+h>JcT%+xse!qAGSQ&sBT?#`&F|WPE9L6?Kf< zTOOj_-13#u6VK~+C0$4|`y7He&+U-5GSg9(58*VcDuIoM6hQiSF&Zz$IU+|J%%QgS zeeaEv0tpz21?;Of;e?Tas{O|zUa;+*4db2+%|!1k)oNR@4Z#-#jpp1JM=%OccC{ygP@c@TsqIzg^!f4cp3=;(S+YI1IF!=_&0zWgvy2 zhY`$xh)v)VA-=&g4$bqBycXGX3=I@_0~ru)PBCN7+adXm%rPb9G^D1t8`aTv-j{Fa z{phS4;6%K;y>ovBvDECW*7kIWux^M7mB5j&;Mu<#G*;PFP0*5?0!e?pj-ra6JX!(sPjQ)?wEH!QGhjwnKZDt8F)f|AUJB(EA+H`8YI&0S+ct@;?~}w@W)s*QMEI zt4)opd=6R>O2CZ+-^Y4%rt=n<>j0ceo6MHap$zFdEfS~CjpQ~6a}?BSH(6FjVH!uV zQkv*v?$w##ffas#P8GQ1i@$%BZEvdW#AS`f%K~HDyLrDZYjnNXxjddbHD{>H|D+f* zZ?<>KeOKso%^bPhwG34EvOxajyPBi&MvBk)L!HMYEH)FZlUy!gD;hmzdt(f`D{l4O z>{WM|IxuW?kjH*erUU(UloFvuznMSTM=LJj?^mjVa+Ku^hVZbbfOU|x$na%V-e0IV zG5N$-HH-4sr5}I;BrR8MPAb!P{CD&BS#$ao>yx0eE5hXK_6mU~R|FN5Jk1qb%av&k z2MND*L8YI15QGNx%?9~&j8iG5XLK`dOZqe3jk6G;4y{(tHk1!eze|OPbaYsJs4;*? z9B^G}fvVzKG?ersaBqhg%TnnA$?D}(d7kGv-Qj=APGrbJVS?i!kc1i}4rb`15f>)+ zZBg&|W3sJVz=u7+AczY}3gnXgw9_rW^tta)44P@EjhN)#FFu&e-K$LZeGMy0w|ad( z-J&V4o(^+;YEqy7aL%|x>jx}8MBr#XB+9a9sS3Qr@q{dOLIw+dt%69_4y)JGonSw% zq?`P>OfUj25e+)#WJ(7KUd&wg3owu&-_k+~8U7MR%D8qX-980&GtID_^b;I#y_;r6GEYI=BbX+^YMDzzvaTqJHv6On2*86_GDLI)%10Ra|I4g4 zzP9vVV^+tgVkSxy9*ow4$_PPU#*n6qA3lzobla#77cf{jwKK}ssfLIGK%S0LDG zp`(L{GSifmT|+8G9)bE)DCE5rR<&B(#^V#y=I~y}DMn-!`JzT@e-yj^v`wCoq8i%P zi=X!nC<0U>pq}Qe!h7RJ;GQDD>v=L0>q!i10tu2uGS&qgy9>r za1avGce4bgA`#BZ#np@lfqp{%<8XoYYr~kz7dC_*w|^5I=6XBg3O+A!H+;~d0^IeP zf6Q>u!gvhGKoA#=yGV11BL0sOW>_jb6jvd=3&qrtk+nMG5o?QR6`e=Ssv8gy=%%Nw z#T>sCEx2+=jpoix{`^!z(UgJL)BX+K73W;6)pi3^>y&vctUSF@)mh~ZtXAqb|Gbp* zPED^peYD<`g}vs#5-QiSGg`JvdX1gS)%ju`2K!3`cSPj8E@wbPlqvtt!|wM3Sa3(M z4=txX)sp93e1<~x6aMWf)Y)ze*-4$VV9wi);Lm>TivDrr954y}&-juys4DQo@rwc) z4w*&>kOxoc+gLx3rT#Jey+Lcl@!YqHg0f=u7aA241@<+%icLE zGJFM0Fh^QYgojiQ(eTb&&9w|UFYiedc;JLyRi}e}F8!@ks^HaHVX|*%tqEnY{8`k; zvp3}U*Tu-oE)G+Q2|t#nS>-$fMkVmx(rMiAg^^Yd3I6uxtz2xT{d?12T=M#}^@_6h zcPn4-s9L3@^*d}3Kg$kl^n=$u*TseOfBb!CWs^5NN&IE;$$MckYqG{bez z#9=qOITM)A)Op7(BgF)s9au8%X{u$u`k22wxrru|K%nrL*r;LR3Es~R)@sA*+K(a? znE(MF6`2s38f$;*K-MNXPAp39?%?3)6Zr+a(%ZS#h=BH4=h^C3S+FOO2OGbDod0^l zY8HyA+CHzWGohpSM{*azM0AjY`#c27c#y@a+~Xuxk?qsSe7{LQ4SDL63BvE;ptZT3 z2${4mj%_^^;QJX+mO8Cd%+R)FN=Wb1Zfydz@}yiEsPkI+Ee_E1#L|=?la2@(-jVQG z;s}FG3neBA*sT8n@IIdToe0|_WMsXZf+QkW(yCH4D_et{o5=NvKgrUo0SZY_CN})D zC{IF}i)<1xqdJUqQRUDb2TOp2QY9w^!Qm=-vzkdVufUoP&kwncBLrz0|HCWV2tbn8 zvZ8eg3=&M-d)+8&eeI8@cyKN~InRfF+-EU^`!=fAA}I^wA0Rs&gf@6LGFRzBo2!&x zN~6#4mWP=>l-S9)OOB&SfhIH_NVBpg!u=2fZ6_=u9>~fo;g%k9<()5RBI|pW{x?AK zft!DAOpk-<@<)`~&jYSj5}p0NOmP}avLQ|0j_H!O#$_*-m#Z*u?}BU+lDVJoNN(me z9Y(PP_;R!Yf&UU~A{mrO3^r3srK!&vyu z%2s$i=fcZllwVcIZt9zpU6KLC7|mG-C`2*6S`!rQwiEd%QN$|djBm~m8dTgL5i*N% zKjz~JSo=DGj#;}qp3@BJ?tdb=UvyK(DqbMs^ScFTPDHRLuB>^;drjnsdD;2!H@%qB z(d3L>xcu)({=qvuM{cK5SBe|e`cMs1vi=M1)D^x#GhHwbAY(_yGw1lgu>Bp1#pQ4c z(WNouFRl6KA=(XOc-o}P)!G4Rp8Z#yqdq#4Xc;_dI^S9m?7BT-7JiC4a%*v!E#Xjn z$Hq>|zrro)S?f!RlgwCW5_^&V3R$yZ@o+_0SDtSotMF8d%PTOwJ$Je`h0N}1Z7dG` zFZ~>o<$sy@Ae{UH5Y^0gC9q(`fD-uYXMw-TMO|}!s z)`V2lHMqZueM7BNNmf}0tF(Uc3^AazQLC$=Hvp2x8xAxlG(m%&=H2qtx`%DQ!U^^+ zE7u&xHN5p2Dj6ESY^vE%R(N3b3sgQ-I`->Ro0pE5Cpj~RQ}uv#WTd%vhPE&WnKG0a z3*Ef7G<6b)ZaB^Gjx9Vq5v!^AF7IWuP_XDB(3i88Ypy{wk2KFJRQWBWoB~Fe}|BxUxIKdfq=Aib>C86ps~E$i{Fys ze%IKYWcmi(IBY}ho8Y;V?X!Yqw{{MDOGoxbM<9*#sN4?T9C^aeMw7x{ubR<3j!e`G z2!2v;8j>ulOn8lYgWo9X_t^_FEcyxqgR}C6LXKa@=0X3mt92N;>Ur?3tHwAho}l*2V`Q_@zpqr~cVGGhx|U*u|UL}?i%zfSkX z6}abPB%1X(*5}YLP7oPx!ZBp`aLqeLT#~KRDRN?_iRNw7c1GD6GKC{)xboIy?qG~+*@71M`p!m6PWe%EMeqcsON1LT3 zH1KrruyZpoEF@R)EH~R@i9}uinPs;6&(Ey;;dr;aQ_rf+0FrHL>%7Om#vC3i9@%UW zv2N^xIOxf-0m-K_OFDqr8i}amdBDA-1Y{s*P{<`Ix?mg;{SUJw;MsCX0G)|iLIU=v zukK6xhgQkmZBV2Zf#B`C$J{%J7u!5BSpqG+IsY#Bc>Mg^Lp@RQvaeR!ha!OWa_PFAe#dI;4PR#;lF6~oJedh+`DNBrDoa5@HM2%yTu6aTJLiU)gV+(+s~Mz2!4W~Rro}Lg zXB5E-7k#eiOgaR7Q|Xred+$GU$E9F5$w53I>zi*gWKKad)~#WXn?k=5Al72nCq-0q zC2m&B;Eh0_(y1H9kW2k`yi4_WM6dqwDSCu~nhim%l#=mM1y)8g=)f0}Tw_~}P`j!( zkc!4thTMTtO#_UMx0C;&G*^yz!44=;_l4SqbajFZyOGq+K@Yk@wBt$H);NAL@#gNR zn2@fA_|>y5F=nrlu5@;gHo^f&!ld4yz*PFH6{J;?kgaG0?Jhk_Ii?_O6$fL7Dt1IQ#q*`t-Z zi_b@2R@>7dVmCCza#>`s7MR+V_Tr8$1VDU+krHSI)1Xjfx_x)8-mHJlt6_$K`e3B0 zO8c;eA-vlN-o*%8kpk^6(;U(5`@0MasX2{9%=Ai4!Q&UJm5F| zf+)lZjdVb@0uLAP77dGtwoy>UPZEtM7ygyOzI6N+`TbowhW)C66_%o zE;F_O9V;HtsljlQ5Xn#w==U}7U=wP0NLG7s@NQtb$K&5c0g;4gASDTJt7WUME)-1h zCLa=$HGEGd#i||M`L2ogtcw&)fBdZ@^-S91>vnjR9a~kr&zZX^Ye(?T$t}_HI>vyJ zk%q3ewytK28VK*s@jG@5FUd?-PZpA)#x%z|MXN|yxu+yx_(&;4+v2Cry#c4PKs@NZ zCZLNxDop8N^X4uDo~BB75S2=$AqGa|uOIo#%ZBI+!e}^$Ha4;hj+bvrLX4~vEos=< z7>4>=(~*HRp8rx?YqPb}H2${82+^h?b1%UXH2TaTUKzDV&0e|r4Ss4*%XOz*FlP&Vss1~x+d4xQClx+Ryo*LsZne*7ANn4+z zbQgx>6f42qflp@8w7_y{KUX$~{RRY1%I3l2&1dHY^3Fkw4h@OQx#wW!dCECMV78>W zN>!*hsLBfS6EE1s*W3u>nm0?{IhXeXIFz8nttB8&hl#t6XS3R$IyfNf@9~6oY)H&0 zHp6q3XHR~m_1)d!gOl+}ngLr^ZQ70iow-Q$Pr8i$KaZ_@__?x0TieOCov2c*AiTC+ z7$I_8tWyGhbk&cxy3*PvNteRPz{gsFH*6HkDm;tS=Jv}h62En~Nkq3Eg28{D z2NFzGaHk3-oL+k$;Cwv2OFyL)x4+6xk}$9TC%P{aMBChdO6KppwnzJ@{=V4R_|~L! zTQ+nooI5be3wPt2;W&W@@Vqk%Yf|jP&CJ0>J#opu+j+D0^wa~Jj`8`&@b(N2h8lYZ z8d2Q|{(N_8DLMo!u73YY3Z&HrPWSdK^@kfAH`2OxGw9k4?}igL?n^nC)PiFlpRMvY zDgS(*2o09}P2Pu@8uF%=PN!b3sb;gpR=$X6B2F$Zc50$x+&ozg4@KJ1kL+Uop0m_AwbrVQ<~~1)y1KrLs{!FNh{S@%PB;n%1H{nUun+?uI(B#WTknRcEg5h}Ksdsqc99rOC> zzHCgJ=zb$V2(vWRPyOukx%DMVdNt^MJo-F;-Xm1KEyH2wLL*oU$K9|~>@75D*VQD~ z5_qU#e8eGiyk2+ucz(6@oJu#rKZCVg>at!-rYSSxi}+N=NW5seif zXpno9oGHrvZsN@U3@lNi;n#FZIb|BuFo+D57Lu*`Z1wawx3JV_UWlNCE>E5M8L}$@ zXKOlb^B17KrFE;1ZZrQ>LTD*VBkJ!EfBwEt6ZZz~PiA1$dlJ6!1xcZUg9eeE%u7Y@ z2mS8*PXV+wC{yrV8b94$?QcdkjPx7W5#*5FGVvo*%n_!Z*xviUY3oqlHq6M9RcEo_ zNcsl*%`EbjJ56h||LACcSm@&8d;#&A>3n!tyux~;VPp3gNHd9mZG7jSz26GrGaeQ% zGoM2rZ>o`)bq&1ps_y#Ib(CswEAH;ZZa}{ zU$X){tbsD%cLQa5i67oUE{m+h0ht>Hlh{QdZFrwI6t#Rn=fQmHJcI zHOI>4ev1sjNYHWHO{>BtEJ6D#IZTHU=fjQ}FbH;H*SBmWnACCD?;1(9*gbX!+v2#< zu`fYBk;eZ@{gM*9cigIE|GVOLNjDmA+Je={K*y=CzA2=B<7Kb2h4)o^HNu2z`EULY z;w%2|)~ z1nt}=?_8P|sAud$$GPtHmAxD|Vs_~C;vBo(N8LV8auTE*ZqSn}AWg6^?$?T@34wPu zjk_~~pmhGa>l&eA7`%a%ZdL|NM7BZ2#rEV15s%?3`}JR)VyVqrk2SV6b?JPd5sz-c zDP2+MGlIzDTco>>B;S+mhLi9D$2B|KO*7N0{p`@Z8yN*cZ_x@v=C@sjma>ZgM%gc$ zyWaOs&9%?w0@BMcKc~}kDvH=WamQr?@5e)FG)a`vTF{kYT=+lDANDTXJfuF_zr1h2 z^4M?ARBqP}-`G!RKZ=*T?VQ06tS^OUJ10 z`xE8NFa79y6QhUs^=(cv%OFRAcLt^+X~>I3dm{$hhLzCA1~qYn5xO5^3muC9ZR`yT zynL()1nitHxh*Fk`Mp?D%NQ+R+(@?8d;5ql=YKC9pvz=XYX^1eJ~2)TzeXyNEC-dH z5y#4jI222HlWj@PZn*}%`?tl3?>$95@9|{4hk)K7AabvoKqD51j>?9iXmla*GUJ@c z_b4v0Tt*#F#k3G$^bNnm?wRv@Ew%k^J2{{74SO8tS0aM?@pq|$J=}@SoAC517HS0F zO6XTduTdzwKv}1iWl1XlIF)7fv{SNah5H8-)f;5>l$2pr08ZHh2ouH=02x6PGoB?0 z^NX`4Y8bg1UTv7`Nx}%Ll!$h=zg0?NS;t|)LCXJbPYfPon&9IqTrE%YHnSik^-e|l zt;2)(@kedq#%1NTce2}_G~27nam4zG>G_gb>^d5f#2!;oQy;YQxPt0Xzfb|R(Uxq< zK-8R=dPCXZV==CAo3_55{R*+sKB4#-KThWncmb0PNnXK@_WG@oVw7c+`GI7u51=uqMNUSHu3N$xU3+MChvd98Gf)uB=@M+13b9$Tc zZVhYko6s_@E-L!GObrQNvwbP z*%xU=yiuo+=4QHY@c@SwR=NdGz52%^#Zsamg)hYO&P&zbls^@?jeaB#U_Wtg$7o}p zcq)xsxJO9s+lkMt!N~5H)ZSKOIeA;vWlD42uxb4=fO)|m@h3Iy2O zVoMi5Mn=L~TNuJ?c(*WFGtY)}`|sITyt!wB^;%SpCb%zWkQ;X>;TLC%KNz0P&FtdzlN^ba1$WjU@m7lEn0sTdV>4y-^f72Uc z7Fo`9o_)l&XZ|}E$l8Q9IPUBC0MK3v1DO$s&r#HAf}-lPkX(h%T_^T(q?!6>nX>C< z6ZX)a)m?DdcO=`m=&n%AW({y^14`8K_FS?k_?cs37M)(B!i;A4NWye93P)!wt=I#p z?_yJ}4y2TF$-K>X=zN08u62(gyhm8}t*sVc$IDwZU?$~n=l^GV)nI4}&u!NUEF>l( zLX4q{wz4FsZ$y-}csgbnfeb; z6DD#=MCnIPe= z_-1szd>7*`I>C9b;7-qcH{y51@b@QuuNrne<5!aMwSNhHzO|!KaY&OFvF@y&WOw=N zJmHWsS(U&@tEhHVy{=8r%D@t=DE4eIg84uIb|}QnCP{Vg z^IXv5ceyo`Z%voot~d|fWL2+Kcz;WX*d_?;H(QkMud&-~g_j8Yk%#m7g5>AS01PAP z2=2|aQq9vAD0QJrC}Eb{4mZBF6bT4C*B(_Gf@qNM`O4@`OQLCc7@nGdNc(>8kjnh*^gk=SB1cnW9|Y9SD9)U9u^i>e)X1&yO*}D#6;tNStgOI zj|Q*y@PU)DUzZ%gd;@eOnEpx+wgM>k1s$TQo^6+`wO`eFmroJh!DoAktUf+(e+oQI zLf*;-$u}j6j~iyuF_BKi25l=;WdxT&4Hx90QjEmgu`;ne6oDK-M`S6#S$SD;(&>Y^ zu+L%ghEj8CKp(g;T3*67*7R!fJ9n&$gEc_YJRx>GQ5WhhmqgpH2eV z_BMH^E*yu*EiWI+mwdLlHTKlBZ`0#U{e5|h(XiwCfpuL=hlZf_;jKCgL+HdjJ6+zw z?*AA%?|-QO0FK|jb2#Umv-jR3Gh|a%HfN8nBqH76Te#yQ=MX8CmeG(>$W|F=CaYwx zwC*}2Sy}h>4}5<5JU*ZI>-~DYo-c1X-rW)3_1Z~(a*-i=lhLLmVegoxFm%4)mj_7k za!C~L?O*#QaMG54Zc2+G|5(;M3cn@0+OkN4J#87|Em)mU>B%p{UMg9KG?>IGz#6GP zlhz<{60kk}O|=o;>!5luppXr2n+4%tyCIPZdn*hGNS#M3LfM}^c$JtPg&akW8Y}~I z=+b94V@U4*1kx(YFn4Kw{#LL=>aofRSW#M3O)a<2#1*OA$chU`AEwU#%Hvv36%6P5 zPnhduOr%nFomq0sC`!68_s&Az^^@`R8 zU(7EUKs_>29!8URzW3!0kg;CD|BdLBsY&)3qk9)h^cTpfn2QXvQ>Vm$0LiN2Z_2pu z@%#yc9TG!^xp$1mJCo4Xzezh6x!i_u?q3)!Fb*)2)!8Y1ko`J#fOWd8swdhB1QdD} z{SM}sPX5`_(#X3=IQ`!nLgq)j=wUgx4MAYAtVVg2q_;DCNw0S>`dSBbJ0?C_}E}=6HX6z9 zFtZ9HmUT4{vF2W8GJGB$v?TJ095SWS z>qlQgx*Sv{&NI2AJ3=Fu*mq6_*p}Z+D@8TrvL@VbZdwA8Vh;QOWH40v)|%w?$WGN@ zx{Op2BE9)Mt=~|Sd}zH@1PeL4Yy#}n>dd&&rhxJ%ZOfPYf8;5Mv%dzQUuF53&JI(v zaDevtl<-V+~z&EI)|T<6YnROT-NbV=kyegVaqqVH(U|Z84W&EeH0n~*Vlsnk?>WLM5IklRKHxjP_zJkMH zLVr0|#Pj4$X&vF*o5FYwm@+6$IlcXi+(wD{LU|8%@6$vS9XcVP7X?T45&Y#x#~s(8 zTuqTsvSSwL^zqpQQ~4P<+nTMF!>B!$xY{03$gL@YOcT&f#kQ!i1%>4$mYBnFV%2xS z8GBr1zQ4qCYy~Bi_~%U3`fnz(Yn#-`lHvgFPves^!uL*bSiu|*E_Zs} zJy5!17AopK+%@W@DmjubdWVd2C4$PJG@T? zvsQ0O(%Md4q1;HbigEE4rN4YOe&i?S9q*S89?-F75Fm{KZBrLr^!jtHw(}n@JziLV zs(mcQ3P`q4wJ|Vlj;KTu4vJq3#jDZ^fj0{jW%1&w(!z6#&KIOWZ@}3sNO{OP< zUc@XWjeJEvvnsmo`rHuaYZ6ElwaX1*-04jCTBJWS%RVnzJ!PnCCt}rpWI92Pe^705 z@qN+1Gb7x(5PNf#jtSCh1%sg`>ffkt^A(0h`pDV@J4@`CzMPqv!8KYtZ`)%zu4)T5fTesk7A?d}5o? zb@ortO09WTrAn|}=4^zbQM%5D^muV@D-*}haaZs{!&XJo+$f6%&Z0A{IAdF)PUS#T z`;WAE45nb_Wj|N%9vj1!x0)9EfleRY8Dk5vgQFV=Erm zUW9mcEO(mL1JI^PvKQP%$kyD29SaWW!wr#bessqBA_#-v_+SqFdZG5aNz5=8Yk~;3 zC7~0lO1Lp~&iz&VK-+2(Z~cdOJ8DM4E6z!auc8*B>rGe(V58pj;wY)ntM<6FUi-bq ziZ!+sUg>G2$A3eq$$}$H<-&x_GzqCt%8heYEoPfg zjngnLqcZ!d9FJTcy5#Vr-NeX2q*R7)U8j^k7SRdyvm+aJhvv1lDJo?8zLqy@W|aJA zk)mYtg~<&-ryDLyXEXgdb0v8nW$KS{=CX9_?*oB|R*C!f^|Qnb$}uzRJms)APK^L* z5q6b=NP>01aL+BK0^ser(78O36$iDZ$T6?kUg~$ zZdMoW6;0U7F5SVrMjcmZPXG=Y$-OrJZxH2iOKK%wTkd?^desoAVTR|; zji1m#SM((FsS+i%JGJ=Z_5ZqEd{epnkMJPYlA(03Px==?JXW5==ed0pmR|twT>+YF zksW6e0ra};im()=$Yqr`a*UoYe7?@;b4ftagXrw7qV z$HnYs5EinX5pM#2v%jYuL(^jaO>sTv`#F_&d_sb6%!T_%wAhk?OkT2L%NI2v-30~h zNXdz)bort9_nQ!^f6?fI8uO={u==+j%KNStA|+B5d9s5_?}n47fSbfc;mvPkoj$Kf zjVz&gJ&(lx2OFCV;Ygi~>UQTT&=Sw4(>ahjGL`{QKe_^$FoVZ|XOpx$C_h|GpLj>( z7B#Gf%}hU5d8kJUIV}L6y(d*LLyQ>Qc{js9(t&N)RVO%;O)UP1M3w0{EaS0)p1!kOe7#s1d;p%S`fvGh`m?^!OB+MTWS_(4`=k|A9L_9bY& z;5QH5OVz-@Y(>lT5X7No2>-bdf54kr20mNbRX-Rs4RR@uj=$Y*maAtrj0Z&XT;XXF zYM0gbdr4BaMXd?Q#=i%`D`Gl>)+i?@fM~2VGioJJlgL*jyqZ1ng1m8H{k7P#(B$Wn z^6y*RIOrlv~fq?T{E9^lv6lRGh07 z#{dReQvlYK9Yp%>S2BF!wSmAc6O7RcvTcXoldN!N7ElY$p=s0zM6(|1~=&-T&m~k2Z2ijp6`T8oEJ%TCI zJo=i;vg@!Yl3tb-jYHR$DfuZg-0dU-+O110hxt@oe`S*=q#nYF5t#Bc!(+z1opHgv zoKfYNWP2oa`ycEgxG9*&xIMuEWXD0!17XG^@ttloM+r0%vxIe~wW{=TYKNI;@aNP+wJd0Vf$5*Vae$=rU?CdTP3vJg*Dc>+p@ueuC2yn zBr`A+FuMvh@mbR*m;T6B+CIr+k`RnVkWK6n&KCAf2+V6F^09DrZ~Ezv0Po&&SGCgS z0_QIP-W~xZJRJOiYPzjk^&d*(hDArb5mMFcj;2}1_M1K9iHn%>O3~$skwxTQyenNC z_*XNc9Jo9d!buK>OIR?L97t^I-~e4B_^XL7?9|MU&y#ExH?22xml}#8okg#Zi!-TD zy>QV>?B^zzvFz@RRa=ypH!UN;>ni9;%wv`)2jk(4wFC1}j{$&okcKDYH2QW1kJoiA z1KTC_uOz2#yz8GjldpdD_#(- z7B{elVfB=CLXAt-k38juolJx)+~VnUH@D0H8d;Ai^0KaoVv@+l2Y$xVxlx_- zpX#*qQ9_dt$X^(a9E>=`&OlJWb7-#L7~ywVh;Y`l6&ywo{Q~{LL5Ji1+zqGKNf8Fv zae%7sod+l3pZcOK43EzBKqfex$V_do&tndM!Nm`YJ=~xV_uDY^15^j5q!ZKg{kvQj z%Iod*fayHQAFKyYz-MpM(=cmGIipLG9HCj^b&o9E{c5sBguZN|6<5)HsGQ7Q8O1q{ zlq}lETY@9Wl>FQp0q`mQ$gS?2N@CUfxl7g|@SC3XbjjI!$OK@WUPHi~s z0tuz(JPEMg zILd+~`RFX*B6QPd;0zy>DI6WRGImM@#`-CB2E`CifGg~~HWD$e+3=(+(60o!*)mCm zw_ubK^c}Yhw4}Qn_fT6lyO~s0%6;Pz(rs8R=2$$YtUd+Q$wFT`PlR!wEvrogDPKhN zfo*gpMqZ(dhaZdEaQnGzujY6&aej~71Ng}Z*XUqZUDw)CP}0mVKG+AS)hSBO35q=K zzkdy{sqGyg=p1|GOOZbqNN;~}H^uUo73E8jLN8JY+UYU=`ObF0woEP|C38LTl+mm1 za^0(z*Vff5P)}=J-={vSOU2FbzUTSE-BPDJgxFeOH$SFhzAhs=&2Z0xXxqSR+L2^r zb%$%<#8h-^Uq=_##z78w!^UFjz!vnxzMU{Ek?%f{-9EOe4zREZM{V*8eO|O%S9bJR zH5sOLTNLKdPP#u5&bjYa3~aSz&8Goy_xzQkOig9c{t5AsXEi3L;&-6b`9xWgqqOH9 zMzFa5d)N1&Oc`qUill)UcpNPfM^+AXcL*JlVZX+j=QpvQHUiYeMlg`b8>sHLFHutW zUqA?|6d8`S48ZW#x=z{jIFD!P+FTAXlrE@R%%8S-L@05GAFT5Aqe=^VFK0JN41Z2X=&}fvceHbv# z!h8IYayyc1(wG$M$g%I#K}?wjY@zwYKM#WMT6HKLi&CVR;cRRN-huv0xiLkXWq3^R|R+-HTl z`6oentC7UqYsZ2~v^Mherum?q0nw&zz~o_LtPE$CpS5yer1jkR=%Z7C#Sum$kl|@R z*X1z&NI_)epU+;uoDvk6U|uhA)dA^<796x}+lD6y-w#Cd08v9F0{I1;0R`;=Jebng z0xGU}MNLCmo4wALyKI0aYk#9C4asL}Z+mPj_IF+!PzW{(CJ#U|V7zb>iwEsh0!WL$ zQHDxxnQB_TOkT>Fe~i7yC(9Zw-!_6?(VulV`7vm(53@m0%repE2cTWJ+(~fz&kxDo ztIKwnSnC|8B@K99KfLRM_byE1Q58o_NaTCB#-z4thJyeLYN+0nps|nyH$1 zxwyYdsx`Zp+ya797mkT>K6n-!m|HbxZYeZv+J49rhPR>n?L2SRy9`UkDJ0U&(T{$6 z{0AoS2|z`GD$@BH?|6ABT=ls*^H=k-e&;{1J}nbv!`*FV9gUnevJ^x|0t%hQ6^1Ku z;jeZg-mO74FTls`Y*ssLY?s+MC=!^<8$o_+T1+BO&C`H+FIv2Z-!!o6JWl6-xl}Ga zz0DwoVkTmN6Z&^d>c^qcwLC6~e6`rvC)eB@FLXQuOI8_ooXZr6#=F_n{pDy<r;Pth4~aH)aeXqidm@N8t_hQIik|B!2amrgcT`RC;{ZE(z)Kfg&vTQ zz%;VsHEn)}qlykh@g*-a!-Ezzu%!HX6L9IpCLW>7VgBm5CaJ{Sax*&7^BpfE{+-HL zrRuL7d)h8Bp#~&rWOra0lEzI}(&G+3PDBOc9oFGFNwx1MVgg7fsbD`q$dcG2u;W}$ z88pdc0$s%eEFG9AKo$>MsgH5|){MB3G}T%h zt5KqM-B!jSWECo#1}K{DPF*m(e~EoZr_FkvA3UM}%*iwYC_9#=7aNSxK#N|W>})2_ zb#f=jx&)t`cZa=Zkd5dszSGrV8@es`A@hKteORWVNj|?~YX#&uQjS5O;J7bjGgH^G zQBpG=u4 zd&cAYUOZYNYOz00M$6 zlo{c1H_~{M)$25Gqur+f|3sXs1Nonl)@^~42DtM2+j+HI-8)+=gu^L~ni8kGDEif( zI+aJNwJyK{gN%FS<%D;rLA>5qXFv*t=3COtz*alP4#LuZgsb-&`5J`}ELhtbAZ*O0OuqQ@GlK-D9}sekHk3`cLtbV+I>Ak#K4 zAIm|l4dVxMr|k_;O6h%e*I~$vFn6D5iN=yp@em+Ab<}7PG9K{n-z9Bm_FTqsQT=DP zEu9lIBM1w#F}{std4SNE7uc#`v8~H8&?bY-OPOrJ{9KUfff)*dF9Bxka=QhCu9l<# zJ7{aSF8%9%9>Z&eFTW6qRqCHl?Y1%1>9bV~QNH}PPfL5^SYY4!1Y~6 z7%elqkl#gq6dt;IPMbgWHlmr+RqA}wA)`%@KyWfphMS)jPz#yi3|m9umeW?-Jm|1h zw4aQ^lj7e#``lJKdvv3)+z?ZM;_g*WNmpYVe>PRn_DtKj9k@PbY)UA*e1ijR$=uZ}(2DXcZ?Qw>KvG{oOV2*M`Tq)DD&{(;P> zoljg0qS&*U%J|mW5nVv-{VhLAarXab01S5{uafp7%`6!_Bzz8v~*jz*+iX!s*#hCUgnqIu*Xs@C_tD~ z2}hVQQ0%Wd3$#1DS>1Uxdrl>6v76y9sDRPfQ0PmXOc{)vWef&+`naI61Clc+pN%^FknAWKb7ZxeF2U zyCBlH89~IJ1GXhr8!v1(R&^EUA7839wX3`#*X!@Xo{}Ld!CWyNd@V0wHVQfbZ~yS^pyja$#zrj>pVL7Nmc1?R@`>u71$&W0F}$kt2zh z^;hI3lubaRx66!&Sy876PlAHx)@JM6wJ zL*P6+0$D=ZoAWD*Syu*_7SQt@1a1$){p~yrnS!J519)rOj!KzBf zaZ2Y?6=43u3Y5H9ktK~-KI5!(@n2EVSFaKmm0N(%IlQ}}9g3VCObdvMquHuDRCefO zJ6&=$3xpEZ}Fj!-_@?U%7Ca-ofa=RXKhA)nfuk2uc{41E5a_f z#gqPc{E_H@U*gqYHQpx5d)$Aih;>Osz-!xy;=tKgHV~6$eG*h^>to|15W9#0iMz?o zc~_I?bo#L6JTBiC?6fVnsgCw^au|TP^EL8-x9apPreLK1y$T=e_{l>!3{VfG+& zxHy+Dsp82G0PoUh=%rN7i?yTiQ+({~*&nxnqYxb<1_17yNIejI7d+h$beu z%;6SO7Nt+S{45vu@<-xq-kum&G%4r)ok#(gxHK$s8FzFL*Adc5Fz#IE&YoE5`k*j~ zOH}AYvOkrKu7bK^lfVCJJTr>(HOnqKJvJ3Ix^RGw6;t7_@O#7?=9QH!M{>F3$Mq!k zF#qE&%GaOXM~t5bPJdtAk9xLF-22`czXx^Hq%QwB03#YqK=FL;T@~rSZ46!$pOnal zls?hnrrQE!8xTYH_21Yr90f;$&MMt{Eg_W12Q;t{MIavBg{1Ywvi^I`!2)>zCZwrs z`+T`C8$VlIbNL~_Ran!Z&ad=Ja?94 z;L^mw-C4uCQvUr6o_k7&ORJP)JB}z|LqGfgau~ee+@1bm8UWkg_rF_5!oAu-bw%ht!?*-^7`G={;@{+nV4~!^|FVah_z-o;K%Q8#;F?6y z0u}bwomP>KIM|lwGv$g0H932h_?pLa0DJ-au1+ja`aFAfI!{c|y+6tOOLa{rNr37o zF$*2IEOiqa-t^6J?ML7ca~do2r!=~uv|guFBcq6N2(h0=Lk&g42Z?}bPNTFpaIu~J z+DAsSP9jzw9uOPhmRU*>BlEhuaz3zOKHev6Y%@-ZK}F2~dA|JT1T+P+tQs#lWM%q} z*hN$vlnJ1A3|fzD4n}6U8*Umz99g zB>3(qbCHXzC9%$)vHB`n4S;Cwo#r64jbXsd;~_Q|e^^%4uDZV_gD<8vRRzY9WbGJW z|FE0TF|@ALgT-G+JSJnpIKf!P&CZ2_(5$S6Z+tFq$n-KO8b@|!yK-}yoKmumKmN1d zc)xek!%6vp|6YgGh1o`1Ilm6eP{CRz_9(l`^<6~n17qtdT#@i<#d@rz$|R zfZP>8Fvq>ILYQ3T!!ExW4PSn2QT~OG)8mp1Vj(#FVO7PepT9+{@wP<3DvKaZ0B>G$1B^m{KKahXBrB#A7)0xZczippF=D<(& zseR{$8}dQ}BI`K~)Bu@#o*_yJM+_0-!YT6RKs5cHP(%JIv?0$TBtQ=ZVKk`ldG1>d zpMWHQkVG&#^xa{ZtzO_HQ5t43>>X_9DP7s`S}g<@FhOYJMyfdVlD`2Vgo3X*i}fui zc_xg^LHO(c=fPif1sX$ulTe}QD{ohCRh_?V;-=*0Yc#P#eEh@J@sVOvXQH^(Pu@g8 zpLMOm8NlG*%dZuaglK{MXL@wgp^@<^-}i{>jOeVB&DBPLyuP-aef*ha zfFp#?@H*c*J)CV?k&Mh(tgmLz*Sjrwhj=~!BwVbj^!2q-?_LcF`hkW$PXq(N6RpVA zgQwe}3tNgzN}$G89hnht4rB{Dy}#=1AZ({3#f_Y;I?|qwJgPnCd2fVfK&IK4dXl9# z7M|B$=dw;#&Y!G8OMJ_j5q{Fx*}~&%d5KgjKPa{nW6;qSu&hkr+K=n!2wYjgXhJ7jPhiik*^cIsSMA^Ubfc}%o?0YpOdgG z5yus!D@Vp}i>$b28tw6aeQieXCTHF-fD`#ta@ROnueFCfj|PF~ESQ`^QsnlZm zr1AfHAlwG*#XD@3%)@K%P1lFixHoYJ%$6)@QxX5*iLlwr7kjO17TN82!fqQ+8{aUQ z8XSxrMT4=+%4gHsreK%SBW94@!?U^{0h5r?-r^}P&*njzH%)BVA>=a|n%Jyyi85s` zfB<->!uct$mA*Y+@3~;A;2`nXkeaEzoMQp?=#%4r#|c8Q-$>7$%n2GC9xmpGo?)zd zU~F34iUv{-AdoK8E^^@B4FAV+M;EDR*c3#}$A-$8jwdck$R&In&Eg8SDZY;a3P!xn|*zFy>?t>zQ>gcgTRfMC?VpzciO^QH2Zd^#k8+e<+s z5Z5Yncyjk-6TZFd1 zeTI|8JHZ)1iY4y~uR+#T2_qhTU|O1Qg=V5RXS+I&<5K2h+3LssOi5vtNWZd$e+WzI zlsT}9(A0>L8e{*85}bR3ZqcT^pA$1Mz3A@Mcs|-kx<+=POVccDZ!KC%<5jr&!Zv$> z6OTUiEN6oDH=HiUBD2c6Kw%Iz^gzQZ3b(hXU)CL*wfK#RVMAkNDWR3c3SsVDt+U89! zg8dFSvrL79#AJk^5vm4T2MeCw5~Bzy>$F4vmG9rHyvz5c+C57{8nC9Cu*4cEOV2DK zn1ffS6R&ybA%6Vh#Wz(1A_Ek+__=#2+s+UKD{lI|MkQzw$vhDR{sSCwer1GH zB+o{k4M_lLmHYD>-VKtM=II!fQ;;LRVnDPGOd~FGCqBNT2bR_Nj(WTmX}u$bw0pyLitGLj5=3poYZ zSM4u>Tt+EwTAzgs2jLb98xKgZhC~~&xx=ht#pqE)TG`3i+9d3?HOrd{j?8A2I7YG(ax-8R%(kVOg>6^)T`3Aw` za+wr<9&HFqri^rqjd`d8ud# z37DT1Og?JoqNn4XB*l!cx_l;n%X@oAX6dddBul%#5i)VrKJ6Umd63CFh05+=kU*s+ zkhf~zRU4*Ka>0eX7k)DCcP&x*EhKhTdFdjFWa{jPq*luqc)D>+t#cA*Yl+b_~JzV)L?{Ove*9rEK zbAj5HW1NcH+#gz4C-$mmUwyh#VcX^&ac}@(>F#-`;jrXZb&@QFEEeS@4t11QL!u@@ zXac4Xi}Mpsn;i!Oi!tE;c(5nns;!Th$#Gs>QK{8}K_1O=D<$Nkq|dwP37??N%oKUL z6m{hL6ibXhv}BY0Sv4{!fqX1S?~~Z($!03H4SBz_!uvT=5AJHQYs#t6PD|7I<5t0# zJs*T1-!tPx7xzHFHRgi?>aXM^|}3^Bd63cK1KumU@3yELdRY7q9z@SN$X zjf?80uGm&3+l#8E))hp5Z{#JLLEh$zvQ_ouOgKh1eGP~^vCZ~jq3RA|99f3YVU}R) z`xqkClw<*3mN`RCB>pq?B{pohkUR+2dL5ulR@IjeAjT7V{k$e5Z8hTJm~!PdY&H3S z?&LS%9#r=lFYK(6h&ec#G(+= zl`>*+cZ#ix+?hfR0VqKDDOyFp0u$m$f9m}zlFA8E+jk+Fx|V(`!&fae)?N{F8w*-N zsGftbBG54U$QrJ!t(-R{k=(*z-Db6&_@&-8R$ zc)}@Zx%6LGe0pwOhra(241!(tzMaAN0Q?;IuP$NdgK63}bAJ2&D`ivA??FuW> z6+`CVA6sUeToruFd$a|ba&q7?U^P;3dVpCt!l5LIx{|mNr&ZbeynlqIHkr#?e~zJ8 z+?)mN)5r!=LY(!l-`(&^32|sgImAKcc=xtRASnM2dC!H6#}0FUPJsydA36KQgQRs? zOg&WRtrU`(dG8eF=*ZEDVyMu94Kl@`4=D|8>|iN4Om~4!a62bjT5mS0B;^1Nb>jf# zC-tkcf#6e^Q#@y2c8i%*U)#pyJC-j!#FCwaFNHr!A8~wiMp|3)jP@XZ{=i1bqdb|J zm)(90(JyFnpyz)0OXrP!meB5VVWkAqGk4v1tg5cIoH1|3`nOD$c-z~+q7Dvszu>HX zy#>K@PYfe{>AL4VOd8%!PVbN~nlbork|OUerUwYPv0*_vpK6tUqA;rq8wCrVqM1S; zHQ%9tfvBGu;~i_KDjPS9DO3S!j=)k2>PL2!Et0{Ph1iPUU^77 zTV@-c^b@qH!*yh^CpQ}EQ0Q-s`mo*S9!<5u3+Ox(250sFqUgi{4 z8i!n3inN)7Xb3C;-lquJa_5Oqx_y7SFxyAI-ueZ~-bn9BI-f*%E)^zdSJIwRJ(CR8 z6GuQJdv0-S6RX)n>FE9!yOb#Y4~%WM_1su?b4R|!A>^23hLSq#zx(4Fa{ccAP{5vLmre2i2^}u zVEu4}I#&&{pPNUWw+7YEhgRpW!SoAY)dg#C{X)X(A~mA@V&du&HIn^O(&{obvi)-M zX$-JO3hO3lKxvv=8AMPiR8_;P6Eq4nweZ>mokHCscs+uCp@AXZh+tfJ)C6xzFe^M} zj<+CK7Ft>3Z3wo7cJ_Gkal(m02S>aU!MV`oB;J+aR_N}5_at}~di&sg3HU-ke|!KT zurMeXA3_K%3=7AfA`l8spTS2E&K5?V!$%RK3(v>kV+j`u<1XTf1X5vq0zQ%OU*V<8 z_#{GdVG0>fA*2?jQSny@>4h0r@tFi#VHO>qO~@(Cy@t;t6Y>kM7vOIYZWb2a!rvy` zDJ;5+zel)V_~0SFm{3w!T84i_cwG48DZZTWtgzxa{sp13@Z~Ff6`{JY<~6>SP*+&r zfNvx;6*jlv-w@swzH7y|5!wqo82C;?S7CP#zL(Hf_`VJE^&A09}d`iKMPj{plOdNS)1(O zkkB|Y{nr-n>==BX*zhcV9HL@C4@hF5K_|+|8j)F(PnZtgl(+XRr&7fZx9%`V3yzlT{U1{9H#Rv3UPZNv&jKZ*pa8A`RMV(c6ANN0rJQ(mOm28|E% ziskb{c<-MBY>ywiCs%zy8>Xe~b=gD))q~=Tcgxz;D<$WkL_>ZHr)QZcL&~E^LojlG zj)oVX+0OD^_6ic$e&u*oBMtFM#a{05Ev=FA-)BWW-8TS6ZD*#jL~ z|L-FmJnW)055Y?o>W*cAmlB`rAkDyr>|y@cyt}kor#~mOwQ=`_nlZb-8ZiYQAg6>X z(j_4>Ws587U7UtxhVInVjLcbU5|XR{sDLXxiB-HED4>z}{9ayX7XOfIX-=+V@vlGM zY_=tq=)w~L9{~CaKwf{lCR#^UNR*^yVBuXEa5_V^3W-u#Qbwz0rAN$XVn{Cw^H z7R@kQ_Vyj91RZK?2wcmw6O^pxmNB~JNkSXRCU#C6y|Eh43NC3X&0}>H99YYQ+Hz;e zG>T3s7LB3bO_g-B`J{zv$>M(YZ)%kwj+Le5dF?{cx@A@TYcFDd%f?LRmH)o4`c-|%?dXG zffZ`{Ppc)%Vj9a}aP;Lq>2C3EhP7;p({ZzdIf%8{zQ*rgFlJ9M`CSvhY!4eLs#-tW z48lD~#SPt>MC=h3+HyHue07etRV^@|zacET=p$HK%s3Swc?4?phFKe~7SwnVbOd^m zMmmJnNO|XU?LhYPDxP93RG!3N^G4KKh(4X4K}Q#q#($Yjpl$@2$Wcc;JH6|=gV(Yb{r zOnA%72`w{0n=5zc{z0ePKnpR5$-A?cWc4Rnk3JxRs=y?<+av7^*ptI1vyE??4o)<4 zDg;Y0?T*M)i4$y#tL%QhIq@gcR9I|x_cpCtjt>OjM_42(yT&Z!#81)$`>ueJw0pBZ zMSq$g17g9$WJsxR@fZliJn|8^KC(Csn(A!>Bz*CW6whu7faldKug>n@xeZWct4=w# z0UW>grQQaI=iS!BMl{HFRzV7idVsP=U&gHVQg@KY{!7BVO0H;UJ~Bkwo3Z;XxTog% z|HJ})`3$)d4uuc;P?N_Blx~a)CV<5FJV`b^TCh0>He*q>Xg7oE2baK1S z+>yQ`xTxNPBkV!?W9_;gjG7VlUmty2^eu2;>~YtiPpSV4t_p6Q@}Q+*%HsQ*>wX!6 zuLH}nv!?nqx>$v>@U$0;tF|0TxyL=MP14`YlHZ49RL++OM$6m6Jauh5yo{}dUC7}s zn%kj-Rq0RrpxufsV!kRVhRN5okmn!Qdml(d$EBl2Qc+hnk|c_KK+N*=^3OLT`v4PN z?hzBN&qDSy4%n~zNhPV}ra(x#n7+u&U?W6&q#E^{&rG;hQC%X#7Z)q2fN?n>GM^cxQ;0t4y za^&`?KM#!OaV@J5VC$HC5T+s*7po6oN1g_S41=SWuKIU6Hx83sjCLM!69|nb>z++Vf6WV9IHJQOJS5&Y z^p)JzLu}K^6*-g}&$#k%Y6~e7%bQ(67Mv-fqDji7sd)Lr*|n6V5y2GApUIGh6Ra=X zyqpXe_wv?3>*zM?&jCwFBdspvX^VS`yy-7_Xp4pD*2K{+5P4L~le(D_sB@asP`pv|(9sV` zLjqKXD6G>addOtI04ri~#`TS|idvG2i52#YUUjER!KZpptaqX?;JyY`#WxCjd=sIf z`z+oCJ9G*{PExr^jg7(*H}_O@$?Q1LPCEA zzD+HYAexx8z~ZHhqlq|@RqV1c+)bl-Z2lSR1&~!21*#$mCzco?5`y*&vu0d@^T{6X zYjCIfT@Z%@D_;9B6YujRsS;#HWhW*|;&_ekkZ>nd)MgBdY>?}ECw4em1ife+6(w_& z9PK9EfH0D&gBQP&lk!6x1%LR5{%|;@qF*a{{$!Brv=SN-V}V;n1EGBNdfv*Dl~xDJ zoRg?7<4{Y-0p0_k-FX!_tG0M1j}_*>w8S0RF|8)(908-`Fl}*KSq4u(ev@hURkcc* zR<}|={+o3x{}r%4!d1QC6;bwE^|uG)*BA5qWecOeMcae2<-}{m<4%Gj)y3B!3sE_j zzpcv|tKnqIZ)hP~yUZKP0_6iE)q^O2E1U^A9zZ58H;^55TJ9SU`)et0+csURFe5AV zzy|wyFe$0&z3MpFwfMJ+5XoxKy&XOd@b}KKOd{h{`P^7yW@GSgogxy4%YkIxhl@L# z?LZ=`jHD+Z&-KV_O!Po$%daa>IpqhX56FHety5|f=tFvjR$INx;k_W#sq+#3Le_jJ z8iHL6&ylraWuo4V1mUe>K#xvdu|>rFI&|&8Zf9KR4M~3>G{E5W^2X?G;&}jxXWH4c zZ?>e?u-+rw_Fbb2(W2DOg)BL1Pe+|h__+TfZ)9g=f(gDKnxQ^xU40EoHC2cWL+DCb zdV|3^lxt=HtcEk&tpjg9R9!g99(pxf?@$Y%X7DtZ#Nk3LnhgsZ`I6T^=Fk|@v!Bg< zy_yPre`m^b!um=-$Xg!YI4X6mX)tI2;5>pQ7!LnEP4jw*2tD}rz-+}};#z<#5!>9?+0pNDJrPjUg{m=F7pfk*;rHC%ds5JbdVV_?&j8Xl!tG%h`gOX4-e zkt(397Eaviz*Z*kHPdf3@e(XzO060PIRe?!&4YsE?6D2{p2?i%ACsM|hN10?%c|Kp zfnA#7uwbrG^kU^Q0$K*Ly0y!Zlz={yxCU!L<;pnGr`|2zVgceZIHDbJsZgp+etN7eAuGka{p_y3a#0$`ySY2rAx?o}D-+ zLgrg*1Jr>nk&PPW%UcWtq7EdyH7YO0)&|}R(+1ZyL$W>u6&ek*=7FEyQy1%@g3z0& znN@~|k6tcP^=f_4v`7o*W^f>VEA`+fhn)KR!2GchhuUMo%}L=N`NbTW2G%7{on-&1 zK_xFQjsUhkjSSnPQa1mCZ;foF3oVi-Il&dvHvVZB0Fd&BfFHHl5{%aa`xEAG_{5ny zRe+ZJQGlzO>>45Aj)={7qVVyItJ6&2^U3Gm_9~GwiDC1GvoLx19vd?IYGQz0twv(ghf9@t(T?52#2GyrHG>aU=! zJ9#QluSVQJp!nH?#PFCXSC?y=;SuM;co2#Ys!~u`Y|?g$v`a*$C3y?sen}HqdR_nd zwmP85_VO^mGki-Nu3$Md3RkyduQDwF>Ynq^(pio7C zXcS(48|9TFO^vSM#7LVrk3I%prtTSDxH<-$&-+c?l_c%2HG=q>JDvB|(|+G=XxoFY zwOi2t$l7SpC~+&NRw<)T1>eU$^$YVIIP0Z!-=Y;Lh5``CB9~VI`Z}k?CRZh;pKnCN z`A0u7-8Kr=1ecV=ZVECbkbe^G4l$`sS!;Diuug}V>HvP0ImSQ?RPov+`I0#0hAz@T zQp8F`rd|{=&tNF@HA2#;9Efa{`Ps33C~Oyu5Y|p^JT$`G|8MBbAE8?KFn-RQ+00_b zzRbkf_mDNhSR>Kc#+Hmo36ZsK$Basl2G{jia5Y>78 z`27REKRwU$`3RbSHKRXa7n{Gri*=t`+P74cVh}tdOZp(e70$H`Lfpv9VhvXxQ`0}e zKXZqL0De}y*{GMr4z9_PJD#@^`OR9^Wu&k|%D%$(PhZ83{(r%`drNI<+M&La%@p9= zBg68g{QC#jEIbSNemEPhSJ>@8Y`ymLfA$6iMx5!gnsyI=sL!S*Z}KX%ogK`N+?hwV=t_`|pt zx_9qj&c2-OG3xZRX@9-{-t-f|#PdxM-1DkGy?5Sa^_7#%D4H$eAJoEo94+GOzY2U& zxw?)D9sW5zGX3hy5qHy0`_UAanb}gl&+p363LSlw(!27uLp*d(4||BC7FHvz{dCq% zxl&>|d-eXeycK+{*Ss)qy$v0VimVpi;e7ND@ulNU>#d%SipBr)^IyHh<(Fkr8w+mejbADj%J6Vi8FdsFJ@y_);14)rSQ zuZGTB>#4tk+~Vrg6Xg}PyWsW}PIe;q_G1|d!yEOef#oS$`+#D)US$kc95=_yl+GT0 zZ+cp;f6hb|z4ez4(H|ifKX2cU?D<2Zpk9oTE3;?&huQiM``=0A{5|#DHyLzw*TVs@ zoN8wpmgSh)E~GEd{rGw{xN zbtq@(?L!d$FB*C?eWl*H6jZdX*?t|sKFKri*@ZRSV&V*H$}C%5!S+9&yS_EBLI)3x zpNKe`HPY!EZ!_6AVlk#^Fg2Cpm;Uu!(vH|PHSV{6OvNsl=c^RX+DHF;Fm)2LjrA0U zdl8ycuWK;h(M1`-NO0CM1~q>Is|i^=V@4P3h+{fuJiLRCE>X447YioMwV-7Z$0Lp) zzlZ)s4X!&iEu+Q5G!Qp~qBH5FxCP*ev_pMPz?cR9VFOai0(r8_889;vWM(vY^crNkN!I{fj}hdCm?;R8lj5(wSJ zA2oYGR&X^n@JS`{1821@m-)X)<$m}p%W*FL>)I#Ik(|Yhm4ArN)yHr5-VudrRFcgQ z2ZhOkk)%lxcenyoNnfNj<_9yNXa(K=;2Z+>B7@UhGhg}f`*+Ob!fqL+Px;_|YKLx5 zS&!<>NgIV$be+dcXaM+r5+m&YsEM z*g286DB8isuLMtw4SWR$#YxubwS&aLF8<>3f!)zLGU~ z7e{HCmWr(4b9iGFZ!j(66>sGuL*GRw5h{cHEWahvk+XsQ zeb6v#v6*aI!9O^qRKsyB4lFi-xuN^kQhs^?+Kzz6-li6D(eQ@j6+tmzRDanJ(}3?Y z*1r(>J_Pl5T0O!^-o6Eepy`$oySVtC5YR<4X8WKt_r}AC{ROBaF1eW&mZM4O5mzZG zho2dX2;I6>d3V1_XmRCkHW#JpvSrN-f?dk~l~9b`f}`fjrEkEg785YqE(PjBaCR;k zzX2%WQH!D9<~spS_0%fj8SEv~xO^>$Q8WAq$1rj8(zdv*!t4F%TrMW=9m`Yw20NW96TbVZ&Yx*hl8p%eh3?o?JdXgb90~qE7PDTcV#T%RFT`Vv`?dR<4la zDDBdq+pmlnsZ1Q#?@YmIM4E0M?uSSaS)bw7!7T^5aV|CX?_krB_ zP&%WsSxXWvUsKOt7vZSMx_2Dk#yu(vFGtfQKAWJP>+AW#j)$2M*MMG0%vlmny z^Qa~#ubn8f$i8E8#A8nuUv}2`9W(qsZv+5d^t4jLZV&2u2edy5t~s~Y$$|53oprjb zrUC%$^KZn6jry|%k{Tzz{^xMpet&_$gSF|v#Ab5m1h&mSjBOeYet*&8ft!N?BQ5q_$jLcB)91U z9V^MEm(wHPqs(`VcwpG_lWCJ~J!4!{<5vat@aKZ*q1knl{o-b-gCu z(v5Qx%RKiND{X;rd(t_FqznrVW_aDoA(|d<>TjRk^Z~1$y+qaDCuM%Q3 z4W9p%l7N>|I%I7zmBzX_CBQSUCv}7Ov-7~psUj6gb3!4jLiTk!-<9%|LN>hk z6K~h8FEh>wk|cne+CRmcAvq1Fx|>rCa@azBf}2v5G8XNK=~l~qHU3FHQQsLh_^MSG zJ;Ykr#7zzt^y~WVkvnen$$L<2>T;DsG~v1b_Yjg5E5W|}s-iQvZk;xss+IY4hJ0p5 z>s!SB%o3cT$L_E1+`I99F=B1bBfQg!+}*P&lA^43P)2jqIu)jU`<7>YFF8RNuS#gR zd#&6b*cNd&dqYmfjEs93`=be_BUeIpU;3JxkspY5>xgGL4|86tZu1sEWK?KeruO%!jHBtXO^*M= z;8M<}88t(p#q%2g-Z2Px9zrdDfgj!i%0K7&tr6$BihtMU$X6RttE1hdCv*|kzK%_m zrU8KND!D@%!kYey(CoxYH0}gku#+~r-ZV>S_NY4kYY`63k<80ExLoN}4Ol+>DoRy4 z@|s-CzQaSWqNEP;LX6*_c8go1I(J^#@!xib(J9bn)qz;LgbJdOIwfn17p~ACr#5ym zvD5{%#4h$J4OH~14}^RpH;2SXQ>)O8*H?bc&IbMdK$P&-EAA@Qg)g8uj4_(16KDtr zQ{G~8^NnyXP<22d8O`DUTPVv}Z0X}RHp?u24s%L5$yfr%LW&mgN$z=gen50P)H2_R z&GU+AGMCSRP`kt8`#OL|~5nVPjXQCBkGqN6R$!;N`zdkmE%> zR&m~sB^LON1uq}#!u-dU|6=XFHzDhlh2hVWA5^-**Oe-sU(g-Vs~Pk1=o5X5q{7S7 zo9N0>J?oD)!||z+zK8pE@l~F;)vq5djip@62;8{$Bwdo(=C#^8V{faB0@|eyPHSwV znu%0V|G9gn^CG_9$No(fRX0O`82nfM*{RL%`Ijd*1GbkCGQYgG#~7fiOD*t(u$UTQdIb8eEvd&W}Gx zFn)ygIf|@20YK>Nc=~2Vo$Wj~OUB6S$<3uC^L$!?r^wSo@B~2}8|xRcM190c13Nz9 z7ftDn-}buQ)?@61dJO(ou2+&`fHo#CYnx37crRP6v{u@^Ofc_uK`eeqwP2U<9R`cO z2?NstsCceDX=jv_GvlRjlEI!l2l8r?k;_W6vB=FEnQ^b?1nS*FNN5;c4?W61D%(?& zrR{K(M`o`avGQ~38F6&*o*S4UJkJA%{Dw=j1SzK!J|@|o#$Hw~=s%XX^t2;W_1t&j zr_DVS-KK5$X@K(VMMyrLoGt85DBoeHW!%Q(gl4u`bozEb((5WSdE1TVy;^;OwLhwC zFu7(lo%iEVOBgap^~V@Tbl&{*kadCG@i@Yl_AHs(tM|P)^_ni6@kq>Bwh61>W$6uG z!zKR!)T?s$_A$lA>sD>>xzctSe$7U665J=+!!uOvrf(lYy!>w-%=_z4371s~N^Yrq zm^Xg+-MnG>usxXKY#hgZfxOfH`0m2d^A`c%qibTg)YjJ@6e;_BqQw|=B)!+Wh5ZI!l0~tVzt-)7k zy}djm7y$5gG!>>AqONg3Q&(Fjv`G*4@<*J#ec?bqw|%Uar_R{F@o~~eT=%%|wNg#< zjzfY19N7+@9`n0w?O?ntz#Jya?>4Lh00In(Q?}{u6j@pCvKd5}_709t{(&7X^g;vG zwtZf$cxP9ZyGNK|3{VlHVx-Rh8Fnx{;*e=;lzCh1F}eQZ3H^ylttP1_PW}O4*iPQC zuDCHZ?D-amk1?*FkQ`oJTd|M`xXAg*RdyQK&mG9M@1>sFRJeq>J8%UHiGVBqggO%N z$WoK!8Q|i|lvPf-0_?~ITDO5)W-stm44R_X^5)vpr{(YCP|&Na3{!aEjK`^yrl|wT zQHiM9>(Kwqi%~BvTHK(WQDIfPxv-mXe_P0fEQ(Wm7n7;C@Js+WRD& zW`DHMj@V+2yA!<%RedgdoEFWHtGxm3Z5|E=%oET?_vs#5W?$5sp$?q|6AzDFmyIGO zXcUHWIYpc#dGDUy6C92bamj7|Mh-L#NRd#1fIg*yMEY6qnGjUTF3Vc%!+$g@Nl`l)W?sn>@qWs;wdakD zbW~%g#xN{t{0RWkpdFt*vViny1V)Z6;y%EzG2;XC6vp!D%IVEp&Rbfs@ghtrMzx)| zc;GqawP@n|)sN`R)@7aH+BAvM9wA0Lj~A<)`4-+*Y_Jt7CSs!p?w_!r;JcI{Q4uyP z6TT#)tU}$1NGV8|Q@2^lB6KyH9C*djU}Jha7-uJ!#DozI@USdcqNju?D){(Ht56tC za)22Fp&R(CYbwZjF6ix3r7t+?yaJF0zbNNYW{@Pp8{49lvvkaxtX-1r5gIK9mZ!9O zZhy9CcgA;XHz6Zd8aupKfjs~EwJdE6J#VRnbMJ1VqrI!+AdQT^g-F*QIMF*WSOO!e zRTT3DkmzhMAy;-glBDTNsX9OJJ*ulE*@w6qQz+7wK`IE*nq;n_peVmUmY|^#0$q_I zrVs;;uH9O?J8E64X^5o30qWqq??qXmzO=e}*BT+?PjGaLHsrjA*gg zBqIh+9mx%q03S$iPa(3rCP)jQ4*oNq4v)@(MI;2G%i0s!dOE)?sT5UBhD=j7)nplO zVR$+&M_o-+cq(bvB!5O6@$N%9}q-h9=E@@V#tzyzxRFNTbv+h6T);oJV zwv!}9;xpe{qDDWd9f(88xa^!WJ-uT*4df*lC5DT?gbIis#qR1>=``X{)NZL52khI% z1~n7RCPo9}qA97uvxK(!*IAO2^z<&(#WUVnF*t!+U08xb9HG$o^P!8oJ5Cln|qY1#sZQ^exi_0HBz~ewC-ey~S@T9iWTiivI_-SA=W; delta 37942 zcmW)mXE@u9_r|jmM2Nk`-b&Tpdlj*@c8%JUB($oD#)_bHKHXGztL3Sk)`;0^pITL< zR%si8s%of_@BerG&x>=N^ZvZJ@6Ua%3_z~+L&#poCBc3mFbD#QmH>cnBL5ryzvTYE zAL4KG_3fy>N846-(nKj6gu_7K_r4q&umb2R8}59Jte9N;{h&>F?M8s86i_i$ z+l!=0^aIFNJCNa9j19p4eunNce+dlM#CIWUnMei&N%Cj~&%|$m-+0~NiP4jzQyGD4 zWm7fEEV_isjmi6>;)iQy=*c%GnKHuqW;1jJF^pb2tLh$B@@DmoX%iLXPx^Z9jfi^a ztJ7c-Sbw&Ek--o4Xk|;E8L%Ee@-K>Z-<%jn%DcgMg`)%ks*Qno7=s^B5D8Ie7Sg>W z4~+m%UOEeP1gF00f0ueKC%?S7oKZtz3lC!Y^afh$%$9%EDb`%J#_=xEx1v|(d@vYz$8D54tZ zG5e@g`)d*k3 z^mRrxWW9D6DdM0rGb(>@{E74!*Q3W>ziXDA?q1~5#gLp^Ek&wslt@EbkAyA`8<`b% z24&w(G5wCXVp>l&+69wuWwRsEH*ly=r9D>+QhSi;is(J!!;Qt0!u!$tEqXvk{QlKt z$c^sn*uGJR>@JrtqE(rE;ajxK%4=P0W^QI1YIj`mGwM+;h@vBRs8K(=?CzG?Lh5_A zZ?P8rjA(TE`c;kJ=9vuCj|yh8v{sykkK%N#y8dy)FMc{ggCpdkJ&kEnZi-j{oMF9p zDnQ^ag8hwO;P&unz_fr?_Qi_QEL!e{_HiJMUDb z-5a*S=o1N1U*#P?M40d+9Ordbi8GGqqM>8-y_TLw;nMFM$5aK zTrI&&Fk|dp#7>g&?Wc^;XBiJo!qezjXz7a`HLPk%fXGmnO z8(~)2$LLE4#JXqm&WZIwdfIM$2ZF9>e|vXTlMY`dt7h-*rB|xLY>!E@)gK;6I&MHG zj$c-7do7!GVo@wifZC6EIlm>r>hedVa;=;2flp3r2B{oapA*eS|7S076HXHFG2h{< zZOP$|G|gwfeuW=dJg4Bvt8yvW>4tf4%)~XN;@n2~&(rkYLe}b@e;EQD5>kPBlLssj zX(Cx*4s3^(F0GguY++R!xFP+EkLrPj|I+Mq@E}3{)*Pi=+oYeu`q;tiflz zCG`xtvOG-dT#v9UZM-u#8rV5|MzT4%G zzP6Cr3m15|;*0fVa;Susb3Y!X8G5HExXO}CsDA)R<~y8e%YR@{$euv@-f}iDRoSyX zV1`bqdYO_l&@A=Q_*K?yc>MrCbk`1ErZbCs|Jy^mWd*vImUuK2jMCmdu8HDKe zph_C4Lw*Kf@~s|(OThGhhH^%F9B&A;oq8-ahv`SkF=m9o=F87hD<$;xB0nz@9FLY07>li~q$lY(V$3Pti=Nr-$N?h7|N#K8Ti8=7;-O#I>qo-M}*^`-p->rOPm7t?EB+88FZhwdg0kvS{lW*To`s4nn zV5?<`n*k)Dyv&SU$vLf4IkFK)0fG2(*Gi7$@0BxUqva!V=?cFA(w|Mb_l6O#vV+a! zaW1yQ2d3ToH$J3V;ExU9%y9 zNE*0JD)O%sR$9|pMn$OZS%SHhCSFrXO^@X=37O6rfU8;NyJXZ253yWE$HyJ7<&MOU zj-XP%iZO%UD;DxMkH(GvwADyr*hm4o3l3^5YwhetK7IkR{vY7cIgwWo!6h)by~SX@Me*xi0x`yO z388?Pk`5IsY#IETF<0hHQ2BpOZWZX(rd~ef*bG32}O6h<}B)2Jfwh#A#=V=;Z?0R$|c= z;lG{Y91tI5hPY{ZsV90~+L!fz4S7+dX5T#E`ye!n6b#tN2>VB4m`%i}Gv>x7ce;Xh z9Y)EiuR0s~T#qSGfyC%QNejB62UT?Mxwbof5JAU@+#p*~lyuCfVDsOa+9bK9H+4yNo$-u*t(#^fmixxzs* z=BDS;iXJZZ*NZ=*zd zD{f404%|JtIYRm2qPV96+>n>uqgtof^NRxMeH`|h3zauO|A?sO2`jy6l?VYQ!)p#B zBt2D&Dp2Wyp>Dg8Y?VlQ`WE7g*7fD;4)t(AXsrZGI6W1SvdV>DWT|;lONdp-Wj}Ts{OQfr$MrXvhXJrW+>^XVa3mwXeYbKnEneY_eOCzM5 zPC5kg;(0|n5mPgqAhS0uaE^1SDY0o9%>8W$Rp6YAbq|A0FjL!$K;I2;lNl41*_jXO zq9wC^aE4yVRqxYCRm8Dq*1Oo)JE>U@DOtDaS5K`v5!2M<>VN69f%#L4DtLsxh*x(Ms#!nLSCb#A!D~lR8Coby4f|vxllCy1x{a|c!=;hC&fEG6GVR7 zt{0FY_gDv8l$s3{)Fk93XVtupU5IlHjliM#B?Jh+e!PzWLj8$SH>LVceO@~H4Sx1~ ztk|pgGQ*PQc;~q7Xc0@6Y-o=`DrUw-9G^h;{qJ)edc^oi+fDQ?fd zoSj7K46V!U4)?dh;uD2W(_#a(sy^R9$;VdTN(fKQ2r&A=;%2AcljF0SAzv`aQF{}r zsTT7ZtcA0-Sb}hcerSfOJ8BWu)DQ&b9PmBu^tTD{>>xqaaU2McycYz9@ zxOo~_p7E8>#6@F6?71|exK_S)7#}k+?U-@O`R?A2Qr8O3*5|)bI;oUGjyF3yqWr?( zwm`s1_sV2Hp{&G)GmoO=h-A>E>FiNZs#S1LT3Dh-II3zt&sq4NjMvdVf zm`32nN|OpMFGsHkD)VvBv13;UkLZ@;i5lZ^Az$6 zPw_~5M(ulR@&~0%E&5~%%4rst|CJ*6_@_)O5HKsiW^WN$%UzZDuK@}VoROCR8~I(?VN@ffI!JlGQLOpP|Nm4X+7md+GO^QqD1-C zRHUD0A#hjoUVt8|OZnn|WO|VcK)<@-1TZje*!dpcvawmQhxa;=IL6D5t519@WtLV{ zRcgwkj3?PLUSgxhS@Np|GL$`mU}MAmJ4b}0rFs#_o=Y6{SC@}mR zGDDLg(P;_wH}G@q;~Ko22(*f5bRIrgb3FMM0r8JQ`IAhty2nsAXV~bZvlLD$?MWTnDVg-(PyDp|oJ+{# zf(fn@|Fcb<24_DK1g;A+IkGcFeqRA{14elE6sSw~?$t>=Fg34*hj}@rt&<^26EKqW z0?s?Y!zyAoSya_Uw%91Qk;+saE2lm+&9DzOAPeMWTAF% zB27E#{+4>1=iQ)Iwzz&9gDgi?Wzd3l#KFCbUMeC!B;fpdXB?=Z9VT2sD?Cfu9a|L81@Ftzeq&uBPBngjlUjMw z_&&97T}Pz>K)%MHGmDfQvt@onU-5Lrpyj`PY))-5x28{6Wsrk5f`XNzgk1rPo=vU0 zVm0=R43c@5KN`8Ze(vi=XV&&nc!`JXheqrh`f$&Da2~ghv^i^N3CkQ1CJG@v#ZKNu zH{mZgYaqP#P>dsXRU40v`pqe#=(a8N9flRfPWW{iBK=A+eI1);OXJaCb)T~Nb>?K{ zpkWZ6c~2HugtlEKs~Lvgp$A}SvuRg2U!j=b1)P7Jj$j8Le% zGXtGM3(pWp>+1_cEhO#-FwE@0&wDQnAFB3&RHPNC(^i!@^6?|{A66JY)651%kpi{1%{p74Z&M7beShg zz;Q=b$%f0GIvoy4^`ah^oV%&sD@belDEQ(Xb{f;GF>zmZh}OGht3Ke|G6{n7tyA$K z!F?a-^g|U)^{jo3=a{)N6O~?5X$yt`tas(brljn7)4c&F1O+q;mU}GuSF5Lxmbs!DPiEzD0eel`YfVZO2Xm#ah{u!;^kXPdC+JqQ zoU7#VFs*U$n7WO(7urOF_A5an1Rd;a0d zUM(?^ur~4AY}pguhoytcrd=SWMQGVq4gt>?6JZjXp>6KbiupVMI(nA1^MEooHvjpj z3)feE(EH(bTfKXsysI6x$U*9^Nw$3S=kv@W!}G{at}HaZ%7B>aucGKNuu7%{ai5t! ziMDqCnZu>^ce`Z0JQow-QYSAUP^6A7UXqtMyg(D@FIGDSF|~xdyUWqV5}Y`OT&24T zy*+a3%C8aH$`df8itZ(!5V@8?v=PS3s+0fj-*Y|sNNL%+KIyM6-X{9F9p0U2>8J+m zuLM~y5o^HhiP4#B*PWTe&E)TMZj`S=T4MsaTELt%H-7-cqvlTp=j_ooBae=8#@>w> zjxpK+A$SH{$RJ3CnlLtJP1=H&6l2Ai8GE;e*X6I+5^}QbC%+AOnWZ`J$K7|SbQh@q zoq=^bxktOcn-O%gw8voPNBh~uL(`qY$q)16MxS+LlB6f{@DBozWbLt_!LvVi=`I^~ zRNBi1*XUMTnvUev1k#AC{y$~Re}?rh(vPejKus|MlL^@9BN`X-%3LK(uq;z;T86@n z?ww`n7^%*YJ{6ggGHj!h+sm(cE~-4*B3r}NN2*Onky*iI#KJ_kgmIOgxBRiHf7Z^n zi_P@>7PXZ+sd>YZj8T`$2mY*YeG@WaPqAD)zkxxMhAfeVy}zf5CVJN2FR(#lH5>c| z#WBzByQB&D&@Uu-TJvESCa=OgfCthGo?XZQ2}kREeGYOI zjm92$?=4U62Z1B9oL)7-4-b_wWTmU*skTy!Zcg!LGE1C(s;jV+|L%=U!n1A#MsJA(u3hp$Y`I1Xe<)tSkA&=%iOM}3Np zRzy_|KOFvn?0!@)qLvfwY!JU9DYWHevS|lFM;@|vjuh+X%b=bY%K}UrX(P9%yY(g{ z>mUwey;kHq`q+Hu>)B#7$*8G5?jGOKulG_6=J7gdeAWEn^|zF~e%z699+x-z50?uN z_#vUkTSpp{>nW>;RC*olXw)As?Mkq{aR<3b8y6znz~> zqi3TXhcW-OoRfk>JrcCTaNfK6y5_T~B(QGbZZ=+P$1;g?Gr|~5GNwoBYLX(@_EJ^V z#VeT}@5LS^+=#NOG2Vz$&nb@x9e0h)4#xa25%?MuNo*G=R<|wT0-A| z56_xPm@|pf0(4=C!`~+&jQN8b6HjCS&!1*Q0L_0kN~Mne(N$bE_?^(w%R9V8Ne;4iW_3JggP31r`NsVO-W6O>tsCfYDm6u`s!QE9eIeum zLz=zVQ{seUDFq&;4Ouf6qIudR1dxDwcG8Mrk3T;#43(PK1D9$#MxG+$>(|!9QNKLzv+20048oD&BKqe# zZ%HqwfFr0Gow6?HtvRmIU7|yMlT>|}Q_JIt&}hzkbH_v#wW394nco`c4W?k{ZPmTC zoGwh>50`as=TCQ3o##jFyagoNF4kG$UA?s&>dRcETuJ-e5gZ%PH%TO!0e@MG0u1VV zS3jE174L%Esb2l~9-)W!Vc<_a_sXKLFTnC&#L0txRnbjmy*CzQTeB;=ejHx(3RJ!7 z?pNv7N8eIj5i1zm(Vz`4?YuVFB6g)8-y|{wmR=Ss{Tg}iP?~SCd(64$h`^j_>HOZ8_|Fucg9({*z3s8SnqYZQ zo6(BKrvzRFIS;mFjis$AnC>n60?UP;na{UZ2-)uDUj+SD68P|yDev+Tkvt*N|H#P( z6kI*5cgJOOCQU>k=`OT3?g4OLk>c`8h|=F6q}`qUDdDJEf3mK&Lr0^oaC6GA4zd?x z3|{=Ft-ird=a)o)$FYgYb$XS`vx!#?o|aN|yqB8#7vr$QuKPo3KhEtZAH?Yt2eTUWLou8_QVw_6N^k~h0zb?FPXExueu+RBdk z@o*xXP{d7mp_nrUTI+zQS`m$ZKDRzhZR+zKK1?wJC1zHt3H_|-iZc@UWpBbIC#$!m zEt6u&dd@+7z0l4o1|GEiyLn(6#P0RknICP1$S~JFUbIvB$dz>=FC&T3ms_&KGGdFh z7=Ty-xCE!U*gu0%_mP%aWR(HZ4tjT{tHzaGV0nM7;(>F)BeK(7c6>`La$ty z2)m>4^h%&--*SKOK`z1?TRD*PSH=B$th&MjO-DW{2MxR{E-4u8{_(JUwa=7wL?55mVHiEbi>5@6qQ65zw*<7!s&Nui$6#3#H~-bU-wdzRjee#iO>%u& zsibsK*orOaVQVm}GpMQTyXXp;(;(N{MI{91RcfuZ|0^Bh=m+xja$Q9kjE-1Y+EL2P zLAyZ3q(`y=KjC6>uV$vHVcCdV_*o%`O0`Gz>II7OKl1Gp=FchQ=c!qPszbjx7Xsm7 z%isPMvgVhY{$4aZ_3TJ=`Hl#l_E|Sn-p9mZN{9QiOo*3l&8XFErA_v|QzOT~s~?Hm z$-LCE6FmL`^BVjv=%Jn1pqhsJ7XcHElKBDt1QlvQmJKH1S24wz_*^mUs(NftRm~{{ z7Quc}>3eL1FFZjSp;=U{wG&k{5-GUu7^|BX@Xxv zNBqyy1DnW?dxV5~JikHuBXV@((QN(_Ccw*v7;sDG{UF9^puMB&8px_lza*Ng%!2U4 zcWI+uz=0caTk=8Is`0XWlXhFohmC4eyKt7o6#&QiQLo3j+L!{pjlY)a``E~_*uft2 z_ej}G-!n%{lnli=9srApZo9$L4!r*E_T)d%wr}@7ee3j#UFibPkgKo*$0JovU11%j zJ87>^t(JZoUad}U8UH%Q>oJ_pU)%t`z-g1m@?@!-9}c?`)hEtU%Ej}!+G>iMcJ|#7 zMhTb|eg0VQ&K&Rn_J5J?RrF`SpntE*_Bs|_Zqh5cd~IwuzwO86)b5?Dm+wy@O%H?0 zo}pc>gzm7scg1ST=E?qe*QP5Tq6_)7?xNxah6Sd-{V zpT65CbX2C!nYOy9U^p5KV9|-{%)g|){yWd8@-1%gffmJ9L)j!AcRmQP*HaJ}zFe&J z;}uF8JDpOqRCRMq0UV66B{?K&%ZT!5E|))mbghu-ln4rX#ywxzU z(Fw|`KbilFW6X&Hb)H;q1a+9zYnbRTJ77Fvvkp@SBC^9)O3H4v&2IHNO&|KKBLV zPIYt?5Eb;5gx9I{>bm)Z{;GCM;##=OZJe-4=De`xbLuU!CU&xz*5AMhI#rV|r=|^8 z@+~Q@t_Ojf`E<LipP=2+)T0YX}k5kDuXRmIb}R3WCTPT&X9BSK^Rg zKj9$cSIF(s0!_i`V^8|aF2F-Cpmgg;q0Cckk zZ?l25a~=%c*QR^J$rGPs)#STw;G}+n%6GZ$wNmQ9xR%CUK|8!3%rm!Q%cYeztCOE@ zui6-a_m_NA9#Qi8U3gXK&M3E>^cpkYWteOoasH1pWiIO6>xwS$r7&vuUzlG%fB|=e z`qR&~w%a1!HfD=l3oO~2LY-N#QA#m91KJzx2>qlzqZVXDJ_lm(|CPIBK=BNTKjtnX zQJW)&0BH!8{W}==Xu1Cx?=N`JT20&hfk!y*>i6}BM|w*Y9UF4E#n(ZsViWN=_LG%! zVnV`&6xN=Cs3d|k0nvMsPomg{b*pF+`5vmmNjePhlS{i*>XpTZ)>b*U4wbH3vV+*4 zXmj4ms2yqYikeG`TJq+~8oNR;#;o)!ylh%v*mdNKk1T4Yd5eO~QT}F`#iw#(ZbD6I zbhm1DM+;|~+3(W+>yr{&uOGC7-w+g&`L_Gkt|mWW(&C5B55L9Lh}|UfuA%Nc#G~L<%P-cb>lgm7>qV6%Bzs{%mJ|C>SpdE;;7CU&5tnBi7 zl>xJr0;q?!gOx+S=ATQsa`v|KRePoz))FvGgfq^ML66B`+x&C&{2DD*WdbK0?%aSihtc!1lNdsqAsdIccVh_66LH9ev<9F*5!O}@_Nx_ zqpjJy@baHU*ddBw!(AqAwL!O={&Zc%cQM{X0iozgsAOJ3u2m&Sx=8SJpw z&o8e$?D!WlK%TP1Ap$ctR333bVKWq#(NfN#21|*ye>*Ni)YzoW4E-!G$ApP5_Jj=V z5qJ=uUhn2ox?SGEE<3(-niE`hD5kqNDj1fj5D7F-G(wx6L+(^Z-p90G30?Cmuh6fN z7>xEUz4_sCwPkpHdC{KT56}nsva$a@w%s#4jQ@3xOV)kJJ~onC+fH8`f=#6k$}|HbKhUdoS;(QJ|8_3_TFQ>^uf)=X<|iJEOLZO`6%)uQFghCRcr zA4$<`f>B)OiLDhOu7+@|sLhcl-mEXj|KY*nKQ~I?>j4GowdKVtc1(`JEkJ8Es^Adj!%zIzj0WI#3~eZ?F*j(VWCDTuKD!nfz>PK4||&{c~TxNgPq zQ|ANsM*#VZS30yjp21m@PMA5_kh;EaU`g7_b2VMtPTR@{7a#X5Jw;6$CBOa=Ai;mD zKw37{c+LJSKWyzd8E&y=%IV?jh{l{D2Xh(?sa?+hBf_teWg)la_+j_v;dg7+&B$Ws zZRumt>*1*dC{|iYs@0z`f^8`p^@6Wv{ghk@9JRNwAQv}l`Hwofd?xUt9N+(rsFT=d zILdc4x*Uxk2IR2xyC$7hX<5Qn(X1?;Xd*)^fpdLj`9IL`e5VGNpHpGAOUUB4%KTg} zm?y}gWTQureArF_j?Xd))Nl2_XR5k|_KiRZg)P7NGlA`ZTwB0~;<4B^-cfArrewN( zLn)-}i1P#>_uO{b5U?W65DoDHd&}xTHWI}^F3XE%#}oNC(aRz=m(oP|H&Dw+fR6HR zUEb$WzA)RMm_uxW-xC&h?;zpu=Eq5>xb$u2+t61D%t77}gO$gJ$V=}-en7vKNt^dR zR?-^~dA~^eZ0PWfBDru*o!*|;=)F6?v0wMnO#kvZ5%oLCbfudzRxN_ng}@qlx)0sg z50muC1AqG`n)L|*ak8#0T`#z=wTmc>D@W@{$-^6xRl&)=8;_|Eu*H;*Be!vG> zwAQe%yBH)nML4Ne8TXs+0;;8rE=mtuIg5n2IG-Aid9v7tt;~0EoKTILu#Z_K1W7p; zy4;&o!gjcfd#{|H)wwtw*q$d^dG*i18Kzv*k$vA?NRmjlV)7^ zQ3Cq=q0xPCHc*lMJv%15JsWgP(jpXA(*)pYlWx{9HYoeB4!`Xo!IP9SFb?)iwSNj)Uh*m?C$D>GINo%As;RS=Xy9%Ha?#$c{_Yp zT3E$L>B*U!u~yklH~A{xR28cN-IP(*wrqy(E|#kZI@Rmo6w&eBqm=98kR&r#Hn zU(Sm?FTb@05sTrYR|lZqHac%GrYLnQf?%CrvM3Ac_4yVE@<4d*w`9eX4ie-U&zNIF zrQ7&_(k0KsN(0*G3|w|^&XLoYTQ|>3>EvrLK&U*Dus=GcyVz3AKW)x?O$R1>*$E^2 z*Pp$z@;p7vUlKJF(Y%U;7^i?Fi)!BFvS=np? z0!5ZdGylUfi^5GeZwc&PCJ^+CyCX~8OSCKkaL}u8VVLrNyWi0_J9B zdWQ`R4zu*NLAX8dz@tZTa;aH5rD;MmR&1PGjF_OEcT+l=A)HQhbP94mp_B9mB*?!9 zGE-D;6JUcwRuvR5`S2VV)Xp!`D5U6`Z|LL*j)onL+d7cQ;$`=IXv0uf$bEZ zs~G6a2SBA>z~Qz1dLHigi*h2+K40$KvSL$^O|wy=Wgt}Goul@@?~}E=_MSfVX*4j- zRlf(5$SqNady_v}K{G=to+>=x{NcUiRlc*K68z$(eGyWglBg+MAm5WUVLuO7Rqr)5)9r&rx$X_UQlV^uBYKyR)j$ zmIr=0CeRS#D{yO8cANkR;;ZLijcR@C67w)AIzBDCT`2e9h)zi3(PzT3$ey7WL*~!@ z%$(Z2gM{(5_U(okiJ<)}Es$1+8Mq(5?0nG8V`hiEZXfr$?;kn8SbCJf~1#U_0yg{`jqhst1x~1U4qzom3)+MsH02%PJ;sYkc4FYj-RmRB(0IE zoEE~<%hW9o|9T03Q6)AHvi-H{9inC^7djXM>lhjGZ!|VWx;XYQ$1-Ts3bp;ZPNA#E z0rHT*XpWS?+tbhgr(ij5<#C=uhD#sc{31l5g(vJR`Y(9LX z{LH-gv4k3vInRdbH{@i4)(FF{5B?Le112qQoR;IiK3hCl<-BcJtHp3R9UPlu zr;ffj?$0#;TlSQd!u0xeRmtGWjZ23IaF&=fy=?vuI;=NEG@&<~4UYJZR4W9VYw z%?)#A_HhJ5<))uryENJ^#qKaS8z$+f8Rb!&I7!5zm43+nqvlsuFnD*JW4|nNzTQms za1wv46yn9Qw`c77$;2=4W~Q73Dr3Fz%CK(a->`3`mjz@=bo$>} zD6lg2lRHJ}7Ty^94(-Rbq(&ggv((QZ%g@9`h-Hs&%uqdotb2Z*uVG`{^VTPTHdi)A z^WLwhV|^~?zh5`X^Dr(|>=DMZEsEGX1bFZ3 zu-dDDFyO_`*V4PnYI40LauMd@D%ca`^9h5Gar<8P7+_5@xZ@OU%7ge`-u?@zRIUOn zbNUFzN#BKBB7fg;&D{%>+PiNfLwr|lh<6j@zJq|?pdrpljbNL5yb6u@fY}?|4yUI(P(EjScKb^Kbyn_}UZB_^)VDvCqnzPR`TRP(udrqV zG})?OM|_4#-34SiDd$RC0id#++tW_nqZjQTkhKuEg3{-Pwcr4C4Mqcdwvy@-9^J+Q{@} zN1%7!NT)n{NIF1dys(YlQ@JBO+NU`@^Ng!|4qnJ2LuQr@nfmupmW8*P#6J$NFq7{{ zWaO>c|4-CTx^kA-r`skh%h-bg%}K8$`0H;19uU=-<_8WdJGYsZ^1<>%W!*f zDPHwRS5;`A;t<@qZLpNGvE2H=X~3Jyy%(Vcw;Tdvvb9F#!#EH zm@hGe82hvw@A$}mK)%YFQtx{P5y#oAtY^09&c6HPOQdGC{d#nVR)_Lnh(Q{%?U4Q&~L8gF~LjaES z`tk*kS%!GT7Mk#yEFfMGmR~?Rb}yH`y%2fbg~H`?KnElM34UDtYL8ZdJERiigKS`- zYhS-p6c~J#*>vD3Px1wbVVjhN>llRmqLe(h@VRenGPoBbrLfG`yQ#N0oROqF{EaV55nQnkKN{lLV_v!=&7TeV= zeV8Ifv1RN0>Ml>m@ADxkrS!r=LKUB;L{w991kdk(f)B!vePNF(vU`>`M|od5`PpAa z9zX8rB2$VertB8~9JWFW&QWW7uXn*4fDLj0wF$}h?b8+_Dw6$b1LT*Fdb&W755f@b zZ(kOts3f)&Zv;~+tc1@K_EJyjNHLxIvvOrH81U)YT#J(^{-6u8P?WVf{hD!~<*A8U zif#)8bPZhe9M8yrBVj7(cC#9lC_~5>ChD)=$P5%P1lxN`E1$HG=s^ohFAzk?63q;X z;?6f-t5VqZ5?Zf|J+04KwO8y8)JXUnGX2W_3KeyU6+DY1@X18&EFB9e990w_>uz@9 zS69K@1Npm$?-lkSnJA;5h_8ix;Z3_#{HkL?g29i6cb@E2rtnmbOA6K66)&Wu&aqO`<%5dBy8Vc3)YifB1K&lnS}AGLpUThb3ft2 zkJ)EV2fl#ClWiSifrJE`- zy{2As3)(?j6cd;`E`VzpUyiN@s$S-gelWnMk^__!AIgpM(^2TuU{qE9jhg+-!s7M4 zd+`+_m+UeOT7=mTX}k&MMYJi1uWEi~Elk>b*G4pmKI;|SkNlZLISIBCY`|x{5+D;w z6bOhpDg*6ih-e#G#cA~O)pYR%tg6diAH#M^lTn0V1|l|>`)0K=G4Var?Fzeg z6*hqU@Z0X_tBD+CD0?}7wX&5jDm61MbuBebP{DUWGU=AdbS9sF4DXVm-bX+)@3B|D z@BFcFx`3+CH;8DeYEi z-wXLahR*wu>hF)^ci-#cy11^*wbwN>LpDkF-YX?paWB4wdy%@=N}qOpDx>;{ls(H{ zxmhJHH?wuGi=;x<``e#zemUoLp5yWQWk{J3yl!N@C3dQdExqAnTRnEYYsJ%c@?z`} z{uNnMBze0wFtexKXi9g%YTjRdqkVaiKK{~#nvIZhWh_Wq- zPZJ`CdI)D5l-CQPT%?V*B9SRoJk8t?Prv~{?mO$j!o^>E< zoG8uTTAePWHngMPY!5x+MEDhaUp&AP=&URLuxN~rA|IcuQH2byzfTVM?a{F z%D%YmXhzK$lXSfXx@gKN#_@P5n0Z0+F5Mj(_nGKX`?)SYZGbYb?VNnVCU|K7 z*MFUjH$N_B4hxFjJ5RB#Fw`ANF5%M)KeQ3OJritDbKM%%&n;AQxFh1@E7F4oUWU--mH$)rRte z(JnU++tb**ajQKs=G?pau68$tvKufyMJpU;$W1Fy`a(hghyr~FCv2|C{L^RWlrOg- zdk@uC8_%F984aRD8$+#~7hSecTN>u2*f2AZr($aYjIYI!O5FTU*qhuuv%vA3#|0CK zJG>`3D9ss~!sjEW2f@Ox5zi4xEgTgvym}T&S_J$13J02~v?=I-8o$9_1W1(=%CG|O zCF24Poh1w4M{RsBxN;ElebWoVmsC;EpUj-78h=2e; zFa0&ypdb}vQX+M;Wzd44n?Pne`$p}47Gdn9tCy_CP!+^qs~3Z(=m%-%o1LNhtH zW$0aemx_h5X^sgKJ`&^?(`HA6w)vO3d#*s*#vvd-cp6bc$~)w$Vc(zZ(Oj`{;Y5R# zm!sI5yv!7ZYs7*^AxBESOodQsSEbORA<_^$dBc^YjevfewAkfg z5BMZ6Vy$=2+bzs!&@VWeB=NRL>~31>r|SlRbKIQxT9%RCadD>g5z|x!!Kl&nnPJaA z0cUuXVaF}@)OyJEN{F%X*0`So4=j4eH7D9Pxp|UE1BC%|^Q%lczwr|VaIK=2B6m|m z1#-iwc~|7R5>_axYgB?_v{q=p?s&E=z*!u1>ZNpL#UYp!Sz$>IN7zm3j*i>)&z}uh zZ?Mp) z%~_Hkg>-&1lkj<5DO#lLU>T5a@()wKOGEOP%VxiLw@@8hu$$-EMuX@)c#9JcNONc> zAWN;jf&mYll>d!($~9k6rXk0_@w}3IcFkI0v8d;{`#me&mjmkyypettLgm4C?#aDG z7O}eMg9tj5+e=yWu#vl!-g6>%93S(eE1)-d80Hss_F>q3dVRweWJ1O`50iw{vcsk} zmGVLy8=Dw{U{n*C-|%h9xPAQPmjK)K6V%e?mv!q2s*yfxS%Q4qyWu#Ge#^fGEnQX> z$;FIszq;xd9iNtAjJ$>$_$4HhP?O0Bt-9X#28+CT+Z3<|-CAR`1(hW9h?Etdh=zy* zuk8l7Js#3^!KZdkd3!5IUInjlECH9<2FDwB|BI+I)sbO><30pNzkzu)#2_Uy=S#eA z)eOh$VPyUct}Mp6jvIak`y3{w<4?|n2>*sF9%`R5*-2?Qqbe+JBv#Pl1CSR&UP|4= zVmdzHDS^NO$b&~>_70h8V~aUjc_ef$9nWRkdy4snV|MFJ4pT0L-=iDxfHx9Or512O zz8Ax|)9P{E{UOUAy)OEmCt&KO2RlFJr(kmB6AhxxKz27Y~&vhz3;JV2geXcTT(+yz$Bx32G2TyHCt_#aed+jfc9 z5|owOx(172&jItrJn#6AH30MOH=6zm?3SIY4-D9OOv8%XANeYtAWkl}fCwX8s?u<( zOwiyZc8e1H96NlzczE4Cuyx*p3gOlIP;nrqSPlw$&ECg9IoR}PQok83GJGbn>;B^>W)pK@`8RWsnd(`hZ(FI? z^nGqh97!(a>&)bA9&*wP#`#;$^waY*IEUOQ00HhyHap+uS zyI&TzTU?)VTqzZWF(xZmJo+Eqf7(M{ z?`D}tAS;@%@YX(+pU?>aVZJG{S7OFrCFF+W0FeG3(eN%xfy#I?b0ZbU zh8wnEQi0Qx8pv2PwmyI((v3z`l*4A#p>Hu;Q zQ8jV%zWi__80p!U8L~-r1!KE$$XM%jtyPkM*mS|==ain`ho7XaV2!5VjvQy5vweDB zoLd0W)gELLlKH|KnG9+EKKraGGb#IV-1gq8dBZE*3qT2GgFU}k6avn};lwQUYbVD) zc#&I~Yp++_oA~oZngy5y*bA_B?rLPUPH`?^W3RBaOYY4VHC576i&Lgefwh}wL9@s0 zH6uO!Z@ot#gAo9J9NX(|__pZXg_{)fV)PFyP|ALV&y1qo2g z6_L!t$6?EBRRZiiB=lsKyCq7;Q4}?|0t!>sYX?b+zEL6Aam-{WDO4G-S1r$=IG}U> zAd-8at$aHs-Ki%;?NRxM!3dLy6nDD3pETB22p8o{-%%R^?D!NCO8j|}W_uJe&r%3! z0`zp2fkRB3Ed&$m2 z|5niq2khcAQz2gi{2EX2x^PSC-b_{v(C=4`NA`F3=57^bq=ItRA<=U9p5L?^g3(QC zmg)4L;P={}!Q}Wos3;w0+8dxaa00S+mLB{9g0k0m9nsTx+YTxc>}IkvMK*`R5gXs( zroK8iDWU~NDz1Dzrm47H3d+<06=&&y8WBQ2Kx>K(<>$WBfebaAGt-_IT39;|It2C47r@QyiP-Vyg5R=WUMn~od%QmucA4QTNP=F zTi_1-%_?F(`p|>)y&gL zet3RoVlFOal|I}|8Z~c}fp7$uwWkkq^R>+fM zK;@);|4JAlrvk%Ya(GK_?_+~9)Ke-vhb!dW_}EbofUjL_H8SyqK6R<--zkDPHt|sk zK-LesU{V-^Au;|QZ~44HQLnVLX}~tb1g5sb7SS|}q9`9#vNeZQ!WP@B8-kM0-TSaS z*bKZcMoIAfs_L{xRy<7y^Dl97DkW`OW}X~ojVYrQ?0b^K%`zrH=8K?-TB#ZvM5(^6 zjS2rR{v!j#_4Mx@8gg!y=9Vnu0YAABs$0`P_bMeT+2nA_@Zp3BEG2f~y^?l?L5e7B z42HNz!K3zg_+URV;7}}&P=*-p7fj5@nuSD2Xv4n(yjZ-{kGaTv*%wjV=p^{SrCrx8 zvSp;|EDF1)CNn%y=Ctq{FXC1zhB#9QPK76*{ ziDFZM0oWphJ2>ymE&^C?vV$s~?&1CbZG_!3Nt+PS0@|bh1hY?3i&C9#Q)MVe5uoqM zaNnAdGZ*1(vEcaiQ_X6KZ?ebFB=+7>vQTnsSv@7>hCCJPCYtJ_P`)Ok1YN_Zz=2Rjg_-uJ}DJ0p_9(qDf`qoQY z?l@dsiVzy$vQg;M!^S^;=OIY>gmMc#>*jW|WD=jgvM8YQRvmW=7VD@8YW&}yj{2N# z2wZf2VkzL>M<}>E==nmXl{IzAYg}o_{TSSHTKR6}&e9HVQ6}-1&8dFli~&7cGpk=2 zM2z>*hRa#rCmT^UAESrEmV(>$D#jePxovRO{M(;UebMH1&o<~gJXdolXz#q9Y%X)Q zGyY9Kw#?Q|!K=&`arnUwV(1Vxj#|IwQP4d&MFeGADqzI6Xq_MIe+gQ9hMJDkvj<>> z4JTZSANR#PC_g8UKg3UP35 z8`Zhf?x9H4zYah5Cq)Kn#zVJy4xGnG`aWeZaQskxnn5fY1qsK**cnHllOT=L%Bm zRC+aZi%h4mkQTM0_KhUlXCseTEpnFuK@MmAP`lsXENT!0T%(u6^KHoR&&k5OF zN;s*BEDmEF?SEUdryKVeph~`ZRutaFgFOC1UUfr(c*jFDqGY@mocf!lUMzi)0ne4) zDWD9sLx_uF$7X@Ij}Pxz;MyFNI$Q1D))b2wcU`s*@~U>JAD6wZ)J3-pQ`AtnU{?IyEAOHBX>=?hV8B`|&c~Q(C6WX3s&$sq9LL8+?q)=9 z{)BDOj!xSeZrF<2aq-b`FK{wH#cs`vOhGJ+)A@_rPy6IHf8sWJUNgGDPq5!%^^$~m zncytu-D=b6MtEEqUt(HXL;2H77uc4smU4O0gLRag8B=~m3NMrWG5w#xfJ5LBMZ0vd|2u4!p3GRTi56NggiW4qP zC(r%pkrPs7tIv$q4mDU%8AVevG+AVbul-^m5l1p5@fVrf29TccZ}p~hs8u(s7dm)3 z?WLB~3Ci@?ABOSq;`~)g0z;2u%0kCZAPb+Q50^88(#WnkVgb-q(ClMq@cbZLJbjLd z<;w++;IH?i5!P8#5x~S|7ca$ke+<STZ z?}-f%Q67YwWDW2vwm;zBeW%;CAVw3PAcASp#$YEq>0Cnuy&2*S!JR4Kv%N(jh}iJ@ z7jy1%Hwzk34A^MDo$wCNTiL&|Kk%E@LzfA-q%I0}q5{WYaA*?6+{}%4s*a1{B6=xF zYIVXbcRnF#@9S+8^fd%)fj5pyZ5DU5_}3l^wXBIYWW9+?(g)z&3F@wJ%G*BfkUO)5 zyTosc)dR-cCCsMPtlb!X`AC;pg4fI*1N0B~-%ihE=2Q25DCUOgY-ag-vuNsce?nsMx_ zA&-R!xi?u_NYd<`Mxj%41U=+O@(9o6Zh!tvH;>MHguY04m)7d(egk;Xd({agZQ6Og z;2$PK1ckm`v5=UiE0E7hwHDjRVC&9aon7ld49`#jDr2N5(^z)PPBf*e17itL}*qNYKVvX%aEqj@||uD?Y_$5Ni9^dwP1UD9Z@ zsFvl>fKgF@qw|lBmtMqm-#F>guJFY$zI=>v$+B`#`=38L@X3OCo#P)2PTWDCOVx^U zn1lMIQCbv1ZBx)n(d%9PLcwT7icUPWU1;o^+#tx%XsUG_BWYihJy3OJl8|Xk-*mnG zXTUo=p~BlA6E1&=v9U0qVyjDg^Ej+u;Pso++A?05SCMTk|5~&y?a7Bf7pkH*>YvLL zMQqXzrs>D(#L8*d_U%9h9%78rQEby;$-Ko=@Q89ZNR{dtAQEy7!(UEngHSg=zx9CE zTuebK9rW`NrFX!kwesE58Jmn5O<7uV7fCc-R47M8hs39O`_Ov_O6zknf)@6oT&sin z-W~e;B*Vj`fCB4e}1JNj^qb6%<@r$yUJZ#SF8l@js z5V@gz4x)7jEDCt_JGwr*>?gt(^~^9Av}z&Te=!WWX9Fr)a|`K0hvoNd@XFaXJpGP7 zVDsv_@5dS!oiqc%_Qrn!{l+a2-5i?8^Dg%E6f}V~C0gJu1C+D?psq-GPwi&V@-KSG zDDLHRSn9KWQP3TCsjHo$%Y1y4R7f;U?WHqVFA?r2ZFW~A1OnRGei9aHFtyXvr53Qx ztxL^Y82x={)w1;t&z22^a zrKxj5kBWb=olo@=+tVc=GZy6jlLFhr&jS2gp|cspWR`MesWtaMvO7D>soCi~jkzeD35q~hl+n{6~H{MLkKYm<@#+-LDvPn+g zw3av9Jxr(FF$A?5hJK;GlGoi(*xM(Yl)!_$x7*yh80>E|s*Lw8*~?xJ5P!3!NrIfC z8mHk+%ywEz#7gRpn>U!dXTo>N z<4riRIg}_v79JF%G93586S#t9ujURFD+eDfJ=Z!KAUdsWxvT?N-<}$bU$8#4k|eNx zJu|5FBMdwwt^4APglY3J$z&%10;%$ZMgJ#o;YzThIIeJXDJ8h<=Pgz)g(dkV;> z(j=#m8h&mRtMk99ZT}qo*cy$uvKm4^cnXO&m-EIu?iXk3nqRyMqt0{!vQQ<~Oed?CTW zWQUZk_~1dJa#>=U<*^2m6bkcl%@$^AFiwUaZ2xVpD{{ws6_YB0fsW$qCn+$4>A%Y2 zpOZV{TM^i@(lElM&?F7UE+S4OXoa3b6F7TV(`s! z*?Sg^S8`mNRyO5zNXwvtOkI{ccuaaozXVZG#4>NuxxPU{ZcRvpf=hTLGjJ`3f`wDv z%D=3woavdwoA(t=ot_Gro;(EQNM<<;26!v*d*eJ6xMY`rai^ygn{1$1;vysWO-jiE z=F8mjLF_MA(wD`)lzsJ3t(>oi&^VN78ze>GX1|yEce(mT(o908QXs?5PyBc-fI5)C@SU<{|R+Y3O)ifwN zn^s7rU>Ep3+}?5#`@TMowsx*MlB(n8Fsfg^u%Fg*Yww;5UU}9Nz4n!MhhoC#cWXxc zJgT9*1#N0aE!4*uNxe}`X=ezArLB2Z4w9SXmjY5E(vEb<3!Q32ddaap_J2IW+Pl)f zxF4~fKF~mN`-!IkE2@b;*gDKw;p+pdhU^VWalKS>t4K~TIa^+*S2FM>R&^@N`o_lc ziignXzaqWQVERn5I=p8|Pm0ML3W)8mQ5K|#yV%GTYJwp)Sw zvchwDEouQ0J-j0PCG1k}hg`Sc(M22)v1mTeH-z5C8^wT_Ecm6c7k^>`hd`~sL9TeeTvWbh=OXYU~29f_ow}P=sZaLzvpjc&NUb31o3(| z0L|h-d|sbape;v?EI)}UZdiaX<$o4!z6x*7E`|nyOEAjKVrmz>4ls^6FvwrLJ~XF; z#~SEry6;LTLm+&zLv_92F^pVM8uE^*)n$AkKyBLi4vm6+dQ{ldju9kK*nb}w1Lprc z3oqrsqb?%Jm|TI^8|2&ZSr=#BRq_Umcl$}|U$cWBEB6hheY55_PD9u@E0vr<{3Ksg zzLVZY7v!87yrBV4@%*y2Pa?)ixq6S+8pAHXfn~&ZVX&tHINUGE(4PKABwR^JN>|$?0rl?LW2GVElJl_f3RJ1Bz(-krMd-lH$mGy0$k}UjlJ4Uone9UfgIBe6$6lC)2p>g(YO>4(>%r!q ze+3SjUZBr3j#kvFx*!N*R8^k(eYeCkk*+EPYIzy1tF?%Uo=~r z9n42Knzv#4hYo||-6OR6mTC5EVz*RPot|SLmK|_2^Tc@obj!nwiG45eR^oeb4h+`6 zMKS9S-WObcR}ttUi-?{jeDt*iBw3`|Woenz8;i$HG*_L(AOHg1bI z52J7xbC27)d?Z%iM|l|{D(Fe7edk=fSY0Rkc}T+Mv-#I+HM3v+rNj(BPv-3hXjB(Q^CK`nS(R_Z9`f4bc->@G*jklh>#spAsg#6XRNkhA4pE(9r~yvWFjKQy6fNp#86x%r$acjK*)**1$MpER{S+VoIb`Xn_$nCr@|JSBQG1+`&z zf#i>C&XZ_>88s^eQ!H!mUwW}i-YB?tJ?CbyXAG0PuHl?D?+WHlq!?BDlJ-Q*T*%|6 zi>t+~@Nc`g@QzDn_T57hc9I?d#_HavmHkX$vZO zpy{-$Ns=~G@Cj1JP`jtR@Oa;r zw|FlXxa=E|9Um!1@9p?!U-f1I{~!)z5&8+--NYphJ?2^MRkM&X`9lfU)PpB41hOPE z1HRyE-%`{ZVb1tA;WV$z;P-F3aeWonpT|6Mp^xPzP!)5xCNlg9&6TzaR=y7Gw5V;>q$z;kaG?pbU#D*v@zh`UZFcd~;ZmDYWMh_X<=*nrJs7SP>A)RW z-mb~M{I+=(d6*N8Rz1srT@-&_ENff>b#=(}FFW02=)HDK7Z?#&PPCH$x*)QWaa7l( zOt&U|kDXF;W+BC=b!V4v^`it#$GfQocBRij81SzOnd?v*hz=DIj>=V9 z6R4tM(|rqQH^>P;K62fIUlCiC?MPC{GhXcbJsApQ45j2ExD!5pi|+NiqEN z8Wb!968m3RnIqDB?=d^WyZB@*zerxl-8SiU3h&Vm6?>O``$D#C6u0tabG{UD-b)IW z3UKQ`sC%UTpd2eKc|W)1nlp~;@>=Wj@%BfT9Ch0R151_Jmjv^E6!!YWuTnx#=AVF) z=t0%=owIv(a`=@LRKd}~L93Y}ep|6CVK5Kx22?NyPlDp!3t3@tUElJUeG*}Uw#_U5 z)1p=XD_R^HHh|1R4IXuPBGs~qu;2c;fjHW|zJ!>vTh%!)Q5&(+dCu%qGSRHU?TN~P zU9!5F4avi27JWgPKe6iO(5l%kwM~X zyl2u5NPo=yNWa@%$evfVPFQMlY8>98M0ySK7aFu+qR}~14^(#&5E+M@92fbE##~D$ z95f#UUb8v^xO!O7yFMx+q_8R5%D^ukB6ABWOqD3XvF@CGR6&WI9YM?VNc2RQbG2^< zGw%jz4MPIDDxlnRd7v7RApb>4jk9qpR4|MWra6wbG)C~hrmaV3CZ0#Zqvht3?%Ke{ zJ=iVHK^W*MX*$DQl`S8T|6lz}F9~2P}#1WbfyVx!0;MDrCfpt%fJe`8i{CwE)9h) zs3&R!D5y|(4CU;#CFL*9h`^&(vjxJUtadmYRTd@63vzx6+8LD`Y<4W8?`khWcV;fqiR&x|1&9M&`9T?R((I|D$u3y;i&ZV;jTa1$3ZjgEn$% zlI+R=GOc=D3SI#dJb^i`yR#4sxkkyO>?it{jQf4aB(w%TI_pW+MqQjCh8N1NqS>@l zOF|P9CJ z+4Ob$3~N`YO3ObjlB^uRCjBd#B*t9<1`Z5=_jlAJg@n{pUi$m zw!wKs7q|C#ZfjeiC~sRfb?#(cjX@D1=acpn+=WEJc5$7m-ZCC;dI=tkiBAA@Cad=x ztKf}~Zix}eQ${2JN~>O3`}eBDSwZVZ*=vFH)b?)_K5QHcas#8f(a6GIJCF@#AUtip zMgXa*q)XMqs<-mO2`<-b9}|UxuGQF`zDx5fn@esS9OAW9rT^V&jPMbqS2b^TedOWCn>$OsPv{r2UV0q3$9hJ%gB8M`2L2 zh}m^Hj7z!1%XL>6G&(V_E}v0QNGz(mN?{aVBmPr&opIwP@mAe!#+|#wdv*62|2`l- ztb4>LDJ7QGl`|ecAwI49k5TcASXo!acwS9>QTLKjQ%kI?t7kMc5}WFp87-~Ewz_u4 ztJlPix=uz{H?gO#m(kZx9H<*)480)^*D)AxM~I_!V~p_$;=8){jL8qgsk&(fg*ii< ztz$7h&JpM978r|5#O1nAjL%<)U+cax*c{?Y9hb4XMqID^&e-@t+^qY_`1PB(RkzL9 z`9s{T+hgn>5D)8sJ*8ym1F`rAGBwIPiyqoQ9<<@Wt)T|wqC>Z_FvX+c6G`Tk^>F)* zUV-;q<2+g;%11w%x3>Z-LUFW;jhXS*V|)3)SN_FhO-ptqSPFRnkO>W;N7AJgXKvqt zb0^n1Em(RN>WuF#6y%GT1i#_5^+wi+ScjgS;%`CJ!KCVF1Os7w%Y>X{|U=rNo?8PjLPXjV|nckgr8 zqtOs|BUN3(h2o|DKfY06TYS&owDi$$?9sWe?was*L%7vZtHs=By4!Tdk@Oxu?mbUz zGIHet>qI=D zxz^FEH#_kWeO8P@cGm5`ar3{!r;YhR!gmCFkmAv?(+V=*FPCulu9<7Y);!E=8oP~R z>@R8+0U&3AN+-?sY()D{PCjVzF+zAcEe9lXL|yb%L!?!3NOBkC2+@`7)V!T7+f=x# zsvWboMG9Ta1=yCp^?tmL0`#dO>bw@}2R6?a3@OW_f1t1x0UH}Go%6c;+<9+SSQJI{ zxpnJ$<*net+h%yAmD6?m)`KYRvM<51AfKO_SEIROTjp8)*-33G_p*&5H07E>{NbS6 z=@&qMeP%anf%MW1nAYWWn1O>`M|#$-={iX4y%wbo?Oc6F;+!x%KoONG!PcAScSdX}k;aB_!+4ajD2V zStaGoR`?o%;=MbAJV!-R6NYkjv(W$hoi3D-(e3-#(#XU7D3<~9n_ak?m?6m{ys;8(X23ukF!$ zg!RkN6qgjE=eN<5;iG^3J@nei+xQ3^AfG>zKj!nZ8?;a=lK-BP-yN@eB-sR&1?OuMFcVe~n+RtY0lJnDx@$A8iNG4GTH!azA|=e3Bvf zmfHE4{UM_i0al{a%`H6fsXvsCO~Mc-Fanp-tEGKGq7{FsMK`P7xFPy`J(zZGu3?wg z54rW^pi+J_OFo$Dyn*;=%MuJxOH2IEsaaFlB3AbeZHp~Xo&6-+N`6>0T04|e^sqfoOKq?h-0OD`pZ|~sYO*0L*YDM3TNiE%9jb)9~|7$?0y?@|UkMrpggRN?+N&4Z=9Ir*GU4Pc(f;&aI z2vhrfQ!){EhE%(+cAe6cgiE`)qxSinOiEFYs?F~jU*R}I)ruh4+I=f?Q#0I|V;z%f znpRB_xB%5Rj(wun^-DsCM)5>SfTq@D4Ju-dg8ciRy%q9N{N04$N7duE7|{xHu`yy% zK~0M&!!2>-sxM49;u!7XQ8VE+wr4y=#TUYa#}4?L74zySGWCr47+ z^oc2gl_G0GI)}G@nP?J&q_@RSJ=^0v2Xh)Bku_q~X~w*$kXQRgK5n1D3!ZDk_*H? zM~9B^gYDygt06fJh~i~V1aoyYB&J70gy|fuPmN)#HfXyy|MyN#*$=IbPbbb=6CJ+a zzIOa2e{_Qz@%~HsC!Y%_pX;}hugM3+)_;z5fG9*sU6(3$6^}V1bsH922>m?sOJPW( zQO>qR+o#h)v%D=>F=mw*0)i<6T?(f_md!=Mv7x6G_*6dmmBsJCg0vehd!Y{rPe-fL zTbG8X-K5>VgPlaJEBA}Lji({AK83uLCA@$KhsHFYI`&zdz;36YOA;O}Z*_w|kTBxp zk~@kg9>0)iK2!P?P@sD(1u-mm1riZ6hXE6tF6{Rr3$Zt z*`QMA7;cfj;Ne*9E$-Op%ctxUk71Xk`AXj>;URFN@mnpFjCY0f(%w0QMP>JhCXnK&h_>OqHCKIdD0zG*fR`xr# zd#eW&U)*|`<#C)R3d~?XI;IJk63*sf=%WTmD3dL4>m@#h3YLt|t>cj%f#nc|OR6Ks zw|hKNn*{RNw_6ROz@25*EggT8lB~84ib%m!{{E!teA^GxUG;^ron-ZbX1p+6d96#N zNVIvm8U=4Ghw$D8R{z?q&r+@;Fmpw6`A0fpmP0t8BtTLEDT~Vb?suO9jJ0Ngvi5do zNC~v21oYm{3<(C0`;BEoxZ$B+vX-&X6EGH({3gy*rq&I62h3YS_%O$ONf8$q?gD*) z!c<$DEw+1`P$*yMtuq)s*XHcbc9$bsd(y>-!eoCD5$Kb*4+pA~`oVm180D?U#fi~PJNa-CJ`%A%nbxew_3+ic4i3MrN za77tnywM%A63A)ED{OFl)y(G?`;BP1PZ36xImF-MCTw#CK}0U0K`R-MnZ@1*=^B#1 z;pc3=WFS3G@M_q}XKZt~=i29q0sJOgek!>eYszyXev8U86cPP5?tC6)3z{U}tUp1C z^6gjhu7}gmIkFZ*AXt1f=-bE~ENw(XhzuhjnwmH)kj z6SYQh`s?KHMg1(5zZ+*Y+&?29545Qt9Y6%DK^R7bsSzM1`aFoB&U&7Nafh=3Rg$Nz zIYsI05D3ikiHJFZfMz5w`jklwEnqH+aFfw=>@4!* zQ3n^wcGI$}!~#AQ%uHsHCHJD)_YikkqVj z_LGN8kqNOb)>`I~(dTG~ccrD=PXidX;Ww(V_X_NNz2n5TMXMXBlym^V-FdzO*)u)<3qlKx=&Q>=Uh{k8qt2J<+J`xR|75X@=i-Y zKaT=WSbL}Q7ppYljQ(d(;exKJq3Z1!!vWhkp4)Kr$nAZzww+0+4r|{?_{tPkI`(^l zunc+gumvK})(8Dwm}_s7^EhmO=dGnKBbtyKxlB!3M_CPmn|Xd&95ZjRevae$URxsI z|26wCi>uOtIwR__-XaV-+0P#C=z$@=w@^f9zSr!1YU_cn^CUnc=V}Bq*Y|2DZ6BaM z&kw!tE1K(`Fan8g(l@<))T0T(?q5qQcloP6Sb`nu^KvySHlz;VuLA`@t#&E4J32}? zY1{vA=sx_Re*8Fqe?IrQbs$6ZV zp8XVi+PhI8_E-@d6YrL6JuAy>@Rm)TL8#Vcjt@hmn7oYuf|NF98xfqcCcA!+#^xrE zWsVp=aJ7cu0;qgCxy!@9xjc?9(DX{>|L-Xs_>KtFkV%4N8ZE$?HF|=O$l|Viii* zJERhgE+TvKWa|-P$B1P~UE~c>l2EVu+Px=fs~)5;D(tk4xVFTp2p_iK(c7RRFW47k zuOFZw2?goYL8DMJ^Bh>S_&gOXPO2o#vUdZh zG^TE;gu6Me(ftLUEqynMnk)SfbTD$Yc%cuukoXyYYR32A( zfv+V*;{H@_3T}u&Ww*28Y?LxEXmoso!ZPU)-lBHJqT^az*}~%A(^C7mta;KG6U7&2 zG0Im3jcmqO(>wCHQj5KYW*X~`0h(@Uj?ADTvsY^)sw2<9EQ@7maITJ3D37%hwpPCa zkcz~(3XZC)#Th`d75@s2764S<|BXj3BO6AhR=kE2$J5O`65AVepH0eIwM2H>#f^A| zYfi*U{}GfR^5+F+NQo^Z*k_+-&p-y_r`Vn)&vH$#?x%(tPJ9FGocM)8%xFQMl7)0T zv0f1u99;t4r#q}d*K4? zUdmGRhA4c2I$f2G|K=ur_XKImIk`nS;!MtbhJBK!|J426M9=P-P+q=|GgBgKl?{sD ziJr}q@d=(RHmzgI(~=!JBR=<$`YeM>FXV)$C=L)6lL3zUe>4*BjZ2E;L;81gJGqI# zDY~&`{Cs0&_et_X~%La zV_uekqV0YTK-||?Kjr1BPw|m!G07GyP28&CyVCid;NUzo- z8TR*1fMU1M2MoDRCL3JlrZyEKiMEV>`&FV&9p*M+&e$isU)O!IbTA4vRr<-qhkJ0X zbVSZ)*4VCdvd@wvH4jDdntB}>Cfa$d_}&_i ztcwha3-MId);wBqU^*ldqL!uZ$KHu>FCcg+@`45j<@=!Wi1(jbzT$M@MlUv(qP>v6 z$_w*UJ}_&drF)QJhSN@iNgw=Gbswa@0Kit$aUls0P?{d|Ct@boIUHH8D zXw8+`m=T=uKgKd56(b+S*NYOO)t(>Yw+axYIZ4VVzV=%xK&v-o@&@>&A{TW$gBj#P1Ag2}hBNipz`S?d%Cfc^GnE)ELtrk6M z&jOC#8KsF_qGT)uvZK3C0x{Uey*o!2wp?>iGx~=qX6ld(Qo~NVn)4e&j8HryS>c*j ztZD#1fgV^P_PV z8O^|R;N8tuib-;%VwQIDOJ`eG`BasoH5-hLqRLVLh>sS!DB!@c=WXPlcUVe#{YZw? z=S%;GVcbYVtESHzRHocXavFLVuki4orvgbzLFDuMa0#=^bSAzSf9#nq(zTrjlQ33A zZx5Bh{#R9*dB~qvh(1!0lQLL_pnO7a7&jw$-CoX$Olia$#)0K&6F&g)d8DGk%i33~ zboG=M46HYw&o8^=HW4ld@MX3a^qHt4M?(8O1d;^djKM~22-BRtW58Q-q z=*hB-g%6!WX^-8LwAhLa_UN3@9}9hP9?1?%aG7D+0UfJM)90su(DeJQ&Kmsfj9p~> zj9B0+aeZMetPtqk&oXqjMH^Qz5dNRewO@?2!_W$OCalAAJq=;Z!iUzq@CXmebrg;M zQAy}=eKD<ioUxu3EyG^$iPVAEP2AlD>NU=&+Nq6{l zjhKP|F0#tCgWLz7RzZ)rp8DD>i7+1dY1GN$dM?(f++#(C3Shjm^k-RN?gF%)RiJGJ z<6R#W+q~1|x_U21s+a#hj^Qih{!!bKpiZ#jVcpy@)Ko@AxjQA{;$r1@cpIS};W(sh zn!nN;W!wXaXNi(Xsf0OcQ`x59BKcwa@WWfVv49aOXB4wCmN`K3mrbMp@0*Q3*YA7_ z2_0P3+rKsVBm3wbgNI|b1M0_4MadaaSSie6FcF+Ifhq?DrbU$yr-Q+?T0UFV>*z8ns*L6#$hf zT1H*OUeO>m+`@+OOnlKOl784AXrev2?OyY&q0oIhfG7$@ocd$uc-^1%_9yWjAT-yx zZsVh8w|;j2ebd8`)7}?uK66a2L6IV}U@R_VDwxdalHh&~ zI(+g)r`D=R?`xG=K)-P{ca~I;dsE+bdZS>_FArN2J9o;8;ADT!ucYwWv@H7%>QHl2 z7dnmP_<~0yE_nbk=`rv%1Gh7V)KK|rL*?{DYI#kdt{%H@D0dB&_!jwCuqOrk7b$!) zh{MR;N!w(AfA+P|AW*(Z3}c6{jmjD5Y=f;#d3&#|d}ipKi@GUgamYk*Z zmq9A+=IfbLs$NS^7SP0N6^#&3%ylm#Wp{QvBY$Swo_PyVQdre)q++C4$b((RMd%a< zW@s#eDU**OOj9IpGpOc*ckG|$4SjpCLT)B|HD$Ivqea-fVQvr3PWcWi&T%!hWMt37 z>pDJyE{D@LQ05lLBe1qZ%&bWJjF9sEkXtNbbVzI&Tfy24!04PuhppA zf?w09g-SO`-{)^GdvS@^OGzJP>!8}v_kqLnz}(_W_~9hKZZl1G_l#iUbwD*G0)Csn z5Lf}v6h94KJU*|<2Hvv+*mY2bzgZ=-r-Xs5@#h)0Up*pd8amD}X|fsmo{-}`430z= zCe9y-ZWIkSFp9aJpE)}av=Y+ZoscoW`Zl;tNYC0F#?HBwymQIG@+7glLrXN}G}>qs z{e(8%F6-_*g|Z^8Pu*biqqP>ak-wzM%)0tq+NO7t2Rux)_^RR6b^qHl#tpnp@hdM| z?vjY~4+;ah?7NCgr93V({#-PoBMYG1or%~)mgjKwO#%R}x@Bxb0-KuJ>S5RTSDi1t zg{1t3NU|FGQsMF_u`cbNm7sa*nwoEBp|=9cenKy8jOEQxzKxM0Bxp&d;*G4!Y_xUB z^!duLa!I6)oqrt`L2L62jGK3@=Z0EC=Fil_eg`yqnD>ZpFuDaez+H%dZ6;FA3FVLT z5-Zi;b`W8*hNhOb&LLP=@36YcF)UZ*C20d@_>~C1;E-Sn58wfZdHG!M^$)lR>&v+V z=dND%gd@)f1uCnm0i1o<901Tu1}bJXN+*}qnfo0AOqQZa%N*Qul~t5ia8sIQT05B8 zFkxFqyW^)B(bv?B&X`)MTkf8)I(dW|YZc}MpEa>JK1DxjP;1DfI06ulhlqq4&;}^L zATQy7;^8Cu6Gx8?(_kZG6H^CAb8&pu3)$1w2>h;@CBurItX2;s*Gj49_Is?H_w@4a zZ}l5%3k-4_xD*-|Zmzo%b@|FwK=3$I#0&xIp`67}~ zGq`lOy#y1CxBw+;#}6^-*P^wr3`Nl+!padf;m|)5xd>g=;|tK?a*raWg^7I1-Ehcg z$dOLB(pDK#IxC^8>j%-zJhk11TJqvr~RzUb4s#A zYj0@PLy5Kj!?>;A#tN(7iG#)p1Bl8{$zg2$7TDVttnEH@m;Rg_K^7T;1nK+)ZTt|5 zj({ViSB9Wv`uvnOGk++N{vMkaQ8UEdYBKK%V5{p5^|DW+G8Q0%AG?BZJ0%17VUgAIAl8 zlrdX_?*F%-Ox+s9fi_cjln$lWmd)aWhw`Snx?Y2U0dIxIMW7yzXl-_e(x%b)ok+>_Ml#p(wbjL zG7}D5$^}H5>jCtT^Ap`ng2XQ<#}}=ekS7RBN)ZnWi1HnRi3jwA#HGo?Fj%0cGN%d# zml}pMY0QJ*1tA!`r6eIHdd7B}Bt@VI156!?xQc_!o~)?wDW%iYDREPU-f7^uou3(8 zNOR(Eo>c1DMl%!+>6nYU}7M6bnjyJF=q%Y``qS-tjKeh|COd|E# zaS}gOd47j&!ds9f6 z#J_CGbBG+|4=XC%_5g){yxb##D_UIKx)Z+yxgx>Y)MUPO@F_`^JCI?G-}?zFV6oy% zmqoBO2M>u08NuB4N(y>J0(D82BACP^YGHNNVuX@m8EM7;iAdk{|2+I)x>DeXhPWLp zCrg%(Hgcx3WiBwaE(N>dh44$F#scTADT=CPKPDtkywZChx#vv2wSRDsHF9WPSYj;4 z1OrQv$aryno$VrdDXpARkNEq}uO+#wPQY|kJU{REzfFOD-x{P{5qpB>hqYrgA18bR zn?u9U*m;P%ZLCP~-F>1CM9k$e_CY_au9a3%LjmYT2DHm%+&6}D Hc*Xt?a7T2N diff --git a/palettel.map b/palettel.map index 93c2f467..520d30ab 100755 --- a/palettel.map +++ b/palettel.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: palettel.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1188:0000 0000f090 +DGROUP 119a:0000 0000f0a0 @@ -34,27 +34,27 @@ kitten_TEXT CODE AUTO 0000:5b60 0000080a 16_hc_TEXT CODE AUTO 0000:6370 0000158b timer_TEXT CODE AUTO 0000:7900 00000235 _TEXT CODE AUTO 0000:7b40 0000764d -modex16_TEXT CODE AUTO 0f19:0000 0000242c -16text_TEXT CODE AUTO 0f19:2430 0000010d -16_in13_DATA FAR_DATA AUTO 116d:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1187:0004 00000000 -_NULL BEGDATA DGROUP 1188:0000 00000020 -_AFTERNULL BEGDATA DGROUP 118a:0000 00000002 -CONST DATA DGROUP 118a:0002 00000076 -CONST2 DATA DGROUP 1191:0008 00000102 -_DATA DATA DGROUP 11a2:0000 00000591 -XIB DATA DGROUP 11fb:0002 00000000 -XI DATA DGROUP 11fb:0002 00000036 -XIE DATA DGROUP 11fe:0008 00000000 -YIB DATA DGROUP 11fe:0008 00000000 -YI DATA DGROUP 11fe:0008 00000018 -YIE DATA DGROUP 1200:0000 00000000 -STRINGS DATA DGROUP 1200:0000 00000000 -DATA DATA DGROUP 1200:0000 00000000 -_emu_init_start EMU DGROUP 1200:0000 00000000 -_emu_init_end EMU DGROUP 1200:0000 00000000 -_BSS BSS DGROUP 1200:0000 00000e42 -STACK STACK DGROUP 12e5:0000 0000dac0 +modex16_TEXT CODE AUTO 0f19:0000 00002550 +16text_TEXT CODE AUTO 0f19:2550 0000010d +16_in13_DATA FAR_DATA AUTO 117f:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1199:0004 00000000 +_NULL BEGDATA DGROUP 119a:0000 00000020 +_AFTERNULL BEGDATA DGROUP 119c:0000 00000002 +CONST DATA DGROUP 119c:0002 00000076 +CONST2 DATA DGROUP 11a3:0008 00000102 +_DATA DATA DGROUP 11b4:0000 00000591 +XIB DATA DGROUP 120d:0002 00000000 +XI DATA DGROUP 120d:0002 00000036 +XIE DATA DGROUP 1210:0008 00000000 +YIB DATA DGROUP 1210:0008 00000000 +YI DATA DGROUP 1210:0008 00000018 +YIE DATA DGROUP 1212:0000 00000000 +STRINGS DATA DGROUP 1212:0000 00000000 +DATA DATA DGROUP 1212:0000 00000000 +_emu_init_start EMU DGROUP 1212:0000 00000000 +_emu_init_end EMU DGROUP 1212:0000 00000000 +_BSS BSS DGROUP 1212:0000 00000e52 +STACK STACK DGROUP 12f8:0000 0000dac0 +----------------+ @@ -69,13 +69,13 @@ Address Symbol Module: palettel.o(/dos/z/16/src/palettel.c) 0000:004e main_ -1188:0780+ _gvar -1188:07a8+ _page -1188:07c0+ _bakapee -1188:07c4+ _pal -1188:07c8* _i +119a:0780+ _bakapee +119a:0784+ _gvar +119a:07b0+ _page +119a:07d4+ _pal +119a:07d8* _i Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1188:07de+ _inpu +119a:07ee+ _inpu 0000:02a0+ INL_KeyService_ 0000:053a+ Mouse_ 0000:0592+ IN_GetJoyAbs_ @@ -123,9 +123,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:486c+ MM_TotalFree_ 0000:4902* MM_Report_ 0000:52ba* MM_BombOnError_ -1188:0940+ _beforesort -1188:0944+ _aftersort -1188:0948+ _XMSaddr +119a:0950+ _beforesort +119a:0954+ _aftersort +119a:0958+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:52f0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -143,9 +143,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a30* CAL_OptimizeNodes_ 0000:5ae0* CA_Startup_ 0000:5b30* CA_Shutdown_ -1188:0950* _finishcachebox -1188:0954* _drawcachebox -1188:0958* _updatecachebox +119a:0960* _finishcachebox +119a:0964* _updatecachebox +119a:0968* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b9c KITTENGETS 0000:5bfc* kittenopen_ @@ -156,7 +156,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6154+ get_line_ 0000:61c6+ db_fetch_ 0000:625c+ db_insert_ -1188:0494+ __kitten_catalog +119a:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:651c+ LargestFreeBlock_ 0000:65aa+ _coreleft_ @@ -184,63 +184,63 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f19:01f4 VGAmodeX_ 0f19:0286+ vgaGetMode_ 0f19:02bc+ modexEnter_ -0f19:035e modexLeave_ -0f19:0376+ modexsetBaseXMode_ -0f19:03b4 modexDefaultPage_ -0f19:0416* modexNextPage_ -0f19:04e2* modexNextPageFlexibleSize_ -0f19:05b0* modexShowPage_ -0f19:06ac* modexPanPage_ -0f19:06fc* modexSelectPlane_ -0f19:0720+ modexClearRegion_ -0f19:0838* oldDrawBmp_ -0f19:099e* CDrawBmp_ -0f19:0b02* modexDrawBmp_ -0f19:0b68+ modexDrawBmpRegion_ -0f19:0cd2* modex_sparky4_DrawBmpRegion_ -0f19:0e3c* modexDrawPlanarBuf_ -0f19:0e5a* modexDrawSprite_ -0f19:0ec0+ modexDrawSpriteRegion_ -0f19:1038* modexCopyPageRegion_ -0f19:11a2 modexFadeOn_ -0f19:11d2* modexFadeOff_ -0f19:1200* modexFlashOn_ -0f19:122e* modexFlashOff_ -0f19:12fe+ modexPalSave_ -0f19:1354 modexNewPal_ -0f19:13a4 modexLoadPalFile_ -0f19:1486* modexSavePalFile_ -0f19:14fe modexPalBlack_ -0f19:1528* modexPalWhite_ -0f19:1552+ modexPalUpdate_ -0f19:1ac2 modexPalUpdate1_ -0f19:1b3c* modexPalUpdate0_ -0f19:1b88+ chkcolor_ -0f19:1ea0+ modexputPixel_ -0f19:1f2e* modexgetPixel_ -0f19:1fb4* modexhlin_ -0f19:2020* modexprint_ -0f19:21ba* modexprintbig_ -0f19:2336 pdump_ -0f19:2396* cls_ -0f19:2404+ modexWaitBorder_ -1188:04c4+ _VGA +0f19:046e modexLeave_ +0f19:0486+ modexsetBaseXMode_ +0f19:04d8 modexDefaultPage_ +0f19:053a* modexNextPage_ +0f19:0606* modexNextPageFlexibleSize_ +0f19:06d4* modexShowPage_ +0f19:07d0* modexPanPage_ +0f19:0820* modexSelectPlane_ +0f19:0844+ modexClearRegion_ +0f19:095c* oldDrawBmp_ +0f19:0ac2* CDrawBmp_ +0f19:0c26* modexDrawBmp_ +0f19:0c8c+ modexDrawBmpRegion_ +0f19:0df6* modex_sparky4_DrawBmpRegion_ +0f19:0f60* modexDrawPlanarBuf_ +0f19:0f7e* modexDrawSprite_ +0f19:0fe4+ modexDrawSpriteRegion_ +0f19:115c* modexCopyPageRegion_ +0f19:12c6 modexFadeOn_ +0f19:12f6* modexFadeOff_ +0f19:1324* modexFlashOn_ +0f19:1352* modexFlashOff_ +0f19:1422+ modexPalSave_ +0f19:1478 modexNewPal_ +0f19:14c8 modexLoadPalFile_ +0f19:15aa* modexSavePalFile_ +0f19:1622 modexPalBlack_ +0f19:164c* modexPalWhite_ +0f19:1676+ modexPalUpdate_ +0f19:1be6 modexPalUpdate1_ +0f19:1c60* modexPalUpdate0_ +0f19:1cac+ chkcolor_ +0f19:1fc4+ modexputPixel_ +0f19:2052* modexgetPixel_ +0f19:20d8* modexhlin_ +0f19:2144* modexprint_ +0f19:22de* modexprintbig_ +0f19:245a pdump_ +0f19:24ba* cls_ +0f19:2528+ modexWaitBorder_ +119a:04c4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7b82 _fmalloc_ 0000:7b82 malloc_ -1188:04d8 ___fheap -1188:04da ___fheapRover -1188:04dc ___LargestSizeB4Rover +119a:04d8 ___fheap +119a:04da ___fheapRover +119a:04dc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7cff __PIA 0000:7cf8* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7d16 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1188:0000* __nullarea -1188:04de* __ovlflag -1188:04df* __intno -1188:04e0* __ovlvec +119a:0000* __nullarea +119a:04de* __ovlflag +119a:04df* __intno +119a:04e0* __ovlvec 0000:7d30 _cstart_ 0000:7e03* _Not_Enough_Memory_ 0000:7f35 __exit_ @@ -250,10 +250,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7fbc _big_code_ 0000:7fbc* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1188:1264 __argv -1188:1268 ___argv -1188:126c __argc -1188:126e ___argc +119a:1274 __argv +119a:1278 ___argv +119a:127c __argc +119a:127e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7fbc printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) @@ -277,22 +277,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8318 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:835d _nmalloc_ -1188:04e4 ___nheapbeg -1188:04e6 ___MiniHeapRover -1188:04e8 ___LargestSizeB4MiniHeapRover +119a:04e4 ___nheapbeg +119a:04e6 ___MiniHeapRover +119a:04e8 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:8437 _ffree_ 0000:8437 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:84a4 _nfree_ -1188:1270+ ___MiniHeapFreeRover +119a:1280+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:859f+ _null_exit_rtn_ 0000:859f+ __null_int23_exit_ 0000:85a0 exit_ 0000:85c1+ _exit_ -1188:04ea+ ___int23_exit -1188:04ee ___FPE_handler_exit +119a:04ea+ ___int23_exit +119a:04ee ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:85de __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -311,7 +311,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8f85 __doclose_ 0000:90cf __shutdown_stream_ 0000:90e9 fclose_ -1188:1272+ ___RmTmpFileFn +119a:1282+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9154+ __ibm_bios_get_ticks_ 0000:91cb clock_ @@ -322,7 +322,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:93ef fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1188:0098 __IsTable +119a:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:95e7 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -357,31 +357,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9de1 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1188:04fa __8087 -1188:04fb __real87 -1188:04fc __dos87emucall -1188:04fe __dos87real +119a:04fa __8087 +119a:04fb __real87 +119a:04fc __dos87emucall +119a:04fe __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9df0* __exit_with_msg_ 0000:9df5 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1188:0500 __curbrk -1188:0508 __STACKLOW -1188:050a __STACKTOP -1188:050c __cbyte -1188:050e __child -1188:0510 __no87 -1188:051d ___FPE_handler -1188:0502 __psp -1188:0511 __get_ovl_stack -1188:0515 __restore_ovl_stack -1188:0519 __close_ovl_file -1188:0521 __LpCmdLine -1188:0525 __LpPgmName -1188:0504 __osmajor -1188:0505 __osminor -1188:0506 __osmode -1188:0507 __HShift +119a:0500 __curbrk +119a:0508 __STACKLOW +119a:050a __STACKTOP +119a:050c __cbyte +119a:050e __child +119a:0510 __no87 +119a:051d ___FPE_handler +119a:0502 __psp +119a:0511 __get_ovl_stack +119a:0515 __restore_ovl_stack +119a:0519 __close_ovl_file +119a:0521 __LpCmdLine +119a:0525 __LpPgmName +119a:0504 __osmajor +119a:0505 __osminor +119a:0506 __osmode +119a:0507 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e14+ __fill_buffer_ 0000:a063 fgetc_ @@ -391,8 +391,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a523 rand_ 0000:a565* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f19:24a6* textInit_ -1188:127a _romFonts +0f19:25c6* textInit_ +119a:128a _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:a590 __MemAllocator 0000:a65a __MemFree @@ -410,7 +410,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:aae0 __FiniRtns 0000:aae0* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1188:052e ___uselfn +119a:052e ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:ab44 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -418,9 +418,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 0000:aba8+ _getargv_ 0000:aed8 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1188:0530 ___iob -1188:1296 ___ClosedStreams -1188:129a ___OpenStreams +119a:0530 ___iob +119a:12a6 ___ClosedStreams +119a:12aa ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:af1c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) @@ -433,7 +433,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:b2f9 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1188:0022 ___Alphabet +119a:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b2fc __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -443,18 +443,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b3aa* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b3b5* __get_errno_ptr_ -1188:129e _errno +119a:12ae _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b3bc __GetIOMode_ 0000:b3f1 __SetIOMode_nogrow_ -1188:06ac ___NFiles -1188:06ae ___init_mode -1188:06d6 ___io_mode +119a:06ac ___NFiles +119a:06ae ___init_mode +119a:06d6 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b426* __set_commode_ -1188:06da __commode +119a:06da __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1188:06dc __fmode +119a:06dc __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b432 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -481,9 +481,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:ba3a isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:ba56* __get_doserrno_ptr_ -1188:12a0 __doserrno +119a:12b0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1188:06de ___umaskval +119a:06de ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:ba5d _dos_creat_ 0000:ba81* _dos_creatnew_ @@ -494,9 +494,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:bc8a vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1188:12a4 ___env_mask -1188:12a8 _environ -1188:12ac* __wenviron +119a:12b4 ___env_mask +119a:12b8 _environ +119a:12bc* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bccc _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -517,7 +517,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c222 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c292 __EnterWVIDEO_ -1188:06fe+ ___WD_Present +119a:06fe+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c2b6 __flushall_ 0000:c34a flushall_ @@ -533,19 +533,19 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:c578 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:c74d* _heapenable_ -1188:0700 ___heap_enabled +119a:0700 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1188:0702 __amblksiz +119a:0702 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1188:12b0 ____Argv -1188:12b4 ____Argc +119a:12c0 ____Argv +119a:12c4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1188:0732 __Start_XI -1188:0768 __End_XI -1188:0768 __Start_YI -1188:0780 __End_YI +119a:0732 __Start_XI +119a:0768 __End_XI +119a:0768 __Start_YI +119a:0780 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1188:12b6 ___historical_splitparms +119a:12c6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:c75e __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -567,22 +567,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 0000:dff6 __setenvp_ 0000:e182 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1188:0704 ___IsDBCS +119a:0704 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:e1e0* _ismbblead_ -1188:12bc ___MBCSIsTable +119a:12cc ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:e23a __mbinit_ -1188:0706 ___MBCodePage +119a:0706 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 0000:e315 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 0000:e323 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1188:0708 __8087cw +119a:0708 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1188:070a ___Save8087 -1188:070e ___Rest8087 +119a:070a ___Save8087 +119a:070e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:e332 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -596,8 +596,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:e4fd strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1188:0712 ___EFG_printf -1188:0716* ___EFG_scanf +119a:0712 ___EFG_printf +119a:0716* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:e545 ulltoa_ 0000:e682* lltoa_ @@ -624,7 +624,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 0000:f129 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1188:0730 ___FPE_int +119a:0730 ___FPE_int +--------------------+ @@ -640,6 +640,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020910 (133392.) +Memory size: 00020a40 (133696.) Entry point address: 0000:7d30 -Link time: 00:00.84 +Link time: 00:00.65 diff --git a/pcxtest.exe b/pcxtest.exe index 9086bdd2946a6e6264e72902372564078e7cb156..3321769b89de989764e7fe1d4b629c4ff8874027 100755 GIT binary patch delta 28057 zcmW(+`9IT-AK$%UZZ^z)A9IJ~PDmn0j>ws$T*ZcRY|hLO-K7g1D1~ya9CO7=hBW3( z$7V+$*vo{#7A^?W|RalwlVV3MnUG}rlX{onEbk^OJO zLC29TsR!ED36u@_ss?hwdpI0a#DX7!7j^9Zd9tHNjusP1_<8kJjgXKKLIH_+#|NTa zA$v2t-TjmVp-{dhhfj|^;m5jz-+>MjKYKsF zz+@iP@f+3WN1Q@;d2y1MVZS@Oc?Hhavjwwt+zkVrQM*@)4LjU1AFU)~EL+;iMJx)V zZ1)?OiunP4CkH7mo|Y`Ub{dR@ytsNT9juFQPAMH<4q7RFGXCJ!!Gr7Rw_4g?-L6Q< zegq#rxVXeQ*>$?S^@Qh_GrlpAH?DmFn+tpp8L%H0PWg_wId}~R$tBGd`0U+i!xd8& z1Ai4VxOt$RW9LuDD*DE?pNfkC6z%oxb#x3(0Yy(@tnTr%F~DiXgo_D;(=mXaqI+CC z;cOf`HbE(F{`|%GQ9x1CUQ5vnxEL0ia2l`Z%#J;!VhEV;->++AU|_`7(*`MSyLlfy zswf+ipwk%Z6g#CCPCDIq_!MM29OM><4iJfJB-$&orDA*&FM=-a#DWC*x6Lw&I%$A} zSXP%Xd46S1ogyztQZJBKg+O0Vif$A!sq>QQcnEcbx$p_BPNrLHg0_h^R3SL2phM@q z1+yz&(BML|3$$ui93!z!onX#`ZHQ3XUMpa$96SMKk<=T@P83ZWvECfPnib3dMSblV zpnO*VFk0HFRs8d^u!{)jq!rQCsn%236l?n#3c>+GfC6@Z9&2}0S07`)F)&eQm#bs) z*yk%K1B!f5qD4T68`c&3F8%<_|UIYm;gYgICO;3WQ7`Qx#?i zbG*fj6~uVSd!4%294b3yBV;!ZX(j>QzXNe?0)J$R-8Xp?ELH2VTdUj_5$AUBpI3ea zixn!XS#Rd>+v{Rp?k+~3wu@$31EIJWtABA)3jS1Jb>~HP2XULaJE$|@{KodBg#1z{ zv?VJ|z%S3k@1Df3`-1L|B=;b1)Os)&$S)~($Hl+Dc_hZ$pE2PcSI&DXVPZWI*6KXzrBnv`DLcI(i1WN0~0l8INUC2Kavj z&?vuHG{}kd5-_E!uSvLILZA)#g$C0};!swwhc6yQ3@;dkilg=|7C2{r8J2EPhPoh`X47699f zRWSJWOI{UBLlfWbtSSY{?yRppn%e56SO~_gi=FG9FbHOM43HuZW@cx^pm=Wzj|d*- z)SPpL9RKUH>G|k)B#b{+nd7(jQx|X6voQ{Au(QTN(5|d4CWtyNPx?BZFQgSPIl`NV zj=abm6qcp*@h{za$gKfDRzHBGO*nJ{qnj`wLuN2sS%4gjTy9c%>xkD18sHVGakeqcwLYL$ryGLJ^S~n>l#q2ZW z!I@WS30{=;tvVCA=3+GNR8Z9Mvrcc*C}xzz&05FhCivn$xa1twiC-?1A{pcZPo?)H z%4ZPI^Pa>S7!HeKq0S}(Z=#RZ#K`aPig+g6T#`zXccc7ix}?UJ6OKY=75fwvM!38F zK+Z5xv`AD~gE#t!?gMfLjt9+Chx*JbvnMYXA(GmG>k; ze)dw%zQEe}U%({$j}0iir`O4zLHX=LC_~(_?J}!c1lp3TFT6%^YuwpvSHIZcn8QwR zTptAbiLe|tp>hsBP#D)nu+Aw19*`_g zC6rl)v4|aByD&q5H#}`)%j+wW-YEb2BhDf#GDi4OEluR1#vZRajbuo?!eM1t=d4&Z zK>p1g=+BCQY0L3bwXv)kA%fCd)x4lRBO~I?CYP-Oc+&9vPO^Y|+72HwzskHcP%`nLh*LIFc1g%p`)bC@bg#M|iJCr3SmT$KrMR7_R%U_LrEvIsJ_d zoA}~L>Q6P@5eh5#xqK%btxO1}!3-X-g_)PPEIKLwJ`y4P`y{N*kQ}ArbpoPC_SGD( z_xPzTn_jD=Cpv2r>&T`sK`cyDvaYb}!?LgkYYtZIfo`bZsfmF#?4?Dva_Bp8SN0VJ zLn5-LRq~aJJ2H1T$F_>EH${ajRTpvJsQK&@j{>GImsui#HQOdoX4GW8o%;y>OcOu;Vz$8Mk z53Ud5>HjYU2)Z8DW*eqciiz*QR`RCpKt!%w@`zv_uh( z+$W8EB;;L|x})1s2$|Gm{BNC3L$4~psoseuKi5Asz^!6W5CrhJ#227@bg&J!vu#~< z{R>7u>>p;6ZyLx05W_RZ>(ST5y{db1!Vz(L<>}-fOB|~KL#h9dPMlI?73P? zv{9y?01JIK$!lFIi1K;bMusR&r^J}q+~^&OCu=7JnR7v;Jt;%nm0i>>ms5MCk;jM= zM=BbrihP^@Yt1zQ2Aw0~xSD_mq#AOoGHA#7E7|Y!->xYEVUd^M&q#a0ler>txHj4e z**c99%F#-!ug*qfj9^EB7&p58sbjNW^(AM z{mivug_|+ZrfX|aOR;H!v?zfsY!MrKe^SUd_%StI{K+&8B`bbSn!c5QOuoGPMY?+rmJV{0;sAgz#gk|k1{G-@$+hj{VVVxUEm*Fi;I z)hgoLj-5D?j&C5o{-Z8d_`Pc9SxNq639qQn(Q!eZ*V_4c0q^eVH~T3-mj7}Hx>Jds z@P|~#k2x+reRm^b@WrJNT$lghAgKcfgxOi&t>KD2v&MhkOB`yx`={7I!a~^Xg@7>| zhvm1Tr=9=1Dy8xlre?6um?hY$TJ%Y4XdN~;u76U;GC4Bi=9wRuqDdBf%~~F<7Ej|` zY*_`bfA}i3{>`x8J4R8iuPo9_4(XciQ@c)*VjC`)Tn_xW93#1MQqDAd^NyjZN*4%Y zzN{X&WPyzD-=!PS$v^fw-}J=LF*#pHpA8{FZ$~;6ilt>64K5+-Dmd#|0zyhL=EF5X zYWz|EK5E<(hO!%nz&#UM-VHsC_(?hXkD#obXv#m0?cb;*T`ADJ;Vlp-TdsfVjW{V# znGf6^yS0%x$R(ePd_;vY&j+n-TtibAxP+;-#AW)>k1p1%j+w=q#J%6MC)?>6H4Ax^5UUdTTQ+`tR*R)p-A{s>8)OZG8Y@I8(UhTpK~e{g8J5O7z-MO#@#k3aEIL#J zL@H<}g|E4HToq^=g=~<%mi$7%Hs+yI@BLuR$Be%?>+FNhnrrU4B1%BTFS4PoljIxm zwwiy(&cP3EAvxw1zl3#$)qZV>HsNqsl%rHBuuLn4v7{a&0(|Fkmw#rwmpt@*4)&w% zU7JZ7vRkvS$u99*G-|YQ7T!59*Kv<6_*D>CcC^pFd0}PI9iE z*Q-4eA#&n@ZLhpV8Wduw4!u}>UEw0wcHybee=JSn-88gIo$E`o|D%-%g@u6=mrWFwij8BsxNr6atfEMR;;th-66 zL2#Ww4*tC2@Lo7db;{bH{+m^Jme5)b^RXsd`yX$QP8od>kSqU36{NM z{v~xugb247-b$F_fwm-L3l zk9p{N?Y6EUZJDg`>z!wvTuRLeKBu#$=pL&SlHM#YL$Bo0sQts*6DdK?E0!m>*pl`o zp!51X)H9b8UgMp|Esn{Bi@N7`ymeKS`z($7(37QT(%e=WuKH zb4FDtBD8Y6Ym#0GYTx1q6VX&?(WW94v;qG`8k+3#I4IB)S}=0W^>PX&Xo$>uyUw1i4qi(AligeO#*N4 zH@w^QagD?`d-hI-!`-RP<6D@i-6gyMl~g0D(6U)x_Dqq6b6csl;`qw^+N?%BMxFEQ zjO^UqjmbNVE435>N(` zAqLcDeh3|O0{z+a40*H~mr3b%TfhAA*;S6!4US*1qLIf1A#ifwi}+$Zz>)6L74g@? z&VEwOGB_-?ncCpRk^UZJ!?FD;c%jtU`>UBqEdz(ukW{YXTmCADxwJJWM36i72;t0a z4wJuD=8leBZ_=e~IXuv}m7$Od$yUiK8OP@Pe#YZg94Ewh_As54dC_79nEB*qhBtk0 zsum|rv7mlA&xb@Q7!FuZ@YWz9rj-vrosfq??(PX8gv(IEW}&N4k?rS|vsR%jq^)p` zmr&1;lO-K-pxS$gwt`hS8104ez4;^{8j9U79)*J|-&azf0Th%yNFI4FXWZmDnD2kv z72!1fpOn44rS}=z{M!i_vJFI!l+}gctsD^0iAPv1P3I%ldA~CDaBBo?VRbnThT{As z1HG`A!9LBJ>lKi(Q3aLk6XXE9rlb>jA33|N-}0F4_?kd-LLjFe@06s*z{{5%s7^|N z`?5Zsv4V4?nn;1m;Q?BRpAq2;r@HK3t*=2PUEuU}88!&M`5pT6Xv>yBZKnK80IPJ$ ztaMx<0Bx*MXSPnZmW7;H0yB_L6$fWgrEE2`UA-M>mbLtl5Ylu9z>M)}%gT)jnnDMf z7~y-+5pktI!LUTg6iiV7X385E@g5ds%C~7147I%ehp~o}Oe~thge}q-R(7CN7bX8O z5NYtQB8cJqKr!ur&yMt^9Mzn)3WROX&RbJOq9p9Pb zvWl=}J``!mmVop3FS)KG(=wJ|pGi9_Jq%^U45um9pv@c7@3OTLKt{iaqI`Y}{K8A_ z#Fh3p{9t>)?-zc)lWVU!TKSWXW7J8GJybeZMJeV^}FJfL%$RBtj?G`+!(T3 zD1294{C4&=NI$hDOmwEnTuarG@|rHta*NkqNFom^k|R1cNdX#=I1lmw6r5s!dJ293 zhVWj9Xw(gmX@Y3m)l9yrb8yHw0djboGoxLMZ06bXf(pCdSg)+`3G@m)@6zKp5VuuR zWh12|RhKF6sViGJ#C7{W4B#!VOlVyN1 zu(NJr5;7316Q?6{S6vd~Y$-C}VGNn&ykfsCJb5Q0-cjx|Dm-^|$D&E)2le=JKu@Uv zVdDJ5n#VLnqyND9Bi~WqY`1oIl4tu4SXqfrvxaTg*<+&eF?J17Ot|0&pxO7fV7aoq z>BDLnwcQ)yINNrG)-8CJH}pAMP4pad^my%^laO`;xVXW`z%qZS$wTs6u#X0OAYE1g zT_7MF!W2y2bhz}=MN8(^i zwVf7qn<%aro83Wg+gO2VVrPEhL?4|GEEsDk7h1v>^~x;ZK)(6;v*S9?Q>%k1s~Wfd z^C5>B?6aL>lJ_S!b~9H`-J zB9(&e#Ad8+%TcWBr7EiJ#h_+~SoDg=D(v9%rwUN^6>1lWh5>joq96b@Z8G_nU<_A5#60M=oDUeQR!(zqkZVTI>xulnSQ_W&snCx-0W>_Q< zb>W!a;P4*GMdB{pRkvT@rAH%m`=7F{cG$wq>iRgjlOjSPKzm*Ef|8KaSzpAyjecp< zwCD;BQk6p@+@BH~#`q#|$&#oH&dB1v{&GyjJ{as-%UuxDU(5xW ztCz5p9Kp%mQAged|GioM<&YLG=Zon5(wHhy5Sfp3U&!~%HTF48*%8V0)*S_;S%Onu z=`FfMW-U8L<;smpzo;Du^sJR}V_<-fzzC7@xNrcMR0UFOhfeg@Z6- zOsSxEf8|XfBx}iba=$Pj+x~1& z)Ej9(^&HA3)JUdHMW&hS4HTs`yzepaDV*$lW0NHUk@24~7E>z@%3x$`^cH5O`?#qY z9UL?5Z-RJ3HkiZA0X;kP;n#U3FO#xZs(1Q$tEID)&aTrFhU*$wKcYxv^p`cI7;9yk zwC)v+thP3D*r{1Lbt(8Q$R8ov=L}t$71E%^59V2Mjj$)5AHQGsS(Joc!K2G>=;ex+ zowZ1^_ei_UIVg3d&3z~q)Nc`V3hA<92p&=^I^v4$hpF2YnK*owT~eA#`_dg$7Do%| z^H?lbZwY$ox*(Z+Rsr+*Ii#^ZCvb$ z+jcL9Ru5v?u2&Z9s;#FQ`MUUB%VL@mIf-^%0+5Y2KD4uFjCwVJ#|S6-6wZ{1g2W?Yecy*_nqH7uh}cjuIL#H zls&g)3K6D>Sep;`COxR|OkXvpC;4|vA+jzK$ZBhVH5sl!y zip;znV1X7fG22<@*&Wnmf%Xd;<(xB;g1#hRs~;qmYtQ9xn(Y783!?DaEt1<3_^5~Y zU(F9EN!a8lM_7G2@{#*F4OpxHdGoch({aidryET9w-W@3THQ;3G|9)!xLt3N+C2&t zl&U{oh~8gfSo2Lnxq$uaL!|!72_$DPLvbnO4ODkmVFDYWBss6F{b_J@3uGmw z7C5)PZ5W%v*ZFs-=(gilH^Jp@zRNdtT6eN~-cMwa4 z)IF$Po|t}Thk);l>0oRTKkq;MBSdvyY=@9YxXxGJ_k$Ye&kijiW61DEcI#XoqP3?x zBQ02`OS)(aEvjxunsK#~&FNnwA&3&Ou8AUGYZ99LflQ%`DIJiL=Q}0U;&CJFMe{k2 zhyR}aJ3$S22X%;C{yuQ|Y=7IQhwX*8kW(+uM@n1GIQv()SU>wztk}s<#=KT3t6+(q z&g~P^ns5JgL2dk7XrU2)Fkfg_Lb`D^I1vDp3RsWL;EY6!?20T3@s$ag)kZ4AC;Faum!f zDftcgTO6;)X@uM$i>~Xqvfhf65kJgBZRe_sAmmmS? z-l4ljCY9r`(f8F8db-iYJ~2x{&0cO>t!w1{`f{NY9(ke%>R8~3?7$*UkM~EW(xzE= zKGL#EZL~FgEzjDqaN4$D*x<&AxMM(&PzUdAKvv;I(BP1ysOEZOv6r-1xls5&AH>QU zN1sIajs}&NtyPY_qe?cw%N6uCzUDb{@N21@UmuG1Ip+so-pa~;uyz5!^s$eNjM#q- zlnKn*gTZ<%djfZ+M29O~!WCi=6LAXMLxAJ&MZ#A(r=%KF{uejj20tmtpJLMyVMw4+%~7EB zqbJDQPf#^?mV-d$#$jh>78%P41Msv-sN-}!WR~&un`Ty3*!tA7vGua&-y#jz3b{vZ z+ma?h>2_~yO-=LVWcM4G6zK@~4M=(H{9*uZlSyxwyw6|B>0I`;0fWkKm}Jddf=ZqHBIF6ay(fgHARiky=Wp^lyBeGVwX$KJROZGuC*xRyJ~AU@jC zJZU(xHMin%GiqZYOH)c>xggJH?S402=x@@6w1MK26s4K=)vN^r@JOV+E@=hDYwIRD zXUXgcWAqi!cg4!m;v34nhX5I=Rp#;W=b;q9X~>Th@O3# zB>L!)-qN#+UqwPBGsVG;r%_$`!3ma;395kQ(F89`XQGG5^a~qPqBc8v9o4>%aF81T zI`fCOd^ZU*`P(Lv80vqJ)Oc=v?smNSbg!c&AwQ@#ns}6Bai9?-*DqH{7GL2VFT1vdgf0Cnlu6 z{>C2mY6x@w=E*%GB4FasFWi5w5?qt_TCb4elrcj~Gan)>;m$ik3sVW-ZF#>vxkqQP zV&#*%+kj@S9x2(ki!N39>gv~>tll)GH4O4U2@dY$;m^Gv)avTeT9-BdN7BXis?3IL41!yC$xnAW?==_>Hl0&H_+*N6GK*Yxtc~kVfR2H ze}WIF>btVii}azV9HS^GT^E#nDF~^hW2`wFy+VPcr+aTY$yu8b{ijHq85A5H}3F}O?ecOS`BE=RGd~bUlHHWI-m{po9VooF;{X*l>zz4re zd4oG%seNM+^qhY4zHYp%m5T~TChleNKP}|+!gTK@^bRUK(k&?BPk&t=5o7Ajwl)N$ z@2dYK*-5%sq~#xQfCt<6og+E@J2#e~nYiJzxuxP(Dg(U^ZU!4CGNZTC4!=CNBI0ER3T6W(dQlkHYJMjQ9;>9M79I%#?Yr+#^JV%(5zqkM0y z!1r5+wA5^6fX-7PdlsA=@z5d+nP*YZA#;Ib_Ir}|(&OzUUfrQp7O8p-7hnaap3*!k zcvyos&*B+J1sc58)vddo^nvTVlT!EUhh)5j&J<}6#x>gUvQvI}3r|#DB9n05&t+@Q zqjYM7AU8$CPDq@_6iU5bN{52QL_)QPP`CyU5a*b9Kwo>eYo|}GWDwF+AVy8?IeiFla;?{kFqlks_yb>WB|ZT(o5L|Mni(zuUkSVV3oBxP#* zUuaSHjcCE%yHbmpe}`BMvw$ z4jRaqhAo&yS%w1%cuV&L9ZQGZ1Z_)uf|h;vp+kd$tqIDtjd@5h?WQZ-_JB*iJzSyZ zdoJl*GdNc=XJ9jqkY!0+h)czuNhWOnv-BhUbxS0AT{(G#9K@|H`f#u)j}+A~qZbJi z4n~e0(A=Csr0sFt5X$TOdA2T!dYS?^il!YP$FAGBbRtNZUSmbpAQO;K) z=JwgqhtV+~`Gx5+p<(ho{ei&6J14ONVE?2_MaQUoP_3w}?JQ2`Y|Kb(H(4)m6{H!E z!H*1CDNK%mFGH`}pGR>>)RRrFckE&NesOf0PKx0!Q5eVXVpGmp(R*IS+|vD}Gf+0}}rT+;>Y?OpAYP$~}?JM62;%dg6 zKHdx&gU*~z2(*k?i9eMTo~eRa@D=Mjc@;Yl47rQ&k-yy~^#K`p=^qEX^}{kVjD^~~ z|4P%6>iuqo#Oc^R>E80uBWpM5sSsZ*f@^A~wgz6{{s4O;0y0Kiq|eKF{(8Mb=z=2- z#)-kuQSCZOL=o|i5X4hhbq(r8g7o$5-*x}KI;oH36ir^~I~ij4JTr!9=rW9?wc>*z z@m1hm$J0;GEOPocpY!J%E+W5#$}9!H+eQL_3OKdAOVAb8Z4ma;l8`C{aK$ zW<6G<__zMVB4=sicbX7a0O&CI$RA5giod*o4l*kq0wsF*&9j>k$OIa)>`2*Uvx9z50f(c7)vnaTah* z79=@dZU$+k7J^NT;c+!N?+hsrJB69r2?#p!7T`qRJK`K_m^2|ah8Y7e_N4sa!E~3X6 z_sMbcN#9-r;I>#F7C?n3kTy#@1%< z1-S}XBy%tBxg=3^P;ohi33~f78moTnQ~dGLl=ja+P*SB(v~`!1KPH<=#!rhjkWhqo zT|qw#;!G|J3wNl3pDRDEU|oU-*R!6+Boy(rFLW}O|W-!7>!82q(=>=W! z-b}%TAjn_i9J$og=F`v9!X$BJq;$mOLfS^Ngm-mvkbnV!*ZWBX!`QAPzZU%haE>b+EBA?RN_5evkw^v=M$LS$lktn%P#xF z$yMBK&h1fwV${E{@!O6bVtU};G233Aa)IvZg{gMsiBBCcQdG$>P3EgO((#@|glvcK z$Jq(h;3pc(R!*RUS*+lg{vVkwO)8G8Rv2tdd72$N0pa4;?H*Mt=_azsXl1qRRJ2F| z+)9R~;C;%$*mJY2P%WT}f3IMu$E>KM@TTyS4Upai#jJmHJ6)oC*v-ooOt;bPYm*Qw z;4-Z+Xw(xf?`xX6FfmT@1W(lLZ4(7-$|0)_ zrHCs=W0b(xLMZ`;l&0TZuUn;>40TQ2sK;8!JgqFYma9^$2Gt(1y=V`3z}!E;J#Iwq z_X*XIy5))k_?YE>pFTa_{(DTjCbZ(0Y;oz|e8x5P(K0`QP9HYJic8!=Wo&6(%qYLF zGf=Mc5nm%i5|4tbIvh0=5?+xRE$(t?Pu1ygXV1SJ-|slEXVmcqx<3NjVwV9#?2&-d z@z^~9@LX#tt`r{CxFJtw`KmtGr_1k-FPBdLYlL?|*t4kdD2nn}UDJUFgk?xAR0X(A z{T|o_@|LH2x?2HM26G-do&j`0-3t1PIyoD7639zF5pj%u@5*w%pYXAw^9o7W#; zSXkn%>a7_zws^bxgADsac!zpNhSOoZbNvy9%Tc^*y&L10JKm$-li_t7?_KZ1@b$x= zsP|_CoWuv#2Qh*}@S*i#B*v+5{OS4##+gX`+4^&gsAzmleJmsHJpMv`JR^aCC)Ou2 zl9KTk>n|}!`3!0SzOcTCaithvQh$|k?K=KO z{Y}QL+xR>6cNwMk@b~K77g-&s#*bamr<>U$Y|@A3Wh0}RFo{Kxu1#-|}X zvwoN{GK&9PKgRgN!jIQaFxZp$srqTg%q)Jc{wrht8~%I!55`Xpo?E}b;4R{p>X#Y+ z1q^=IuQL9S*6@Gp*BKj|_< z@EW{snqA`a3zLYE3>MS-0%jGBty>_JAu)~UfbZ3^DH;dC3jK6W79A=GS~sUBz@;Zn zcr-P&0z2kI@p!{wF(WosR({4dmHBK zeZ*r~S{;y+$E#VhP^?2A+*T!sl_Y{Dfhl<~pEZ$kGeN+~BeCrL?9wN$$2;IpBL8i* z$Ty{Sc6;V42@iSPES9}&mRz)sL$OF1{aW%L4oETTMz4eg{C+F%j)%&#n(?oY!xcXh z<*#CX=XbsVHyl)mY}E=*zI!h9N8KtO+-By>`ynN@z1lkOY`YPMHH%-| zun&ayW_npT5M9Aa8AEVBjA_~83aJ7u3=Q0Ft*7+uGdQ?-zUzLfP@{5N>=tvbh+g$d zgZIpv^Gd>he|=+#DN98s#B584IZJWNsi}4r|JzwFekh>tfI(hIF&!&=vZ5M0TCpz? zzF9G8&W#4Cuh7~5K_X|oIEL;8~l2WrY%q6V@4`a8A;fO|IS>+qggj5O|b zJYCr84k!KOvL*PvE!*>@jFp9TGcLg;RgrMSZt7yUM)70``Gc)(a=*j&Cyilx5zF7d z3<#-%6$Gfl?85Kn`%hH}M5gkVD4QWB@=kRi{_es))NzwpRboSs=w*S-R_At{36~2utO7t$Ok1_5HBCkuya;kvb?ppjPpt#+c1* zTYAOkiRN?#rln$2{60AEKd!hXp7??Hz9VnerEm@!1SzubpbWM9aU(S-9ZV0O!`tVB zE-zzjE06d&OCSUm_VQqlk6#EnvGQ@<^=@9iTapB-!@gAcXblTL!c?DCKx=Phqt;8mn-1}W!o@-W3IW-(8=CWQ>fY_r;x zxq4)EgXlR=iw?xi&0fcspumH#3ZN1oxPCeMbao&~Stv$g zODdQ^Y@#$LkS}4w?ni9E^|cN_@bU&cX7UdP==$|-4gfCDKWuYMUKZmG4<1l*v-MO~ zF^=Bz5E2fN>@55&)eiYUhVi0%-BIUH#XlOB40;KJcj$BX0R-d+!vd)yTmMB4&ZT|4E`MEK@krOoXY+C3BJPODl@`f-)HtKG$ zJ;oV+Aw2lOIwHb5U+>7tx$5%@u57fsE-Rz`gJPO?n|mJY!nZc@bd`<1DRrWPeI%rA ze1r)Aqc2pxPB*mc#lGi7Y|4{R6#(Kns*9Gt4!hogy+8gPTX_Jq0cl z%mH==55a5T2()GD0^~jx|5SGPJi5I@Y+Lausc7?S4-6#zW$t0MgDl`^_jU+IP>L0T zSxhOWp(@eWQ%GQIZ-f>TzI7)J{_LgbNtR>~q(>;UI_ob+@yY!s>A8xq^h8P=M{gV77Nsfi2Dr!yc~ z`EVsFhxu9CQ%tx^bH6`av%76`nTdxsMSE2xn&DVli3Sp5r_D=JC}t~4(Z&jSPwt84 z%d1sOlrhrCGp=)c-0i&(R+tKKs&a862ot|L)qqGXQ&~LuZ24h#I%<#GNfYX*I8jQw zl87LQn0&4z-%k(;FRjE}qMbxg>X4ZN-4?>n((655mqt0O&6QSV%N^y=y(?)_$~{*L znk4R<=NYENAV)5r?5)0^bD|#cwySDis>o^i$%(h!dC}=GTVv&g3k{$w)3SO{$-VJs zA*SayZ!r-e8UqVu09&J1;oui3qFd=R+3%*L14T2`PxqQceir9`(>w<}!#6Z9+S~E% zvb=E|oy5u8*gcI7-#kF_KD60=+vuLo*1h>mr(0Y<0APmw4&MrgK0f_e8YMUsh;<@| z`K%Qgj{#4=qi*cJ-x}I{B$VM8vIdLs%#mk5)$F-p^dO~MR>rft!AhySi4Sn2tUV$v zrP;8Cpyo!9_%i%S98D)+*O9jV+nmlyhw=??iijzIIXYJk1;$+(0{cX& zy6BzJTTX3pC@+iREUSjZ`nXN3YTcPj6M3=pS;T@FotS2tJguXkv5C6l27Y>OJuS7? zU;y9YF`3lKxQ6ch{3KU>M~Z?~S0ris0Z%gR&U6vg5j5^^Na#e^%c(FcjQ^&g9ZE$$ z?TNjhEuCP#25Te`>k7h6y~8HIGI#nx8gQfYdv2eSO zJAGLcvweycUb5dGpDXp1#}VensB4#U9@lm3?#tSPCP@bjGl6+M;F(kicY_ViaOUJf1-3;XZ7`6rz-tfJCNpDL z>eCZx-f1#c?{rgDY42cnHnuC}_*|Ropjs7&V!_a9%7n0@&ua~)mbQ`1I$gmz&&PR2 z=wHR-41dgzPlL3QRIhMSAUk|azJUh29{5h+x^H}$J)}R?#fX0uL*owucMTkC@!*R= zBFGxCIEiSWLaIU!FKC~KS{)NQV!;u>p*2qGWS9lZ{VgAqJQ^kCl4izj`4@M6jk`|W z&XwnC?ihis`^t-rhSChUqdR9xkZZeKrQPe}of}Rw+#BWR6iFw|-y3&sdWDwvTkYy{ z+jrZb&G}2aoU{CaGbSIQhDQkQ}6ME;|ifa^UG?u9Yu7i-hs*$q_9JJ)yJ{|{X0Jp!n=?K8^ezYQP*Cui~*ave^ z{<-sjZg(f&=|z40CnB|SiQbFB(lLcaJq5#Jr_)$cR?Y8{)Yj73DI%w@4N0|I{(Mx- zw^sEe1k~q#80=8?QlT>-0{J}FSFY<33Mq%G#e~9&EJ)A)OR`SrV#8?sHdBes$=x z1)?SWv5Ws6V)P@pWEZT=FzxRxqVAsk8XQi$qo!QDL3@o-Rb)+84DxcDl3?FRg$+gUlV7v%qz7lkZlXX|ha{Lg$1RH) zQCusBcP<_rNc%U#77>k&?%$qo4}ttz1Qc}khAld;#zU`miUM`~2Rd_S<6&{o_22Mr z0|WgEd;6}f6Gk1Tc?~Lcj^hJYhh8+Rf;NIp0TR*BRsZ!42DOSTr$6LU98ncP?EAutw*GWXu)0V{I7fri zQhDD{4Has@fql$TNj=J0@+|8eiXj<@F0YYvq(LkWKUhlYJEfjKfjpq4 zd_zgVg9os=6A*IxmU&!*`W_NKxKYuw1StsB6TAT~0G&J7s@06waS#f0)v}z>bjjS# zVpTzlh5aKzEOT9zx1om+ZB{!#DhhW@-C_}*Dy=I#cQ5oyl~M?fPyf(SQs$FIu@_CS z>4Ld&ATgnxv-O)x-U*Qj&i>1tJ-tH|e7`ni^|sBWWkB_(_-4bA+z5l-`ws4++&xpd#2P+UP9v2_6HNC@K-gaDo=SdCYb_~RW zf)`K;Ejwp(M)+$RVnPnEf;wgpy!H-n-ICVEvG?+6-4_RzI?j-Y5c-why>Hv#*;D&} zZ_i5D3!H7=@en=uZ!KK~xVIk?V)eD+Zm-Amw4$xLjyfimaCN8T5^j=p6lt`#!MXTf zk7mjQXFIXus%H+@Xj*(B1jSN7ifP~jX- z#<5aLZ%tYsk)o_fR#qyGq+>-V=^Q%=S+B3}{TJLn+&?^@*L^)6UZ{J(EgRM@^9t$qt9P)StFYyY&}D^H8wRe(&RS$4U5@SOXd(e zb62lFMcA%wah2xA#FWn_dQOpMDE+|l4>+cn6{}L>yYS_K9)uw*sM53c&s;s;5 ze=Zuo6_IbwZRb^}*(d8_W`1v}5?bwsE1GtdMed~iK+szLj4`tITrX|F&>=DC+u`R6 ze?29k=p8WtE4Cey1=7)mK8|l!byp4SB_|DTYwj2VN#JYS(OSmQ+erqS!7HyfAJ1H! zy0?OyjYk2uPCR^^+EyCB*H3m>M13C+p3wz=%a_>j{l+7G@ymaG&RB(BVS}m4#bC7V zj7+soUa>mkr7|P&sr_;FpdY5v#>V`of*=V^_)iqN?`Qm1s&b0D(>KGDHDDJ{?Ioc; zIXXPZxvt=3y61D;B8A4wa=*_-sEikga-7Y7;M<&kASfU%B`&?ejptSdvaZKF>U8k) zf8Wj#zX(aj$OTPPzsVlVEDvjEPf3Ykyc{9XOrv9s0K*|!h~v|)n~`m@litN-N750i z17xI{@+1E^#>}_trj6W$RE1NxqooB9Ew<720$+LW63MJI%R{r|$>l%X9rdhCFgN)X zGwWP(*z)p04MRb#bedSIkWd_DyYYe_Kjb8V#3J%mh5ZZ6Y| z$ch}zbh-w&uBxZTyLuV98dCw1i(Jt=KZx6h=(^WvFgB(TlvS~^S}rUU+FS|Jfqtfx z8**UdZ9`Qh#!JPjdgNaPOH}KgNEjC6wgbuo1h*qnLNuXSvYW{%PRKAB#)TB9fJL<@ zoodVy1i0&h(u+@bz5DOT58)Y&E~!d!yGxt0lb@JkMd0}c)P+78xsZV5n&kl_&*Y9{ zLiC3iy38l7IT`A)KKSXV9pW$1K7(cTHytH%@bKKxJW>lLuH-1P2^yTj$ZFc_$qf{3 zq!9#PK-m9|kS!VWF)<%ui##RD2Z(W6@Lgr{vF3E1kJIPkQl zQAqxCD}EY3SoZc5Dd610KE`I1TAx#}&YlF#rtpSHZg+o>mBRGKzR$}7(WZcVektMk zS8rQiq;G^wBRV!g_Kx%>#V7N7d%xV<#Yo;T|^P;Z+jCFXltWN=$_zcmakdq{4en_K}N%!?MyqY+Gv|0YV z?7HleQm;BaPXG*RAh_YVG~-cZ21nuRwu~JXn4Z~ey}|R?*Z0Noo7)hT0Pr|{yX#u; zxD~|#FcyP9Mys`e;&aurjFw6q*wktm<&nJ@opIkzc8c)0p^76f2`gf=B(T|bs# z8E6u0l(RUG;Vm7nLzv<}06n81Gs;km0!}p)LxLGncD1xLq{PAE)n-U#|CIeAO|JnpAyN#t^Yvc{NH5?Hwxc!ag}- z$u%wDR?|W?YkTn+9JqLN+Srnp#P>L2d?kZd(4QT44Ph?51#X2l_pe1Ekut5}xY1gY zRS9doG`sY>eo4&E0IUHi2b(ATtlju+-Dx?k1ts^22slIOuS$)wJu1nkWsJAcBFum; zpfk?wO*=0t!Xj&Xk5zW$jdYhAdNBk74ViHI?(RGfMyZs?|2ukhX~9s@0}W!bN}^~- z)UR_3ypgb<9*IXJRXA(pNc@zZk(6VTGpRafHTuQj8!z$?l9{^sG%1BY8OjA}rBZ#N zy`lfHyRD;65J-*k z{nT2TSfB=_O+wL?;0!p4BN}xow_w0WNj0kYN}Aeq5dz=XE{o-qSZ(vafIC7;czJvH z43Fq1t2GnUT|uMGsPkR7TX#StxWo2s$~%abv090Ey{uXthfTD;PrJvkawlhp3OwsD z3VMP_N=9h+6I*AYu-nm(A+F7wT>6KcK5BsOM{QWF_AmiYJb$&IQJ1p;ok4ELjWsVv zI>gGL$iH$7H%92vG+1?ht#`z0r^=p|bFXt_oKC+)gMTvbm!E;}Z?CXlDAR}h?SC6a}qZ;u#R zp`b)SP*~wq*5r=IZPt&G*MBpz_V*^o;_|2C*mmnC2V>xWJF$@EfWpsPSqvFwSQb)ATKC9VUMW*-s$!EmJE3zINm8Eq5{XVvWWx#$ zKajuckFq+!pWjm$@NnEOjg9zTXZO((wl{f`UDI0aH&}j-7k9FL`JBvJ_txJ5<|qTE z7$6hlZAt^CX_K_6bIZS@IY}Aq3>qloGi}snigWvdpEGS|io%!#{AQPM-VNCx)swJx z^jSy|XYb_4!xfV^NKqzT6V&by4eC{!Tow=v2*31p2KLn=kIfg-JGnrf=3|re4b;(7 zw_SuS_roS{^~3b2@-g6(cCNi)>B{|M%bwGpeWov!v3Ky}m{t8a1)_BlHWu9z6|t3VPN#?;SQp2@vjO38|#2kkT} z;TG!wvjmHp>ns{AndClO1+M8;592=jQsjA8R6ft;r${&mE_gt!3R|V}LlGuhNoc~{ z+?`2}WyrpA*DxswnQ^IfvJ``ZU$dc8zhxcj$198ZM^fB#%&WJvGIwp~Q^F9!zJKA} ze3!&Hyv=@RqdBQnQJ$g&1(rZ4b(ETU5M&9ss1y?k8N*!@D&l{tS>L$>C7{sC@~7i! zo^b9;%oXWv>w@Jqwq|$bI!_l4$TIo6_?g`mp((T#>1NkE_&Ed>k@c$L9{c<3iG<>n z<)@lE)M2f(C+Ou{RVqk=F@`riA6@_J-P!A3xurjS)usNE>J6aPQk^hdrYR%bDd2O} zsH(#FiBcM_6Inz2Q$knspaOwZGhGILxl-Q!MxnE$#g}Qwv-?(Dt=>T#;V*YN6CB+! zrUPOmj;!4QPA6VpOSNqWy3 zfs!%)wx_5QCEp4R!PtVQ?(!Fr8rwJ1nKC@5w=9-f7BV26*Q>7n4@2}HymtIWs6Qxf zd&Q5e_F1w%>GIs(7e(r< z`j^Mvpv|U$&`psq2^z~HDg7p=2#SrTKsX9dM_?X%zkp!{SHem5f8=)HDXIcs`4G$s zG6ks+pFBlpTmDiw_+WH{0E$UMIHppg0WXU}oqYArX@Z!gp$j&J8QS(8EoY{7_UV)< z&@d7{CeA0p6a&?lVr;IgaDmuK{3k?|T^lm&)Sp=xPQ6P-+o!kK?fhxJ3MrKR0nn6( zh7I2*3fpZO9??_Tm3s^66Iii)A&YOw>9V}{9P~anF3{6*gSE4 z_nXVvG(5sMdpgHv|NY;@4Jg-B_6y!z(4$^nTGH?3x!*{BvBUR;{aRxrk$~Z5&}sJ^ zZ8!Miq_wfaJzUJCO>Z?2#}8Xjvzxn2iwQ3f6U5sbHim|7ta|Y7Ldpl}-lGDlv-*^I zu68lXS7Zzga`W>T$Sq*Ho?dwHwbQ|r$&ag?1us@39J3s&dS@&xLto9e*c zXP-`SfQE-KrJMw(MG;P;euTFYL^Leh8~a+wQWeN?I!u>2QdQWmdW_{U$`bS7*6_wl zf64MLql~Vm>%G2MOge;8ejORi@gN?<9XV8e<9Mt`i(1EyA>>r=P{=6XwxqYW{}YqW zQ3r#8Mxv&ju_CVH+X-XD`?o&Iu)-d-TFz0s6Ta1amtY$9>3rbKP|Ih4$&53^`@4o^ zAYXY7a#2HKdQf?gucTq;GTVr0=7~7`AY~-Z$|UOuDny8YNEw!V^JWxMv@C4^kIR13 zmOa+4Vhbc0iMbZ~hL^Gbt$IQ92p`>okAKZoRF6bptTgBvi&S#2U|vnczn9A+wy*GBUAsjSo^fw~ zpVHr^?|E|l!^qGt%JdmNdt+?z2<@(-=_@|MoPuHpe%hQ*+xT6P;{WctyyA146I3k2 zPkZp4HbxaGX54jn#TPsWQ;fh*$MYo{9~3D%-%WhQmo~SnXoBA%mh|wB%q!!kbrsIL zdXjQ55=VU^JiC_Kf1mTSWBS**3Wzz zFc&yOq)-1&7bi$Dnjqg^+Z281K?4D!S^05pNUIxl!Jo))-ynX%OiwEs-0~ zAX3|Eb@Y(C6opwaLqjzLQDVvm$S6G>F@1$~m9HVp<{VyF5JGPb+zR5;&hcIBpLyE=LsAH~XR4CkFYTqF;Hm)}|ilB^z7wD6#-XV2XR zBwPi|0kY(y2R&)ub+6liG{#ybz1%>#&5pkQRTh`s>5W{ z*rsS0+@;7FVOFo{tXQh4xFRWu2SG>y-m)4ef?xS)2aCp#cyr|KzX!!dJD95(2Zcu! zvE$X0gEPB0NlD28iOHEL2SK;f0=q#0$sra_U21HE>(as|LWI_AmD{+q(x)D|WbUCb z_Mnl*YL!(Vrm54f(YZ4Uc%8#DSo}?RzIETX*6{0B%9n&PO79AYog0qiaDC46i-r^y zslMG92MQJQHo7%U{rCyw!u5zETD{Z7t(aixk%(qP1#-W`g;(=OWUU?-|_RirtWnVmH)6Saf zUE4c)*Vej1^itP>^Diq?L#9*;%vkMV;@Z%Ldw|s+g~O^kx`)1WI@ZQp*R&HX!U^&h z8bV*%0O_18lyYHKE@c#LYxrAY-{dGbvn_;qM`D+6=Wsu<*2c@ZItE+ms)S zO=(hSyxXQt0`B;wu79Qt+j{8(ysNm2Jm|Q{*SgE z=o&f}${)kdJy)>S_652!qqKmYxQ(rJS0G{6^662NN8S+{8aN{$1yMx4RkMjX$34Su zJyjLXtNg!MQ?|;Pwx27wJVLx&!sN@pgWMBZ&jt zBl*M#mnyU+x05Z~L6{aPniAXlaiNrKXC1>oJ|hnvEpe}wz8K#1Bpejkr^EW_$&0J+ zVFb< zUVQM#it~lK^KXAejOh&BrhU*JXOS+jCBOTah=I>XkIEnK6)?~!$4g1>m!r)eRo=hT zuSEYZ`d}eu;bT&`-R-`THtUteMb@ax_anawIl+A4FG*q*0fK!Kh~6}6TK)G?3p-c7 z^zYWD+jVh51uD4#TQ7{Ln_t)*B$mY-^+bB|+IB*Zod-N8b2zP9q}&YA#VaIDiE^FQ z1}HSKo!@Wcs42g<(8P(IU5vY+GZf9yRy_5(q`TXuGv;3*PHb?U=W+3L5$}M2xQQq# z`S4%8R$CWzJamoGleND!l!~RKgHnZQ^J`Z4rOQLNIrm?_PJH_h24a`B7$)wvqkxoD z6e|Wt-mGGW!=3@|qvr>zNN=^XDrO_jIc?>?tA~7~+-vH4w3~Y5WgFKfBW=~4R4sh@ zTIeP^X%d%IU~nHcH&@XB`OMf7>G`~C@tcm|-F%h?geY}FEcVZLew%#kdnQu`oX#P$6Ua0xq>3$9_@1RP)jE;TVtyl%U;j5%J!#b6Sr#&eY?i3bW}{N9_#++wI~zTs37SeAXVHGd1*9+ z=Uk5H?jrS5J1n2nZO&x=O_8JuGax$^xO^SpA@8lag5EP|Q0CF+`Gy7KF1xzQSDy3grF9@QsC9O~B1D{4zh*DoW!kN+24xkS86T%o~u z`z}+WrmS$i#?2Hx%bCvTUl|)p+rb%x&&<*K9jh-^FuIPa-C2Dewql&({{Vs z3$}LK_Os(KjqI(>N-3J+SJj#)gMt5?$yGPA>Y62{5Ky5FCH}!~w)|^?tx7BO52X5j z_&g-z9iuw@gooJ+ssDVFhH|{Xv<%eu$CK5&_XH$|@;smRFT(UV0sHQ#KO8<&k1U7R^9cM1!(i8YKgk%BMR$y*6)WhcHW9I0qE`|d?jTAn0}J- zUF&FcUWO}w6$#{as2!E;*5h80a)V3mZ*;NF0XHMcu0?bCCCK=iB$&UzB;Z{aTH*_b zZpJ%?SG}lLjH-*^nKm^pOMkfccVI)t9f^sO@%<8KYSbBb+4pfI&nj0!oQ$tyocRl} zI2nOAGMq!Pr{6q{y(<39q59?)(gw2uZe(Rh?hP&3k7u2D!fTGGK&qBTkgWJ$YRp|r z?qKmrU7ZdQ=+F7XWWRhNwouvTqQwR<8WR-Emb|s3YPD7ai$7@@_2KlWr{YwDbS3k% z=!Lf^Jqa2tuk&hnlx2}u2H77udL6+uvA0(~h553f3(I!Nb<5tA)O?2IYFpq9W!qPi zn627`e;cjZ>Ipp@tJ+3~l13Q~t}|Mv`P!gFPVQjNFPB{fH-f`;JwHK92wm%~G1{YC zf#Oe#I?K z=1jm}P3@(gce`F8XbRI`fEw}%QsX(NjQkSjQBdn~nAuvyH@=i#`I%!NJNR}fF|12- zTR5IC$|d}Z&1!FyqkN#ie(QO-k{kcA9?g0n3Imu$LF`mHkMae`d!$soIhY9%+7BR2 zth0_l(8Skm>V+ivcrU3Mw^QCNM$2~sy&jY|A(e)AdNFGuOd^?ffSJ@hNIR%z3N*#sUv(ItQrm)VMQ;>77g1?hd)*)LlU3t~- zsdLe>onDSuOMj&T5729ZH(X!Oheg~?BAjd5OM!0(?x}wfd=?OG?C#de9LrO>dE-m4 zGLv6%cO`PU!|v&PSg>>9*xj=P33JnUgl_@!6zPy@?q&XY#aK~QSyoYq5A7g`QpNcX z_leuXq4%}JAM4k2SFC(@3*s4dPQoO9X2NRcSdaccwc_?=O-&~mP!qk6oh=5(@ygSP(?6klPJOZRP z)B^#;Yh*iLa{L>go`FLDfntjd=+>mAfAK3xLjPo<c@c2Qdgv@J;$nJa@qYL0LhyBaFm=<8ejph$?YX87hZa%dcW%<5B=quX7a70`W!^m z%gc40C0|Nx9hb7x|)j^c+=>mU^CO$NG=Gs)as9zY2F+ z6nw;L{oU|KI>3`HT37X+J~5GG%DWl@`@WIn&x=aD5Hh$4TX^lyxsfmSrYyC6>!8nf zbNi|!PYjy~f!|^Te7cH@E1Sdj+5xvosS4L>Fka06yB8Y>D-z+4ViQ+5m=x$01^h$} z@=wkamHGwP9*H*|H(#VT5Bg3Y|1AIor&SvtM-Q4?mX-bm6sy@*!hHM^kP zv(0cqzbNNRYGLylX#0y=K1ndE)LhrF0vl#BzNN~8b70yTi~nWEH0=lBq-y}oS(~xt zuY;o~YoqQi3;EP^;gnkM-3Fd*N)H&9IBl3p68ZCoYFL+&xE3!(#+lrybmtRee|C9K z0H?!&9FFO#g;s?4dIHGNf{phYUGEP)EsMfej`diWeZ)=%^&UX-!ZIE3vfMLVN$Ge@bJ; zEB}2DtkBTMHitjdah;HX`B|S&I@s~|klpSbTuH6#4*$v-lFsAvIc!U{zO4);Tlk!? z&h>K|`>T>=`CmkNEbAhSqec119}ykA3o*<|p(GCWI90_+>!yeuMXWK{iO{X@va36ooF*6&wA;jR-2?4e0^V48! zU-PUA|BZN(mstxCz+U}m(8YS)XfB`>?WM6HwWQOPukj|G!>2P!;sh-IIk$I)&eILt z2HuA_sC}B(r#>$NE9I?nftV1Wo7$s8>0KkfTBjhP2gq|hfZ%BZ&Mk+2qV-T+~7@?W$?X%7~p$h$4St#3k#Wez{^e?~Qz^FRXu{&JG^4!vN)y%3f+Z< z%8(ZI*%@2V`y>AyvRGb6v+8|bwgNPS?4M^}w{Q4$Bsg?kxE`hJ-UwDcMv+aGY5elE zwXlG&Y(Gm==$_NgZ~?PmPiDFFSW5>r@9M(@f!)XB5&6ix4#-PT@q%6{AHK4_cmX-> z-}d%XLF+VbJ>aVM_D~moLwV+E)%qloH0v8o6{KnlLVeo$5Cv3~mR!M=oCeRk5U~JS z!S~0@;V{T3^er-Hh^R#mhSyBho9M~IDN_S59DN8C@E4W>-L5pesr!$R1EzAt_T$vu z1%DYj+0Q3_9M;=8FnF2|4!`oW>{p7k)s?8M6~TwFPXp{}#pMh8veQ2Npm0*yd3tIn zENtz7TrJz@q(q6Vs^FTpZfy`1Qk^#yv|9S*gH?%K%!_V^41D&fFXfwGDE>}3TPtOH ztz+InEqM6_3xUn3ZiRyGqwf{w9x(O`%jfsae{dH2R9dZco2i;9RdAqI*iv-v#}sNZ z!MQVvUjFQ$+30rg9d(~C?4+D&sicRP8*Z{K9}XVO z)`Zlyf@5m!Du!y^hxn41wks#loaHs#Mz_}B^N5=dACyMFu{bYT(!+e{pnl%AZ0Wcf z8^LRZA6}c6G5F)nc0Mn=Wi|x}EC=E0Z0^J3ZY?owOVc93(?qh+A(F+2a!4^BU_5 z)PEsK0pU&=C4xc04-0<~VoHPWPOE2brF`J`wC)TWaV2$^dIXfuPvp;vwnCiWs#}31 zwdQYl$)~Ptm-O(6F)49(3yIt2^fNagF;BX!YQjx#zmsFjvVi&aqgrsTP9Xf9`ILXK zCs)y*^0CIUcBFv(V18pY{`a~R%{~1J+FHm^EH85f|IVM1h4;pBt2D+|ux`=Xz;(}5MRL*U9P3AR_68bGl z%jH^YjwnUCh!&uqikW!223^|YEqo;eJ_~pX_!Qi_xOodbJTESA)UK##oxgV=g!D8E zU7Nz0-a{hi4XsN4t$I9tu)kN*Ly*PX@OV{9B9u?bbvp(T5O^v;^e82O2B0FIcZD7k z*q*VAiu4MmY1BDiMklbG<4Jk18JxkT64QI0^`&x-xzTuCoHI9XnV^~a`F7yIRDt;O zQDl9#_kIkLj6t*uiZMk3P9CkSphZ`?I^2pDV%BhZbJlAQ7Q=_p?=OD^7M`x#Ku9$p ziIm?p?hYyNns0NNEA06v-9gy6U~J-udlw2+G_e^vAf?H~dI4Vyv5YQ^(Yu~l3?Fl| zM9|qySLYpSO>MDc3SabE+ebPpQosYesD8Q^20TLdxy}$Z(%;}gkMGs5O`7qu705}! zF%0JHS@!{^=ac;0Aqb_GSL6dp(U23cI{d5gtE66?cIM**v}npVAYU-kvF5d!&0CHi zQ~Ac@rp8a051Moj+Pf2NKlf_1`0qPDa{evsmTK7F?mb&q1a!A~XKc(Lz$L@J6x#*Q zb6b4*vWaQc%{_GGm+M-Do@$S2b^{y5Fq}Ave%-H>T*6nIv+~J$|LZ!Ipzm1)%e*$> zMK+-X0-2tPLQg9!&Ax1&O=cOK`IECeZE5I`RGYLTTNm#%e&j#|+)9-h^2@`0%i_9L z*t!x{-xWt0tNADH7^#e9)#Vc}MSMTE+K*-Xzsc446a7IhHL}RD$!{`^EdZa>YxTAf z%pwAPWR71pYd{K|LQf=ZuZo@@VZ&5^Bih;rutl)XKYI+q@?6Zm_CwITG8{)x{GrX> zhjM&aZ~cwp7f|5!z0<2b3xouS^i6k~K?gy7+|5P8Xv^MeAU{m->s;X#WZc$xP7kSXd@C6%e#Iu*J_hB+|t{%zWH&*e3SHlMiv2qROC|>z%<~T zB>Xo&!3j>f_Y-i2nFRGexFI#8@2SFOWCIYK&B+>6QAKg3FQanc_h;!+UF1<4_lB-* z59h*nRVD7NixeZy?9X>W7xBgCiHUx5d|h?km_Ks#_^&$kMihGlI%clzc2p{U#}8s~(| zYhN_tDQR+I2dysf*cC3iem1N4p1Jt4fwrE6fmvc+48R2E*7Kb7gygE(ZLNRRF~WD# zFOZ^~VDg;6#gEL#D;$RW5(SC^cZHTDY?&x+_N_bHLAL5;PRGLd_-XO$i%*iPI!`PmU=Ijfy-BT(_yYn1IuQNfm51=mZzeiEcVm=ARi zXOH!bN9AWKZn?t4zH=A6txEWawT!{clFlqX4a=b3+W>lN?}$`5C)WoA{7!Rpd}yRX z@NoIfG%uP_R&R|!%2-u?nkhuEjF{MQQ>tMbzLi689)G!1FI%~mf_QgQm z*?-H!|sWv{(WsU<{$=m(3;UfRSam#5&R}oKM&l_d;a* zEXo+*$6F{HT+-17?v<=KDS_7m0e-b)Ia@s1u*dK@-=2D=hs^Rl2MCG7C7NB=1pr?M zzv5HFhI%vtQM%yat6(WRiujQ-J3qUSIqxi5NvM;vmE(46SJ~?6F3Yir}d=EY|eZgKK8g8tv0lt>gbVdA1bZ-HTRP&ch zcwbvgyGB0TkMGTPB)5P7;vfwMu7t$}YV;%gK^tHS81(<=H1G%nq(GTIpu}ynqAup? TGLz&0a7I~GvMDs3Q~3V?#h~@C delta 27898 zcmW)ncRbYpAHZ+lxjUOP&NzFWnZ36(WMo8ENy>~nlyN5`=Me4ktw`Dm*@{DmD;W{a zPIc#yq$BI|>+yU4@qWDjeEsu!yxyaIV{kKgA!GM<#f}a&N<=8($CX!=0s;aEc_i*F z7}O$2^=5jz`zi24p?vCZDtpr!_N7|A0WlIlORXS~FW}(rO(4Y*d;k|abAn1S*kyn)8*GOmpVJBNydT_xg;AK&P^JM-|)6_AHmfp|m zM({phGMDbWK==6`D_>exlq90x>&|Lig|l>Q!7MFz{Xo}+-GU;0hCA+~m3XveQyaB# zjK(b6T>#T@-@$KXrwfaw#S5;U0!u)0i?61GwTbUiO2$`$R!b_zFWj)V=cV6lYI}97 zJSD3XK4ibN%r>n!^`QBf=a-yegT_dJ`43Zj0>iGL);j>iihM-mh*k~ig#b& zi)c%MGX+deF6hW++^HCX?@Zgt*k}UapzENerEg3CJjpTIN6$o`0tgA`6G*3`bpV2U zY&_{qY)nIfLTp^m`S{NOLES-v;B_825t?v{NN|m5IH{y>2AG;^8|dj7=rm}82yQ&@ zLx%`5tOTu?XqT849ZJ}#{m~~OyfBbkEVe-?mK^OssF#fPT|N&wzZnDKM{mbw6t=er z0V0{5g4D08svR^ren2%}P8kB7JS|+fGD!a_zDtDAhX)t$gH@^4+3Fx3*@i9v2l5$O z?=1%7bNKbXHXelTdWvd`@#qtSU%?bIv}F$<*($b8Kq+IYWzok9-x!S59?&((pD6@- z+A=_ShXDg%d8dl-`(*(+2hiFs>`zT zoJ^6XuQ)=mgji9bJjVtYpJfa{MrM2i_A(c-_OvU`}oo%8&AN?+fexeMv(Gm z6NK3@i#99BzGZLv@={}{OpA?x9i)fS2zY-D#ADKaOBcES;!ad6TUXv(JL?te3jY1d zZ--<-A8XVx+5e_UWXQ|iVDD4mOmiTVg}3?}ASn;{)7N%hWHHFVHoae~&$ZSzQcSKT z6xx&-jPX0+f%{L);x4~CP$~|oRq4XvS|F0{`+hQ-1yi;y@qV?3gq(K-Iw{PXIL>td zpfNmrXzjFDqa}}IZUNAsV@%q6+FGJuGO&mNjm`PKo7Qni$2LIsXaSUQObo5Q5BAyRsrE`46lxNQC)d(4SEM;rkRHkIs7Tv!#@xDN}@w{w~`j z@NDlG4Nlvf7M}qXwR=WB^G+0?)#*rF=~-A@K!m+&S~5dP-PBoiLpxIr*Nd--)WbHX zk1WN1C&%ewbDl_0?CJCL*qhl1*q?dvg>`-{piT%z?5pB2$M;M zd(^E9R#BwbN*_xK0n=_Do}11d!~t-JBu3JW-L@gwJW_&qj$`H*J67{1O{By@4hn`} zNlNgdZBeR?WQ*dk#wUYDkDe)fvrRLhC2l&0uE1lK3^C&L4i~g+2TlBq4<@xMJ5dfo zp5<0d>gf+DN+4V#F;Qm@MF+@nHw(E&oE(ZvlDAycsSYi*V=tWrV#*EWZH77i+d%dZ zSy)(BMV_7`7Tua4qtyO2T6CdmbYTG4qhHT=y!VKE6}FB$UBOvK(r}1njtWo{#qia8 z;#a}+(_r6`k{A0pp2JdbwoZ*G7Aa_ycJNPjnN z=!Jw$?K$ma|H?l3;*JwCpHM!KZQ1~5blb|l3X4tV1PiXy+;*Zi+YX<1j?7MIaOU*` zy<}K6izG}jXQ*1tTCYIe`P9cGoNV&AFw3OhDO9&TdQvP%IJyVw;BwF?4TNo?zEAo!l;$%HbV zBztTw!+O;+8uB;U zJfychS`&$;ACRc86p&TWRn86C!y4SZ5q)s0JwJ)`b?0L8jQb8BGViRJZ;5zlf*j68 zBU%i+SId)@O>RW5Euw70m1cfXKR{}kUZauj4t4N-{jf7zeI?`Ng@h40FUl*c-htMB z>~Nda6abh})|Wsnuw?z{>pLM@Z-lIAEdpFSZ*h$~2%B){oYj||{sCCuYDjdohE`Tb zw>@r*CmrJ)mBPQ)(ShQPA@Nw$_OI;|Ge$l5a!~3hHJ%tgcyo~TA)_U!SBTnha!+0h zl>@QpK>d9S=0Jh>`a$y2jf$Vlao9^jQk^6b93{GiD!=+|uTi0KV*wk$VC&R#m-cIW z42u#f;S*V}uZvf;_UIKE+7Ju&&}G*-XmR-SV5EHIuG8U{=>1>x`iv?VRpL#beLD@D z&(_1vzK{v@-t%lV*mBR4!Ds3Hz-He3z!t3DQ;L+>qKt9dee`+tKBmR|wZ|3`VH1O2 zD0h2})wjCx`&Vx7U=>NhEwIpg4T6JPf)=#QzvMd*F++9hSR`Aah_sC8l6lp^?LOMG zWs`69vqFnA!9$*i;g>+EYZaXAT)8D!K34@RD#zBO?5qpGqW9ALo6S3R@Rtn>$AckR z4N7?mRYezV*hjXCRJ0@K!W2kG0oM7Hm>q7@tV7HK{b;sbgxBf05hM6h)#YoIqzU_D zb=t24zLtr#m0)PN6&@!P_^aUK^|>}e=P2iBk^HcXnqrW}4SCvtZ$a_8o{-bE=KTEa z5c7N#ek!RmfPlIiZs@>Zuub*C6 z&y$Z8)&tlfZ+EOWUAa$OA5J5GN11suvx0ZV58_TkORzf+U?sO4gQXAAedr zlxie;KhFic^MpXcK0#>itG6R8n;j!$sQg;qEV5+w9CK;tU8S;Aw#C1wzm7*G5fj$i zH|gObdIfQ?GRTD#I$Uoec|nmHgqPR?!%5Zwd4c}# z2G3nc-5{WUG)baM@iJYO?{eXlwj60aq=mB$%IDBAm0|MFU}m)77@bZ?^{uX%MWxYaQd9fOyB0jl~BoNe>7ou^#K z!;Q(^2VM1cbsnLkSCRa((N=$4{b(7+$=!BbQ91taEPako)V|Uk_T@`(q%gl;> zkHjixnSK2u$T4~ixR!)-)ER&W^;yM}p`e}l5ZuTgy{QgCt`K-6qtoGdsE}+<>u8Hi zlv)_g>j~UR6R)Bw5sM-%`L-ZZn)vl4c}G5jsZFzFJ7tn9n?jn9LJ=z2`n74G^h&XwID7gNYt+yfsqRR3!A z06+IWh7T?^<2v^5UnBVp+r#L)_lF&$uHkM?3Beoh%T#oq(5z@Lo}z7^*-yiF*p=L) z+z^+J)9o9GM5SGgSv)I(2%*%HBr~>RB1uw$X-mh2ijvM+MBXN!Pg)AJXz{WqeCDpz zA$AC&nH@Lk%wPY$D&qX@^UnLnU6cE{Jw47!i-O$dkdZ$`cTeK%Cv6#Y)g^3*!d${{ zN;MpFR5bR^MtHx^1wDMI|HB}xthr!x=C_O1MO|_Geus%E9>4RuNK#BzaMTU6FB+dM zVHKKY`ezNRbOENKcW2)iqP<7?lSTv&7B~JU-&wr$@?-sq`07hpeAwn8{Su{45XNjp zG%(Qu8Q;6tq1QqEzBgN2-_?QZnEyOHfTRWux62n{WmtL_pw%Aib{^)Eg0b1qXpl;F zWa>w?enBvcH3064*6{Z4Vi6~0L%#>v)$h>$if(89O2SD}gNC+1pbpuvsR>bvjv|$B zCT43Rv7f;?YgkH$44MY5r(DI-|8Ph#>xnCK0|}jDaaty_wTYX1X5EQU_HtMAp`by? zgS(@iw>VaXwgHL*fbSsZcZF#0Z`Q+LEEd(I(;h`W{gQ}lqqlS@>h!U|Nc5ua8uf_3 zXS-MqQXiv3dMzl4e4q28gYswZtBgk@=Li4GD3+tl{G=2Y6smt7{0mxw{ke)e<`?zO zd31mBtn)x?*b>j`O}$EQl=HN<|7>m2(#HeZKGhW$Il0cCX(`>#BTfxZSKrnyLg@7d zpQi<*<%+&M(Y0SX*{)ah0cdluNZ%rNhSJZISCy`wj8Mg$K-OWOKfIb`bw{E3yTnQdwxK z{J7xNS@$cL;Lnf^%6xGW0=DsW1^V6(HZ;im!*5-dj|(+tY1KV4w!|9^sO?M|Krb@2g+9*#44%Z!#wLL#DPn|A=yE9{IpNailnpD zD`iIFQY2vFC&!%p5P|zFRPGT{BFq-iFpMO z2}hlDYhR{l_~3E|#rky;I5Y{`m*oW!+O!IbZ&v$rZRy_S+vp4+6U3hk%lJ`u_obu} zZRqP@!4cm0L2(H?BdjV>hN*p{6TmZ;xDy zZ5Y_4$Mv*0{MN`wgjItRlZ@n-i=?9GIJJhAYbXk>|1jtKSP6VA%4Rh9xnNk}r=nNU z$=|iVp)vTbxGyBX(&3}GD`(vg&US$Qyy>u#SeO6Z&Rr_%s(@>kc3_50rw$#~*7I&Z)o9c35 zk5!%?8=pOT_ehPbu(}f?=&+FNS+RE*=Uc?FShjr&5n@VWxHyiF$O(sIdIx=7WL9Qv|%*>b+-d--4g1&rqHyBhQeC+1=gx z-2DY5G`nxt3TN3NU(|v%v|TDYmQL0pF;Y@(!yywI5dFz*^k@>gA(@Ryi|)8|mRo)M zNRku#+3r~Z6{|(g*xnTyTdYOYP2^|^&Fuu%*h=6jZA}q`TBpA>%PyqI%<&7}r{aRm z9I-*i5F_~0wr8L5U!148@f<$(K4!~ZXTJy*lJYPW0KW%(f0+pRG5IC()QX?Ney#9hE^NgKG|L`whq{s)Psj~i zb+5(p0<>ulwm#|FN;^^*1#-zsj3d8#eiX#7E<1~m9bj*@z6uvnne2)m4DY$UDL*`x zc9-7xg%1gnm+ZSg!CeRI86UlW`j}h>=nh2yB3K3!#D}isLeri11{yxU1RbTAA@yiPL$AZSK#EJ^TfdM1l8%Kp1L^O$EAP z_5D59Di4%{N6*R&XEBZi5E@#J<$l~_H!tK4wh>1I&6)$*$B0T-lD-iKML4m8tRjxB)1?*O<+K1~7HNPc55tB)DB zw+t0kfX{$niI6E60RuDUjthAY2@lG(YUB^R-oV+wDaIC!6$(ifOe?$3pwzbt{vb+h zKLNx%dgUI{e9&j-)&)Q1b@%cp+noSo0)s5>dX!MVJl&(h{d)Xr!NTA=?v0^gDqX39TRl@~ZGXKZM{*cNTfI z7X1ed?~-j;*yB@Q0{1w@ok=flq=JRbF{Z{m*v61Tz%J_vl??qf*>kq>_KQHfMbz8z zXKw^vgCN_fCxq`dm~Cq)Thcl(7B{&i1r+K|KY<#)NrBg^K|EHeeNYL#W)%1gcm?v} zU!&ef#q(nuZP&E<&Q8H0B*^{!>>16LA|%%#n_KX+v(|bQ^dANj^;~BkS`-FR4n)>S zio4O?|D?NVaiO5h`yFF2(EfC89ap^*gK{l0AzY5}S!{hO?DDSH|JMKRlly1|#0-C!p(WHrv z`}GeAD+WmN$8UTFz9Mcr6nD?IO<1mis(J&r(f<@ zLA+yZ9LBeSQk%DM-U3k83l*p5gGW7YGmfL$81N%{fre#T^Nw+HJW)MEK2XD0K87zW z3NmOkw|N0~uu&tjROYC${seeBTA@pht2cHnoo+%u0+~~SLj5V<)w^8;rq#IbgM@7F zpsJPwWXs}1Lz$-M&i2g*L0II!KmP_n@~7eCi{0ohLb&!RCMf|tVcn>FRI{ZMYAbxf zZKCM%D0N3~d)ErwBJ$r4ymMSee&T480G(J{*d4JL1Mrg}U|uWCUcU zAnR3jvGl+*o2X31MKq}R@@qADm`*xL;;)1VLNEltQaCU78buO2apNK;b1-rl>d3ps z{-HeuF&%is;o4rEmmc)7?Y}flFnw_?oi|Qx7gC`OLc6tff+msEnO_breDPG@wCD^D zDw35!xSt?q`gP*H>Bhazdzk-5ls=Xg0A^G_p>oTUV}wGQc4#o!PJk+da{2&B*=eo4 zAdxA8za}mF+Pnvh)wz{gaL3deY|{>N)?pKXJ2_8x-f}$zN|Xu*U{szCWR{_m8#(RC ztOaX+c#IqM%i)_9+xb_C>(geLUx*i0$%=?f9k(V{;cgc;q+2L7* ziH=da?BiFqQwf1S5*J0!s5ECsG#&hj61({y``zxSinl3e6pF@r{-;>wWXT-O(d(Xn z5+HzFK51(jCkVv1-K3y^_!H(Cs||st!H13yA}|UqZ9)MBqmI**9-0r2(YBOC@tUKf zp4u2>MYI-5&vU#1^OX0WyE=SKCTndFLRd(o|Gsn)m7*XfEbCr(K{}tCp9<67*uQrM z;td%bni}lW!NL_^D>}WL$sAL@&0Ui(p=Gw864d8Ks0I3wh03GW0~M@m60kzv$D4&#cra0o41U>v?D3278c*nI38B3$Q!x(e#~b{9DBJ2o9Ck=DxGy^ImyB4 z&m}fm(yG-V#sOrZ5_B1Puu~tbVpphsIItI{iZC*D8i`xZp5lFZ7ZjV_lF_r|teW>d z=y2nP4{a%E?f98>LRMnHUX|3Qw)&2(2QvzfW%%qfKMCyH4xUKE zH4yP(h+h()eRJ)*%S>RD!oO_z=OR;yM{05bOwhHR9Gm z3v9U1qaF4N*DgREWD2e_IQvM_Hs+YkiFLD05@!GF2+Hjzgo#!59)Y<0TPoqiB`5c{G0VE+BQW1+mujQul80`xT*#6GtCb$ z+D_At$x501GthI(dFup8BH}OB8Dam0hEE(Iqo+FHPbT#iO6UMJb=|4mz&vq;vFOH zl~!ku)D{+Xm0}lr*h(gQtCxZxiPwuVl-$fi|H1nQAAc$m3PCe)$CL)jbH{3O zzKUr4YWrDuZWWYO5S?q3OrevrKPJoOgPvJgo|AaLj?W8nn@6EHd0VCNb7pKA}*5H?JTmWlh zyFU&XNIzMEeSSYOA)#$t`NGVxAWwt5WIF`-j9&-VVvR@f|g zmxugpsWSCBeLYv+xggdye@O4Zv4$f+(5wLWjdtd8K~VnyTKF0-pvVg=GALm1R|}E6 z&Zg*^f5U=2$}T*Kd`lPihY!k2Y@Ew=X5-ea**`xNNxSChUTWXU+PZfR!1P2P6)GE^ ze<>XZWWr!wmR*56F~UPnD#GgJ5fcsa9LI9tS0ZU%Rw-%JIQzxHH^H9yT@wKjsFZ%i zQwl4#BmoTd_Wf0kFiwFXJ-RwCoKh_)N_jF|s1pT2h`(ZV!&psAxSnXw4-uFE6=zJ~ zM^BKZUykx>4jX}Th{eqOTw*q@^tHk#q0ZCekl9o7*B0!mpYmcJkMahd*DmNq%jc9H z3Qn2?rQ7-2;*IlU1xzK4T(sbR`F$SgKbe5rq($u@_tywDofCbvuSRc_&WxiFK=cUh z68TXUcTs#=SExmgU@)CyykVI@c8}rrttTkX`s)b0w(dmBJH2>CjF_F+4-Dk@KAphx zFwp{cmf3d@`)z)v zXEqCnu}{AWbBs`!aX{0y^D8Ospj{_Cfqfh@E0F%Y#|dTrvD<{9NosNu6>D?Xi;uE2 z<0M5i=Xgv$L~ShE<*SP&<>&gWyS=+1@WI*K=gG9=k^!e4`a zZ*cD<;U<%9BFLeY6#Ia)ytrFUX0hGQmLw!F_t5^BS`o8%fR^QnEZO~D7 zE)QAmA#A`p~nkII^E+Mk$BwVh_5GASknB;P|`s%GFm)m4SKLiR-Bqc4HnmNM`+tR{H& zmB_*pNyjCbJHPRzO4cZ>1-X}r_9`HC!?X`4bf1UqPmKLR$5igx~e?z zx4RhGy8Aqf|Dl^B1q@j(nkK2gEqo_(WNO^ItF+6BJ=Ly5a=F}VMvjfJ4Up@Ofiu53 zUMp4M2SQF(?a8vUt3(SKII_iTK0oCg{@3IeuE(1gqN-D%3{vDOf51hnu9LOu{8#sL zm%eiMMe68{Yip0)YWcu%eY;cr`<1wvn0VXN9*kqKQ|eXx@*t7SQ>0Sx-Xk)Nl_)JA z0SHq_geX>n>y~`YONW9*a`iOXDE>OC2k8i3z}L2Shi84p#Dk!|#RDsp7K-`EHoxtN z4$mu#N0-n1c9}CYDOz@!`+7vvbNm;@x}bD;igzS)K#alL828Do4tHn=r^Iak?FoH% z-I%}IG6(nU`GEb`*H#5UX?aihCg;pH$RV*S5p|;1>~iUW9)3qjus|XFas;ZGgX2KO z9*Nu*iv8!?NMnVc1O%Vgp)e4?=tZwWx*15+e?2bl{reDSy~Ou*BHCZBAY`4EPU}hI zPb}giErGBEqNRI+mgSyPf~KVdNu%uD1IN?+&1Q&W!r^$Uyi`YUfV&A z37jKt-?tM>%CsbtV^cAwlXppfE&WJ;THMH9mvs)<>T;@FJ}4AY9F6K`YIR`9;LOpx z>YK5Mv^`Fyn_`M7*Y=>0ggX5C&q622kpnhrj5uW}8J7>SssNw;7=vd{7VxbXyLD#q zew6V?^rem}=@XZ^x~BpYZy(3>QCs|<5S;7sK)F?gwsCmzGo0v{d8$O<8c0k#16_q& zbxy8_uVh>+i$hV?>Bj>O-@fP|{gaJrI8MV`(U_WdFe!Cb9VcGZ-_+jFa$6=@`j%^n zmp~dZS$G#IBS=1Kb)OMRaxK=0W4ZUFMJPELNaEb$L}mc)l+-KSd@kn8#!OCV=FGD= z{9aBrzftMFv_ZbTYjm^fb|ir_KDDc*8F^?>OP3y$O3}DNnv(Mm_=W{9-S+gzTl4#gpc5@PV5J0;M>1!iLC_7i~3QhO}AIq zES;vkDXVP8_DlEUAD^`E4krolnIJgEc$M`(V9s~2CZaNY*fSs0izca#YsuArf4PVb+ZRsu^c=6U^RB;0)~^_vLN*hFn;=g? z<-4c6PA9T^Hy@vPIQ5Ug+V^3^JNW;Yx4qB|KQ6rB4?!2q**;phf(QoO@rPLPz$D*Op7_rSURg`0tp-D1iPv^aYJGSZP= zzvRq2v*|_Fbxt9E2#u9TvvW^}i3ki9j%AceBs-$h`FlBN&Xw9wl>^aTkZjk(Ih17Q z$x9#&>jJQ}LHzY)YojW#KD1b*7<(6ipQjfbN@2ghZP$1vD(z6{g+4bG;9}dk=XyQA zPE-5yKR*82>NzR>t-Gvqu0m+^=2x2X`_;hn^-E0!*#LNo7rd)*vP(N>AQs6*YfK_*PxmnV zjRoiYo=Va?tp-%Kev=NvkoEMtp-{q0V>^j#C;t5fE5f^!x;&ZEiLFa9BaSeYm*&3Qu!7YJL~+znFmuM1d9N5Rw7>6?W`3~fhC%|Nrvo4ekp3V-{L{ha~Jt< zE<*DEWcQ@Tq@H>%e?r`uQr4c{JejufQcV18@=c5$shayKTZs8$n;Iqjp>xw?y)cg3 z%qV_a@!dr~8(5L%>pnob(s`SUY%Dtd9u<(8S|hIkJC>Ud&Sw+lZW&Pq;A z)VzYvy!ka^`$c%@W8;8 zshJ1x#8x=n^$Kp&@n3`kU4L9UE-8;%idxMi;@lsEYQJOZRm2nOLK3nX_p{Q z8jLQ{0{@XB{bky(&hFRE4Os2NZuFN&s24PNnHuj8$EX$2+gr9B01vR(KDdX9zo~d= zj+)Bh4m>azapTh`jcuzVnvtR9DKbSRf99DFRsWRvLE3pf5G&3e8I`f6alZ8Q1FeWY zt=GgzinQpzrbF3FA1e4p`g2jIaBQj;!=20eI&NCr)@Q(|g_>rEHvx7T;d>OIWV|D0 z54uuIjeQjjxnU|BZ$->LIhJiE-K=#mZ{)?Rz9h6;!G17X4db zCur4M&eOfkiq0JT3I)b9I-zd)y@k8&Yzh(NCD)#Kr0T!R4_0mn9#P`e(vn&(Qm{hN zD9Ckzv-E3;N82Lh1DOafaS{VKaDpBZpiU>!Yv_ygSRafc^`?|ObNeHx^CK9N0_ptF zpZF05VZsqaWDSbRhbCfbuuOgdqF{{>Q&@y3S|i33#}OrJB$-muM0|}5lOiiel&>K$ z6%>g|t;(I8!h$y5b;w6G3`-rNLDa0#ViL89IyJgXJ$<4<%|51~5z)BDglTF zT3QjUYiyXdc0~Ic2d1MF(YeNjx!;v|pynX+&|#um%@L-%2hp>}i+R+W=u_j%^gBlM zuL)ot4GQmO3hW~wd=$i zH8+{JZWHg+lraChOT1TepIKT)d{9%)d{{wzRP&hm+ejXu?)_h@(jT0woSj@>O;&janb9Rn6U-Ol@@QwJr<_D9_AuiT%nM=#Wm71T- z)nCN58p?0x`X3^%W`nu;m$+54&D_}~?$rR>DhbfLk}-GXs#N*faT@$(_&{164ywLV z_B_kv>p%D9g5+n%s_SwP>0c}ozt4$5zNo+%@4oogAH<{g9~W%37mqm7>}=O9Ucg_u zNtem&#u+BTDr;c()_8FN;WX%eut!QJ7YFyIJP5D+>8X@=TQ1_xST3!s>P3ssUJ`^` z=k@VM#|1w>u@doMk%M2r@Qu4|yqtyQ3%) zF;qI=PylJKi;R|xR7Z$p?p^UfPcF(V723nz9vXwnH7Hv^;Q>O@kI;B}zJ|ypqh4I~ z=;p1Vj$vM6t43vmW`Rp=#aL1e=;R^iti2E+$Wzly%5%P${Q}hBYCRsZAa!qJ*Q|$p zB(q8bB5_o+eijOM8t8$ef~LlVDA;*04JN++M5uuOGvE?f_P%BI6UQSK_??IjSCOtQ z5wfG0ttQ;(a_Tc3WC$!-EhlA|dPmUJ-)s;{`g(W27<}a}_qIna_wo3=?UVgeKjf~w z!R58r+D6;U`!}P5g72J7l^6*;ChDgC{obJBCBJN>=F!#G+vzU{zfVHSCx2;f5%;rB2b*dI81OnV7-3!VYicSBu=o zZ(l+DFV*0RS;k6QSQB}z!C4PIx4LH!T$BIQC`LN=n4i;Qh;%@>GUd-MeqJdzgx76m z;EzOs_*Sb}RiI|pcoDwC3kVfz@B-eRQ2lQHW_FOkT$e zW?S7JOg}zl34U)|@A*ymA7oO z%cE->(`^PV3GuOpaPA;L-4adwzohX{hpI?yr({3x6VwSP+e1D~Spxu6vR zT*d+SDpxUn%-%>YZ2!@(LB~GM@=EUHT8eK?rBywpw3#UNK<>g4#I>54bp?q}x=Nhg zcDD51=MU!^^_1WG5;A}hZVB2dfKc`g8E=>v!v(+5@`g=n^6pQ?gZyNu`M2d9JiA9} z7E)Cw+Jn~_w*O?|38ZK>Si=2H{AQkvt+rimTXTMgYOd_bo8P)#6&)G-XWjOJ{JRbB ziYa}gFeSxMNjE%Vrh!>=)g}^u^^KV;`Ct_yEkS{fp#q+xtpUiPIo6j*ai z{seYY$fFkd5;atM%?4T%;sms=Y@jD5e`^DmelBzZz`5)XJhsuxBI1cGb9*=HLq+F( zV|yMlf()&Kiz9k%@LN>AsC5Jg5+})y??-RA%htyW;3fpxR#RoW$cQm4B%3-i5?#9; z(C5iN=N^2MU6GU1{F=-dOQC2%mj2so#e_>u_BX=DB70uN3)fxLo_zn)s6tP9C^3nGDLu5h@enWn}a%u2adGQ}f3HMX8Hgsblq4#HX z&ZF;KTo|CEcrRokPvNEZSet84_QQG~q_cNl6xkOw>(0EehuM$M`h1{(55iP*I!Esa$9*I(^_j$N8)s`mj`c$^Y{cf#??--Y%uR0u4WF47_y+d}rZTt#PL~T+-C*N; zOf*vftj4>Ic;HPxaC1)cKY3BsQ7mRGBlZI!O>@509VW97E1Isf(a@txmUm}Fycj19 z0)K!PsQKmTHl2X?TuN)W97??%xcFSJWuBLDO%Kky`%U7k0EoIO`0yf6%2{{ad8vTm zv*b^M7-B$%3uB_1r&%#E@RI2VD)aIfm~HYV7;`-GT(xnq4!_g~iK47;reQAFVLtErL|u7BRM9Rc4ntY#dW zX9i?&5zAaQCibE>K&|gVPbq|%VprQ>x!W3)^3C~b7|8ic^8IHnPJpve>;R0UfER!@ zSP;@sXDL{f6wncGM6Cuq{dOAs>C1P%WAGr`%&ySVXI+1u67HT!2a-I%r!E!@4wD_W z6b})+t3IIjMV}Vf(l8D>Qhq5>46NcJOfc3tixu#yo8Nc}^R_@*k;GOPf?F!MB)!_9sk)MaxNW_X6oie*uOfz}E8n91ZQR6?y z6LPd?PU_5%G?T+~dz`WDy)nt$G2jVrDJVO>;h!O!8lmL7^w{G5yYw~`r@f_0pd42c zL%IAe5y3z5B;>A)(0Iuc_{$5&mk>1MMf-Q17LS+GJzhVG>~&2p34Mw@6vy`dm%FIy zD<>Po)XYxk%S9vShJPJ@R(AJT6yiMHH~P8wbeIrUadNQ^6k@zo1}c6$ z{#epjW>aNw454PQ_#_cf>*==d{z4WlxkP#Vjo-ZR!OV|O4X_`|3JZDCz+-xy&XSN_ z$8MrG-droubkfa3=Yp7<5E#R-F+diakJkOC!y z$EZH*<@@S@M;E`T?8a{yZmJ6q{G8Xp(PEdfS$U82uOGU1@14wN>B@Ij``<xv- z^_0>yo5TUA85Z(i8T~k-WmIc-#hLeuXV#Gpc;;#th`%r} z0rpWA(HYm7S!r@oe{vymg)ao}<5s_>al0|iQEPbwCNMY^m1f+KtR+v|?6@rozVnE8 zKeb9Po>=8!lBCBB!>*20gsQel(kK#qguEL-1)=D499eY*!5RAnnecl)b;~N-KY!EC zUJ032RMBZ0*kQH~)+Uk5tHb!8c-1H9326yBRQOqTEx9Fq{!6`8(-I|ca!INMEbqIX zh~Isv=bK0~F+{2Nczt__GfK2f8<+1#8M%$(J4q{fVgoqMTDPcDXTb-llp4E@lKX+O zw8YU3tss8Xy{p*|Uoa?!nS0PADW4%=@T(5+STcmi*#Kv_&at7GRv}0$4Ail2$>Z>{ z$vzG&^;p{VU}>vZ?NsHKSlI22?X$9wn8Vdj4Rw|y7}`La5X=>SU8|;Xz#xZrsl#4 z5BmTlB18?3&&C7gh;j@u)4@J>%}Ai|02|YZ=Q}>DW#SV2=Rtz_p*xZ@2TeFle*>|O3cD)fdK(^FGuJ5(_Meq7GuWq>?iKpL zz>1>HY1?gu#^f#Unx8@Q->GP|CZWPUib?7x4yJE2cMpVvDSoHVgyT;;T{g&A%5gG| z^Z9=HVcBXT-+=S%`=rmcDmqW^i6cYjf0RAv#1;Nipcl8gIW%Ns%h z;S!g1i~H-pqXsThbj$mQCGZcwc+SIAPwYz2!hsF|D2x!$TBn8&Q#^qhZ}uw8?x)bD z>%m31OV4XuwX5~`QcmgWYB&;Q6U9@4rSJuX=kkXf@1Gizw2FC~q+*qBoFa5;{VJkO z@JDG8Wc{pP1xVk0a6dTWWt_ZE_#!f<$G5Z89*IOn;X)~3C5z`(rMP<8HbK)AL} z9>gr_^b$q9+d3QHf(^+yy$zX}$xws(Dai$tGM3v#U!foNMme1JzdIUurepS<|3lP` zYUmjyVdeOkl)v|JnAvd7LAdnpP|HoS_MUtDIy~mK%C2HnOKDEiu8XN;(SWG-&h|7( z7yXKl7eLXrT9vX*Ko;t8WsE;T3+zFGu$_OP9mVUoSQ>Qf-Nr;6vBPy6>iH1XU1$&QG$+6hC4@( z6fvbagI2KzJ=l=g1^p(WUuqk_JarvGeoYX0Kx@dN{YpHv>WVO>8g1U5Gs}vHL3zJ^ z!S(boS88AAD1$a6i~-63Ss&9`@TNZb;P^mH@H7&OaTpZ~l=^~?AP{d=E8=L_>Yw1u520nvk` z`7G5F-&_w3mky-YJ4}{130CIar2$+=c5s$zh!mS| zk==9`t70FDWrO!+D+M27TX;rLx&sFEgj3cjT2fT4z7HX5r&2_GPCQ<&PCCg`%|n`N zs#BQDbi$V-Z$6Kxz)^$hN8lJXJ~?IyU#;m$QBfcnHNqSM;LBl4Ioy z*yeQpIFJ~dz~1`B8KdqoSb<>ii1@wm=^f6>cJbl^IARE~^G@$e z&?0IjVdqTfu>FfVe295s5Mu`1+{R#fhc!o!UV5K)?_D1yg>gC%(sA-vcl(>x&?$jm z+oobd6PUIgM-TV6f76u!HB*SBm1+5%YLA9#f~_h|3s*wA@^I&Z^W^Xt61(@7eV(l$ zIF(~$J3O(YV`p}NtyFQ`Q|So~_4t1corOcwZ5M{ugN+z5I!1R&NJ*E0bWOS&VFOfP zz^G9lilUexqKKlPG$LIh5(-jE$O{`WCL#^L&%fe4&$+MrI>62QOSJuCpBYh@B(EKV z;<=`@2CI8ZcW{ef2vz53`{dg2NR!eprXeg!=Qs^)+4a?6Hos?K+sYoS!kmxt`^Jd^ zEk`S3&Qx^3kK&jauQ_rb(7FE0B!8BsSkUY_A*)?VrS81wHTDy2YbYt|tbT!I`HhM~ zUf#_rL0=@KX&uk)C^;%$7%EZPKQH+6;V;CP(w~L#r9)3A28?+&fcb&@6_WVcDpS-{ z1VD?7grtIoH9>O~tx7Iv-)`?%^<9=d8=xcBfA_%|1Hs)`wr#f!!L{&ssOu9~rpSmR7D;!az>^1gsruK72GbkjcZ(nSJGb&`c zKmMD)Fi^^1v{_7Ms^Y)ZIrLI+wr6#A<}oi)JWC>-fipV~{Ff?Z$J%Dz#Iu{>DFPv& zDSi$A`V4!=>q8p2265rEZ|+7d;rfwi#{?S!Klw|tv%WRKo|SYRAlT8`K>A9=r@xRV zPp3IMcSi0S-7mwjd|gU!6(>t@}R$e;DZ zA!xs4iFOFRxU1&gHf8MecGb-St^Sezjs;>WbEA;Hms12~iie-w@b3D;n7$meA#O^Q zgHyW1GuPt6olS;Ul+BKPkL%mlP@>4Z#W+MT{aVnWQ)y4B<>_nzxQayF5bHEj9-( zKy!2}nRLG=bF+r!eQ$@+PEOa)9+ClEk`PHEfQ|Z;zs|A7@U|xoEz*v(T>rZ6^kdzl zMk#K=7Fg4PWDLb87>pv9l31JPTlQ$+DX#{LbVkKp&sV_0ZA*qIz=UPHaGM1_yCK)p z4~F1Q`e|o?{I<&!i`@+JfJCpfgb+9KyyihD+rsX^AXp`V1_6wg5ZhLGr{Q!`(GtX1 zgDqcdX#hVQZ5zjP40=TdcO?}qiIbJnmXOrtfTBYG0Et4IjqLzNZ`{Is@YaIcuWcQl zXI_chH2zaupAb5aUvOEPX@HBmJb$Z@Z4A@nAGztLO*db+_jqN1G8y3A7E7ewy(RNr zrv^AONWE3SZ3*<$Ykb~TDkE{9e});Bak_;wkO#;$zOd~tLPEUe_rzUCbiu_>_k{x7 zzomtrDG@qAvmw%ZL(?B7knYTokZVl`yK5S2nzQZOKg9-jP0LpxZ+ zjvcg~j#NV0**2AaIkD4HKA+5#LJB~D2yEPX?|l4_pVHT0*mB1ylDY->=dC`|u5R1T zM6g90nGxA#Ub$SbN~fCa@pC6TZl=iHbo8OD#olDr16S@#hFLPZ;dx(O{1LK-_4JXh zuUgjKT}j%3wqLh0FykV<o*FiYR1Ss{UXeKbXj`R) z&lcGV1o!%3;YgCS@!9|6j~N#2c3NkkDSh3%9S*zi3-xiur74_}?7PesRE7`GE@=Fr ztCcGRbCP;UD>_ZZ54ya28v_Ex7@B&v?Z4^rM;8knoGRW}{vy}m%B)M12&+4#5a*X? zxM2Sk$DE2P!D;;?r|0tZH^uE7NTC^e;cri(+*5v$Fr*76vp3;@$4e*<7xFUiN?Gmu#2<#<)y{FcezAD;3$6jY7H zsR-iv-?VLmDf=Ir`+K~w8fFa3d`>WQTbfYaq1v#gz!>GhO!bE|zq}dB7-i8ezBIJH z=}fC2r93GlDq#4<`fB1ze=PI78At34zus1?`o?g!XdH~&+ele&ljpD0d9L&9?9&F? zR}n5|)WUUmZr#-cL>IVx;ePYRHCht4jOIX?YIS^BA3S!atS5H6tP9SS;<0(7hw0*V z#_~CXbt(qRT2l`n%KV)>?SDZA#n6MDa;X@Xp8qXED0&;-t|4xuW_&c@IPa+ax_8_dx!4M!7&FTuNDi)e?W!L)mp`OM$IT5k8Z8LW2HK zCm?Dy;u@QvF#LlG2sVO^z5OhkhcciQs{zAn3}xF2UwL}7S_@j6=AERB9dDRfw|OAR zlv1{;mpC#dF$R{;mY=~l2itckU7l^uw6_Xp1~*fm1e|MuaHUN%YZ$fHFi|7G%?;@+ zGI$J6bp~^>Ff4=|zy-SCxQ8c&LeNUht+{ubbBv-U2qsMc3np*2g@rQ@n9M!a`$=Td z0}kV1di$~Rd5t_=6zNSi&H~=XrD}OdV>u+Za~BXXguK-IAA&8iK&&LU{o_(%$&pU5*yaIB8%9HZO8MWX-d zz}W2A^w`YhS+6xncMLyemXi1GRF6*MO9F*LnF|J%c~a!A8G#W!;5zPnRxhaihMA`Q~e1uwMBM zTZc{8-iJNa#>;?p?VYB(6Mrj=uQ#}T{qGoQe;z%qLb^D;w?4b~sk~i~;3qsfSxPJBpAK3~H^TI7-th zTM94`?e@IUo`PwIvQTh$ZcvZIyD-6yu&112FTtH~kj1fZS!)o52Ws4A7|U#{J^ygp z^>iIVQs@|pMgB}GoG$D|8~a=Sd$ZtdPNGp$!lAfD7u&YV)a3mpYhn;s;O^hlPID3A zNmk>9-^^r6WtgQ~P7a4*AZ3&?m3(YA0ig5axJ8FLh>9Xre{>f)Tt!o;&|>)u-%{N; zg3%HZCl)#D^lFsLD+MDbnHOvghD1rWeb)QB@Nr}{A5 z<{7HDo;WsvaJVh?cvj?0*wjRwAIY*lG!}v{$}o5KT-*~Cd~^7ZC^z+@2AvnZ`%E7+ zML=7#Qzi?Z{wx5mVRBqNWss`76^T7m$u=v~bsDBalC}AUlXq|c^=$L)RY%3+eC78% z$SU47i<9VpDgOVk&&4q-Ki5lH$SmfE|0|ezZ6L&zjadlHZ6V~sqwn5%L*nv;sFZad zWYw~wPzY9Hxr|?3D(QoK3X#w9n-TFT>x>oJx#DdP46z{~dhw6+k3F~&uaR}Y5gJKE z$_f!?v{364;?#lB54Iq|ScIboC43ZcAI{Cb@HOF(4?TFz^679ASDVuL)0w>~@(gIG z6G;%^&Z2Gzg9_0cNB<+SgIM61>Jtj#Nf$1>HvO$P6VJ?*sB~d-@6SyLCHEI;Okr?H z@6&jUz&4;a70;s3om)SJc`A%BjYrNFWsyHkU3FH7g3_V0M_hw39Om-Pf8${Zaa;S^ zj+YWraR#NcWtPdG{>Ct%YwRq}*VqAQH`iF~@AVJ!Nb`2#Pr0PNGvgLMn?oS0G8YY} zm+;sd5%+Za)K;K4sYp(_aPRL9_MyEzqiyqi~S+KmwO4vB_d zL(>V9I%u0761!*FEM;;bnY@Kr-~7Ruz&h?XuG$g7z16xz9`|M+1?|T|>ryPID!X5O zVP^%s8$$cDadT&`jIi|~c;x#bLAYW}^B6xG$Z&jeB6+HWI+8W3fR*Yy3v;mGnItq* zor`wJn~AK=*MWQzl)QSXGjH}10e3W2!h3RB&`G0t>#sGsTvh?tLe6=1p1%2hjToa$?y+#)d5`HS4(YJao_^yG0TqZB{M^UqmX4j_m;}El>h>evQ%<4>Wf4xDkjEWEt)o-YA4k1lgcE7Kkjw)m5~&`O4aFj@ks6-q03+?1n?@b2z*{T-S6IIPt)0=E=&bW}@j zDL$)v$8c`vJwCaCA}v?$ z5i2G?xg;5zaBlxSnA|{!Ry6kr;n+{kK_(`gJ7I5MZotqWuAu7=*TR5;mX<7O;)-*| zCF~;u{rBAG=D*2-akIYM?gn!Oa_ebTRCZ5S?MPRWJ6`!4laDWH7K!xZ^i-TXGtN;u zXN!wC8|>6j7|N%9Wp;r{>jpnE&IQJ$dybM8R;JIjNp*;janYy?_jA(`aL8U1ZHkVg zym^(ciTt!r))v;&yMTym5Y-_ON`Af9iLOUt8kZ+uI?-AuX!Q`k8oug;vuGyI569Y| z$ae7jIfqZvJpKVTPnei;Pn{5Y&XGjXQPgB+4`+k0m78l2Uu94AN*El4m*~y^$V%6P z$LchMi|K0F;bxi6iv7ab28S{$xHIWOKObN|$Awcg>qH_id)m&*U`R|+Mm!dxvv78e z2xr;%)h5TXz-6}Hcpp7v>cR3&mqSJWyhpWx0`e zzf$=QJ`)-GhxcZFASLlkXK;ExJ0!kK4N5gRR*;3h{Jvr=|5VJdjECKxHoJW?X++58 zH`B~RfwYB|c027Af3vA|h$WWZv2!+}0jA(*U5^*Fq%1)!LS!O!$-RqIU zviXri3kbv>?@L++p{@#`8u(-8*-WE|;S2t_NYqqb6q6uGDi**6M#bRbV?r2&kjNko zm1?|)L8Fu??rLo`q6NpU)Q3h5=m60^;Q<6fL%_L^S){Jz16;g6NQq-w9S7o-rORKJ z)2s29gBFH_t2vk>V~?%hX!d{NRZ1%=3;_hRJg6p|CIfL!~9rq zXa)b8WH!-Qf=^5rA=w6jwfe~G=R*j<*fW$-+)@&V|M4%_HYq;Gt|b(@dS}97f?Qcf z*6I}XJg7#Fb*uB7ve~RB_Lyub`0s3spH1$!C5jLElL}w(T3&V^V!iXmtZo%)Ay_3{ zH%*EH@hf_V2$bxfNpKydxp$|c@D}t~JL_4`tz^OBL zs?MGqE9YhvQ@~0ITWwq-#O({>?2MKk5_BurS`(>w``Dq=+(@qrM}!$DC&kcL{04Da zJv*z01_KFBwcnp06yn+4R=~q5JV9IkpdGGwdwLTW=;9>xQ|3MlvDM@?y;bw8WwQ%P zXKsi3)o{co(`!idmI@mx?73zyV$swmJj@J zsm|UleXW?cavhd8;A?y6&Qj-G4kL8_80X6D479b96Q(36C6*z?C%g7{r=eO36|X25 zl{X_?g2eiZXWwC-lL3zwkQ~wxwr0_0;4j8+qTC|5b~@U4)oWqg$IsWkQXT5%H;@bt zcTmP`tc%@Yuc2 z3V`qRdfV(_<^c1s52K+njq)&%&u9u12){lG_8tEGi8nE4*86x_khC#;C3xjRZ*Rek z3|h&k!)dx#$pgOOnrQ;9uhiDdNwO^!3?!t54aU zK(QUI3lp^kyRz!`0?${a6jy_>Y>3l2XFc|icTS>s&Dwe>^m{A#jc$Fm_`UH+dlnWw zj+{UeGi%EX8Cr9lv?0GN_~0Nn7`xn2D?iXQ$VI33WKYpoMT7t*!a>cMmX2m+d_832?G2C|+P}fo|sAmp)hs*UJA9`~B#k0|v?l2M_H_2I7GP^UU5U>^4&&^~O2s z9TyF*a`EB&S(lkK^5^ZddukvTug*U1eHOA127O^0ZjU9^#D)r>Bl)+}V&imTeKT(u z#kZE!LQcLe33h$mhwly}*r03QS}~m6m!OYt@{Z|ZcYnb4mMlaUp%zZ|j*HG40kdF* za8)brXakUWt@g-L*;+h&xoiVJAICCTOruwrd|iRA5_3$Jgk0xtLp_ZY2DlzzRvNO` zcW3gTIMSSKHxz%ZFM^dl+^*E()85Ao;6Lp(x=Bw?Ca3I4!5WY=^^|!&i=NY6Rd21- z%2LCQYW!1$9oXN2exw^$&G6WdmrcNf$JI?4b}^55#ZiyPZj|n`Dxif{ep9}*!Z2wf ztY=E&6$65on2A5J^7TMD*Zu08?(@vAW{$otkZKKzEXcNQln33XtVr_k}` zz*>$H4h5Ur<{FYK0?haHwNxxNCX}nhDU#Qrw0jwe1#6FH;x=G7Mp-_2#@GBFIl3WO zdoI&`Db6V+%#WZRkt~t&2OZaS=WIlrf=2lq%^cF%=(_+VoU@S)V19kma*Uphj3K6y zfCfAnw~5I`oJd2pdpx&WS>}vVcVCh7reWf5$~j`!u&FBI!N6(QJ4on$LkDbwO$v~* zu1PZ3B02{|-1~i(76g#X9r0)gRD4+BWjpo`ev0M?j- zNl(m2pZC!=JAv6PKrAe9UvzAp*`{fI@0V(@$yUeq{)^#QOxDS690JP!K^|8A%p&VKxG8O;`g2|l+H9=1!X4Pj%Wb&${#Q<%%DSEjs$k|D<|FhbaQcQ=3K0ILKI|FzgMO-{{G`b+ko{ek( zGL?5v3-+;H@BIbj}^@qa;0t zqpbe-+Qw0E6q#Ykm;uw0Fo(-)&%YU!JZC1Glt2gULd7WseDl@>Pg-_uL_`2@SKk4Z zrR$n@u7bpMQW*oFMsgUnpmx@H%;^)bv%2EgN~)D4d~-s=R^zRZ{zs+gC#iAZR`sZbjo(C$s<3v=%NoliRVG4XG894 z|1@$LFIo__N&M21F)|P=d?C`}FLbp$xFXfOh6@DNiaxfZ{4%?s&=SSAJ7xOv-p^oA zSFM*yqm&X;teWDpKrIg_#a~GtpA2~OD!XapJ@6^H5oo_0CV!7wON7B_^TP@Sbb3_j#)9BVSsoT%>wUuUDKP$)`X{kr=~rEQrx4PGKa}=jjlMs z3Iq<)f(TpUof4`wQ3p=Sq1WHJdjETT6+bNdB&HrT02LwuAG89!!i~# zko&q4!eX>&62G%jGQIf*OZN6+;2)-@QvCh3p;ot)&Pk?Axw0<&#)WpiTiKq||~rd>VXzGTS`SDcmp)lE_9Gg=A7I&XrN) zX_t)18_-2QCRjk=&P+$vryu;iQMv7QCT1-19OyYxGYSv-9~YVy_h#QV?y^XH>Em;U zKUMVO3cJH+U7V@o9a*;Mkbs&(Dqaq=7{1>2QYAMa%|ZGN;gW5ViBTsa=LYrMiAkCJ zS9Fb!T-|FaIe%^`13oq-t$>F^BDNiiXP$JhhTdxruG3y{^4OR%PLNcV1S2Pu@@&!R zY^X~l&7RDpeo2dQCOFp@uMs;l)QV-(bwVrk@9q7`7 z@(D;R>1uFc>aE&?QIBBeSIxtdJrM^;7s{maZx?OdTmoNzIsjHVm%;)18lhz$*c{vIyQz?MWb57YJ)m@k~wypT4>p$o_IUn z2DyOIMMEV=#5WUL`SVwhLX;oBeG3nrkVZkQcHx8~zf%B7`+Ry#Ao`>U!|e!96kwNJ z>;j)4I3uR$MQZmePBX}ecOInl_Q-;Qt|}w<=#~LPGurtR)3ZL~t+&&XGp@&SbNXtN zXCl|0bCgGp!|%P~dwJo#y(ORav!>sdYc22lq6Wijl^%D+#c&}5qF{_zZze9&N5z5L zMlwHqa0CW+<6iue*5h8!7uz@Ai*W{{W^8%*Mp&)bS=c#Q8Mlt}3>8yI*Zx76Ti)(W zC{W5&h>sC!SN529p!>5#j;r&M9k0b7O_Y?#Gf{q+(9Hl|iEn+Lk9+wzYJq_Ju_;rF zGAXx9FnZd^gsHqSd9CKp$4UyHDFCO=8nAI)HRfNABAD~g?`88+Eo4tl)rFA`YRZ!xrzCN4ga>sVU@M$ zw721RzQXBKhJb_Dnb!+!#buTwJ+Y!}f)FR>yvwA4&;U0JVysPjnR?>cT*&&b zTqIX-fe-N{%3(+y29EZsXWi{jUe$QBA@rYeR_~_$hR!Uk$r1Z^@$n)fw!~`i3~UDi z2{QBWRvS0AoYI5nyjSN{?n3G zRpm`g^=SR&Tc{3;e#3!J(i~=B890x)0SzlIkLg#wq!4d2yz8}%JHA?5U7E=5A93%= zKatR5_RHx2-yKYijK>|X0YjZe4^U9Z;3JPNz2~)8=kh&tN;y`aMHcE~Kw@(0yRp0E z$V2LukGxrclyr&~;KL~`{27$3bV<5NwAJTDG?R3?#Qsa4!ooLOjJ0>eDkfs_6g8`z zi}8h{q)?$QxfyOAbjA37;KrCU9k<)dwqQdKyrUBQ$R=g%2b2ZH_0Q#l^AYh7wDl2c z;DvIXVO3qVAf64o!XJuhu!cf6_MwtK*N;t3u+ft4q`(T#W`BwGrUW^$=XnP%FD_i}+VU=bNRwZ7Cge9eJ z@WDjB=&|G%cP<~v&#v=;f=s3s;seD#=})}?g_hlx7Y;39XAgyU)Nb<6v4JGS(3M`s zij^xLtswONJnQdq!NajjsL*iS-NFEw}1-lO$9O@oT0#XEG(^w=9P%kd{Ufq?ti|b&>gT> z#`ft)5Ez>I^9W|{VC|DbR<-4Px6dGG7mHfnv~=(zc%aTPe)y6SGpNRjI;?iK2-4S!~#n3odzbWM0C zqFkjfLM$Q3!?YlsL@j^l;8C10WR*Ii`e`E_)gox6p?w9It)^%FIhDKeczk0~|2DU;rDV^IjZ;8LUA zl~^gXb5U$`0Hj>B^Klg6E}FZLq`FKwpa=Mb2HPcl=JUT={wu<^@V)J{BA)PnUh-m;t@4BZr6Is9#Z|hvh$9a z)dRkC)F_T*no^s;kl}0(dniOa0Nr{n_T}8a(<-PA;d*7VkN>|=Od0>hnm0Kz{2DIo zr&v5LcoRy9YDf4)`iBP`)KF zKj9u3cg`;n*q26VI#Lg2HND2_{^a>#!MiToMCyTC z;lr5*X*;wnKLSbpKHyde`A46$4fD%7+SYjEX1nqm6o~}YqFM{Y^n59hHyg|ye4G27&dXn} zYHns((08A%49(Fcl%eg*FD)TSRp3KC4!395*5DQG)Z`78RP8cQ6ar(p<*LNwE`Ky>T1E1 z%9zwx7&I@!)5rLVr>s*6myEBHYc2276+|*e#5nb7eKDxDGsgORuPPL4>_D=1SrTyG zGVE*Bd(AQXYw=3b8^$rn!csWjuGVaUBdLDdyy>3SiH}o+{E8D@B%))|h|a#b>|1C7T>@wVH9EF-V*!9BnRW#luP-<(v{07 zrAHZTZz;|$7%twF#*>A;vX#{gLWQ$gm$vw#Na@R;o=dUuSmY-@%1Ezsn0ASVao-v1 zrF)1vz2vbGr&6_>AQHmr{C&Ww4AT2~?_b$O0T2u6E18jMMKdg&Z;7DgKX* zo>SzAj`$pR=G0px;-|^N=hx{Qpnt-4qAZ+%wY6eSm$&0T!wzM z!fhY;m~Y$}8&1N{l3LnA_6K7uy~2^AyR=`>_39%FM<*XQ-$tT!h9k(!dUvB>rbMf- z99z2^=6L?wk_jKta!MV5jom-f5NkV7*vGR2nIY$pg(IQZI^d~O<>`MOezktDfnx8C z*Y69&#g(z7^vj|;n5#{EpvOMkj?Jr{qiV&lnmZ28?LsTtoM$H-&VcHdIhAr(T+A<* zBxkO?>lxdEq}0KTYTox76|}!__^o|kI>k&bbairRKkHgYt^+4M9vFU8k+Lyn7$(90 zJo|rZ*6CCY#E#9bn)Tpihmkev*$9!u#s_|Xm7K-bNC5yR{;G-%^W77BHGs08f*;%+ z*rEQhN4H@{vn|n^o*xU{M^RbfV3W<6Y4#y6J`&oWk2}$N@Dk2*fhqe~ZpR57#L<=W zv21A=lQy&dLo>xb?1=K10ldn5s8!-X9rf+{`}?ZBQ6LNZM{Z6HeX57O)irr;TSqaw z9FF#YY!(G9^%C=Hy8XqSacZpMJ+N$1*?$qCOvMlwNKb<58IFd&jgF89ib9YQYVQXz zy?x042~mIB)fDnHF^xz}+xhcc<|nmyl8)O9rg>`^2eV*nus7X`&=g3(2fVya2=aBcx75Gcu=S)0j#=ieD91)Uq3 z8jlNr4SRX;mM@PoK#;b^G3)8QL369DC%Z~MuC{>ytd8L2Ltc9*lHP z9JBxQoe95T;8Iwdw`w1P3<7tgg8z+H5EutM0|7)R)dLi>Yn<0XwJPc-_<%`8azrEO I4K{)Q1ELL^uK)l5 diff --git a/pcxtest.map b/pcxtest.map index 9bced34b..1f985aa8 100755 --- a/pcxtest.map +++ b/pcxtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: pcxtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0b59:0000 0000ecd0 +DGROUP 0b6b:0000 0000ece0 @@ -26,28 +26,28 @@ Segment Class Group Address Size pcxtest_TEXT CODE AUTO 0000:0000 0000024c _TEXT CODE AUTO 0000:0250 00008567 -modex16_TEXT CODE AUTO 0000:87c0 0000242c -bitmap_TEXT CODE AUTO 0000:abf0 00000605 -planar_TEXT CODE AUTO 0000:b200 00000275 -16text_TEXT CODE AUTO 0000:b480 0000010d -FAR_DATA FAR_DATA AUTO 0b58:000d 00000000 -_NULL BEGDATA DGROUP 0b59:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0b5b:0000 00000002 -CONST DATA DGROUP 0b5b:0002 00000076 -CONST2 DATA DGROUP 0b62:0008 00000132 -_DATA DATA DGROUP 0b76:0000 00000326 -XIB DATA DGROUP 0ba8:0006 00000000 -XI DATA DGROUP 0ba8:0006 0000002a -XIE DATA DGROUP 0bab:0000 00000000 -YIB DATA DGROUP 0bab:0000 00000000 -YI DATA DGROUP 0bab:0000 0000000c -YIE DATA DGROUP 0bab:000c 00000000 -STRINGS DATA DGROUP 0bab:000c 00000000 -DATA DATA DGROUP 0bab:000c 00000000 -_emu_init_start EMU DGROUP 0bab:000c 00000000 -_emu_init_end EMU DGROUP 0bab:000c 00000000 -_BSS BSS DGROUP 0bac:0000 00000ce0 -STACK STACK DGROUP 0c7a:0000 0000dac0 +modex16_TEXT CODE AUTO 0000:87c0 00002550 +bitmap_TEXT CODE AUTO 0000:ad10 00000605 +planar_TEXT CODE AUTO 0000:b320 00000275 +16text_TEXT CODE AUTO 0000:b5a0 0000010d +FAR_DATA FAR_DATA AUTO 0b6a:000d 00000000 +_NULL BEGDATA DGROUP 0b6b:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0b6d:0000 00000002 +CONST DATA DGROUP 0b6d:0002 00000076 +CONST2 DATA DGROUP 0b74:0008 00000132 +_DATA DATA DGROUP 0b88:0000 00000326 +XIB DATA DGROUP 0bba:0006 00000000 +XI DATA DGROUP 0bba:0006 0000002a +XIE DATA DGROUP 0bbd:0000 00000000 +YIB DATA DGROUP 0bbd:0000 00000000 +YI DATA DGROUP 0bbd:0000 0000000c +YIE DATA DGROUP 0bbd:000c 00000000 +STRINGS DATA DGROUP 0bbd:000c 00000000 +DATA DATA DGROUP 0bbd:000c 00000000 +_emu_init_start EMU DGROUP 0bbd:000c 00000000 +_emu_init_end EMU DGROUP 0bbd:000c 00000000 +_BSS BSS DGROUP 0bbe:0000 00000ce4 +STACK STACK DGROUP 0c8d:0000 0000dac0 +----------------+ @@ -62,7 +62,7 @@ Address Symbol Module: pcxtest.o(/dos/z/16/src/pcxtest.c) 0000:0098 main_ -0b59:0530+ _gvar +0b6b:0530+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0255 __STK 0000:0275* __STKOVERFLOW_ @@ -70,99 +70,99 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0000:89b4 VGAmodeX_ 0000:8a46+ vgaGetMode_ 0000:8a7c+ modexEnter_ -0000:8b1e* modexLeave_ -0000:8b36+ modexsetBaseXMode_ -0000:8b74 modexDefaultPage_ -0000:8bd6* modexNextPage_ -0000:8ca2* modexNextPageFlexibleSize_ -0000:8d70* modexShowPage_ -0000:8e6c* modexPanPage_ -0000:8ebc* modexSelectPlane_ -0000:8ee0 modexClearRegion_ -0000:8ff8 oldDrawBmp_ -0000:915e* CDrawBmp_ -0000:92c2 modexDrawBmp_ -0000:9328+ modexDrawBmpRegion_ -0000:9492* modex_sparky4_DrawBmpRegion_ -0000:95fc* modexDrawPlanarBuf_ -0000:961a modexDrawSprite_ -0000:9680+ modexDrawSpriteRegion_ -0000:97f8 modexCopyPageRegion_ -0000:9962* modexFadeOn_ -0000:9992* modexFadeOff_ -0000:99c0* modexFlashOn_ -0000:99ee* modexFlashOff_ -0000:9abe+ modexPalSave_ -0000:9b14 modexNewPal_ -0000:9b64* modexLoadPalFile_ -0000:9c46* modexSavePalFile_ -0000:9cbe* modexPalBlack_ -0000:9ce8* modexPalWhite_ -0000:9d12+ modexPalUpdate_ -0000:a282 modexPalUpdate1_ -0000:a2fc* modexPalUpdate0_ -0000:a348+ chkcolor_ -0000:a660+ modexputPixel_ -0000:a6ee* modexgetPixel_ -0000:a774* modexhlin_ -0000:a7e0* modexprint_ -0000:a97a* modexprintbig_ -0000:aaf6* pdump_ -0000:ab56* cls_ -0000:abc4+ modexWaitBorder_ -0b59:01e4 _VGA +0000:8c2e* modexLeave_ +0000:8c46+ modexsetBaseXMode_ +0000:8c98 modexDefaultPage_ +0000:8cfa* modexNextPage_ +0000:8dc6* modexNextPageFlexibleSize_ +0000:8e94* modexShowPage_ +0000:8f90* modexPanPage_ +0000:8fe0* modexSelectPlane_ +0000:9004 modexClearRegion_ +0000:911c oldDrawBmp_ +0000:9282* CDrawBmp_ +0000:93e6 modexDrawBmp_ +0000:944c+ modexDrawBmpRegion_ +0000:95b6* modex_sparky4_DrawBmpRegion_ +0000:9720* modexDrawPlanarBuf_ +0000:973e modexDrawSprite_ +0000:97a4+ modexDrawSpriteRegion_ +0000:991c modexCopyPageRegion_ +0000:9a86* modexFadeOn_ +0000:9ab6* modexFadeOff_ +0000:9ae4* modexFlashOn_ +0000:9b12* modexFlashOff_ +0000:9be2+ modexPalSave_ +0000:9c38 modexNewPal_ +0000:9c88* modexLoadPalFile_ +0000:9d6a* modexSavePalFile_ +0000:9de2* modexPalBlack_ +0000:9e0c* modexPalWhite_ +0000:9e36+ modexPalUpdate_ +0000:a3a6 modexPalUpdate1_ +0000:a420* modexPalUpdate0_ +0000:a46c+ chkcolor_ +0000:a784+ modexputPixel_ +0000:a812* modexgetPixel_ +0000:a898* modexhlin_ +0000:a904* modexprint_ +0000:aa9e* modexprintbig_ +0000:ac1a* pdump_ +0000:ac7a* cls_ +0000:ace8+ modexWaitBorder_ +0b6b:01e4 _VGA Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:af2e bitmapLoadPcx_ -0000:b08a* bitmapLoadPcxTiles_ +0000:b04e bitmapLoadPcx_ +0000:b1aa* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0000:b200 planar_buf_from_bitmap_ -0000:b320+ planar_buf_alloc_ -0000:b41a* planar_buf_free_ +0000:b320 planar_buf_from_bitmap_ +0000:b440+ planar_buf_alloc_ +0000:b53a* planar_buf_free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 0000:0292 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:02a9 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0b59:0000* __nullarea -0b59:0214* __ovlflag -0b59:0215* __intno -0b59:0216* __ovlvec +0b6b:0000* __nullarea +0b6b:0214* __ovlflag +0b6b:0215* __intno +0b6b:0216* __ovlvec 0000:02d8 _cstart_ 0000:03ab* _Not_Enough_Memory_ 0000:04dd __exit_ 0000:04fc __do_exit_with_msg__ 0000:0559 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -0b59:021a _fltused_ +0b6b:021a _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:0564 _big_code_ 0000:0564* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0b59:021c __8087 -0b59:021d __real87 -0b59:021e __dos87emucall -0b59:0220 __dos87real +0b6b:021c __8087 +0b6b:021d __real87 +0b6b:021e __dos87emucall +0b6b:0220 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:0564* __exit_with_msg_ 0000:0569 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0b59:0222 __curbrk -0b59:022a __STACKLOW -0b59:022c __STACKTOP -0b59:022e __cbyte -0b59:0230 __child -0b59:0232 __no87 -0b59:023f ___FPE_handler -0b59:0224 __psp -0b59:0233 __get_ovl_stack -0b59:0237 __restore_ovl_stack -0b59:023b __close_ovl_file -0b59:0243 __LpCmdLine -0b59:0247 __LpPgmName -0b59:0226 __osmajor -0b59:0227 __osminor -0b59:0228 __osmode -0b59:0229 __HShift +0b6b:0222 __curbrk +0b6b:022a __STACKLOW +0b6b:022c __STACKTOP +0b6b:022e __cbyte +0b6b:0230 __child +0b6b:0232 __no87 +0b6b:023f ___FPE_handler +0b6b:0224 __psp +0b6b:0233 __get_ovl_stack +0b6b:0237 __restore_ovl_stack +0b6b:023b __close_ovl_file +0b6b:0243 __LpCmdLine +0b6b:0247 __LpPgmName +0b6b:0226 __osmajor +0b6b:0227 __osminor +0b6b:0228 __osmode +0b6b:0229 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:0588+ int86x_ 0000:070b int86_ @@ -172,16 +172,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:0756 _fmalloc_ 0000:0756 malloc_ -0b59:024c+ ___fheap -0b59:024e ___fheapRover -0b59:0250 ___LargestSizeB4Rover +0b6b:024c+ ___fheap +0b6b:024e ___fheapRover +0b6b:0250 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:08cb+ _null_exit_rtn_ 0000:08cb+ __null_int23_exit_ 0000:08cc exit_ 0000:08ed+ _exit_ -0b59:0252+ ___int23_exit -0b59:0256 ___FPE_handler_exit +0b6b:0252+ ___int23_exit +0b6b:0256 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:0909+ __open_flags_ 0000:0bca+ _fsopen_ @@ -194,7 +194,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:1174 __doclose_ 0000:12be __shutdown_stream_ 0000:12d8 fclose_ -0b59:0ed8+ ___RmTmpFileFn +0b6b:0edc+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:1343 _ffree_ 0000:1343 free_ @@ -207,16 +207,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:178e __I4M 0000:178e __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:b4f6* textInit_ -0b59:0edc _romFonts +0000:b616* textInit_ +0b6b:0ee0 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:17a6 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:1c3b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0b59:025e ___iob -0b59:0ef4 ___ClosedStreams -0b59:0ef8 ___OpenStreams +0b6b:025e ___iob +0b6b:0ef8 ___ClosedStreams +0b6b:0efc ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:1e33 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) @@ -229,7 +229,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:2090 __FiniRtns 0000:2090* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0b59:03c6 ___uselfn +0b6b:03c6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:20f4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) @@ -241,7 +241,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:21e0 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:2250 __EnterWVIDEO_ -0b59:03e6+ ___WD_Present +0b6b:03e6+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:2274 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -257,21 +257,21 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:277c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:277f _nmalloc_ -0b59:03e8 ___nheapbeg -0b59:03ea ___MiniHeapRover -0b59:03ec ___LargestSizeB4MiniHeapRover +0b6b:03e8 ___nheapbeg +0b6b:03ea ___MiniHeapRover +0b6b:03ec ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:2859* _heapgrow_ 0000:2859* _fheapgrow_ 0000:285a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:28d2* __set_commode_ -0b59:03ee __commode +0b6b:03ee __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:28de* __get_errno_ptr_ -0b59:0efc _errno +0b6b:0f00 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0b59:03f0 __fmode +0b6b:03f0 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:28e5 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -303,7 +303,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:316f __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:33b8 _nfree_ -0b59:0efe+ ___MiniHeapFreeRover +0b6b:0f02+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:34b3 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) @@ -322,27 +322,27 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:39a0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0b59:0f00 ____Argv -0b59:0f04 ____Argc +0b6b:0f04 ____Argv +0b6b:0f08 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0b59:03f2 __amblksiz +0b6b:03f2 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0b59:04f6 __Start_XI -0b59:0520 __End_XI -0b59:0520 __Start_YI -0b59:052c __End_YI +0b6b:04f6 __Start_XI +0b6b:0520 __End_XI +0b6b:0520 __Start_YI +0b6b:052c __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0b59:03f4 ___EFG_printf -0b59:03f8 ___EFG_scanf +0b6b:03f4 ___EFG_printf +0b6b:03f8 ___EFG_scanf Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) 0000:4b15 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) 0000:4ce7 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0b59:03fc __8087cw +0b6b:03fc __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0b59:03fe ___Save8087 -0b59:0402 ___Rest8087 +0b6b:03fe ___Save8087 +0b6b:0402 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:4d07 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -352,7 +352,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:4d9e _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:5117* _heapenable_ -0b59:0406 ___heap_enabled +0b6b:0406 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:5128 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -363,16 +363,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:5361 __GetIOMode_ 0000:5396 __SetIOMode_nogrow_ -0b59:0408 ___NFiles -0b59:040a ___init_mode -0b59:0432 ___io_mode +0b6b:0408 ___NFiles +0b6b:040a ___init_mode +0b6b:0432 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:53cb isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:53e7* __get_doserrno_ptr_ -0b59:0f06 __doserrno +0b6b:0f0a __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0b59:0436 ___umaskval +0b6b:0436 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:53ee _dos_creat_ 0000:5412* _dos_creatnew_ @@ -395,10 +395,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:57bc strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0b59:044c ___IsDBCS +0b6b:044c ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:57f1* _ismbblead_ -0b59:0f0a ___MBCSIsTable +0b6b:0f0e ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:580d ulltoa_ 0000:594a* lltoa_ @@ -446,10 +446,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) 0000:7dde* _dos_close_ 0000:7dec _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0b59:0072 ___Alphabet +0b6b:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:7e39 __mbinit_ -0b59:04f2+ ___MBCodePage +0b6b:04f2+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) 0000:7f14 __LDClass_ 0000:7f9a* _FLClass_ @@ -457,7 +457,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) 0000:7fae __I4D 0000:7ffd* __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0b59:0098 __IsTable +0b6b:0098 __IsTable Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) 0000:8082 __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) @@ -465,16 +465,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) 0000:8156 __set_ERANGE_ 0000:8162* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -0b59:019a* ___f_infinity -0b59:019e* ___f_posqnan -0b59:01a2 ___d_infinity -0b59:01aa ___d_posqnan -0b59:01b2* ___ld_infinity -0b59:01ba* ___ld_posqnan +0b6b:019a* ___f_infinity +0b6b:019e* ___f_posqnan +0b6b:01a2 ___d_infinity +0b6b:01aa ___d_posqnan +0b6b:01b2* ___ld_infinity +0b6b:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -0b59:01c2 __HugeValue +0b6b:01c2 __HugeValue Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0b59:04f4 ___FPE_int +0b6b:04f4 ___FPE_int Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) 0000:817c* _msize_ 0000:8197 _fmsize_ @@ -505,6 +505,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001a260 (107104.) +Memory size: 0001a390 (107408.) Entry point address: 0000:02d8 -Link time: 00:00.56 +Link time: 00:00.90 diff --git a/pcxtest2.exe b/pcxtest2.exe index 4f5ddb7be92c9b68a2e9dbb77ab3828e15573ea6..016cad8322c116d00869985c5160e2f29bf0791b 100755 GIT binary patch delta 26413 zcmW)m^;?sV+lJR0W5B4<-O?x}EmERLNKB-ZDM|_(pu{s$#sI;muOIw6a?cmGuF7*M0c@Co0qJg*fN7Dg$d2^}I3 z#!ss6sIO;$iVz$wuzAELHFTwf4s<|*$q>D62&4>j^z>CG$13}QE)M&ZHA;Xpz;OsD zY|9>p`gnPRep$=*g^8_A0@w5C&R^&(zi&!2vlYc+#=KXat!v0pmVGl+*OO)3DwCpox%fb0Hy*y) zix;6dNPw2N3k&^bc*fnd(W@k=s63{)typYKp{+xZQ3hF+GhZDr8}nk(_bI)&cjf98 zsGfE!P>0ZoPd*zPlhCOW8+RezZe3X$ zbktGyxo|QpVV9(*>=tvXOU>BQ!a{%7#K_3RU{4oB8SwBue7IRzK7pkh;}RWXzzQe1 zo{EKqLp+*daSVJc#W7l0x+U8G0wnfA3`D38yL|~L>S0KTW%cnzsGrv~X^K)png!d6 z>M;0>s9n)G{d1C}9*n*`G5!O(qe->ZHiS^@=qEn*k;pg8IClR69^;29sWGr)4g3&bF|UOG)1#QlaP|9spSE@vxdh96vf_x z=<=#Mc)N6jkg~GP{GwP!D$D{jrvO^iRD=x$RLOb+<;vSc@lR7^=?7uBZ_47^`22$i z0l+$jg3kE*7vyIRb_rQSo>I1wkd*ePv(C=whp|FM)AaRUG&2{*3d-#)Fhd`xFoA6= zYYxI`w{o{Xi*79AqfqeA^8m6Ho!Oe};kxzmnwXDg zh{e02`F2(sT+Sx)pERI!oc?>~DVW_&*`~kM9a(W}w3kRy%z(E=W(h6_cpbPqBykTA z@+^~tHEL`O5C|}7AkA}cce@CfVl5ZYcsK&zv-7@hR37A92Wj12ejBtN+N9Fi8TtEN z@L=L7ZFrXUTO_$16oeq51^#mf9J%Q@fpk7?u4qashBgw7{T4exV=}s6#1iNX zq+r^x6U{&b8M9|;Yf=|f_M%*W42K=Yyk9nP6UXjb0}70PPiJ^WiMdGsCIsW9++?8c zzJo%w!S2ZjZc(sUb?K)j<>>d{7y>-{lV-myHQpZiVl3;Mf^b3&ahHaqZFWe`Ly9xK zCu@9{g=tMeQbQWAehi~tJ&#|G$C%tWv33_*0Njy`{w)@b*kmXfeNpUChca-VUhb$2 z2PNzPto5=j@*r(RsDmf=q~9nqWH5Rp0-GtC(Tw31jvX@dV4rI|B6!?ya?^Vw16z(& zWv~PI`gir_2R5cQSYXx^1m2f54&l(J6qk0V@`ZI`XC^+wpG`c)ytS664eeP8dBCZK z*nr=_q)j9oq8@pmFWP2xB>_2vjzpac1D1_%M^Cl~ZPbIsf+&ih*LtLgOeouB;tr@_ z;a9-(IKf9cf}XI%NV=H^Y$Jz9PLLEji2B(i)54{RnK>$=px7&`2|lzfe!Zze@d7UT zY;f(dvkEV1Jaby&rgQHqa%Op-lqB6H2dj`nlT7xLN*zeVDk3R8+^SYHW8nQkDV*D+ zVAT7=(JhMHqBX85C$}icSCL8T_17Yva2B4a+@}OgaE^n#oc9z_Q7a9lT!O@OXM&|# z=4Y1pm&vd%U^)Lfp@7zD&Ap0Q*FWRVL9=KC6lYoke8KGY53R1N8m-r1n<`1wiLzN= zXf6tgXi$o|+RnJaaRpXDPDxBoH>Jt@SfOisECN*Y&Vs$ZM|)Z&WfyTiF!}gMpi-~u zfcyiDAB@Hu<4kVbWYokuY=4RJz!1+^7Laa0IE&mk-k;5X3g8REQt!%qj z;bj7zOhYHTe8i-6(jOkxgHK^C~<(=A%DU;?6N>6*ZUM@NRTH| zC7_Yr9hN?tB#@P^!3W7koM4DGnbM5GZ3b%S*nhHCm*!Jkxnb72P2dZ`tyya)xS-Hh z>+S)`27i%-+yb8C3U6t*oh!*-2e4g2(Of9@+>G=2{mOMfC&8z!vs zUVSYunE%S8bkcoht3WF0^Nwq>z9(--0G)4Hbth3WK~aK`s3QSo@p-ZiW67=0eq$cl ztHsV!U&G`^eU3zWMmi#W-kKq{ZjH1~RRYTFkG!UMt8B+88c|}l%LWAJEY~3nL|-zO z9l4{6T*T8h7{U%jU&+I$3A=sHrfYri$7gZ=u)=7a0^MHKK>~r-C!64$az?1M7enBo zyXDSc=6-FT+%z3xRYE2|Q}wQno84d)-8#j1)q$tBo#HEjFGC^Zpqv>|MEWPtIa-$r{40uD|~O0wJpzl zd1kiJ_wcNa*K83!T}>)*3t(Ri?FdU3w5`tH!l{x& z7zK#?ru#TswpO%%!juS@=e}}VbQfEtn2Z`|k$=t|6g;-2Xt&p zU0Ybxw|Y`!&axFzEP;!Du@f1Mh~}pqZ{Od$BX@aU(NqX5yG1P@P^l}z&nC}9uRwggvs!@A= zTU?Yaqln5HA}gD;;?bp{H!hv8)~FiWQ<9&YZpJ$#ok;+C9&Vl{ie<+|BVd9T=`5tt z^p}2hnkAn=NV4iiK7se9;5il`s#SRi84Tg*gYrDnwNI_KySt&U z%BykS)}ihi4NkG}lU^c^=Z_7oGyL^88X0=}z$Kbu+6tbna9=AQjqE^a7I@r(L1Xv+ z<1w;vzip9p-xbsEy!%Z^n;9ZmFhFkQDdcW8)XuPnm!{5pMo{d30J-h!;Y_WK_}m48 z{&41X-lbYRN{1hu1qxBD@XZ9b;iN`_nMmp(#lP|&>XLEcnRS9p>9eaoJn0VFN1($_ zmLii)W0~6x>c*E*k0rdcKqp_N3`*3xY;m?25IHurTx6^YR zZO{u*gEXiP-nqI2ssH#lcUDRS4?Xcw*ir5T9;aZ|$!L&2rA1S{S#992yD=7BpK>cd zeuJcn)EzqeHx_p%xg8357sr+aP_eX$u$_Vwc?Ql^gzfO^Z!yEiP^nkI8LuZNqhZt) ziy`|nP^6R*q5iY3d*v~#zw7SEH+Z4PTuR{1W_)t*sq^FODoT3M=$3WO$(5LGAx5;I z)Or!i;NFbz^AJ~h-{_Sn?)pNYTT8iOQ7h*MA8~A~?DKj>^x`l>0I4bCmdgC!v=%Mp~X%_XJn`8C-nRNA-Y3i;up;5 zhlMEQh+?P0H!fj8rxkSHJY1fZ3o9c4g5RZ&nMx&sKb_eXaa#=1OMVm%8%PrvwIiR#g3_ zb0sVdW)o;qG@DOf>c!@)Gz3Ts%^%A6CAZeF86YH92$AufaIZ;v-1Pna&&Ed?JaN*4DTBM<7Xv^Zhh^-eA~-JMlqE#YQvBp1pp2T>Aj)JZU+9B48NYR%704`Tcu6rHUgAeltFKcS_49d+%N!fB&#N zgix+}UuQc~b%CP@n#_;wEp|?&jW7^r{vfH#Oy|DE#yC9)+L-_Vq{UrCJ&Z1{tztNPSA2370l z*AA8X=@jAzfrPWTQv)gQ7nZmg-%CC`d|*XPLH=~JM!wmStaB_u8*(Ap|1}YIRM=3FD@ASjY7zYlRo0!%eNmIZGMcB4Vx;Mv95*~ zc448EN}M+<@s@bhIhjuvqERBZeh_ZCT*~;tGJTw9I8+-;lQ`dSusX{4Ox~p~KS#LN zX;b)3v=$eoWo9KA<{v1D^*Hk2Csg)*)|UH(sppu{rHv6L3^KakQsPlqYZcHGyStbJ z4y4U$eB9=aak9vAxDF8(N2*8o4!-faO`vHc z3tW?GRyyD_K)6`y7YOf@63>-uL#ocIXo5d#4^lozQDn+%Hv=fK2m$lXV8kVoL|D zQo?nbQQyO3PCe{w^kpHP$W1uolHfcK<4h9S@Szefmd-_R_01n zTX|RvK!lD?qm^UcV(zeDAvOj(@T^%|MPW32CmJLOgzb5wAQWn5H8L8W#)t1!G5v$s zO@txrCj=2;+$moneNja@or0yYHW4PITaebAzGU;Oa~OW^wz*3^fMv_1IjXS<2HZ2#NHBHiY9d;DNRgFhRLx(y-~T$6kl((bHp zf^HPC_1SK!C-|C+^7nH)=#+a@ZZ)ePAtw6k-iWEkAC|kU?&FG4qvKr#!wi_jB{|?$ z#+Mf8l3f9_BUJpzGVn!OxLYS9V+@6OYON#_LXge=XZEA4ui@C6WBVSCO+xr49~%Ev2ve&rND8A@QRT&S4I z+lV%?1}wIAy?!|7%zI8w8U2!kA!{!F%J~+^URYAwgKwXNZ3N-#>Dwf4rG{1meb!b6Uo4_WfKGzez~{h-Ltx%e0p3Bj*OOiiC|J z-Q-iSL&^UeorvPl^5AQlmP1Uu<_DSDPYS<8CVu9a_jN(=5khRjM057gqhIs*MA0I$ z*EW8BOoo-iN^N?f+WQS>$i@vH(le))} z`#+TrSf(I!HYLmTWsggnX&kgc)FOg%)*B;FL_WB^e(|IfxKNCd#jRI(GOE!x_6;2j z0yyP0y{RCliZ1|-!#bYHPUyAX9EaE}2-sB0J390LuZ$fnh1P}k4(hF@{_NCM@r-M9 z8Ee z+mn1O|HW5|rakXHQu84|x0lT@dD<5(4CqfKVRfl3D!H^cksFy@$uylWi%E}KE`k^1 zpd6nLnK5qW^0Yx}xhf24V5q((Non_(_<>Z(6z*UN_P1i2T2_)&fbt@gIz;cEyX5u@ zrY^2Yr>{cJ>*xILESIS>CXIU}*+MvEZ^Y$IEWC>rk%&BU0a(*6hK}j`i>?7pe6zVE zHkMn5o0VUMJJed8WQbUe+Wc^Uqz0=ThX5^al_52wZujB)*?v2(FY2kUYgd}t@93K? zC{rZWj}-^5%$?HUKKp#t`3rlUFc;vho(0dbH`v(}v~W>6^JhUPr(4}%h3+C)iFt%Av< z;|;fvPkpOQJ-rFQSXwZzWa zVS+i1GpUoS&kpn^|2k8*-eT#XowVN1QKEy-@r2JRr=Eo8UJL;^{$2W_x7nMy)P32A2@dLGE6DC11osS!8Dtfp}I z$2;w-TnBjguv9%AGz~{Ov)HiM$WLVYxf5cJ~;DS_=%;aDL-oU*`ee`97$ zipSqE+|5MiEwbwI+xbCXH2de1HS^F#&ifsQVc@5+pYxh2nrBjbmB6v!%d`X0nu+4K zcspdhXyMT<_{SOx$8S(TZC`hsaF(tX_p6we_}NQi=%{EUvW3;2hcRpK*Gt3h==RBM z#o=fUW=B99`J7h(ic%?YBrXvzXp7sVzNXT8OH{@b6kSjCwq2(`mFzuN@Neh8f5gCm z4yD#inEXiH*^O849*lRD-S|8ECQb%D|M>X6Gw%QOzblr;8W9M98o5%Hsh8U-wxiSa z^VIpZa!8?Eo@p?kx=2Y#RybL}!1h5<9p~y>3GW(+<8)_gJk6`Ae8(iqHh~X$^YyK4 zu}fmJsN%T%@bfPh#HW@7-z8@Z>diSWo}wLW9`sjSdHZ6`aULW3{RLhj{9TarV2lz2 z*FzArduyLNKYkt9+h%few!2&@dTWUD#g5ym${Etxdd<-T>&KGV92HRDbQ-Q6-s&>( zIpQl6A@o~ zW<7~NlO&Sd^%!=|X1m((6rvi_O(q13PAh@;iBVOezXpKBO>UI34(f6BxR6+hE$nY` zyaxMYD{PtSw!5~TFpN(5!R>K;Cp6hdZ{;Vm^}#}gbD8F+r++(ym3Cir#|y)H>Tx_$w7B zQ65}@V_Cp2FWGpxo@K_sb6<^##*@5p$TgQ>rQ{_GDqf zvRhhf102yh6m(|0WxGPxQYS$tmSV9F|I&flQ_Y z4)(cbefbI&xu&8$x&fy~yp(>9f*wUPjXUGqkJNNd;MI{RqsfYyQDul~#5a8<-X!;j zv(~PM~=9#rQ`ntClVpWV^-gM3*QpZ}fpW5ozM;fU-6Fx<|5VK<;MYsaB6 zOGGTz)b@8K9k|#ALmWLBZefHB!H|r}67S_r-iZ(psOa zV6ArjM2Gre1XQ)f2%cmd0L&zydlGKj9j@A7wQ~aNd9Wi&Hv@b$(&yo3)J7v0dtWf z$(w!BzSTQdcCrSKs;rk0e(HXP_Hd*1hKV}NKAm)V%YQ(x{+Gei^DQj7^tUl|?rWV5 z#1TTfc=EyYSY&}zaaRA;=r$d2Z};zK8{=*sE3|hx0QIr@mAEt_=Duplw1X))WP5oK zjeXa9loqaJB9!$kqZ!sqK(l)`20sMxSws0Egk+CA5x=Xdb>h16ZQxu3avBL$m{ z^z{>O8od6=aoed+eJ=S{!YOu^kKnLPc6`*IdF$;`RH=YmldpVCEk;*T_yr6<3W!PQ z5$dHo8q(p=3NhTC{TO&tsF%V~SYUEj|K<@tGs$4c7k~09V6gw=_Lz{V0q@vuHeD&J z@+)V3M!d3|yZmv_hnG`;ZDGWOIqzr|S)$u!W2(YW@3d!kPhiUSzxuHLH`j$O59T6k zYR1N%ezy4xmPHKlPjk+0L-2{efJ98dtD?&hhm3`~4@x^;%eZ_TW58)Az$MO#J;Dd# z^ZO3UitZtVTv#)JR{Y`?hYqfxF1JME}8CLT}qu6YKKO17d!cxty+x$^IPSCVs3tz%fUTaU{D& zV#!(76ouH-182Zw@;~bU^4}N_iqGif;zNStoVwxHUPVGp^U($f=t9W%N$tQ*RN4Zc z<1wdd!L{#qNA_r6PbqSO9er;{>z+|Z$$PP0Yk~q}bxfWxCCk57;%58V2T_G@%CWu6 zvM1H1xQ0PNw=z#Gj2HmNtCd%r^C2he)a>udAWbK+R9@~iR5v&kUm<^m@Y4Dn38!Y%mA6$CcsnYmf>Cu-#QDmhuE z5qp)!1^6VoaD9&hPnk2jt9$77;qg)3d3vzUB^ACdr(riK#7ZeE6>b@d7-W_x->r<$ z!>4(rpbSa=5~>%r=lAGls`Y6ZFH%$tW#o7iN#wxtp|=7<*Fu6hh1#T+W3WlM94Ubx zWPLjRR8lw|P&@FW*MG?UO6JI)5E#nQ?`B`$Ysk-_e{89(6V|UY12LQ8&#SCOlYH0M z-A}eXZ@k%Kv`bDBej|Vyq9XFL{l2{!sL{&Q|!F(KC$siW?5OV)c=S9{^}x@b0wfrBk<4wEX?glSh91=C5Vnl zVJ_69Dt_gtXUgTYz3w8PRD<2`6#XxH5*hli}sguYdK zqx0c&XYNefU_{^9MLgx$?&W7kb~%$$+tRgKz^wI|JGcYFeTj?)yUZ@+=-8BBjmv!c zI;glFr7#+?0GX6C-wjB>TKh~|bxf_Yw!~@;h>NEvn%k{nPze8;Jtd~@fYA%L{N8~m zDl3F$ZQt_n7S$a#!QV-|V(mgMD)Nm!VA!5pyybG|B0tP3)I2?hl_-_X7d+AvYsCRB z@CB02>*`~2R*enf_L)8Y*1u2w*(bAqCyj(27AA@A0>|^^$1;(bb7mNFOJDG}S|iiV zOClobP)SwtLuTm3Cw9FdKWaO&W8<8^tMNbu`;q#c*}@D?QpTphW+JhkU#DQ2Ex*Q& z?4XwGX_fRn=byK?xBIlZD@ecfu5CBZ{hxB&u;UgHw8k}&jCL)TE#PkMt=;# z{^p!YZAm3P5jj~YSst1`JCnBIFM(}Jb{8~alDY4C@uQR5R5Q`nVVl+KLvh^p(30Rg zFH0yc!j)$`1w@KDag)hsS-P>b#KX1Qk4g?rHSK$XMwSaK#BFR}xv}OzfBhTCx_Oa( zQ?NMWpM3nbtJi?o95i~{$6GDvq33vY>b}Hdy-wrOQIgunpX(p{JdlX$&e(Y~tsWxL zp=IL&89Ts?4tZ7gt<6tu!&VEc%`+#QLqZ=jnl>r19m#|(_USt*$pSb7kBFzfRNVYH z(y9~b^vaEpdT_JQPrH>RS_q5tr8Amlof*K7JFIj_(EnK8CdH8JTh@R0H{lMd-h?+v z3ldI=G)|=b>igW#-h$HC^!P+SO105R$TjWgy%WIq)5VOmmkyZ?H!K2Hr>%#WCKH^O@@PPc&$8K?OGcxQ1ch7V0z zyd!6Sr#W&%kwx`amrOPcQJh-6GuQkN+0BA2V4|mJ7gd4D`u)52$*?;3yxL9r*Py;l zh_9lzXNV1bbo?_2pUUV{^C)=5FY00IL3|V!5}8NuUiMmb7da|A&7&m+WdgWnJO(x* z+(bW=xF;l1DQFax@6+B5YMgYc4b?8C(}{EnJyusx)!to3X?OGur0)&10|x7R&3O9; z0V5%SQWTnqAqkXX1&KJ4P^qv85l<2=6%!{)kR(e9QbcKzOsT9KQJ$nws;ER%CaILF zs)eZcaf+O~wy(q9XbDDZ!%B7b5OqmDhC{NK#a3bPO?;6jypao|r%)mr@dmNu=b` z3m1tgq}0;1bRs~amS$uUvq;&cIl073q`XpEK9No;C@m}^UM3ZnmRunMS4r1Oua^>U zkZzXVx=p-8x?6hhKJfvmthBs>SV?+VTJ?zdAE~1k>0GvaenU1|LbVgsqM zw5gfcLTW8-YbP>DFH1W*iCv`b(w<&oAF01|V30UO8ZLb`LL4Q%E`9Ts_>MGII{uzG zLHbboagz9n#4MefCb9t1OzG?#ah|kLy0}FAO!`v#^&9a!iCxP1LFAH_OIKEjKS^t) zzkU<{kk(88@`xLx&C-8c#BI_}=`NoLrfDR=@3lzZQw-1)2&LJyi zCxP1cP z&9l7|DT$prwSjvITU@G`Nz@m{hkKp*p&006`78kNZ4q!4whsv~+C~?q@;A~ghbTw0 zm^v`QW7h*0;4mll5E2m_!^Ho>L1_r=`UkwT&=1hXpnP_C;T@;N3;dJVfYlIcyn}JD zvs_Dfz-4i=9Od!R3c6REU=qECSO2gf2%+nPXC#nG_qeyb4pRP`DqA|{8uMN8${Zmd z=xJ0oJD^nCjx-Fpou4ZBF^ER=xR!8#T+}h37rmz@x$~CYv+-{+uyPaanaOg8h;(1CCd1KMLcZ)t`o{KZv;*kPm}lE?-7j^k=HKv&AT2NR5$43 z;uK0bqMorI2_3tZFN@juTLF_XZe8j9h#K=<+W{ZBc%*Ou3omd0L5Mvu-pgz*kEg^1BHrQ zjo7~o+>1Il2#v#$tX>ht-JiiZ1r<(_$6ZAjA2mDhQRSIKS7BOm{JZ#Yv-V%xNmp>nNJ{F;(iY zU8ODF(bii6w{LULH?8k$8*>}MjT3Xfg^{&jOa^zXVyH;dV;R98#eNmO3VqE(jr#&l zqlXr+dngI8YH&zqOU7&Ev|oEVJrTlR%*E5ySQ|r{lxn5W2*0WQG`Qp$9_o{Kq z#x(oYUJU8qGIzx3fq#?Zghvt{5JR3{OO^I^+Ztvc1fQH;X(w#6Ex`;ffJ)@D1zR%p zK^AogJBz;?j!W)>9o&`}2R4~Y2uS58>jyPzPGFw1`51y+s3OclSShD9VQ^w8R4w0W zln&DFRvPly(lcFVW^kFqz*tz#$-f_z8}FqvKrbk%$9bGXaoj4=RNljUjg^VMbY@H9 zx!F-K#w7`;hFYcEwP7KwY7dB!Qx=!r`w z25au+5MQ8xmwk`-rQ%77Gt)2o^Pn6_Jl;Uf3 z`{#j@_u#Fb+a`B)x9)z z?(o*wW|?q?bLcuE+B-*)^+-0; z$T4&Scbyr$UzWYhbhyC#O}v;AH1-iR!a~(+-Px^rEGYKk7}PI9-QD1f!D?!oQ$=|s zdsQ6>jq&rC{;hLsF%AE8Nox~x%LDr894{@k&S*rh+iNDNXY?wr z=VMi_=8iN?>VK6A0IM<`&h$|#9WZK&X;qFw_Pu1wbwo3n zQeO~m=2O8;FtXRRajZIJy)0>!^w0Yy>=nRh5I$8W!$73@uQTO#ANKe&Y3BQsD}Cg@ zJ|vp5tkaaj-ztCdkPGZ1=eJ6X9p5wVQCH?{TaoH8vJv$lD2bN15uh7vhPiq%=V5*K z?!GKOJV_?-{W$p90Q}D)l(WHtX1K9);ey+ESSJFqSFpkBNQ?PiMCv1Ans1t{4bY*V zs?O*@+}hZ#RuDMSS`XK$JQxFo&(Wqul>HjC#&!0X$ntuX-17X~=7s-NKFl~y`1bBC zqa@WQJct!Oso2CoTnp+DyyhQY?g)F8>TZJlO<-Vyp?xDq+q?v#(I~1`jGROiSSej; zpeN**hxt7ze8`F|D2LNJp_^e2ged&2cq@50QrbPuoYVF%_S!m!N8ip>wr1I?nH#?ZdTfAW_SC!7)j# z(X-Ux;r(MuVc+x1YdPITy>x^9)oW-Gr}W2f$_or{eM7z1Rse5H*&4oe{x*#nvo#zk z(u+mD<~a{cP~CCCj4y{kP@t&HN|$RIxEG9bahO+%ldm+vB3J{s!+&~rz_N{ z{Fzcu;A!-e7=MMnG7MS)Q%49x6j?nf>m^L<6Douy!JGQ6`2v;=XBq%WSzCe}?q_Jm z8QA>Fe1;Z0R4pa2Yz2-u{CrQzt9r*Xk@tcE&n^h`pSywysK)_k3q(s^#Vr4OKrlSb zp}I@SzGwWsLDA0)t5{q40mvZ3~K4PLCijf{P70@}!e zD?j}XzV85(g4pR(8I7Lv&7HfDwb9&MvOW#BjRY@9!oFB=;UD(tD4oZyg9J4MSjpW!kb+~)6VO?L0 z+wfW&{lC0l9)tw62`cIG-&^%uiHBe55e4h9`+IU1fOteKoc9aaZ)9Xx>FC(EMKtL) z%WG1rcb*!#GWN7p9kLN(1_BggdxO5h=3mMBQ)8_mb7&+VAH`M(3|Pg7amyq^CH27j z(pNXRi=4?P$xe66G!2XkdY@|sO&Qbgi`y<0^&06r5x^#K1M-rl_{r;TCKFld2OL>* zD({THz~UF*7skaqlP`U4Kl8B-a|x+k)1f4r9vqKOX;XKS(Bs_z+VV8V>ph&MTE zsfXDs-sOX1(MF=H>wvBdq;}+W#_yDCZhraqSCWQKY35I(_v@%$R}u8$f-KH7jGDe> z8QY}EM%0IhnuPQpP)bgZYf-7~kdn2+JbBF7^jOiO*W zmthA{oi;mQDn{<8rqwbsRYqTA@ow0s$10(60)__-ygp|0veUg}DGG-cta-Z#QH~e8V!+#HA1^*TIM{i}f`w=Y2=?xx z#%tY$e$CI6VUJo3qa|OKS(wxpD}H4uelWZ#Z|&{riJ2UQ1Y~$lMB{9q!vv#cgFmAS zlLL+i8A9fLy|q})&Z5WroM(fZ&lmPMe^5Rgs1!aDM4yB0zEGFusB`6Xr((6gy^$-@ zF9AooUajCMB6)&-6G}U;Z6F9BUM~Lh*4z$fb=&y|GEe%w+>ViWaL5l#Lfg*SoC$1Q zQ*`KlW^niaT*9tyU;UEy=E-5jwEhbtE8S-(u-?nR248j}vu7=SZ7)bT3ZCuS@e)1o zZ#`WNylVjqwOOjXJ?J$zr);mOt4W9yDMe7d!(fkAPe0=o?ajjXt)?A04V?nr;ZImhN zJVSM}vA4E17Pb0?i2iLr7E(BL&wP*~>)!rtW!#S~SUMX|oCapH20`v;q+lT@Mz1LN z%f|}yEOy2Ey#ZvAQU`Er`f5+(f5(h|>7ZX8m>tm|Cx!vbfSB%sSH&^({q;}wQ4@xF#v4{( z0`v+mYOhTcFTwJ6_;TCts+p4M`^)IrI1G5(q_Qfhy*O@bkP3Kw!z>QT&X_^x@|4zs z=fu=5e!My0gV*kpwVW>d7A{~mqh4)#snBrgh3-=PR_9m%T*ZqD10 zmQqwzR9h2O76o*{jB9aTrX2*rA}>erB8)7kd5T4w)7Y8ej_%8xR#gx@?gfitTgT`h zTk;^w2)*6(vvwnUn_nb*@i`q3YkkV+JjLCqJoldQcQ;_=5m};9YEq~cx2VHXpTzGa zQaQ;7cOA%6+&`inb)0mlD7Aro>Bi;4s9zm&@%L zJmsX#TH6kKkuTpAc0Ys?kymoZ`66?T#6orah8*@{Ht9TC*pjdu0-|Pkz&Rj76XPoj zZ5~5o%1XuTm`Kc^>5kju-m^(u=ix?oTt2%R!~T@+CG@P|6NupK^0^jSAX_<2zJRo! zokx1@ZP#-xS=m4lM7wY&xW3#VN}WDy!4tHsfk}?eC)yyU5SS#!FT?zgzx^R!twsU z`(F2QUE|tY_Rc0*At_yZg=_DTaiwr^t?S~Yl+rZP8>J{)acz=9NUq&Y*SbkE<9_-+ zzW>4b;he|wdCv2Bo`t(T)xd$R-BibkTlk9{e-W5?Vnb+WDhA-dm(OiHX9wA7vI8?G z;EixQv(lxH$2#ZQE~FrU0e@kDI5ckawNC;3JrAVHslws3pC$0tjehfP9A^>`D)f$H ztF7CDUT*kXOQOjQD)B~SwcmMWyCU1_BR?aJW2L3`oK!^uD*(UZ((CLG3QmIFN>sF5>Kwf z?*G|F{F%0y+i%$8=Vqf~PA@2cOSkXGQJd8FXi8grCguaa;cS&RpKr07EEHcFUUyz? zs!T0RidQlTlB^RVkmw0~FJU%2FSNGb)YxsgTP_R{_EOjD-YNQ5(Vx+uE|*WQe!j-T zrgS}(uR-PNGOQa|uo%{wSht#tBR+rbQYZ`>Z?v_ z6IXD;Ik4=-U=7-(I}p~0q$*jAJyDgG2a{-Mm%*ULH``JZu_thGccO=@=kO=JIMpVc zniF8O32}w`pk)t0gxc;tAoYSYjZ_Q7>SR=#IoS1VJYCy|mC)~Ym7!_J;O5hDuy8Fx zL<@Kd@}RO0}luOfU1lqZf7GwJWc6rS~#^j1S4V-*qXs!EQeX= z%VY}>y$>O|@tANsPVI$ugH)KUl)Iy?xx$liEVC92CXd#JVR7e8QT`gv$FmTIhY1kF z{n$;#JU*TyvMe`u0e{4D?WQ8A0(4C2Vu=}p3abBu5ozcG$!CIDE(}X z_*d5lDXX7ab^oLt%^iM?%2A1GL+*c_8idmRU_h*OAIj&nWeoU2I}IE^6yYFNkHg#p zMpa78O)q?9a#HUAO2OV&8S=or%AWa|IFP{6#HoL*3P?H>Dng|bA-Nw?m-J|(tH95@ z?@7dED7HX7VXMc%g`)t8%Kq9zrL~{E<9*j|FRk*2!DQOcPQASa(tyby6e|VQX);C| z`#QEeb9wz2dN_Hxc?|G*Y;M#Fy<3^+a5Alr}V#?RO^}V^dd1d#99J?>%%(ixA=diBF zvR|=1_R@V|-cZ$wyEx%Nhg>`L4Wc2RGAPEVkNg?r#^~X6jB@~3w3n01R<$jNqXihQ zj?TQ%g0E5!%78tNUO`e1UJFw_!??K+)o3UHss4AfEa?9U0vyJxv23`7&WB%J*Fj3V z)Ztio@^0bRLX;xPN~WItkMBlDJBxOO!d;M=Rhwxk`_|O>Aa7yIYkoXnhG_bYXv5aE6USRqaJShLJhaf=bwp-a~7z=6_wU)?ku{HGe&0 zTfc9njK&%9^CYox`TWSafBTD+<;O4KPpbMdwi!AeflHxPdXsx zM#n6f`ag^T)+(|5PBUk`!XL(4RvV!DGZRU$YK znF}kqt@>C@b3Sak!LP=!F&1QBG?Qu3?6oJ(A$%BvM4PX9GlCq&JD#2a#G$Cx$>gbm zdENvdCfCJY?hjmjS2c-oO6EMne0??R6d|E{{+leA!5b~|LVaWObR{v-v!1cwm~ zin4mY_a|lx%xTY_*vtv;Ip!IQ{k6WZ3|A37d{61u9M|<321J~9L2A`PZDPk~DTmmZ z8{18)0HJTzK<5aTQ<26zESeurQ200-e9M)_vkw|no^BfD_t0x6EpmzyNMGPI;uz?& z>Nud*r@3yL@@2o%)`Y>QG-m={!NJPYDtgRj$?i8i+`Q8t+(6mvte&9OP|P@eRFf^I z)NUD>Hnm9F{fSxM$vb9rqVTO%|)cm(xj-? z>-j|RA?e47{(=nb0<>I6#cP4vax`x|TKic-Qy^$mL2|>xqwA9KDA87bfDo)vY^0zx zf8(?ftmAgO5`?0f>4Gl3d)kW^w+m6fxX%kr2_&=Jj9VNaO-t(Mh<&^&neY*H@uoqg zL4|sey$tMQla$l*5`&~q^A^TwCosX%5QtPl!MsNw1*Vx(z^c#iZaUX(Sz|yyWxUXw zQD4YgY>&S_U3GL&<`mq;_jnjOIzKJjki1PZiLrr#N6NN%b( zfOJboYW+t^-EJz!!jRQ#;JMX;$GKEh9ej_tYb1s8xZT5CB5e7{=!lfpruW8R;lrmN zMWm(+yccg^J_(O07@F_~;X33M-kCO;@oL@ZRS^82p(Ae)(YZrFp~$q!mG}G&go0qQ zp)GHae+NV%#Iz}zH}1w?1wjYH7~Y_39s3H#rauV<-8_#Ml}wv-~>eBO(1;HL>e5H^3k|L< ztPd3^zOqOoB6I|4aF==r&%{|WPnq`_9!8jhT!>3UMYx-Q{)B^-I7f6JfgJZ-I}*N6 zvTGAHH1vcK#2fVEM!xAkimrlZ(%Yu0S8FF}tBs&KQGsj0dY%Zczl*xy&a1$MU5EF4 z!~V9)2o{3k1T)-qj*J&aW@R<@a=#WmKkO=C)JwDoTY{)`Yzjoc~FPq4Va57pD{@S>y^;L?tN` zBg~Yge}+9xdj$)g!6{#QH7-T(r@y&jl_sSc?oL0IIVUZmEb>q~bvRVy45TAG-*P9l zXu>q&C{=ckTuMmUF;=N)^E?&0sq6)KC{0Tp1njYsJ;S{NrP<}0CEo3u#@wN0!GGi4 z-pmh7veiUooD1gUOVHp4oBcI)l^6X&ys*MnZpUHFhvY0?-JUs~0_T(qO6FA|fg)|o zyIpsc(HdlG5(lKluF2?RPELK8^YY4J>JV_fBe&1O;&ihNn_b)G2duMB{Fy9(`O|aV zzm|05tpGmb(UJ|$K=!8ccX)GiMD0bsJZ9Q~ekuZ`mhhs`x9`2xP%{2up_>O$@N4LQ zKlc_=15aLF`TKn8T&uQiC>^A}RrIk>ct9L6E}-db2z=JSiYAc&kc{LyEink_lvlj25O3(8svr_V z(!e`7Xh=9nPD@GvAS@pb8THfto`G6}A~YU3)J4DUsBqg1)f;}nVeA4Do=W~Hspyl~ zIG>of6pXEU?Row!KcBdOY$p7CUu zRQ@PkxGirIdVCvWss_!moX>3;yDeTUIGJ2%z;AGQc!HzmrOc0VxVEsJPD2!6NYD>2 zbh$*c|C;M+`a};BNwZ|yJ+`KK{S^K+lH@Lv$|(Db6dMsa<^f-Otm@4Cp`nD3O^Z?G zM=+j@CKWb3VYWM}(}~(T0dEF!_=K~}9Y-5Y)biO&dip9(KA(4m`pj<-kuDDu$ z62$FE@4n@kXi|74OW4eKwQT}SApTXQWH*sbY##nRBpr#xZ{M}#Y$Nwl>vn$Prq`%{ zpZ&-+Auy!YAV!`QG0azDvjh{9+)o~tTy)+pxVrKD zM&VgqE(2rkOWVT#5h$E$CcW(<_8wxfH+pX0M7|ai^mj9t)E4p_?%|ZVcZ!?m#}k+^ zK|Ew?f%b2-ytC$Bw1g4C(?@xgg)HKp72mqqz3`)A5{(wqKgvWA>R zeJ|U{c7ofXsG%*>-&&K@w$xGEdpNa!j5mLgFjYX*0z#_i&)PYd8Gmqh6HL}McoTRz zzTM7G*;&-B-^7=Xk98gJpRgVKcfKsy^tFd$e8`zOa66v~-fk8sspxaYMey2=?4FDg zZcaF_b4K)AVpSo;vWahQLJrtBS`bsEbDf%t4~F$stX^>&FzGUrn!TL7s zeFc^qFIQFrMr}{Lxl4it(k9DdOQr-wrf|fd9x~Btlpt+&%{@6O%}e8%)Jl{auidq_D)8$Qn07!r@vt_Obsw@Z3QZ8d(&>7m&F zVrQpu@Feftr{%J>c__VX0|*$4o7OI4@-MD{MRjr~&6YKjZeIm^0bg$LL}FHQXzROq z!C>;mxE`>|qy9z?&U(L68)nDs2J8F68q+K{3*&LIV0Vp`JIz-1JcY>2?WwVzQekJ- zaUvIf*I@Uh!DAgv?vtt+!g$^RwtU4V$V@VWpCL8XeWNx2D1X^oWQF{(JqMC!CdT*^ zpUy=tT~;f(h9$Awc?|C7PV|(r!B3c<7we5K+92mj0iVBE>`Y}&jWqz@^@GRh_v+7; zSk%Vc#*Up|QB=X7A=1<=H&lJssxwR?mhM@W4y-+jAZ$Rm^!hAGGxZi%jP#ncbZ4zn zt`eM5({=qEbN!V);ejiG2hoEIxY}Vn) zoWpSdS@OcYyQ@JgfiiW)uK@#5dJ^d#d3`MopECgnKlIJGboI?>=hd=KImJpZhY({E972<`PfHgWOW{0`sQCEFE0RNL(T zuh5+6cb*xuC_?`v1&70<+5F6rKFOLv5WiZEQAUqnq+hvzaIjigLZ;Vi0VmvxWc?r& ztk3WwOBW&+&W|OK4>)HuCNr;>IfS}gH*tVlX`zOI_>WqV?fE8b0cRFL(^!t z>EM{A?ePLP)>G0dnA8uZE$@jiHd)|(=-rJimglqh&Lz#!Mdm5a95{`YrFudu*vGy> z_y_-dLS4PgVhc$u3YXaSzG^`?zN)y5x(fAbjz0hPZuA|#T;W#=VaSjSMb>T)PVhXFR5!zyB&vi!?EGFYu*E5@j+xz5325Q(_hzu`Ste5GN^#e> zj93vce_iB`*_y=+6Y@U;h~T9IYpR+|eiV(@-5P?p@O=4I^o<&tM49;;I zhBnT)*nRdL%3BA5aFh>r-j)*5fDdz)(F4`Xk2b~2w&$SpWjpMFYU872yN?2wQBJcw z=M8zmgfg^0hB0aCl(iLX?iTzJyn*}K0@E5DJ@ggJyVt3jyu!+`iF<0TQ9<5l{x?GtveOf*tO;19YdsGt&RW|W(m{%4Qy*A5AnqFru7 zC+|+1-;3A)2-MrC(TgfyA~fQZ1GA`};Q=a{HYqG${JN^Hhfb;WJ=*z+a*8Eg?u_7g!b@W??BhnXwt)6UOaJ+uZX0^{9POf5)L^cqba_Lv%>8$zFW<|~#H1qIE*l}J9 zjcsiU{|;4`P#gg@Z2<3sd6^Ve-=;7;51R12{bx2NS_<0r(r1bHiU>nmw334==-iaT zyzxUhXZT$JVx;9{3h8}#<}lPtHyv*zBGJ;KW26?kX1rrNx?962Rm}NCaEVw1#>#*B ztciSjXd1SvWC9r`+F@!ARXcK~@=85|GE(DBlc?lT#}Rg}ow@kcOA@3T3;s)b2uMKS z&dg%=`<&v1$iB`pyK^u_L?s}z)dS$5o4NXhu#Ypmd>D`O_C1VH6L9zG38%R@Gq%&S zs~^Nn`qwj}6gEQFGu~Bq1o=B4TF1vO;r7f-V9;D9<1F#mEW`b?s!_MXxJeRL|ofCatePk&R`9Jwtp$N46CD2=A2uc>jrMCuf z{RTpNw=N6JYor;c0-up-KL8>O2(s~IUHJA4M9w@r+NYwj1}1aLepzQrGq^0vLo0S> zxE+f&AUX-#4eagx&K!5e*MhF)9#PoqNj>c~3@hV_k%!y>^bv|B5G-Y3Rb%P+1=_Se ztZ~sCDzw?H_KVb$4+Or%Dmyh+%;-#!hu5`=1A&|e3mri^GuEk4Nt^hT#kkcV$9#S$ zH3YOV1Y#+Qm3wq@9H?BH1=oQOVELQ+mTSc9gAKUg=>to-x&!zVfMoKepu)6U^#`N+ zL4u!JN2Yos4&b7NL7e|2#27vE?{$GXO$TBlff~XQI?xfV#~I>>1VU7=sNuWm7+=Gd ziC9lWoTy2KHSyd#Vv`Aj zy>83soGbWeYfVjAY#U5Dw|e0?ORs0V{WdfOd3}YKyHA(S;}(poG;90sw9@Q419{wGe=3qt=CVDTSF!v`GqQ0Y{tx1d0nXG^V?o^lXqYnJn;-|uM1&--Xh3EfkNgxZYgqim zOIGWZUj|DN`DfCpS#r!Iq4?*``}N$U&2AtzrpYjYsQdf3A$RRs40A~epk!RC=OS;& z{^Ah9uNcMwkGOjfDZ;wfZgpop4HSh>zVp1rCYVfdE3}Uiz~vG6gZ#KN z`wz+O&X+fn=CQqph@-w_DJ9e`LlGhXTyFNG&(B!ldLr~z9U?Z8rU8svjVUyb&^mgL zUvDVE2Oia91dB%EZGUr5gbojM&3Qi3##FtB?x*i5Jr{A>Q{D&-t!&A}PCoaFUUsxb zE@*4ZU-mf~mweMC!d-PIq}E8JkXH~^J!if7RWO!=J?fG|sAXfwo&v@QXivm->Dl9t zffIN%8ehfDA(Z}$?PPIHsbpZ+BSGwwo%R@T2C@SJ{RsBNYmA#(O&fyr-<;-Gt-3-3 zT6&qKmEgCc-A$WWKI~Ob2OTYco>}lIWOG;Fl3LTI=BU3-;_&PY6F&`!e#zyLtX;i@ z-IDMm3~HU{@vO@Z!AN;16B7wneRLAKwMjip!keF@f7wm&%iS!2^HS3xS1x$dy+w+y zq-v7siC309p1EFShFsBynHwC0n-_Lt017<2a=UuQk&NMT{0p*~tScMK2QMo*{Q;no zAxm{;_2KoR&&kS3XZGR?vtMo{uZ`SNq4^QbVDLUT z@YISP`|=L>$)|hAtE=uOb83C=*8F2IncpwI?B4Nych#1fcsoqTr2(k)QC-MHX^c;9 zj2Yw;lVIUTS4ghtT- zj%Ll;yZ-~&_V9L~n!aZZ`^QoALCko%Mhf7{FzhYjH!KiqscBP28CKs2X zA0lgETFhKLAM@LTw>29YITz3BEe0so7Cb>kt!Xiem=f&Fj<9+#g&yiY#H$E-Y0Y7w z8s4-ll)iP_T-E;b3V`ePByfsQLSl&CXc3G%Ynqf@oVPp{xfR_-O?PbQLVpW6;xzTB zMU>M2xA*crB@2;3XU3EY$`J*qwl_q?u+Au5cEu#JK<|j;AOP09xzhoIR*5$+s?+Dm z2LUTS!IjA(0t5aP-}rE}LVucpSISQO2W7W>V$dh2!gSZ3;;yNjHjx(4vkor{fVn31 zj{D_=-RXjEZUY8s{t<<+n=ySy5j69xixTXZ_8{jH5@e2e+s_qA#5&mnOdnF?57?eb z@qENc7+tta^zp|i8>yC@5GSa_tMk-O@*x zjaU;SIGk!oIS}1+btvCGEa=8v;J@Qq7=)WqkxjSj$H7dwSsSi}IA8%-_{}513pm~v z0wOv+0>5yz4hXj8Rfi=aXDhnbztEU==vIiAtq5l~A%lZ|OMkxlV;&UC?uIc&CN2eW)-beQKo8|xLldfp0D12B&N z<>L4#9_sXNA!UOd6Xybuh#JIv|KyU208R;Pe;7FD%aCYf)fh@rd->0hBQyAU$F@ZT zo;imTwR^c{RaXjTOa)ql+oaPb`0Icx-sd4%tf$<4&j~H+NpDR7HjkP3ujwjC-iuO5 z{$<9cHkSd$n`d0?Lr{`4kIn}L0-8nnbEN*GKn*{3XQURK*XZSgQBSF8b@a62Nd7j1 zxjvD4;~AszT*Tk@^q8X0S?bMQM|Rc@%RD*N4}0#Ud<- z>b0V)|K-|QTTq|5@JSu5tE`_E4oLZ5%f-rc{I#sG-{G?N5+?G>8#}&UvuAcnEC#cy3tILy#+70xOMeE~ z>l|86Am9{k!L_|*F~*d1{MvMOXzDU73TpN)Re|N}bj|^?$fI(=Sln9)`sVF>;;8Dv zWqy{U8s@&W9GmbGF5u|(GnI?dR-)CG5Zes&aU+a$ykr+pt|I>+H_lL(sg-eM8+W?inSIskyHZM-~~ zResqVy3B{OM}0rTazGdl^p`81n~ipJMV={Ol{#dk;>mgnMv9ZAvfxX1>ZPc_Qma?> zsk`+K{JrJ{F3~HbGotB_o)H1pO@*#3Dam`HyvhlI7r0mFOIH0B-(pDE%rI|*w*7)` zfcZQmn%9zdL(Dp0tOPrMakpTmWJm{zHLkaDh`6wPE=bSH^E4|Ta-Zk5b}V~d9yfkR zg;&%hAQH`F4_iyYiF$)7%jmaz1;z8HG8?WGmIs%rle-S@IQ?n zVgXewYnNWmOR`1t#B~;VpX%YiOW%q6Y=_C23(m1pD@k%6#fskM({VQgbn@oBj5xK*e$p)oLUhC9C@5Fqah z$i0w-V^mnDm_ID#FDsJ0dJ4?jIaPG&Y5>r!=*?9l5Km6g_El{lyhuLgB_fX3z{y!S zZ{W>Bj1bT~Uf-!1Bm#3=x}cMIktPo{La75cZ)N@`<`>?xkULiM=Y&&8OH`{y?n^N5 p@!L=?e*R9_H3+a2BJ8U^-46qt0g{Rg|?z*77OQl%uEB9M1 zYxJK;tPO;Z6|99&q#tP$ML&1p==2ht>99CY>R9fdJ7X zoKdj9uOARdSw2*f+Q*nNf{V`rMa6F^E+5re*=iuk=|rIkHBo?b@p)N^%XIPPSX&rI zJ=3kB6>J4;6w+M2(Aa_BR9k1N$|Q{WZ+u$U;7qn%Gg!}uZQLiHxm!}^kopb_U44%g zBic&=mZ`-hfzy2BE-JG;7A&sxrL?U~Vnn&^HHJ|MT2(Y(?Kd0oWz!C+mfpQweg%xv z=_8d?Oh(KsS7ejv*B$a#avr6OR_YQQ))<^4H6hgrAx(Z~*g;3HmVW~uMt{U!b9^b5 z%S23+AAqEj)6u~~bvZn(oXIT|fV`7p6V!st=VB58 zyqcq-qn@5IV5a6rOwd1m7Qn}z4KT10lwL9N(%PC9Ri#rzt5`)7G6|g) z-zgoXElGFcAhhw(A7{W?3*;j@plqToO|%nU+@&{UwJ@42V$|Mx9_phoYTD3A>mt#n zMwiZjiJMS{0I>7eH^qmtw5mebr6#PN#-rxD#dD>DorbT9JORLDWw&1K_w$loBA}OD z+M`7~GINo7#Bq*_aE1_|fc@`>2fCg-@n$y~nQF1CwPXs}TH0P8cC4Yv!KJsdL3;ex zhI|@KWK~VgW&x1M&4O5%6SYYIISXb(RHqCBV4jjq{Ar@XCd~;VtY$8WEn+*tgsg!C zA~N?YAfVI@i0oK{o)Nd<9mMu$E-pOeLlIKx6m6Yq#&(5}-4oAF)B1sG6NsAD0F+9& z)rP}$CU)w5Fj?yQ{RAC-P^d$$H4pw^4pl5_3YmFej*%2Ui04wB^=Zr5RgM z`z1U?Ju*n#=2Q0BA6n__7mnYi(*$=}=?{QJdrFu{t(8p)V*dsQak@8e)vShTuNmn7urV2#snAo2H%nTc~``zQd$6M z+}|%F1NkZELps>i-YJ%8t+*20cnmAId$^Ywsl>eoPz7DSfz)p5#ER;)lKDMoM92=4 zIz;^?){+ij>_83Rpu*X3#~k5AApv!^r*tx5h58m<_icQW%3?r5b}oady@O$5#rabm zJR}2V%-~ShWFKD8LY(~}A1!nnwd~^Va2kDi?H)s3}$6XMfSp9XHvY8+51W23(*Cx|{Fg;3$tmM!TyP<@$S=#!ZM zQ7WxT_TgaL4@-pn3xj1Zl*}4{e_fZve{XG2KL8{DxS(JO?$tQ~_8t1oCNK z0&=IL4$SN7NjekCVt8{^Qhk>9J^Gy!B@gl{O73K4W`q|Wx^t7n<9=s zIQy!DYEDhvV!5n_!Iux^Nw3jd(e=vI7t(={tcCtm6}wgaXhv=k=! zC4S<|AwaP2+Gw$M2QRmL173TEn~9{#ATqi80eV&|p{tqbcf7%^t{t`psQ5ur-ccK$$=2EagGmoP|5o)>Pq5NU zlpqj79pO&wjMz}>g;lt+VjEPp*X~xQBq`J-e->(a`j?|PRZoBcKt@S1V40GF>;0)Cl+3vW( zL-H&qQCkU&2TNT1a@o_j^qQf9o8{>DOJ`diCzEt!;FC$-k7c30&lQ% z^EPSGfEZ_G7AMB8WMG9hwFSH<#w;Rdi+$nshROFBixSB9-C1s34>3dnSa)?e)?yIUHs z=E(0Lc&5MUd7nB*__6m>u)PKPInSbgZX__;;q+0DxuY28aPm7vr3N zwyP(Ly+oa1h}s9lN*_cVvmJ5ywARZE6;2I;;LUXPjCu+O!esagBEv43_e6SvQDJC)hXM9@Sj8Fy@S>IU2LRw?5RmQRlPDo{;A4MKWQX@9|7Xd4MI#;7Vx zw(dK;CL@g9k|#*uWfuzOQZ1^ke(Gi3wdRgNL>a<1 zza&>s<;Sg(wtM;%`A2oH*7Zbl3CPL7Mq>h*)IqGG60-|5(#R6~Oc+9r#fK6JB-o_Lk*>X}u7N#TJ zP}2KuRqQxx9aiQm{F=VIA=(TRWY@JT_w0)NduX{d5^|BPQN&UwmF1~&ytl8ET|d8e zN}W(=dZeXzm)Dl$X#RzE+`+!l|KP%>+whNCZ&v~{rm8|h^*@U)jYti5VrbOWOl~yr z7h4RF}oeJ`v$RH<=6E|)iMV)tF0DK6eII&4?oH?J5m%=u5C&@+L( z5^XMVzwH|JZ)CKWBuO#wNcL9H0CM&m`3%@jp}}YVdOo%dL? zdIBNWa#6r&KzLkrck|R>7@Gcd^DmHSM_xb*H~Ku+uXP*iFqQN!aut9ds}Y1BBcu<8 zxvHgFhNyMz-&)x!yT=^Fxw7A^t&sQcNMHRNl6)~uCMBbLi{yOzri4opYy|Q;g9bNx zHT6Z)=I8;2P6GJ=YTBqrJ;M=WuskI&vS7PZEoGKZB`cO2j)yXxFnj7 zO@|SVSsr*#!ar585&I_LQljVxOLW<_kvUMnXxOcemqngQiLF;pJ3n}ZtUcaCRn1TpkC890Yaqg2vrHg=MutUX_Z^m!ep^~S3VB6D!- zFP|ao_-hqT3oxDh&Tm?Q+l2HJFr|vmK&(4p2iwhVzUCBPW?AJv$UWep%MbEwTqgh+ z1=(EV7hM{`ChA5|fCUkvRWmr=J&h*gC>EV{^v{Y?y{m9Ro(F~nEd&-=8vwwNap2Sl~grSiT>V zh~g817>LXhBG1k`~5vwVzfs$Bvd83@X0M3`2Rs)5k^=i zMz)mgaJQ;8fE!7ZW8$yyHfZzigccR^Qtl4{==O1`C6(%ug_a>9xO}3!1}h?@nE3=T z7!`XjgOhMoSLcY4qT)e{xXzSxP1NN@>dxV_FO5YXKuC8b89jKf!JW1N`zl%Aru`?z z_ACInr4eNe^dMfG%A$_6yeILGsF$$i<89zFZ%yup{8|lYBDFRa)|F$KO!zkMN7zVjRn#E@DCZ zAO76dASEa&%3@uN&4E=0Oua$Q4bc*`uqyI>2qH~g2!aiFbYz%rBl~;@6YNvJOrk#G z=i!vMUA=djMHUr+)nZ3Ka?`gfep9#+W#FK)k5j^I;OZMW1^G_R)MaoTU>>6~t^e+U z4A#%LG%8-4~hm&%e^dX3dN-( z6w;3XtG6k%g}7sg5vj&zQ zfmI4s_7U}S^B0L(?fvmW^UWVC#{{>IpmPC`h{z%=_xr?Mxpvbr?Ijm)bG}Ecv@DGD zQCO>9w~xP|qrqO3e;Z?YQK-#g^>>1h2>1KWJ#k$H?AI$#Z(R*|-7>OA>ls{i{H>dc zfc1k@r%hE?%Ff`q1&xQAf1%!Md;a&0g@>~mY$vjxij{|#*}P~>|JL{wt*_YM{W*AS zJolF0e3K7uz6bj1W6y@{2I+VAa@n(fQjJt&Wsm;2#n55AFTt}3`ToPd&ShesH5o^Y zzMQfdq9|`*Ms?iSUa`x@;~qnRss=0d?yp}zH)^<|!0B(eJ7L;3Mg<4Y`7Z|*!i+>% znjKN?ul8|O0K{A6Mv+VK+`ByJ2Uh-4b7^R)c46N-%MEg!&ntq%Q>fAts ziNcwFsV8sYEF^nA^h%8zhPOv0J&c8Pm1n z+xjzmY@88IJWO>frTUShTB@Sg+LH`Ukm=9$Cnz`6CqGKuUf$kRp_^HSz1CO1c`Hto z_KCgvJrhm9oh%dpp99!=POw(n2Ft&0b6D{2}gv;eZrpsKYn7K zNA;rehs7RoG&8Po>Lcw=`7Vlr*TX}S)q(+z$zUk9QP+?(|3T9T;bye8)#T4H`TEM1 zliMeoDun*DkvOc=kB0W_%dW$ zh=G98i{PytmeETVv0={^A$Ti4a|McAf@}$?Iv@>(;*fs;1F)(0gT8e-58xAzRb4NJ zgn{x}eufkdbJ};l6pnV{!b2^&;ha;r(ln17IF-W=PkyGrgX)LRWWqUe;R&m!nspK1 zhfjTs>7~5bSb>^)!1MVEEg<+7l!^4*PQm0wtAus3DrU?pROw;DXS8F?$ty>cAfymB zn2A*Le2?m?V0+jY?Ce4*M^r>Bk@#Ie=9$m|OXX)GX2`e9#8U$3!4`Em2u4bU!0ZSZ z7>hUQ7~mnU;-b4%JkloC4C;ccP;(Yv*>ns+LvNS30u8Y7Eln|Np{7*xIQCRd;nm?4Ux|0;Plqn z)h*R{N1#8o^kVMjLETkzPa}iTQ<7L zB%gOYL{Omnf|IkiB7@Qglen05_pPVb?^F*3Jx(pNIMlFssGk8*Cn?U~`eN6TZd=TH z5#_YwN%|rOE$WKOB_LpW)~bj|=PHH2B9DID z|I!-ovE@h=bB{!egjxP3KB}Ca3-}+K6-)r0qO>%~x6t60qgk*%9{rSazES(=(~-gc z?tBU#S(O$?ScN<(pThnz4Sf>NW-hvB{05IR)QJfXpd_7CDXZQl&61-K_E~B;%#iZZ?Z93~NuM)Nk} zhw?+l7PytPXemSfZ1RDQ`O0)zq3VXw?kh`c1w41p;9ki0-bqxG*_6fUjLL>kp`rm8~R{=07jgzWp`_0w- zr!Y41UwrLWv?p1D;o{u4e5Cj10_hs+-RAL;~` z$yLc-)v|0J#A=z!>wQ+v5*z=S@7dcq1;UCPmKoQ-SUb4hf=v~lDfQifnUBb`^Hp$LeJ+vIV^Vq-tp7SEyh3pPoK;w(77c2&M&Z4ZV37#! z;JW#PueaLnn49Nzd+DH?O!Za98-+<;*JbX)o_;GBs!o3p;Jc5gcf29}vrd16B}{jV zBds5(AXl>gUnkgkSa|eCW9W(R=Wow$oXiJ$=F1eI8#-4R2ax*@eI9fo#c`iR_5j=( z0R(&kja@jCV$@+h3bL7p#Mvl~IFgdy_!#$EZa5zF7+6*P*@0G{_GxrGtdca)tUjFA z!@qrukE8_$kdg(BmZ5VZ7N6$E8wO1y3MXN(-w2sVl#p-^OcZ<`EA&%Bu0skYHzHOy zhPa^s|CSG6OJ&xvcq!HAvAnqCt8YWWlHQZw=i=2w2Xgc`xZC{TL^<6N}n?Q{=7M!wCu)GO!pqB? zGElAB2Z3V@HS^bq30~tra@C_mU$7nedRm+6R_4;wt4g~NnwY;H@EkfzZ$((QH%{^D z&$PW69&12%L|9k4PUh&goe_V0p8Y{gD%5lC!kSJQ_|u5~E=jyeU}m1iLGvi}Gssma z7H`#hRQ;zF)5acDo28x+3L?$ER|7F??`eu14t%hiVN|cVQTc#nx7%W-MkGsLIc|?% znJwMVTWTv;{Ic;tCOF+slOmE2YTez!%)64#{MOAUcaY^wHKvbl(`UDB^Gp>uEsgaC1~<;)!@OGEM;d6<&k z*~TMlV2lNZ_0-@rS`r1*WFX~EN#CUo&C;;I4{o#s{eFR!YQVWiNr&#@avEC(Z{p36SbPA#f#Ey^*q_-PDkr*>NXOivM_IXy)$ZKO$6+3pLmd3p5DFgF{> zrhni6vhecp|FQ7T8`o44rwCH@n2g^?$(Z zl*IRS=TUE6nlv<^;F8KO?)woza+TG^jskqy_fLO!O}1Lt;jj1w}2y8n!n-gMdS zBY4~{^7x{~=u6is{EjSjaT|&X1x9v$YGp2KWi9mpypexL^&PaTQ*&dD=92|9$i~gckN1y40End3*h= z%9{_{_d5^WP?>2{78CLn&2bx^nfGUe2ETe84_Z~Yp zb&aIemSQp^R|UmyZF9fu*|zRW=K{L#xHuqi#DKHFRR>NR3OBSsUB{QOb?b_K;9hUr zQO43xZZG;A<7C8!boBj<_&;9K9-{~{7;&~L;2-iazC*m9l)A;!S0n3ws#Or#=Xx3Pr>`uzozn_=O}_8G zwvkdfw3o^2cKZ-L(a_tPDB$P=;&sY-E~hzDzi`(rGK7Q^21epcK0k(?{y6k_s_kGy zSzz-@g#V(~HfMu8Z%B-uauHYKG_Vj;;%TLvfMHp6r>)t(BBb^H|2}eZHVSu0E+uvu z#bZVRA)VepgedP7IFV8k@jh5 z+0519w{ZS6)MXaJoL|I!sTQfF@gJp5@So;?d0?c9FE|EC+nxsHknBh7SY}1aNfte( z7J9hgw=upy*O`FV^jza8?-MzP#x2LcHM%+x##FlqiaVh{t2)8^F)2NJ0n0D~U!65K zvrZwl`Xu0k)ad)>M+{2bcYonk^P+vP+bopH`MrJ?TPxQC|h z6n7_roE6o6a!x=*p-y~s-b{0;B)6|zxU*=VCynv~$4;So zbyG;zaVaEC>tnkq{?_h9U&m*ewr0cw@ds8BaWBRehKZQdZU?n@jS%yLhL<}Zyn)fwCqNKEnq=)o97#Sco$=T{(ty{?Gv!;m5IaL1 z;Vu-`0}W%C{_cIgGBh2+x|3g9@1WctH2{FD34idoM_QJ273r@ zae<1O5A7Y`%`C}TpZf|=><~u7W?`pbd)Jr<3BITn-*F5!@>-_*y5JuoB5}$|k@t$! z<|tLodv8EBbDe`THY`U7EAdG#A|-_NuXen3cdpS~gal1LX$Pn*XiJaml|w)7ys}H7 z4ybQz$SCVAt$@3ExTiz9R=v7nN|vm@B>fWu#d8yE#g&}*w0_<@wN0u_b$eWTP6>Ri zShlPWU*6WKd$8-*^Ub|ccQ5LPd{Prx)8I;W2>2e%Z%RiCcr^HL_W&}aXW5&oS|H++ z^xO>6(?#Ji-f23BaS{9&;rdos%o z(Y21EklITKLDBw3mZDlg>Xgz;Q_iU-pI3McFzRbGIOqlF#utJ?j4N+|w76N{rd)Rl zIVGT=D@q1n)%xdC22?F7n~TwhbE=0=x0nSSNiYWF&uiJ=m9{d-KCBCu&UPHECH+-C zH}OFybvkfsyVNT|0cNB#@}m9ynrj8nUv?&XP!Qkr&r<(ns^FR!oELh>>OBR&M zK&@UBgW~>~U7zOpGG5`dlASq9$g=YIFdLZ|eVvCQZufahyo1r_Q;qcB>-XQBdB=6% z{n_y1mh_NR-OQc<#x>dXhZQ}K#1Z$ANzIA@N_BNTC_T|;2sVTy5i50FM$Y_Y4zv+0 zVSniW$}Yai7wTQ<9oE^W>KTZT?ut+fDqYPd9iIBMBZ4q^!oPo!rrJpPn!}xzyjqpb z`*i!jjY-mxl9F)*f7P2Jb*XE!aWc@k=(JonCUYnCZ*-sKwFZ%a`GTe=p(D~ucWeNB z<)G?mZr9lzNU91c6{Yt^eE8p^2oVb>IaJbBy?;^8pSdznPbrB*SSB{T$Vnkq+#z!I zf&u8zdU#n>&Hxex8(efPIGBR)_j!I0q1?Tigy10=vh0b z9Qb4HNYEAObCMLXY=6J6o>hpHcrx=Zw=*##h$|{1sPiCgbIsvgY1y}lge8hKG4XL$ zxq0@!Z+zo0+NMY0;>k>&VYpuX ztrI8S!bzbskJVg?o`LF}QtTATFV5n<6Uf-s@L!;+Ts#_?w`Q8&2wxSsnk0szRiBvl zyyb`;`N?T)IpMCzr7~~(glF13?Rg>HazkIQ(~Dq@57JYTW^FJ0cs+UQN(EW1BR*(B~Z!j%VHHwlIZwVVvysReqpaciWMjImH4TGvL zUWlAKkfax^p`B$+RM#+6JVKOa!Ix{_+nWs1BG$P&&9TQJOB2voQcAe>>ExK6v{NJv zRPc`=_ratq5pN?Qh`7M?o4rx*l*9k>II`Q;xAJJHlUsxr)z$_9f7Uo%JIOv@hh6qW z(?o9xwIaA?bNe^I+;6pDL;yZ_94DWY>i2W^0|AZ@agcZo6RzvjnUKH;td+lq-F5+At{a5DpE^|n`N|$v;WIpA{HoNf8ob~S^k_~may>su`?3iO2qF%l@pi}ZGb@0-e;`gxF;loQEWz(Mr^m|1X zum;EX8lG=^?r~*zKFKji+SX?(9t#JHrlvA%lRE9%nUjIiu32QEUi(x_8wp+z=hQJDk$XU&2%XVKBqNLHC5z_=i_C0@C%*v2X zw+)Z1d+k%2=<*%Lj{)qO-|o&B=RW7=u5Jl!g-kRElo9nx_c-TYW2@kowf=C!1$o*s z89(X|i0c71z9b$0@a|Q=R?%gjo95!9!yl@+`2bmOFS zd{vI(boP_Y1*yRFT1=)9f%icU8_L`nAdA0yXS%f^m$b}lzl<5HctzCN5KTKPBvv-X zO-g5<)k{pE9{X6g^XBqo;GrfY0WM@PzquoEBf?(%T@vY6;!Vy?Oqq|y-(>2Jubcz} zjNj?=_alV++&0ZZq!!(D4wciFrs=$Jes#P*6_M0sJ3OVC7kNY5#$6y7;lgVde+gra;2R2z?0^`l_kLUXCHoU=(ZwU% z{pO`zq>?bP8qkb^+92hxJ*tUlUvypdf!9aZ@}JWXo83!{l8BFfQt9FBtRItceu$YC zgx(_6A$x`9^2C8me$J|OwanYBD)4P7pS&0~;5woho}Sf~dO%0<$c?zeJEuQHmz>Vs zEy@h{e9I)-I1dRM^x|36>WTa3S&;OFIDORzBw^=auV-j-)!c2kc1Ik%&D~JOX{#hZ zuvJmKBmojYlWm%DNo4$}U~_Un;iCqLHio=_^h)Zlyow2g$&m?axae?Zf-!Y{y7y&! zR7;=!lo!qWJ6ZPyC0^IFfU8XtR@`x{2YkgH;U>NTRTj~~+9ywVDgs)g)DI^=l<$xx zybnaTJWwj@sQAM?;H^~^>|@v+2(d}z5>dH7w%sovs#Epe>d|m!3QdxAa7}3=V=FO* zkKJXxGFbw>E+6*NWSfQJTa&G>MySQ<$Ts`j(}GD+@t|t!+SviDt<$|voIDl2@wsX)uuXooL5~BXCnNA zx}1Q=C!3<^Iy5GYWdYVOTr_PNE~2NEA+pj%H$n zaUyh4rWh6{PM2UxO5vpGGE7-HoIG8Dsi=fgrmHYj)o|+ceM}8aPA|7~uJamXSR0Sg zp+j_;2lQ|_x<1pu5NAX;W||zt9ip2u&CGEYbW7%8E1WgmhIzymXGgbZIymB-=*~G{lpOSnQhm03i?71K+YrT^l}=$DySNaeVz^lQxPH*h!Ux0tspaChi;nfLDFD(O|s z>Ib-o^cv=Wk8qFawah0^anI;=%;zs~_4EcN{UxrE-o$Kf!L`!cnC%SQEBb3@M<=d} z-p%ak#r4tqnFE8kA^IEUTPE%ueVFO(oy+{e!!6TSn5#c=YxH&Iuiv;0`X45L6SqbG%iP|E&83bgsI++CiEmXNS`l)31 z;r(+blApda4s;tiu}65Tu-}&(R(^MljSzijT zNd_Kz`oO23IvTYq*Kd~;7Eu-I86N`EAj`Za|LfBk`-7=c(v6W4?VrIcs|hQ_)IJEF zEgbgbYEtGM2N>y152dFPY7VmOVW+_5L(cimwX_44BWuYxlJS^_iEN^hik0kS^0$DW zzo7fi8ynnHgp5d3{{DSp;%Yr(i>lV!xsK#kKuS~hwk~h1F0phHEXOiI^vjx zi@-h#q)|&D6_J{S+&H3<7$a3=NtPgS({hl=_NM4Uz{$s-&PPGRod@Bdk_gr;Rv1i$ zsc(d03uiNc-B?v&kF;FdNx9GCQXEezi734m$mc7g-yr=}CW;e>3Sqn4hL>Os2OfF)Q5XHQ*$zB1;t*tull}7I zi$v=~aAg2Qb~69|hL7$oT&D$|%h_s0h#feMqPsTIt?QdL{KM2OcvHF8z$kGW1{!vh z^s@U}T%~SU_EZ_+(6f8uGGc#}bjpIS6@+63{9&oiL{ErgZY5ko24A&2{{SV14ClAg zse^~2E-o+hW?6~u!*?Y78C@vt@q6LLd-U|hh)(D~bo^zrdJVm9bG<*K^O9beC!y}z zfAZ*BPA!9njk?6udJL3WA3QPm_}~x^uDzA(TkjnYs#s%VKp6I9RasB7KY&&APX%6U z863vH603VJJBNmqms(r^Bg#>hhrsxkHkP_zFsA&>;bqeOcm&8!J*hOA{QiYcrgCz$ z=+t@9wcRXbA|hNEQkXCDDX{U=PW@ZIPYXWVH#h}h1uuzpU72J(gF9VW~B)A{2MctqKy=*YOp3 z<EH`|#O(l*PTSGsg<92aQ?{^OGb{IhJo7Llkb9ETp990k0AXFjEivz?y|7(=|%DvPLMK<=pqLzJ&0c@-3S z_v>ldN)BiZwDpj$?s8>g*JZgRa2Z}n)xg+6zu2?P4zLqa;VTuhE_pncgPHn`kA%b=xWfkGUFoxMXDApzc@=Dl?;y3c7EPw>K$ zBv#>TS#k#=-G?|%KtT4{_D&g&C6LP_B_Md52~6IOK0qEspPZ3A_3!`s9Bw;#w@F)8 zi!hMXna}qxK5_mBa6yc$!wBjOQJ9rlYBox^+9wmX6M)c#yWaYd4Zri;If->V0@Z&w z`ibzLP_^rKb8Y~>;PhCXnNgyn$+o6if7m-T6mN89ar>It4{!5JQs7hjlf|JVgQ#=; zqDPuGYtF-M3e5Nv7cfXU+OvzhD{wbb5i+gUJ} zbKllH!5x;(Fa4d3ElTadb= z_`hJ0ltQfKhs#v+Q)~JMFRPNIs_z)9I8{Hn$~4MW^jr`ub9V$RVW)WDaprP_Lo)kU z6QVIoLvQ)f-TQrWIVf&7iy`^|Q(^w^$y5a5Q~l$+qS*L~$9bPKPNX8J$UODFXsbv3 z9N(Az=TEu6sxYdh9BbtSJa%Njg0D2RluI>O8jInPN#k25o{ZdW4ndG!1)r|X$`e*$ zOuf2USdvo+L+nfV(F8IwORWN3_Ma?%WG1>LF}jSOBETc(8%@h7`{+HZ07YfJRK=UJ7X@AGK>*}#<+;`!#2x39?WHoe z8vr*LSU)KqyP*)P5lbOGM5(uj%c7Rafg8S42Z8^(ze?=2Z7Xa^iDGds8=xY*V}3vT zKe=oF-Sh5KI;RlWXY;VnOlTeO+OVn2X4sBEEfEl}Drd?G#(BNHG#CDQre#kKR7hdV z0qY2!PyA2c0(Nvm=aaiaxJbsz2sltv$ROU}=W5$k=i@f#S7D@*s6e*YFWp-wviC`^ z* zGZsXxGz2$(9WsRrcsFC?5IV4BudV?d%C3pFd){M7-ndR6jy4pW`sg2)VuaE|IzFqh zKDqoT?c86xn;|5InP^O^JOlJ^5Mx7e@1b0f71jI@Oe)6zEA64Fm34N!>idfdUOdHK zLRyj32X|^z6w>F!0l)>hn4NzSv zyc3uWrA~?Up+UykSPI)+4k-OB>x9#?(u z%H+*WoxQnh)tcupmbVVd+4@IU%iGxMb36AsTA)QMd&+0gh}&ZwN7_-RPD>RVTaD&q zGxyG&?gXcwp`Ny?J~L>NyIfsRkrepN_2I}G>0&C!W&Tv!xkd?tk45(=(d*x<0$RDH zJ>mw$!)qXp*o)fH;i_`OZ)*KxP~fGktKral_BYgstKsO|VH|wx9p7b~Tq~Rw@#SbV z0F)j=>Sd5mkCSwPkO0CO#6Ass>22hYjN{Wucm3d-LN>96R}HIWlvB~KBTM8sh_hmOE84LfYtH!w`}C?Qjo4jJSD{sP!|WX0jzab9nYMt z511Xfu$kI?d82Cze*`#rq0;pW52|n|U#%T}1v+s^xd%?Gw3&cyy$rfKCgsv?V(y99P?s4t15+*m!rp3dT(*pTo+_r_)-dy*);RFiKZBr%b zjahYHNoGN>h}$-x4|f-=kmi$N&@KKt+{h^Z0pRG^8)DLBRv4(!>@sP2CG?rBCTJ65 z25?A3V|eduNpt%C$(E7GStO`hAi|+32cKLO<{g4W<<$U`d*!OU1@44&T>8t(d@bWo z#XUT&$p+(lXCxN~N_+HC)f0eZ4WVaI>twR~f8#!JIbn{o1R`nHuIGz$QmXYskSumJ z$Dg}Eb$Nj4X5Zy3V|pASd$gj2IT!zM+U_Fut4AX^pj-JbG>+ZnH2Mwbj~Klc-yrFA z$xFYjfA`BIlNxC3zrsq3+0iOO9@cg8Q?K$R@z~rc2ze!E+p=k1OMq3eP#WllHgfJD(Tze59K{<$;Z)u%c>{#V~PpikAAY_*YKm2%BA?Hw)#Rtz`wz zlF!v&Ubyqw6sN8T)-j~!U9DaYd&sm7t^#KF;va78E)m=@G-C6= zI?-kPqHr$qN!ELiTC#~mgux-ZWVYnMKy8Ob7_6NXCnF@DW875|*etE~5z;zrDBbwV z0@2_H{mNGPm}01e^z+H}nUDu11^eia3pX8stY8#gMIaMPfnRZ9dyYoE7Sl z8PWVtYl+K8&10B&)weWSom=Vu@dJ?rxWuR7{yF0JngWJKr>{&It zJ}tdr8N%3=-3*QCvn*tRAcAvYLl-&IOER@PJGE<2X<5S&e_rD!PJ4{<2ZnC1bGLSl zrv8P|G<~V`D0Db@9x8_^!gNM6*+gSz-k3)$!(t@Dhv*YU7l&kaPV z%6DA`xI~5a>~Eui96Dx(nLK4UO&OR6cuQ3!crI?bG~&}$a~}d{!@s|>L6uEsU9zEN6N{|A4Fq^Onq3jbh@RCB&%A@3pbTZNq>ym=HczsIKCK zIPp8moP`ItEeViZ&}mb0LJdPd2!67@H$|S0*whB1QcU=s2TN@Dmr{P=mT&wzG)1MEhHM|#Ud3;Lg)PT_R zCr!kq2E*n>y+yBD_q@d;1F%gTI+BA!XiVTH#7RNfH_Oi8sO-&tiCpSnQaY7{I``h| zP`;l|QKVgX4-8~?DyiDCz-|gxq?GH~SQrsl*t;tW-Pfzpv!=F>v>!;$E%imbuAY|R z=Nqa(2pA`J40U#Y<}QzUs4Q%svi-SGweWcB1o*4tUIcmj&i8@V?gT$X20-xTz6M$A zj}n)ld!v&=-5DQlsCial@!}d}**7iv$8O6?uHGec)90M*36s3)-{$q)oNBPqOXW*9 z#8*lpC~Dkv9U{q>VrBJ@vypa{SX!siN~z-DhnTMUE}~Fri*@9IW-5y&kF>q9#v8TP z1v8piM&bzlfsj5KO)Y6VhvQpX#gUMr;deLcgw*zl(CR_AY*wyQ6i%B}g1StT#;-?P zRg1a{>rbhcQK}yHEWcE}hA^4@NA9F95Px0n$XQ}+moe#7ZU=$rXZPjIoeM;}IN9@$ zSyXMVTs2@C(~#xX+I3SvL#%`dT`fI8oVq)utDQN)J*(z0m@f|uTOTJp;SE~vgb-fy zx|g7Iq43mn0(2&L=>4*Q0a2BmPRDYa1l`Hi5-xWH`%jIeE?4QwxgJs9f7aOi;^^R7 zQ9AUcI7xh~s^MB(P!Yn>-e5qOKYIX0RG9FBuk$7fw@QqFjbhrjuPV} zDs6{iAtx#z;g8Yb*kYL-wQF5klc1?Q> z&hlLNLms(BcORpaKj)xDOpE6}!?=3_-kt>oP|H>lzZA>y6@K?5*!HTS8hlO#>B<}D ztp@PI>lymzf5B?*aapVJi1@SyT-8`py$DDnJxEs( zaQyP3?jRVfmNUpb2$alvQ(gEylrI`5wJs}Bq>kSH+a#LAKlKLm%8&~I)E1$?H1z{| zhRYYhYPUs8u!~>9PA4&ZWOhLTWXeKz`J%Xex559OII-nrCb8l}Ua7xg)B97C<_`Uw z$1VN36FnAr3C zG%OU7lL+W>}!P8850o=OmM$zXJIn9Tc6A}7)zA!*^7Fy(8 zjVHY8BN2istq3`53Jky3XVm3sH6ITwZ8d1v?lQ4kGFypd814(8^z1g1cq{IzQGQ3= zg(PWzJ>U+OM7}2ACYVTciR>+3+9iSKZky{G^L!=oHV1Abq=fLZL%3n) zZj!)8a6uolBX|k^FaXqCNkY`Dj&9}IEWmR)_WSE0a2fp5|6voiP21(3oC7C$cjG*4 z@4U~`!CWayLQ28+N|B5>PgXmJ!NP|Yo`7SLlIqe#Lf$r< zxFr3^BuN`G=|nzo5+{yAaRbD42t?UY4bi5XuE_i%P7Hzr8}&h z;HmqGH4*Qwa8tm- zHTu5db^sqDq(1~{S9fKLoACB8SSuwB2`1v?c% z+lr=y!I-`Mr1e$>_Ohq1p1ycmLRkI6$%BMO+y?d5ez*zi0$LBxH^tmqO+b-&H0lP_ zTB?fspiR5QJxx2sT`4>rt}%Cd5U*~>Es5!_GZ0`Htq$swUfj(lz!caJSQBFG`Y_`e zafm$PMd^BWT~PUM>?r{7M}>R|eM?D1&C0u3o@H-kYa1}?g!U3ti4NsFe~fljVQH#AhRmoB zS?O=yxQh+Y6t3Y(0Agdt>({?p&PpMYR@k&h=4p~+$V_Vo!WUALjX_t~^`>;XjXBa6 zIK+5w0fsl#o57L)w|kt{Vq>r3FNEvF}|eIrf3kCTs&rovxlHiV-Nrd$T- z(BBvmXx)?gC3$rb18yOJiJ^nG^`cgob3l%l$M{*=6q9qO15gWQyUEy<1MYXXe2)h4 zyML7;38?|e%hk zx8B`PUTMCVywz}if9{mrug}IxWNXkLqo0mVjZI%)|2c(Dh*=y19Df-bHAC0#-Dz}-=F=i^xlQz!Ct1U+qTRscFsv=3fuj{rq>Icqhc5I5Ik_x*4lJ~Q=3oe z02HZ9`BLQS+|7xK&I$mu)KctZR?A4uxfqaEd-iBg?v!nD5U`u--(&kOSg0eogj>8E z*a-!g{t+o|@&Ahi3|jS~kXBlAPp6zt*FlOMLs9VW33*d_y=(?PX7!Zsc*~Or6ip-u zzu;)qT9*8`IJO}A0|g)cPVO`j6`ABPnE#0+Q_6zPoU^jH^!zBJ6k6gRlJNwKEhmOo zY^Z~%BwF$7;@{P=SP}zVpm61DvNKlzo22B4Y1TTk3guX-=xh%Ld8{wMFSi^BOe48` zJ34!8VV#uM$%QNIMIY;Kw&bjrpV6fZeLwdKx&BzrK&i`0muE2^skCy2=f3eb^UuTI zD4B=Vp1YM4J2IPo4{^km1iT)Ekh?*h%u~m<8_ZVx%B2;kNI0=5d75$f^&*Pn|EPAO z;u9V8%I920jWhzsM!*63skNh_et$nlVsbxrxHZ$02w$4O|FEwlFw5W9i`dERCP)9|PQTU_ z=E=m&`%#;tsnCdr58m*&fmDmT4>M{wP$(D&u~gQ(HktH6A&JOu_En!)!ZB^m=J4`u z4+OR$D0cOi%q~H!qn-5z}-A!Q9su| z%)w3CMLtsd&W)RTZVmy=iyhVN%b`(s#;HfxCwF!nRJs8_^evPf9IK@C=sYZMo>2es zB;@h76VCz2cc6eaI)sZoPoC!t6Cr=2!%1Tx-!Bv6fO^kr8jJd^-BO>y2Mw471TDjO zlkEb!t!GqS2+rB6$n5zB$Vs$1(0buGSIC zyVbHt9{1oJ1sp_yYm>}qW!;sZIXM9DhS+@2yu9hlBj`RDpF%&#A76lJ8WSL~vC{0G zpGci5qmJc_UqXs>97MR-2?$AzWQY7+@@70o(`{A`2~1u&y)b874ujemDHGhdO_`(F z;~Kn^v3{W5QC)GuBZ9o%+fmES3;i)?xOIEw!@r-^g+;bKRD@6?R4R7S+-KL^cts&< zb60tRiGF{F6M9;7DNIv~4 z5D3VX$o+mmpcajtZib z1?JJ+?esH@`YgD`C=|cwe|%g+ZZ0@~@y@4_ofUG-W!{mi81IoWe|h~7UaNgM`GHnV zL*5bf9&h>oWbHzDNe=t`@&oyrPP`-L2ygiWS<4V!pM8k@K%iy>?@06?Z+TnU$PnJR z{R8;{J>8hxu3tR!1B#lOauYWk(yn3u)zx{=dui^gJP=R!;&suT$(3JEsbFxrIcbGC zkz5EWUlE>OBsv`K&F!W%b8eigXvPX3dOpCuJ}-#>><#)n388sM03PEA;kkH;k`i33 z!?Ve-4V86NuMP2bz949uxggdU5kq-XnWF*!ctF+?(bm2Ki>Vj85MB7={e_4+IHqA~ zvfO^PWn#4s0WijATs(|fq< zMa-R@{Q1jBJypxWP!vJ3H>Zt*sSS;~P#+?GQPUbvN1PY`fwu|>LMpl-E`mQDVm`%$ zP&8^q!>+qo(Pc4+aD6^gF*=k}J=8(&eU;%KIo5S_Z>*;_JnDo4Xc{ID$Ew~wLtmwM zIeTsd>DL!yuZ;zijxbE$cO6@$g-42eI29|zhmw>GUnp%w2PY@Jr3Y9kS-fqQSaMn# zd2g2ND=`$ZWc(ye@}+RTaGs<lO2H+N{!dPA2uEGX;z_vQYpn;l;g98>OG zS<6X-!1Xq3CzG;Q4aOBnt?N%%X7;1FA{HXxMc1%0T6k7Zkf9#8X}OG$(&W$V+exg@{S^*4Vi9~<{q$sNU~2^!zg zexqx8`thw|5XbJ@-|%9ifp6~rDlVBE+cpCLoUvX@0Mt!ERJ}mt9NH+H7&7mJ4@1$i z!x2IN=_nT7FFX<-8yUDA9T?`%r8-P-)oqX#!{4llfHmVemHXIG0~c5ko*}-`r09Cz zOM!IwMYAXPSRa5g*VI`&04K*(xGk?;?IRD)3k*49i}E>xx+5-*2LPUX5~S5O&ic5j zPYDb0c*iG5_PR!Q5Hp>J2aGRG~K$#G(X$&`lv%ryPp=yG4O z;7A}L??0T`b(bNI2XBmPSKy{X6*9F`;Q#?8k3hk~gL83CqpL36$tZ#;Gs@b6?zWXE zG#sxeZ6#9t2WT`rC(HwWAEz?oz&x{{2>G0>QpAZHiqA8AKF+k;P+KBtO#6QBN8y99 zvHfELh4WOj1Z`ClC7AV4vY4gQ{1vQ5Kl!6V&8VjUmE*Qrc|?gjS0p&Mn|r`p0Tvo? zrTc$uJ5BVpyYNJau1Z2AbJ=?kui3M^qNh9X$iC*=bC_Z*r}Hv!Se4Iz>#s41C)S49 z$OE`K$@rLl0D)~alH8}Zs(&8vhN^_8fu6@z-O6hn z#mU-ZbXrZZdz5^EEGaL~-M2cFoe32BcwL03B}D4VsNMIwT#-~z1;nCZr!x-PoPqD` z#RwWTbztzf7T}wUb(s>6#=~sb*|oW{{76WS=4mpx`u2vxlF*YwY5;brqefw%agc|} z?8&4tS44$bi0H%WbIl!1U_S@9%-7#`H{+mWCqS&=*gVtNqc3$Z52~3n8};q@umb`h zWdZ|+4y61DtT>bOUK(}>k;k}miSfWuou^b{_;JQ{gnG`LO=eFu=;}@Sv)&hh^TFW% ztU_$C)lor$Y+(XBDN!*OqP)`Y=*PAc)__jFEDCjfIe_jB!_eThuYYBG-Pgd+?&8KS zV)tet`-`Sx3t&@w8@mOE4PQD?F+`1I&Ksc%FsacRSu9?Qg)S9u5awdoCks}YFAcve zgI9=IhKs^ZGxx!6`icWQPcX~%nd^Jg*c^y7Zp2J3%XYfQtQ ztaNUt|71Mk+Wps;utI$ja&CFY`6J z`By*>;{jiYCc6uhlhL(6)e`Xdl3xv1A(x`%eG_%5WkKX49Zgl!jR}0c^)wu`8iK4%0nncV!Mh#q*c0U$dvpk)P)gq-B;ZUSd8fxLzy<1{V0B04aT8 zn(+$Qn6gpzDZ3i#G0#~vxmb2K%^>Biq<+SrMp_jx0h-n7GIfw_zhRQ`;A#1?Hv}CK z@%bO=Ua?_{ApF#EBheg^?eicvlA7M&Oi6c1uD`!D1Fqkx&24vnL0$!u_xiw#`@+Wz z7WjY!_1*Zk&3YA1TsU_;IxoeU39o0RtL&ZT9$>rP`v}U*$9Jq=XGsSxHH4A}$&onk zyvWJ{)ky3u`b~+QmYa62Fk0!g5QjkSO?}<`vG}7O3)}Y`!K(K{R1YKYqCX-GRR@ES zoA3wsB2Z?bzyngf)39v0|oEHMD&nX(=Od+6HvYEim|gAbs+5+ z5INuvIhPFmJdaK2V#Pbq=I_P3{C@7^#l?Gm7Z>^LXJpJ{dBHJgLm%;pQL_CudqBGL z*k>@!YOB#ThdRpXC0d@{o|3>=W|>`iVPQn@{Pm(lPr6<65JV#C^gFaB`}Ac|uLhP8R9Qy!-{= zRO%xc@*&3m%pRclYOsl6P3k6bB?NYfgllwyDl|FpRVdGx64;_yAy6-p>>N51mvppA ziq(R!^kTq$a6QxH<5K`QvRU?Q*)VHYe&An2^uJaw@ax(mljjc2N;Rew4O;~wdt z`s1&qz(c!so9|Z+hB6B#ng37>o<@+^p&hTQd*jiKcPK#Gl&gP|OGIP(Fgd2d2`}^#h6goc{qlpq zv0KemHHXdRPG$0}82N@(0Nfi6r4v~#y+ZJ8gKHTrom&|1dZgX_(Tcst@d^^cHg*RV z($OgF+pcq^lUQz6ts+2Jxfyd*67YC3(Kfei4}3^~;K>F*^(*MzD+c_xN9+K377(blHj ziS*L`8WH6RVH_$-o02G~+bd&Z3Lb1&+1TJ3|DL2o$;Qp*Rjwkp25X#rsPAZ(9>ES~ zSY~B+xe2aAY5D1uZ{RE7Si*R&hUM<-4%-So-Fw=3iN;S3eg7-GL{NXPexiX{6%_@?` z@@sr~IsWw<*nup~dZM>eS|8<)`$~|w{O}?k3od_8qEMhG0wpTkIuY*@t^p!~b_S=j#_$q`4rAmwpaGYkh~)vd2(4O(jdupDo%1 zA%7MaCctIku@}Rwjo7@G&hDK`F#F83+{nCC|70Gmz096V(7j-&fX^huL1~^-e z8}QJULtz&2ks0m5dNNP=6HLE5cee+nP~R}M51HV#hZ= zPxy93LGlW8rO}E z(c%x@R||6+C_3sAgn9AjV79Xs`zLOB9GdLc_T)_~1H;_p8!@^hlui11Hk%XskZLsG zs}8q)_1;hY_~|w1dE)wBtZ2{(x~c^T*#+reHQsRjtAjPu)&^bRJL`3z0>7aRG<7pf zF7()raN%Zs_hv6S^THZ2v<>#olXB_U&Rm=9P?Ok>*K+;gmFICb_YH`ks?j!#-G;cL z2L{zau6HWE0+mPz0`->21FwHIyXG~HyJOzBkm2Azo!i%0_xMZrWL>%4o7~|Iu6TCJ z;lHlu2@bDrYUj9KxDQ%U56j5Iqypq+_AK`zNMVdEPZM+BRGDfRtIJcy=u-_q87b2s z*5b*#5FvwkE?MrG$NffRdv9%6)!b5?vU+A0c`sHl2u$iqlbBBV%GN&aw=w3xgoyUe zpe>o~4~A8FEUU+a!0aWc01x;lyrQVkYb#+*To?G9r&<}j|7Y+GaF7I_1$Y*G0DdBM z{t5eT7ML{h=w#L9#p!J8DnS+pGcNe`{@YZOM{B?3Es#|(&9N5v`g2Es{_8PLffVD4zE5CWb1%$`LTwPYrh_M3(k_CuJ;xTX zg8icqVDTJ0A#E_`T#XOZt~OgQToy|1uOmUQ)q{wx-=OumJ#7P3%|k07iXs-)2MIUh zU(vR?Iv+Vaq_zJ?qbwB|KrPE(AxZ}R6P&!kUkdr$&mNakuyiaoDXWO5fPXvLPNxfZ0-mpjb)tqEU15<=9b4Bv1Hg;ltg zxT-bT0V?J#z|X45LG15G+t88yS0Ik4{+;xjozylq(|XntD>r?arLY8m}$>CMAkT7mg6%pMDHA-)(8Gf5x!Rd zBLXWP7LMdQ-Q!(m+}rR$3g0W2)M08sJ7J}&eWBuU{;o#3sf<$6Q(M>kHQDIY3xP5w z1_jJ{^GKX4Z&LONH>+0+$#Tatj~)T|5>aunCS1Z-;q?`GolX!Jqevh`^h;;8A}# zqr|ak!6|BsJtov6doi!T=+Iivb0)4{DqId4))HqkB1-MW)iPiC^)w|33#2TKMNSCu zOa?XOk>FNpK~hyjcNe>C!ja`a!vc`8W0yG3QkU`_jyFT15N(irqrB#%^FomI65 zp5D!`*7Mp{k^@P#C#UMQs`%z%PUZv&c_}ia>0@^8XB`y7^T#%g4Qn=(u4mxq`Ol0@**ak&|&VhgA}!R0J_ z3u>?0p18qo%%KH9grCJ+*m4R&RMzwFd>S&A7)WLXIk6RSK^BEQBZ0fSJGcWRi}XaJ z2NHXBId>XkSSY9>TUU%zf-d8(EQY!|Tx7Ff5U;s8HH0BhZyk-Iift0(Lj8Lck z*NloDTsbhqJ_q;dk-YLI?r=|SC0Dg`OmPfM$aV|zL@l@(7M4AcRd&MW;Fd9JiPZ5> zMjdZGpd}Jz`Atnu5NnIN?nn}J!0F*R_%dH_{#dy2En)i)K++7IQ${nM&upvPDVces zd7@@tudrOPFB)*BW;r}Z8Q^Jz(Uav5Z=U;XLfO3iQE8^*fgwA&B>nmz(&i(9{(+j&O z-`&}h15UC`#z7EHRt#yU+Hov$*4k~?u}oBWx6ouDqcp7OKw;ip@cv()mxKIiwdq%E zT<}AY{Y9&v8orfoL1HewIHivjqUm$OI}_QIeW`yJ}lAXdG2 z-@k>G(rk6xW;X9DppRo>A`3eH$6%J*sWpUtI_G)n5xVqUHr%~&V)k5mxqT&ene+3`)hHb+BFi4=?Lh%{Dl2SG$N<=tt7I}ept8{Tx;<#7 zWAi|+kmE8riKMfc{x9I{ZG@waBGOae)hmX_Qj7b0;g!{S{tu~G(U*1<=EZ~DE`{p0 zPGNp7-i!^4jx;;ysl{IIbm5>T3aeKWY!`ZY(O8z>%=IiQ2lAMwUL%SqcS^Nti}{4AOI**KXy(kI@3ml?S#^ z&-RgJZpjIZBXBL^^Hr?{#|H>H9A)vI)x+%^AcQq29 zj~efR#o#pqw@yUnf&8vL&YvqQt*y9s7z3?cpAAZS8N5$PrK<0bj`mMsSAP!Zz+j0^Upe2Wamd)IFCqR(5#GDX1=@ uULpIkfsc(_)rBJ!8+^>~# zOUosfBn&NSM7Cd#-}{gAc>jOSAFua$KHvA+dB!?<$Zp5RfKvbn00UvtTyBT-zsvuR z%)g2R_)skeliPyksM~T6Us8$(pirQc0qqnh?b!cy_pc5mUKpMF{o=D)6bc2Chhtvz z0Q7^DBX5s*1}F&d@bJob2#ZyD^hZ=QfNswMPJJMtjhh@Fb~-90P?sC5lWvB+K+Yg1 z0k60RlL-mQI>{01=|C#7DWx{?M55=(girczx|T+!{KBB;kwPy$&b84Ev4?bjK=ky@ z^w@{Y3=GYLJ59smCK@95brQmofggz2G=6M+(t22A7Ix1wB`G$_b>9e^8qv-lAFmUY z&W+N+`o^40#gf3W#9_UraIDEZmK=S$Rza_k6q+g-e110~CN9I}b60QzJnpzwa!O)j zB^KJ~p3>1eB^lk6)P6Drq12_1I7ePjj7pQ41Wqdsmh*>XrB#4Dz+6v=FO&ikfOD;Q zZPBYjPlUO%B5I<5*muktO#G`XZQtPt^_ypNJFXH6T;t^ic>DQhudR`Ry=Dkdi4$z2 zGN^Cpv;2C4mF;wpKzAv3lI94e3Fdcj2|O!k{`^5A_fPYpWGSN`wyq`!GFVR*Xcb`4 zHFTu;()2n+6RB{Sm-G~h_;xc3C6Uk%5B^Mth-aaimw|o^1vTl}A3MWaIWZ%d+XI=^ zK`qBzDvQUCYLfFs1zsb4L7o%YE#M{?7P?QD5%es6(=-5<*+VqrL3){1LS@ZQ#k}_P zrYfKIiU;c5)wI%CbJ#Zbd|_!agQBB>r=vF9;>jAEK(mcN+zih#yAQYVb?a2WhI?_3 zI{uS{hX?jQpkw!dlEyP!RoIiGPvMh*`IV#ZcicZ+BlFs-HAv`Acg$*1`-_JsOS{fs z2zNVy^-AodG`I9~O*)E-Ig`d+J5biu3O@M4>Yy*mYI$!_tr9a+kJ0a<%dv_-SJ&oy zT{*M`+9QZpG9ZwQ7GXg4rigS#X-9lWyQZIJR&m#_yUoLhyt^%e?U-CRmX1NxxGWqM zn}LuYp&Gc7LH@GUucc}5;@2N5&7ez30F-P~=Lhej&p+YrR0xYLYr8Wl%JARg6AJGs2T- zR+@WM7Il{dYaU8{RZH^+$DH&~r6U>viL$BlrQ%1`!>|cmD1kZEB#lyhX3i2`nzD%a=A))^Fus$ekr%bhFWF|%j7viH=3HMy&+RCJm1jr$#)ThG+8boj3SDgoUyGTT z!m~f`2>9X8RcK6wutch~-!!6%`MVcS8u51=y_7h2CZ2ym;af{kqd=eOhk+u+;diw~ zB8~4*R~h_m_`06Y{5RsKy&~U-jnB(YG>2}~A8%Z8VDyDA_m!?LQTeBa5u->nJ>T5` zCSdXmd|YNY*Bbbg^_yIz^WHU1!0c-!FlkHav@`z*&E#^4`Mi7lYEoO=ru0vwV?hTp+RX!z{-J>l$iC@8c6MfoL|fD z^vlRUTd_RUVdsuYgV~b%@BWxY=!UzZN#LhF@U{e6n?7?BpfYNSn|*fLkC?1kQtLURB? zA?9kJ7TYY@k__UUb>PwMxIEu1*`-?%23=9WOGF&k=eG9n@LO%>|8OYXIQ!F@mImIh z+@Wq#GMOXG1;=dclNw0n5p;gBsoH-P>ecF7_>aohYSQv9-o@k(cCW z5PH`Fru%ml15qb1(r~#rBqZvZt8kAf){Q2Sa|(a?TZ3BNn%>|6!w+j}WiUZBQ0J*S ztsvjQHRAaX2jK4AjgJRkm&(4VUhk4*)~pXYp$Jh3aRS_7xRxCl!r7LU(r;|%_kg=@ z*qoqBPSWvgU2Kq4xu$la@6RKyK{Fk}SkKG>JSkGN-^;=saK~tsRt7@*(1I?MR484xL*>_wFRRhYAsay;w_Z9 z5qTZNlk}r|K=FX5k8))BEksH5F86rIv0yjyTk3#FM*(ss16(pyT~nH|vbuG~rs~*{ zh;IMd##4I&Z+qH8qGko$_Eti(_bgyz#D+6gAZM_QMZ__#K!__wHad5GQEwi1L_)OK zarHYkpKgyF-ZmW}K2^`&-iB85T_O?l(gSNu z{Xn-&sP2u^masVudb>HO?%0ujJ~L2W2Ijk;(y#ZJDle`8`vPvWsFnM@^uOGrJtRA{p< zs@_}Le}dgBTjD1)EuaNe9ga*#X<73 zQ0!OM1ocxgo*ZmKWnImnk5A_Ba*43qtRPK-nE?ud9hmA;?g&@BkcITb42eqEORVNk z-4XuQD8em-GYjZ*0%7qM`J+UmPJ-dYsJBo-F^}SK-<-G082Rq;49Od<{PFVkF*(-Umxr~{!R7RYDa)b&dp>_q-<)_hmf>)0d1nWYGmh(TPxX| zwz@e@r7K5Hqp)`BcQ}tDVOPE-_m@s)_vM#0Asnae?y4?{^p3ASeEv2OfZW_+_{N8B zXtl0stX%QUT3#*=S=|zS@ytBoTxz3cUHCfGTy2ZQ=8}jNFnt!noBdL~6^hpLUDYwx z#FKxZk@Y-dUEjx!?r&!=?QmDPbVn(RRq(>s1k zZb!A;HKttOx9;I{hi})S>%imdIIei#uFYcLCLK(){g$+~+O`Q{|M{^H^`&BQDPWGF zKNpBy+LUFdNIw%x{IQufasE&pUY2>ZkxXH8jkXX|n`w(tT*g+N{&x1(eMME98EY< z41ZhMt1WoNz@iXk*NiS~6eYM&`54SGWkfXQz@VfIXa>oA+#q?>5p0ZLUf>tqdBH=` z5@&;pUN{*L?1;SvJ4f&=tyiI2Vq>r6D$(eNBJ*``fOWDof89=B@gxgXa&z`W@kpf| zQGqt(;FX}b+bX~1AM}##r%RSu3SZ49jX!}*>t!CX)OeWy-sCt7F%)-E_=T~rBNJXJ zVV$86B!Pd{s^I@%n0g@ z-Zbh0!}*)J_rhM0Y-$TVv9)&1M_u1Rq(mo>Mao)sRINOCfT+=q6!_ZN21#mdaVSQE zRg!TtUG;C@GsI#1yzz&qbQwAr$op7Nj#Z^vGw2Xe>F^|oSVRO%x$Za&jTV%ESq#KS z%mzB_!n;eA1W@GEyGnn=&zp9RACU>YqBeVmfUwY7PQ_XT6daZK#t-(2hr*g%bf7j2 zF7kx7xXXeCH!Y;R!QxBOU0$f6k1#ilr z1>ZL5I^0kEIA@>v$|8uU$q|*YGY^?QgQeY|D(G29r)pXpoJn=a z(oWVO+Zw`)iA;1fNA^$X5Y zIyY4p@7xzsn%szwTEHd05R+c@YyBcC-}QT|sj#N`XRwD%3Fry|_UAco=mGH3v{Com z`nvlUXba*SN1 z>Mef|8Dq$G&u8thSwQ9;pPtQvTPCqdvcakHTNZ%?wISA(sd>)dwU~lv5S0U8apJBR zPm5TB38MU3R+(v{8N_K`;V`mt_PHLpJg#4$r2BI|#^|!8{SW-AN=%lASz1r5uYf0^ctbl*wKQH$`C{I{3laLiRCmW=6ntEpezcMKYHI>x_6@dK zLXHM1O7#P-KHQjS0-%qDV%sfB?7CGK0^i*`I=;-WCFYu|9YOVPXs`> zVRDxpfFIg`Pjc8yM3njI1NKc(W}YeQ1fK|py|Cm|*ElpODeVv^F)1O5m^!7J2D}D* z!@_6Lu}P;>ftOC=wW6AFiE8afk^#KlAp(zHN62S)V#0S{b=bh!8-?uB8+2SSupbB<1C9dR<^aGSINX>RmowlG$fdseoRtB5h0WIEjJ%~~PHTRQ+Hh-ac z4cxd<7ZiCA<$dxa^k*DP$%0JH*+s*Q<&A|j;SNs;*d*EQ5LW2ntnCAZb7E|Uq$OF* zzs_f@Jvy7)8^Q*z|8eHEyQ7!JwgAz=(hzzcoSKNB!#eUzHzkGdZ`N&^8Rf}rPLcew zB_TFOq68gaZYi#7DN9rCHhM%nb&9}|$=yScc<3Y2@qBEcKA8Nq)(3F`^5j>X&_qm) zR$ha2S4q}3V?~oY3t>K_Bchs8SQ}}4KjHK9E4)|Yk&3+aq{#-BO`V7k*y~Q)raGQQ z6+SBXkkix*U#W2~MVkwf!WeXv1P1LJ&v#m(60?cJxeKqHu9tbt(f3`HxyQjs!UTvg z-~0NopoM($Amd_7h|buu$`wBY9@kL;m~+kJ#~0mO+w>>lx9RNVlMO_|EjEj!k&EsuLFCleQu@{)KYfI*87v9?OPyzPz=KjOWgSt@)Xl(us|J1G!c2;qTV)TRNr?$r9$5s|Z00?<$)u*iEY%oCG z#fWSup%mIYVI8!{4f;-a{08mZE_HF24nysxsnyZMl?V8o$VbJORrmb_<-mY)i}IPT zwT*mg0?#_PFpV8Puu-H;3g&rFM8wEk#C9i{CY1 zRmazVRiBl@f1b~hVpwc}EXP`Ok7NTEbgEHK2!|1VZQYg*=Lus+gLQK&_nnk_@^bo~ z$S zobtxjIPpCQsM|yHXm6x(Kih2pxC|VGCK2)B`4Q_teo*erE*QT23&`d0L;;{$$W4Tz zzI)&_8ahIh%vFcswjJ9NWXZ58qi+Bfjshv?h%i$mj8T2?-!gPTPx{ws=Y16KlC%w?BY+TgIU(2A&xnTg)&DrPZu~&@mh?qlGl-LU^ ziyUbr;=)ef3YXJ&QWO^nc}3*MUN>uYFPrb;xNcVe5UtPa>m);em~Mfjexf%rCzn(I z1k5G)hx+O{C`6k3(3PsD=DsJq!A+=e6y%ywgVlBZLtz390>PK4dHw!yC;$l^)xs1z z8|`R!4R84Qfh*IGD`&KMHuKS5gWwHMXZP6MAfhe=PwX^u`j-FL&NjQS8Dx(@({4aO z1Lc1u7hedG1EqWMNI%e-I%Wg5wi6o}b~{u~=NX-kf^&6PC@-jg3V$AQz2davWEw-O zhCZplKT3roOo)eV4|aipCUADe#rv*X2b$O&)L&S)bVJAV=FjQUA+B7$=zCRxkHu?$ ztul*8OJvS08~?fojJvbmbINv?yEx2?Olbe%xIXew=SwqB-F_)??{(4LUnDoW&CJ5~ zUUJNLErg}%P(S~SCslT1ayiF(N>~q+!fX8NuyGhfD%PsQl%?ibrEomvDV1DU$P(bI+KUkDu^bQgV{oSzf zt_7^PxM8{sJ`Vy0=@79dW52bicPUV^!@yb!3o6LwatG;9vFsa9mfk&uIJGRHHf?G| zZ^jlu=AWaY_EU=|^V+ao-&VL^lj)!fywc9Ru#!e+utMM?yrU7G5ju)tC@dZb%U;}? zdhXa_fMawynn>jsO{e=!I1RWl>MbS&L>E`-W&rN)2Hie}Vz}_M$z@6zM7GgNMr8^p zgZK3D(;iQB^ik8`G+?<^UKwMq%ltNd^s1r{Vt{@KlRMYSwzHZ20b&q9bxyyIvN+9U zkk&=Xu8m-u;TTgJNr=Pid1@zZnW3H1_;%Rh*>>IlSJ}nH?MQWVMns?$LOfgFHm@@+ zeY&&*Mvn^)r-Zi(%v6zY29$?swe57TP>hn@3y+UcyL@sxSHbORlNjo-7wk-~Pw4uU zSVI;}*1Ni|CRoow07*fAMw8s!Wm#1fQdW$;wUsbNuoPxJ4XN?(v<4 zCet~9O@~-Iq!Usc8toD{-`B&C9b4~sWy2~g$rc`0Pvmf3)M%SDg0Zr&2Ena?O~pGb zIc}Q8l;{^_Erp@%6!36F-vZ{TDSnP$K-s^JYsLEOfX~B}7@g?wE8BfVWnjoob#gCd z`0r%s@qX0f)5F-bN48Nw?Sr_ZKLE&|rv4#S%1bMuMydh-?Z69W@~4P`;@Q=<+K&>+ zmUIYE4wkb5xtH6@t&wLGZMf5Tk$kpu`ZWKeecz&Ao=CTqQEu8iantl|%2b$8m5rsC zliNMX2V7i5S+GBZcT7SvQQ5Chpzz59H^6c1W1r+JCH}6kK-+XP2tipG66P9SmR6Al zer$gr%Ou6VIQB6ifh(JytGos)YBMtN%|~`xf)rZJ^*WFsGB-Xd@dP0To5YRArX~?n zBBG8EgCmcyeuRO(Ah9V?C-z}c>1|R`k*V0XI-wF(uMa)Y&_+%Xl2lG6N=K!19hQ#? zON>mg0^aKy9LZRN90_ULJDiHXrECA$%~mioHHy%9D#E1+ok;i`e>#>7xVas--y$X? z+hb0%&9HXlg#Gx)!~=vztKG^%yl^#w(oIk<3GG z2LjxD5~B7KELGx(d~6rDyh#V4Plq7@Br6RI=o(>@J11t+QtpPuiF?qodTtj2-;q); zj6Dr|GZh(mGd2ERDrAjzs>wc#m?)#2{WBOC>idUf<&#odPm3l<+b5pP!bLan zf<_{cSbXx#M2a&H5Z8$ei$*3y(D^hce)qrhu1-}xb_J-wCU#Cv0>0NVl1CW5k*+i~ zN?K?L=fL7Y;}nQBJnZk zume-|$?3acBAtqpQ;q>K4t^p}x5-!?orA%I^mvkc3a~e*?OUDvpi8K+AZ?iElNupz zGWC^QWE95nGKw6jN@E|j zNQm1vFgDjWPS`{kzBNoL_}41ugYhYfeS&`NM!pqh-`Gz}?Xx3ozPwm4yruP3N-ZpT2_yv{_QQH@oFY1>3O_uii7ATz zPLas>PrFA3f5N9iPg)0+4A(KmP1ciZYEQ%kcBREj#p~9Dy<*QQ2(|!947-@J z3Adx#p)KwoQk(CL&YVh}_z;20ss^?BdpTwP3 zDf7L5A*d2dioB5>Tun~!?fCG0ijkId`xGzFd6I6rN+4TJB?2_A?n?beDHl$Nq1f%p zev2~VOZ_sOsBP8z0xM<^Rp2+Q_b{XTU>ND}3%F${fE#zZ>LPol)GGyBC-el%?jc?h z>(IyxqC9EPF8rW(h4*aOYcTEvjzNw-b+$%PH|Rf%?}>|L6W(O`)RSkz?{~;Bfyo1D zz@6j66;{G!AD}Ad8!6%FE9h2mQi@%Nla>TFq4r4PFgr4uBy#Ca*s1}I7x^@DEMQ<% z%hQ1P-*{Bmf?}7^w9x~9JnUpteBv)xn}xgd&wyL&4BjPK4k@IptJ4^W>-N~} z&IQWr2U5-OU8D%#mHUD(=vKVE{i_9A+>-KH7=QpC0z3TP!N@nl0>`u0>J|V`Zz2Rh~1@-}>cfl@zE0o^SSW`8gFuh==1tR(Y+8EU2set%@_Kxg4W<0<33U zPF4*j8_B=MxqI56JUu#O3_LG|m$}v7kdne;Vq4zF-akHj(1Jq9gK?(2c_wR+6Fd+_ zW8Ji?s-QLB!<<6Dgq2eT&+1@z$E(vKUJSu(?Pc z6hoi4dVy|z$^Dn=`28aGDSLH9;U_|<-(&*f@uf_^;@YcTiDR^oNf?RA-N0p{mL~!G z;l(wfkC#ZoOW9gvkPP*gkRt_r-w&N#aprMGwd5Of0@+5&HkFyDma4vTJK1AZj{qii$@SyOX31>-Skewxx; z8J-}pX?H41n7Um&s}_W$`J%e1UoIyz7kJYAC)K;0JPOJ)v{V8RP^Ae? z4#@aKYB0Y*Z|`&v;$+TQa+Qe<8Y^Jp0R}TAsfK|(t_eZL6FJ3MExB{o?$SHR7@iD= z&H7Xne){;zG!Qy7U?M^BP#~hv{BnHJSj?ZcHyY`58ymaI6H+uvmJlwXkR@8e2Wt22Fq)sS& zB=wTJg5#z#*<4#S>nkr6O7~}r9AOVAZO8{aL`}aNjWUkn$Hu4y{nCYB8y#nxq@UQs zjDUZj^#DJT?C+&Elk-Qr0+)EJ30dX9TY_nTA>ud@laJLjn{K;MvxR$r2G|$xwUCyih$`M~Ab@8X! z)IR9Yv#e5I{0murtpZj3bFyWDho}W&e6lLwP-CsbHr`hK_Ig2V1&&;gjH;~SJWSCK zQV*BWs`QZQYeL#qqAc7tv$})ey3&YghJgquaqo#pbbB{4G_mq0l2^EH!{?07HCk6A zxq8W|jj|x9J|>=_KFgJ4XZHh~+zGHhYmd6w?mEUCd!tt~)=J_ELg%1@p?>(>k+D~n zq%EjTcZ+doa`wrX4b-4lh{pf5)A{_w?=7;_Y?cbyB9-8+vF6!3(-r9QhYsh3f zdg5O8+tD_^lr_nT%xY&}L5(TD4GQ_k&s`dx1*QtOj8{{|11m^)Y3fx%aaZT?#Wb41 zekhMIi9e=W$N<0d4#yBOrtHtr5l1MUjc`C&%3l2J0stsKJC%tS=J@8E3x;OO`_sMe zKprHcv7RGMKEl7<&$iBpcK;d?vCcVBQU#T|t11D=f8Xljl8i)JM9QG$0h(GMW_iA* z6>6)k(#1_FT?AX2f30#0zAd#aa`Y}g(|f01sqB!X#Dqzy^H1uV+|h0i zSI1DN;j!WGJe^~8R25!$w7)p|phTdkO)mI2FyEJKEJ4ZJx>PdRsXZZH3&xH0SlrYR z%67PxCQ20#{oKpm<8@uQ9jiNs86Yk5lKF}P4qA)UL7Z>pzfZz)3494)#7hiFjl&pU z0F5i#Xxi!@iz(P=jM(WWO2p|JvdZKX;6OW6F3f6s>U(1wy{R;`? zlD{kKsSdcLvVB4l4MB#zwBw~}A>Rjd=Xk@@EF$NhtS=l(e~ zhZX`R-`=X0SM4bcW;eX$LsY-NLDRV#R~i>chSr!1og(;$8cI}rTvncA9YN{bb3?4V z_CpO+1Z@-IOJ}UImS|mAzqjO?1^1;>qc974Dt=k~Zi2a=|6Zpli}>0PW^)MS?H$ko zB9u;+J5wL}uL{0F(13@6qC0ad&-eRd?s67ZIyjxzoj*-WuJXvR(6MCJ%0-T95!HTn zN^OqDZ#zoi^g2JsL0*}Yp(GQWK0!?@0M^Y{2MKcNZ%>~8DrW-=~g!N-Y6g8iXe4)1R{ z0P)m4bQSYU59S&#S=hLDXy$xAM}q<5#u&oF+RuQ18b<|+Tpk#D;1BiT8wJhIwcdeq zY|2Lsdv#f#4N;X>=gaNdYT&*@b?Y<1h@X5%B1R1a3TOhg?gVlBQC~sL;tsFOSvK<4 z6p<7Z<(qH+fIbn(eD|owl5?b4MYTBCoB6s9wR3UMVBMYEVfte=hvabcp@aHU@r!X5 zo?dU<<8j4~Fh|+H`P+{lNT7~AL+EqwQQP@UcV>5a2DEeqhwu4=47+2BuV2G&9sP@y zyFgR@GVRWiHcl(;kU6yS^$5(n%&C{QrMN1ZH@0(tI=r~krn^P#FrN+}(sdTO*2URS z+1;axlYiJ`+m#3$^Y2AfN)+tx@wK%zUPqW3^ulL5Hw8Dv+7IK6y`MMz#uwe~)xlc@ zcfhMw%q#Wqy$SMo<>!*hFh=;3^PmxwXF$P1bwfxxrVgER_U;TIoApPC(d4(()wNB7 ze{PPKZSLUd%oi5==>g+WVE7)w;&^%04szv^zOP`p z&R-S0j)h<2?LIvnlqr6x)FGSib~J=yObjy6AW92yKr2?I#~zt+O06JUH-)HdIph5m zFEV!}fBw^xj8#I~;TzKh-jl1UC8#%)?AhajB+Zr%fJFceLm%9n+DsI#mAhpVU-Qog zkf-2(vIQx9f*K}iAGKW}wS8JK8h&kBIhgq24$b)qMGARj?>xr0iw3)hX&Xv!_ zBM&_%+Dk~&m8URW*La^I8LH&Efe4ElhXOIpOW_LBx1!*wjVAy{^F!7GUk?Q4;kKOq zCR5*sc54UDP&b2aCLO4JDUl?+;=eDEA;A{vx9vL|AE=7m{Ee4zmJl-YG{^cQy$9W7 zq>5E4ep1IugOH8d)04T~f?}70L}z8r!ie)Y{6@-5ZD^hdtjtsh zWnS_4U3RrmS1EH+jXB>B+>SBHb+j@<{VXI<{GS|=@2W_M#4jOEeVroYCx zF1ZP;NrS$)VDbmgGWgI|n*0>;q2iF-E!iJz4Y3?fpG`NjxZTKe9T_r3aJJxX!awX0 zV(L8kbd&Cfy>LzAE9~NO(!jdx!Z~lSVIr{SN^*c-NcPTguRz~>BO2(;&2l1c)9&uC zRXWH(NGrT{*}#M9L6aH<7+cy%n~oUg{?PJ9yw< z%N!30g9;01Ym1JalJ&Cj)ejf1%gTXt{l8n|5?UN~7=F8XvJ7Dv@RIUL^9Y=((2GM~K8}%? zLqob|ho3B$ewOqEQnd3o(iC_gBI7~exN0~CV(g`-~~g~j%d?0#F*L!EA)d& zk{P8bW~7$tvO|qXYdf?ax>3Xo{5GYo;MHbfu&yp7jD~E6fW-wfUks*=ud*a9Y@u^LA)cLq~*6kxiZeC$B(uB~MFk z8;^s>*edW0mpNn)NZ@Q3^f_DV5Bw9fd8MNkLZ$(qhl$ zQJ}WRr)bkzt=2q)$I^JOX!K-$M&zB5A830V^)XQ8-o4N)aXtm{hj&g1*Lant!vGP1 z&&2~rd{#d8aVUSnt5-%6he9^)8T1s%+tsJD&s_ti&KZf>@awL6XQ|1f^QS;;IT3LI zQY~29JA0jC9aN;GOuhQgRMMn_Y%h`_RE>-^=8c2l8>P#?{g$b-K8iWklB>mxkS&!% ztLw|90ud%f3((?8{$U#|GRL@ai()&F-eMlayQDPxLd7>0A9!I$y$6=^vk(8%CZ__h z@?`Od;=i>G+B`U(&8W0fh?DZZ^);k!cab3_?XqWv-;HQY=g!CSHX7Uxt0uca!0C_Q z2duhnf|M~0KvrZ_IPnDK%tw4xWVN5}aG1+K%!&;UiwjGRDjMI%;ijV{dlelnyzTIF z8))vVBPPsxeYw)C0P=3FVTc$f)L4BJ^CWUSWr>-ITQ4KJDfvmsX07O$JqxOK-BdFRZtQ z6y#H3LBl)JJ}Yc7z`Q`3K|p2v+cNYD%O+2gM<$+rv}d6Zz{x7%^y%3-VJnSbh7>3<<5_jexT zY5Ld7-YY}sAZ*YVl_*{Q6NW`XS4;bSrl6Mfsg&pfA=|^MSb_FOeF;)D2ty`CNio4W zd*e25adwCBJX!HBe2qq8>-Z+VqC+~Z3%R-ng9EdvS(oe2{)e#*bVAr4?z~5L^0FII zI5YwpK%xhc;OktK$VWOV6YD`Lsj!t)AY$i5SiJAYnA46!%X~m_XhINz>+b5~Y3u6* zY`faJJL(6Waq5F8GN2T4kJl+H(R^GPLJSu5?n4EpvI@Q-ypQ)w2tY{~=`X zRsdRhi@K(9VW$pJRR?F{*51Mo-3FnjWY8es*56#KasFH)$GJX;*0{u}cC&$Y#oia)?}PDZ=O4C=#th|#C!9j;o|BiX?&p#u2A+#*^SqyA<=^4n5lN= zqEHn1gG=3F4hNmFUJ#o!@DkKYqD&jL6{gQ$@?~FWr0GFbD2B63W8gX9F!W zpho@0^pJXU?UtxEHK@u6X_JRA=eOnlJ{eI7^;2`Gt8psIC}~^1ni;Ngjd#Mo_+VdW zPlrDu#qv0}vGxT|>=WosoAx`X)^Df1r%K4BIvCsl%d2>_=nAxMqoiPYXy7}rQ9Sm6 z*rF$N#WRb_z8Ajyb|j&OHu3fCnA_$|<;qF!^@vkQs9IDsC(0*V^<9Klk_4wWYkz}c zsZF%Zw_Gb!v1U{EY^A4@Shi_SeQ@n~M^nlivAHzBQ4cC4VrB5qeQNsHRSx9DCo+fv zG`vk;UdeuJ3JG&luk>$!LKj`|uN7H8d$LvJcgf8ij!V@};;9kvMtwM?ZB|f;uvA}d z_Wd6K_jP@SZh(R!?{vNr$F`sAgQ!j)27xlNhk}k?M`iQ;W?s?jplD9)3>|~)Ass?@ z3z2o0-8t$SzrQ-vtef&N3O#2+W?jU!jleAQR7Jn+<_~7sYZIpTfQscNXnkqYDH>@P z#QWEZqkGn97Gg@)%jIn7nv=FN9i`W|6Fas*wdY(MOmdq>$**jKKEu@PC4I1LX+yT{ zSw0B+7#V8DHfDD7&W54RSoYi3sN1z@0KFgcfzyGC+X&gL{p`#gkXa%-ikC?;Q}X1Q0a)7Ule{G$V_| z)Md0qShjaY5K%p*jd^Y5Jy1Kxe}K*9W0qPFQu!=uQ|i(L1elbWG$fo?NSdGd*JFhQ zSfs}`PtCKARAb0nYu;yMTfkn)iouhSoB>yLr6!_n{Ww@SCh!S&MUQJiBGUCd`B%18 zxoL0&3BDpL@*|qaw~Sm7&NfaX3iG8SR^VI*#I*a$Uye;;R|^mPAc5fRyEnDBA0xSW zf^m_@Vt}J$u*!F^^qoWAcSSb^+hqKt&?k*#tyg(jWYyN-O!xb{ELiQQ@<`~Vo-E;^ zo3vB~DUyXi)qXygJlWff?1R+&613p!B5a&=^C&;J+ru2syNtcln>>u>XIHn5LfKV% z$Dmpjrr>QXfZOb773#5GM&Ap*?BO1Hg{%4J*F6GMa%(X6GZ(YmfsWMG?R^BYm2z;? zol+qGyvh6+H5U8vIFF<@qsx6OXu+BL?rG@7&OpiojT`g46}Q(Oy;BXY8U(%BR2W*` zfg~$K-mkl~qQ4@G4#gj<^-X7j~O*+UiFs{)+F$gqS5Q$~>zHaM^FA34AgABPTm0CytU7xYdD(1ork@pag})Fq1@ z&)|!0={^E-vp7xiNs4~t@G5Y|o9*E6Ph7)InDGYk0UxFN{!#Yf$S)gV#Q61}mV86r zE=>u+VWijbD|3l5k|q!vP=HZ^$%#Yu(bmJcx3t}(!WvSv{!5H1&_-KYCMH#7fb?_B zNU4AGu}3nbjii7l&v@)jjEp-eRC3jD{pkHZAKJ*~+&Z+n6mDWeQlpMhV=mV_)*upGwo1ri~s@Jv9^Eb2wfgNd%?GlSnOSk&z zammwuMjo6h^T|}`_%Y+tO4Zt>rr zQQtDe-dEH6WP$CI+RW)X({cZTS4}e&727 zdQW9p^5;QR0#rWyBGMS{;oz#~%!JK%wgY-o zL_&=2?XXY$7b~YE)KDW`iR?zBF4Dupx@JKIX?ef3s)u{*y%BW}nBUSL1K4W`5-iy==F$56fDui8e0Ky3wZA z$SM+^2~P?uwwC6hS>XVI|5c%*?X%zaJvAEt z;2kY3YyXqZ5c#NKba$8Ur>lR08My0T24Bu#41`ZBcn7}i;1$z(J2M60BgnB=T@_;f2X=m!RUq#aL$HJzV>1Eg` zI#gY6PDg}hz#|mS%6pPw!D$IdTgifMFe@#ROg5Oe&m!-gUt>N!Ya@;!`}t72<+O|m zLVN;C`o*~fjXLNdk@9IfMR2X@MSDrLvREatn4;p zZ1PRC%zruO=$}P%%dPCC$m`i6-?lorB#w&JGVYt^Xa-#-SHg5@ZgbB{LofK_e`PtC z8@4O+**t*3VAzxL5Y-cOy~yM2!zZA3e%1_(W{b8Kdrp)TEyA|3ueNjY-B)(%GBx(v zu(`?~4S&?-6`{a?&|*28o7rNAw9zt)64|07eD8(N0jOI&h3UOEWK7LKP_F0SN=x-? zyvpJj2;Sjn2MmJOV3&sYjX+d~iht>a;zdu5Dt#Ts;KhQ*^Xw)RWG3=b##2`ZzXVO@ zFUIbujx$VH4fr5r$za~oQE=%Sp`^TlQH_>&h=TVx)AxwQci%TJF0?H2514Mdy{j`} zUmRUVE*&5J>vj1HnRD5w;`wF13ZPEBOpN=E;baK`hSLuD@sX7;x1GrW!EAG+^bl1} z`PX|?{OrW>w|DB2u691nwtv!b{|Ik*W?M%#CG0r!Mq8aiu>!!4ZL5R)`?+I}%f;eu zUy-f2uwKzp0sVNlLRbJJWKzaU2(PfOti$Xp_+qkLL|_oI4JNliL>K%Yba5jGS|tGa z?83bD~=BJ=CTO4N64 z!Z}rk$mwW@jB^$pE?+-W${xP=ihHL7%Av%}ssPE;NAgN?&hrk_d|`vf6nE&Kooj6q zE$I@%p@_TNXK3BQC&W>`Z!74Cu{loObF|GCs_+X8Gc6Ny?DP%zvjakn8Rt!m`1P9 z06;FrY(d^~&3jU1G<)PcZMY@@+YEEq?~D9th}sYEBpCu&UV87CL(JG+asL{EytW#1hO9;pOmHmZ2Yc_FO?BB(K8OM1-O<=+_W7i4=}FG@lnr&0jbdbS~%=w33*M- z;NmDcW!tl%egu5+219Zg4%$8lqINcDK)}|pT?mO4M>E=ij>G@Q&{_XA!2of1y|E40 zV2p;5(j_U~3eqVZ1|p?w0|PcdkTJk17A9d3qM($ZFj_q{c`uY z?>)~Y29+wz+Mh`s3=*2LeWk*fwBja~dMQ<{3a<33G0>f3UBq8YSl5?;Mq{hSwvZ(- z;N2eG5$U%Vvg13}*ad1>BtpJ&p6Hwb>e`;1=tIrKY(PpWvof4~j=>x|3jmv&WUHTO zw_g3^;7D6|uOWLQfM@-2A4{$j%F@I+bWDoJal^j-i(%Qx_sK3uWnb&=*WU(CLhCw*Q3?;E>^&e(HKfO<}g z=lXId8zeP0n*)voYJqRzFvo@OfTlF0E%j4&*C%)N8%#m6?9FEdB&Q0Iy!no8@VX(6qb~)`RCiL z$PENd{Z*|^StbPdPl9kKrhXK{$2N*U$90~E zT05&eA0+K~6J$G<`S3TwjfA?L3Ex$xj8){BZ(Rp1ZcToi8CR7ux@QtWOs}+}D zeL#=-r{dPBdy;%&jmt&QQpA3)zynn2qtCG7Po+!Fh)nrVG8A~p^TTiXKvcSi;GS7z>=}% z+wo$u0ra`wd(WRR_jc+1>K~5S^>@lJAAy~=cTxTxnELxs*Lg&K_oCCN+FhkCd^Ad| z%su!hm2m zCpSI6H6Xl6kWx1ZaydPqGqvbr;Ehxp&vPu-^*#q|)RcO%sjFV}AJr!nviV_YioUy? z+w^mu8s)FSuGL=m&-sYi7q0-5gaj(si4D+aibgj&Iz&tiJhO>wW{0kG#s^6dl znT7MIxi{m>Eqh>>CHUq6y3Anx=x7z5gAO|cuxdsmA-rT`iAt){BZ~IiyN5a9X7y8I zAPbt=vOTz`ewWEYNnyA%VJf_F*+Cq)Mcb zZmIypq0THjgsZ1l)=|b!r~5N}zeJpaG;a$ckWdv0 z_51!x@)Y7>;ly79c`R#$x~u^Le7Jop*1e}0h)<@fG@Q_9BqMr2?TDmpARFAo(*>#- zzL6g|ghyY&h<6YvLipHbs_gI&Zt;Q5gzxAECQB=ZvvfGnWAv%M4Qk>w;-Xluf6 zCQ3XH@HMjtXyVxvFT3wyO}vZr?@-6zbY#b+7_f!g0SB)>kxHX+d*1H2=SUe|*7>Ct zZ?VM^NUI#83!gC{D2B z{HAHuC8$3!9Ntt9Ww_r0G@@qy9f8&DvL56gI4`?i>}Wt6v5Mfn<4Vm1v6rZ zXZkUI9v?&}MmAnT@l!3p`@05c^@P;UqQ}86$={n0Uvr;0rKN7Qm%TBo<6rp1Gn#6K z{VckfbKP@NWAJn}Z@pIGy>0CHirAr$nTl|-!|*O^ew`sBJ{9&6F+_os>0Om*WVLHj z&je#kVjy3f68ehfGL;ki6 zvhy2#cXK=Cz{8z_^D00;@v#pk?U&!U)+k8w@v~cgJ;ZjZ+Lg`&@7=rlCzlbs%C2SV`{IFlSZ;RrGmlAUdb;J-@QQFEBsxf zg8tn@4jmNn)z9tgX6?BX!h7|>x_*j?11qp+yY2iW<3rc{60Kc4Ht+tne=ivI#J3zW zzx3Vw{-58}t#t{K3iHMmYxsBf7d8|it1t#$o0eaHvcwkP{T$V^I8b%mJJ*Z{kz_#7 z{Dz7x5>3C92vssdPP$obX??O{8`zm@n@xbelgkZL5i{(2|0ea~To#(7(qh^|B=vlfl; zrzzzFy0pHegPO*ivb&lone@}tZKiw5;+Ow6Bn{iPFkgOxyX6CCL*T758KVHx(KQmt zv?GD#$WQATq7B|Eh0;p5N?|jX%aZ+`SJXGp;lr2}hdQw#{<68V3O$@LPlS`2|6Z!N zd&RU;iG5KUbT|Dc@O+xe5f#JVYmiB6lt+!$1T^A4B1~iCwWQZA5T(Io0awdF@XP8& z5=0Vi`ep;a z7YO*(0DTLXelwKWqKkks5J12Wq1nD6vC&Cz`C2{lX!Ulc=47o6acJT5&l z_7w`jjt;v;2jtKG&<>^kq{PHdzCf<9PI9Fp=cVK2cdUpdZZ`tlQE-)arV?zfbpEjyLB}2tyi7=8##o{tC9_tAHB8E zVFT!}fU2vt{k2$MXQkFyWig%&e=^IP->WBP37_5qkJs&2YD$lL@JQ!=gV|YAH(C}E z5htN*$GIMSmI)(HRnG-wx_&YM8d3K#KzwvIC1lJIh*>%h2jUQ<*aHO*fIE8Xo8`Vu zg}S|Ml&4!i;(9Lr1SVe=9wBIjL-Wr+(SCgPqEs{bFdD=^{_}8!=CL^)246P0UGk{W z)eSgegXq@`yLeRY<7vCF_XG=7c$;$~hW{OOJ3r)D>nnL*-og)tPOV`qy!YCVGs|&d z6#gU^QKdVelAUY`xYC2gu#WlIicFCJlNJ|6)_b>(kVsj7r{uaAa23G_+cAgn7NLci; zB8kQ%@-1Smv=lZ2ds3T?5F;zIyueLLz~ANtTAXfgRXl&+U)7qPYaq-*aq4V*_c5TcQ&W~~ zmyle+=Sz@UJcZNGlnR}sjRXXOQZg)VNkbrx7lvxpCU4wRk{v|aj^w6o*;2kUT-IaK z1tZV%@x_;FMKTOEodiEBB^vfx6?I_0grI6)BmVv{=j9%GQLnJD{0-r-yFoo-KUHJ+F1Y)i6qM4?2!EfTUDo zTVT$alwZwnoRez1nvrsUzJtHXP!IfPybtwPvfwPxAcF!`isC5Lubr zyPzfnnKH}JyC?FRP<_qf(E_6#XC*Xd>J2g(b}m^s5~-luF3pbJArQ%~xkN9prtNGa2N{1MaN*%^66^p$tcQFIwL z(zspZsCK$|ct9h|@;F1q$QV>UIYFtHD-?hLTnShFHg{O(_cg3_tiE+r-E-LHCrFOF zu2yWb{%A7h5Ch7dyvPz(@xD$Jvu@7GNFWoGL2f$G97>_J$yO~PBWK|uOX6QBVH2wF z1L)t8dXt47>UptC?a}6(Lb{th6<8%B0IBdD1jJvn23(4d4**cuU?|}?S>}3YS>6Gj zoa|kQTOnVcb-_V|jObvf5PQybLw=*joMsnc_M?Q4ouWO*$X2Q~u(?Ic8Zq1PRLsbA z9NI!r9DfuUST?=MWM1y*+7X0(9r^%_i3bLkgKLR%K|W2wCgTSJ#B`dzLFxjAr3eBK zH3niO18CgBirfokW5Rj%wf3J4=g~k_(>R{$Y$8C9l#CTt9=svpI3BQCYjhXdl_!1b z4VO>k{k50*qQ-w4slZcO_+1cs^RrxF)FdB8_Oe~O{<^EN!Tyn78YAizZ*5;!04`u7 zRT+v)X0&!xPNqMLD950nkrfl!`L*hY>xOFTvb0V%QhY@YY~K`4s>%qa(ZC9x;ys!G{3{)v4BqS0S!Ka?{p)ufz=R?IYZ( z*~T%&O!3R|{4&00LUxr^$>pPzgTI7Y_~+ZvAmb*$#y!>KA!R9_kgJBB+a;&ugq_~0 zTV%a#>ld>DG-%^BA>lUFxlGUx3SH*DL^1QB1k3Qg2H-2h4TXpK{QYJx1ZUH@&B=5aWWQnX|3<{^!u)%Tw{vYh9z;&4JGQ@ly}*{a;RlCtd3Uu9OLaIk%} zZoi>*m-y+x;@VuxDjy1R*DyP3N!eIe<0Dx`D!|4xX!zVQWzp;!LlI;Nls7oZI9W6) zqRREunO`Hsw`r)qz&%3tafF6Bij2|WpNzr15soNF3N*o-d9Iz-)V=7^+?1hs>tKCG zU+>k77QTi9IR*7x-) zJC3)+cCr@sk>`8^I@^7^@9bw{uMDYEeRHf)uKb2j>s)@j#?!mjx-Yvu$5D~~6+)=( zYXmR(+m(~F^d5*3oHLi@X=`(9tKBJckndzNGoa%i84Ed~U;S{#;`P!ZApXoC-x%=; z+sC4qfB z+f<*K0KNJTaWDbf?dhJm*dv1rhH=>4&WuZvtdLW}(0?o8mg6Q{Mk}UpxpHmOJSS=MLbczbB1J_WCsa!KN$by5}s4a@^>a97B}l+Q&ketDRibWiIPoh*G2?HgO4L zJs4eeNC?XxO-cvpi%7XPx+dC^I@T{cPWs`3tI8Hk2Fa9zp%9ZlOi~e9&ne$4~|4z3!e|_a(m;67W14|&u@Y#w|0V<35?JpfS%ZSbubpn3* z*9S;(;8M|hv8Eko6rChNr|W6Kphm=*ml0ESPkV>KBHB2U5mK?ar}ZLn($P<6l>%EX z5?trGp-o$T5)r13hz7EVBZ87Bggk}JL|Q~-e*A26xb}>h_Dpc(*#^KtP|}-6KSu@b z=oy|DfcC$!BFp59nCrY6OWKqZOKmq9OH?$?P#v2bT0oL3-7hBq{}e^}#g9aV@sb1K z|8;gakvI{yyFq&3;%|DBu&D#Xh8*@Mlrw!7l_7*8VXUeA^e!RP{K-NDE5b0Nh+@k^x}kV_xii zL51`H;=(cHU2MJwrEIskLwwSCCo%%P)FWP*{-}_(1dOKOp*7yzSXDi^@)I z6@P)6mys3fl2&!aV2h#*4o(QAawI4Nd^Y4wzmbvEoGToR4LCi9rpUc)f6xg*=j*@6 ztiJWBkUw+JJ2`ojXBT1uQQcY;(exisW+~ZiKp<(B>9uu~pn)@Y!OmqtZeJM$3BC0k zzMLD5_W@bJ5q1T+m`-}a<&)G$Mtl%XM41gO0L$;M z*WxSZp4KTbnb2J=_sOSt908AkNi;%#-X3?vORY^u(q&(q;6$A}>1kl zo#-;#;^6*2QDCtTAzxW)Qc7{LPhLA+q#FISLwAK7yON3Y@kdwIwuwuBr+*PZZ^tL; z1I*8&0LwD(yk(e#KBF3g>|N{N2TT zn-*?Dj6Q!@LEC5fO!#3Sd4|Z347A)4xR**Db_2eBSIFlc_*@gbtJE9a@z8sxau^p_ zqh_`7bMN(-f*ZQ>A#HWTL8!?VvaGHloz}N-mtcL`_JS48lD_+3(Jg+^w+qwriM+MX;?ufr79nF?&9rJlFlEZp|SF>fUVoFCa z1%r)QLI;_0-z~M-tAL9F24NARnYz6~{$ZlW<`~i+JIVpFsPX2ZmqRc#`Gsro z_qG`9P7R)n`U;CJ#}hi)WnC6bl=+k{33^qO@@?qb*!#2&@8|&MS_$9BaMm!0GW*WW zzZt^J%=d=j>Uuzn3hHY&2fa-_Z%CLuIfcuwqzq!;#H=kTL`jkK7QFo!})b zoAfOHi;X#joFP^E`oxB~LEEijvGb|E&^O=32aaUTd}AcO?~vlOg&UR~{|^}dilxar z`htEonSFvE(ygdB>~f>Y?e75Pu;uS>147xlo=$=a01d19Hv|8$y7*pn8O$?pwGlv= zgqtvw_|^&snql5@V%f{8O1ons83T{CpT!U0sBLL4q#?@IBp_7sK6U83V$CbX!TWjh zY!YL4hh_dmcYloB49UVz1}ha}qx{KxN)yOyO1FhC_V6=^U1r&uk16BHA)mT3p8QGg zN0pkVs$CZSFj=IF6-ggasO{3Q7x0$sW9MA5!tT86XDCXHIJ6z@myQ*?nG1Im6cnx5 zGpA+-68B0Zb`;lBSdM~>^rVdQj}*cq&fj)t`?m1J1v;+P94X(Rn{$dT!VfC6ConxK zJ>TtO*v33q2|+TFP0_?-2xoH~*2-S2Bg=4T_dFxWiSw+rPiZ-a1l8kD10w||KUFa1 zw^a;Ok6jNo>^(rpSuW)?3pM%oxlXg59YiC3M*&Xh8#ooHU}ZtyT%QBR?gMQsW8;l3 zC_XT(k$HrVf>XEn39UR{yI+j;6{~W4gNTrsLVl7drXKU0!aFj7mlV9@B=}bf3M-IH zzXfKF34Q}cD{MX*Zm^UfRg2TietZP1%%2;jqMs(P0o*BF_Rc?>j&8M0&Jw3DUa;;X zK~E9-{o2QeYy)2Zk&|(36&|2(xQjM`;CBuDIPe2RY!JS(c@n*=Vnc^Ze^fHz`-mhT zM5TyMm-SJu33e*!e2pF(%Tyfr@j>NoaoUsS9HdlgTrc@2et&8Lx=vX@=Z3K2&X@LO z)QK%r+*o$MPHJtY^~v@*P`7}+rbu1!#S>?-{=GUIPS26(U)CjYV`(c24%P5;Hb1v? z+NnR4Q~V+g@e8+X2Jf3eQg#iL=Mdgs21zU(^>7M`$&MKhqz!JJzrP-jdKKLdH82t;&ZyOXI z>)Mm@rrWx>M0BdR5k-j{hni&T1YRh`o}{(W2H#$%aE7os&&`7$S%U)lZ2r|>F%VOF z=769N{{^ockAY{8CAf5frxL|w5{3lj*+IhHLm+tZ-^D40ZNQ+{LD*bW#^V!n0ine* zJW*NOU0uAeM1|(X8ME) zR1Ex1YDNc)vwFx7b57HNMwzL5$N4Xf`&N=DnkP|M6fcEfQF&Y45D=#)q@MN9sqZeU zCq?%1I3}C@iM3zSmp#;KTor6W3}(GDc1%+0GnUCbbb2-s>h~$^)CQC#7CgOjTs02% zOIFd(xZ|kOq5Rtk$r3g0@M~0i>33DAOqqt4V1b$j6si(6UtA$UIp}*mJIzXr0kjCe z?h&9a`s{+lWNA96jEG5XNQOaAPn2E);SxoTAE~$-iPNuE36^X37AoSpuIBl&@c8GR zYEL|h+!ao4{bqriek{%j>byz6SwZZ(;91(f{c9_u4FnCX8k$$+#({xtkE1SG+fe6$ zLj&*M_weh!7;7t{Y!yBP8TX~GTdfNihbHLxxLsKXw0qVXLy$XH9{|C-h{y6`AHdm59H0*9LA*vaIJOZ3|=B^S6tZ-K|LZQYX3XX?@)h;8E$uVGqp$ z0&N1ZMla0diJ-F+1^U?+dxB9m-F5`$9k#_WTvVce2V+4eZdgt~-gU7i3*7|B{jr zPOnnKLMe{tYehuFs82w^SaDJ232iNpSe_tEwgKt}vRc{fr|Nv2m*{_Cg>ka%A;ZnA zf~RoRytC%Ro%v5^+4W~T)nVjpICMh59@dw=r7V3!rR3(zS0rP*;7XIKl^w|6*@lkeCB9dws}I=WfS7iuK@D;+|LSfii8cuy+wO3fAliNX zwEU>CLym_fvRAkZ+PRhN>%rHfN)HW6#iU<_)(v7yL@uC4+!sNwQs1J0fSf)6)|diE zKiMegsFDvWNJt7ej=1hNDTfB;JQd-+SLjj{`KpBZ>NugJBT-IR(Swsd{os55pzoZG zCaZPq@eeDhT1lHO1E9jOMX&YNDdR;`!5?1))k z_|;DTBrOPbfdu(x6QN$~pMr}gzhWqMG9@F0>lJ*Q;#^cSEeJG(7Rb)nFj9poMoE?0 z*MA?3-IVK+(LvM zw}-a(G$Uq&`@@dIjQL>j$)wa_!ZK+x47v(Rn&E?%l z?Hd8#!wdP{2x7TEM1b~N_;%-LThgM!nq#yb@y zs^Qz)j<0SjPQ=^Bh>D8+u2kBfS%`foMRr(E1@_@VbNRiB=;FzbbNF{PzPf}wUP7eY z4a{IE(IxtK;z!XK^^4MQB}(l+S})>uvn)?g9+?ifhJB5ab&sDjb`%12J^;l6#<}|N)URKz$sc0IWm0`|+@6SVKq3D+K7t|o2*6+MT{_NN zYN_k29@LCpPe=}R=2U~Q-eDF0EZ2>IjO9y$Qy2c`QNS5m<|earp#%s}`dUboQ2MWJ z1Hz)_N%=ZGkgZ{9po##zb|2(wI5s{E1u!EN=jW4K`IH&r9e1i`tw2jJeUz`liM2qmA%I8WQ&eCggtBU zOjS%VQ!L*7L^gFeG}NW?8__m?HT6ta#ye~_*&k%&z7CE5k7%+a_bcp%UlczDT?1X!G6tg=ZsS2|i z$GI=5s2+zd+u$DRckZdKy?x;Q8s|GErn)rw7I(t`;bFwKY7fkH9Cv&PqI$e$IRW>O zxVNqP0CsL1m%Oy4di)BTd~s5EP9gDtVT?);G;FYv=WlLkh8wW>8mW5ZKtv2KV3RVX z`oW+QTO48(9!H4^C>ohVbVN`#zS7}B`XTO7yi=dC?o-fVu_(!_(UD?7Wwa&{!wqrx zk{?7k%tC%w+Dv$v0sP!nMxOL%Q&ZS7S=OYE zh#$2{FsXw(bWDwuXErYb*>#|NO0`&RvauE-WN+0dO58AO*23$O*9FNkvk;mL5M{dFU!HBUuG^u zAvoZVm>(Y}VrT|KGR&igplSY28uH3*hc%AD|M zoUqw@{7Yc;#h;wKhtKeMK~HUR=g7{GoVd#b5@YmpVWZ8nV^u(xDYx`wj>TE0TYhQ) zCaL_S_Rax0Eoiof%SjS`GQ0W2T^{_LooLZ9fj=4TSEbezM3@w>%tK5L`{=*P$@675 zR4uBeZck0|-=m2>-we^*qW7w3h>N6j_M2CwJC?a)g0#p$aP=FpLrho&yhf?FdQg`5 ztr2rK05d#uUMo+STLiUng(1fx`GLh@e5~l%zst%{K=hTM;`}WC^yVy*b)-mMp529i z^4D&BkKGP1lJfF5Kgn1_99 z6VGJuoQ8-)RHbIDs8or}E%~1VKFa8eO1eQ>Ym$b$luwN!L$e1}+c}@TfO&%M57iXW zh~@DNi+4mW32Bwy2>9+{U39U|epqS=xRK0NUk1x4HkyJZ)|AQKsib`+)pBYq&D=-3 zuHB?#ncSjU6{nWx2zp{Rl=RAjB&J(Nluy1p zu9kuTM|IRX0rMV=wPWJFAw7;rdsK!U>{v?92gdsWrGJWyKZq5%+TwfGaFf;U#78G5 zGi;@V?;TUOSHZo&Nh+|Y0Od>R-3K$19&ieE+n9aFv<&hZ2eJoi;&0fXjzXhBU(Y#c zCZK&41Ly@Zg`D5{UQ9$Et{-Oar=_kbLCIFb*Jc}T%BXm&`n1|OQ!VmQTi|o%X6~UQ zuLL+So|*hP)mOre%tci87Z91K-t25yZ%3zDmfR6RNHQ9um)}`I1GZ=Np`0P=-yLD{ z$7pYWTT~`j^o}+d&}W~;r*hE>emOqG0Ak}QQ_NNPO$4B)BUsaQhfh%2=?8|U zQfx+V8$!oIL-g@ex1ZI47iZ1%Jzamw_s{Br1hk)mR&w5J>CIR17=aNX1#u|97EHMO z=eZ#_F?UZuMuAsR-Hz+(>ny0~aG(IM&Jz^|`ne$zq{=k#00>1pNOAuO1vjH~ffPr7zkFj5*jOJg&{lisB?Hqk+8HttKa#HB33W503(F(! zKGEn8>9JtAeX?#IdmQBHFhhKTAXnxaU;u z=TbHDsA|9p=T%bCY`+iJx^d$(wjR-0UO7L&O1j*%Ui9uIu z%on~6q9h>LjvU)MRHCP3QMTzb=V^aIX&(svPwrW|RH=>5;jXz)uN5_?Qo0&)C1JTW zP0fhs-!UNC#RsqRbwtdr=8MF%grzHhV{YXXAq^5vYkqW3UdyMY0iG1$1<(8lX8fyck(?;??gnMewU95^*pGS^2&CK+@7(~! zFA(8cVh{zNEoS2lyrRb8E45}P9AXrn2HJQRJ=D-`a&LRL(>#L89k;G@s%Lc_{VJ;o z|C5eg5)5kvDsA_8_2wP4mSeO*#eoLJZ$YKEBrJr@szKp?He!gagpU3f0+aGB|Cr2q z8yx%@kdn;DFAomc*rFRMjHmKwT-+^)Br<9)pFnFjY^eP0I9L1&q)@g zO(yIZ#m=$Gfb8f$t+8Ldcv%=t)L17&x#>{x$eaE;(U;m*ojSbm8p5^tgju7|bAqB@ z$f-eZAPd0vc;^=b5~dbWlDHxZ0?`TZNb?(zl5lNI!wQsOE{{c`Z$ht7uBBBw8!6gB z_%h`X37k?%N6y?bZOh8}eqc4`p(Lj_dn=O`YABWG2Q10Q-^Zz6v37~=b0=6Nw7lE) zZHX-!;-iRK$Nq*J+1uPvgTNE`svU}xyWvOsBd__oJH+!V`+CU-OsRwh5`}X_M|3C~ z%RJ?r%QX^;K$t_tvW6~`)j(h^Z>Ap!aNsSyWLV3RW*R{;l9K>}TNemdkj4!QxQNTi zKNcv3bO5My9<)}fTk?Bpdsa3_C^jdwYQl4)6K~aqznYU~p|*#93?_mC+yY&iGbz-%vYmTZrHb~lHz zFY&>Z3*VX^SQ$0Ou}`GUJn{jp2w0H@EacpV5u3EViyp1kStWY131?s2vsOwbaEh)~ z71DwHkql~pVp5}k#}80twvJ5OgK>kL(w=|}i0Slsi53Hs zEutcoWd2^6R@({KS|v(RO*wXu22%qP)M^_0xe=tu>Ff~C*UVe$t7052gj9^8prS|L zZ}n|ptc9}-qJJN4R39sPh5p9y7|Pu6+N7QH2z??8{DgxII)V&x zNL2A^s>c2e_2~mIO(|87T!kh3Q+!j94Fx6Dh_X46!x5$|?3+4=Rrl;;ph0(ceTy;C zxg4VuhbiH2*7WEK>$?E=Mh9D7WfN4=qL)aXg-+Tn?8lU^ z6Zd{}lJ`WMqcfI&a>0lOQxI8@N!yIBuAHeRK82m@DhV3G)9pca_`?l{wksjiMj|DA zBL)(mK8jMX5r;tjwx3Hbj6zB=Sjh8K+z|HL0x4pGR_<=TTlvAj!U?!)?;f>Sfm?}E z19=o2+zWO5qU$44bNl4~PCL2n0V@otKH6uLC=zn*O{3ZHVh*0&h%Tm6AZJgIaa__V%r4?_|4~w0ZM>DCW z2v2uUE)VACd&JJ(&E5~ogxCZfhIUNQ@Zcf+FnRMCnyZ!gXRXuB+494w0iwKe22Qc! zpmz~-boE)eXSZ64k0lUh3eLM;e*ZkBT;%XCegP{z8}#_<6BclN95U;H{P(}|Quqn7 zwUIU<9o7U1Mc9FG73b@N;Dj)?Z>JcY7gqjgTDRBwN&z^I)+|6^-U{aht}U14-G^$| z!bt*QnVSiJ7`Of7F1mJ=RX7~j=_0D!0f=fVcP1nkUhC&i_PpkHuZ-ae-=$nszNF(l z!pS^EpJW7i43l-9DbQjxV#PtLL}N@=t?tK0rhruef>ZK?sqml4>!%Z^A)4z=%xwHp zC+17oh0=8^^f6Jz&j2#y>-(q)zzVyc=37Cm_1vz7y1vfxqEDdB5P-wO0@Kd`*Up!$ z7aK$?;dCT*vh6!^*b)5%${g1S$qNx^F_yNN zZ`|n)I`B=JRr_P4U6$39RJH@l>VbGt(FqZeh9*`3K-T0e4=ef0I~MZ=uRJXgoWX@a zy3D$Q_hzx5%P_=xS=dB&X70WnuM9+7$dS%Jf=bQL9D~q9Whj>e^AH#N4lFB*jSrW8xmzy{nyy(8bRSNBIrY7AegFLNOwuJ-4f_tHysj%a#xD=#rJ+XNOV}? z&SbG*2!fa&cr|pXZ-w}QE@=v$beI9nX)L_@o-K;pPzCi*Zd4*hh&omiSw{_9r}FIQ zt@FnXt8=6&^Tr053m4T(hPk|_Jl83;ETetSFg2A;h%Q4c15-Oa(9zOSpEPBcM>vZj zw`VZT3?12$0NoYqf@SZT_pCS06_BK zUEx=O3kUVXeRUT^-aYjqe$I87%Z!!1^f9P#GX#6yA z{GIbG0fL^Y2wmOHZByoU+e;EyL7+8(g+GK1yiy)>MU7}L4AgGXITvH^Yr7uRg#45y z)wa3lPwTU1T?Zyc~h-npX#y(L9ox&f6%Ogb|Pa)A?^g8&SQ|O%Erj)r%6_23X<`_$H|J> zNB02-*vpxvemGQt880eP(d=lVm)YVG62-$2Z%9g4EBCvH(BCE6uulU1SaR+r;l0+n zqAJFod;*@)yF;B$+u}HQ#81nZ#Y~fqnjQij9+#HZrM3uj+&ISp|0WE?n%M>7F}ns? ziCTzJg90Gr)TvSic4uTYw;=k7R+3jVX(e3UD9w!sv12kwT&@>#c$u;K!-}&eUZ6iKGnyUrWS6Iw z^&nX`NNkkWaeZ|!qZ_y0A;RzVjSduum@S?+Kh|+YRcgs(o1&>biZh!XnUow!{gGKi z{n%z>3kRj0^$74NZ6=B^VqLe~uY>b4CI>R9iVimxQjY1ov_=?GB`CaNgsujVuVTrK zCYyEB9XZYqs&2!RcIBdxId}H9J$VV2rkE6dauA=la%PhjRr&J)T#K3-W)O z-FC@JXtUfs7TN#Q&r5{=y8|?GXf_)MbndjZo%7ugVv|tC?_Yw>9MW=>;Vf+GSK|!A zCz|2h45YPfSQvz&dG||Ezid&4tz+u3l9Od=&%Cjtbe_0@GSiw)yeE=EJya83p(`Y^ zkE_WK$tU}6&F>t%C2@_zb#TQ%2#B@{QM1N@6;bTigKBY%8xnvf< za5lXLnw(2N?y&ZEisLc)RzunTwv-(_qu|@?N9GZc6Ds^i4sUS2aSoorQ*SHOJ*sBh z3I52QIkH!?|MJ13Y`db_eJ+Hp*S6;+FL+ynB)?3hNc#A0tU4mps{}x|Fha+0C-Cl` zr#Di;Kp_hCv5+q0xLNwah91X9E^WSbA|b*9NW2C`^w99Gw=I~tAs9)Ykj?mE$zH}f z6$*pg1SL`3)E8Lrv!L=7`{0DsWF zeWIF~EfMBAfxMv1pV%b-pgOw-F615sm%p9L@#|s>RC1kD*+x*dNalydcwz?9hjLfX z1Ai*HC{t_MpZM2xoaA-&oD(n%`qehnU6#~6TcZW>V`hvzP}z!yrEu99uJRX+Iabah zwnczq`6PRg+Y?J+W}_lnO8&%8bqL>J?bt@dJLH+yxI1yDAFapm*huqsiOUfeIoiSM z)rCPpAD{sasAh*8fPZz#DGx~?<#cE))!W}BEaP-El5RRYc4`Gs6qdVyNcZq@$O^iv=O8F>aDIsGk`=&wpc#4Ndq8Rbf#bhAz}bu%|@s{ zjQ*`U7FE_vPUa=C@37CL@!Tnwu5)rZsL{>Ytgwt(sy~ryn+Y>$J4gu?;d{lUXPMY; z5ZKfE>P~l$4)v+e(nC}QwO#*kJ`@Bi_NCmJ@A!Dl=(0-a!p3ECHb@q}g1|Mngdp)g4sA;?8^Yb@ef3q}|e3xgO{ARvH5 z@f(-dYPMO9St5{_{ho_K*3iIol23)~q*jwgLnx>`(sl0yrQj5q)w}g9S`j7v@-9|v zI!*+|e`vHB>K0abSMI+l3o72=7H^1pNy5F8gc9pDrwB0UYZD{YW1DNc0&EmdC+#kB znv`@-aIyO>yedfwS_VNlT{$ea^b6a*6w(#&D|0QO3Y&FVbc0i!mDE-Le}< zR`&4(A=VIuHC7}lYKOE&N)AD=am#Eayi?a0=tzJ|vWm2NhicwDP_OA6pzM-CH82t^ zq=1%xVM|+*i15nx$csxUv?k0~)ubHFx?ud+nOBGrcj?hNmD>+F@#)Z+LdMi+w`K?b zJVwiVzvjh`%RXClcUY|XfZ3^gZ_&FvvT3vBp;iiE`qsEF(ve^UAW;{QrZ^Z7Wr5Ww_4iZveYW;&uwb4hVoT*@N@0~5$j5#8c>K=Ywmtn zI34=DXvsL%e#ixNpXz^nvCI&P(py}kfd1aeT)uSaL`P)=OJuA#)o?0BMZhB+ks0QR z+R!0#26QlWeY<&7?46I%TkEhZMYqgA^u z*Pww{A&&i$Ub!z$-vT%W+YUf=XsFojUnT*(w%5}zFwyT_t4VwjQtOfiT?jHbk=cAy=@pj>#-37 zM%U;XC0&vuL|`=1Eh;6g0vpXX#9=E2VE~FRAxIc>I0M8W1ScYn5CQoCQs?Er`~UMi z=bY>M+}HQAqB_l-xXP4Iv0x0wW99oKe?0<^i50Q6n<0Eg-vIGNS_Pg{ci##T4B@yQ z-AeUoW#>--1PAKvNUQp;DB&^limTYlYFL!j{%;8DDTH7ag@~l=TI zx$@seHP6CpOEm&^GvtN3Bm|KlX{bhx$~j2l?P5M1Z}xS!|HnS{nO2pbo~3>gXT3d> z%*(aHEi`=UKguR#P(5n%W#ud?X1Co-+MRqT9>N;=0m8H(u!SV z>vx=67e=V$gxnHLKP~O*ZwfcA1r6o(tiJn^jy0B94`d-dq)I?~T5fb_-5Hgu3`QU$ zUR-8a?pv)4>n+eT`4$3&{_c6hM6|%6h-1h|)Kvlh{ydzG8#7;hxsRUH2@fk?rt zc%fs$ks?<`#g2(bN?etcIwl<{bCn=_OfFKsOQFZ}mErsq=v(DjtV$zPm8GUmBsOZW zG_{D@jXJDDxbp9aV3%)-B|7(M9)SqmbVYlxA7Ru&z~637|03=CWbVIvckfN5si_osN=-w#uKcQ zr-(6)v8=dwVnX9-R$>w{xiN*6nnp}-Ji|JBj+oJy$;zS-sg2pJoZN#Vw|rJXA+e~D z#-cNb#f|4#B^QXy#!}YBOT^2KS17Ej*NE2}Z?JBb5pOl#X5G0}>2}b@vc^ z8~a%O1H{3`A=Yaa@lE4f*1Pw_;l>fxhf(6k#!sxzUx;Ikcw+xU|;_lwABoM$a85|Z$%!R1{{Atph{F+{K_)Xd8!Y7 zEMQ`yRNgG$hDyjXLj8$S{%dWek=`n%hWRoXy_CujqAK6PyXI4tJgc`-?z)pJA>nm) za7GHtU&`)e>8BUu=r1{5+|Q>uHlPr%W|5}9t!(>9jQzb-%MmtY5{BY@lm$gqas*SR zl3y{u*|tWH!BZ^ZGsf9-2rFLn zUQ^ZeuZM(hic^GEUl*oDf-bvo^8R2V~X|JW8)fy}zj%HX)*7jF1zU01H zF_^wqWLKLY7PLNg`hsVQt&ti8TR9neLA^E@c}r$`r<+f^%7E$p?1A-qan-K4X)Am5aD!dU=iu&YNKT+=+XMun4(Rhdm9Ela zRH<>p&s`z(OZNBJ$nDEGnD0-+ZOr#-51vsR?Lk{eKc^uJ@SF(+ zGR~X@D3JuOyOR}XvDkui0U9-1JPVz@ZFsJlz28QeLmFIf7OxXT)Sexf<3wf8#0M6u zZ+DU>e~e#06f1d1YZzt}75CXHTag1&z_RhU{j$la?`0BY*n&;Ciq4qwLFLzv-yG=1 za@q7h#AGLw9giL_n>s6Z<J!B~ zw_G0M57jOIz!xC>T&`}ooYKkXnCvUbFEz4l`#W3(-~P6=7bFd zXK@tWB}sxx$%qp}K{K&5INYiT<#iQ%->-^EwhgGD+VQ!g#ce66r=3ch#{B#bc*pER zkcEtXyC;CA@&AfdCG+iqhq(_Gm#DSy;#VdHA5ZF4-wb(xzB^ybfTWgdGDO^abhjrB zO!0|A-o%ye!`^zA=3%7|u3^LQ6gkE?W8wY0rXrLiad&i*(WRsNd`MR#W^hk;@g1Y3 zdO+a5(OLXen=MK|{)I})^{4mW0iQ9Cxw>n0zEm$grJB4hOQjcLnjopk#S>^iW3=x( zU2cL-Tv#E2(i?R*=QmRR7FGP2TmixF(LEi_bS6fD4vFhbMc+=yKN|?xBf#sy%aaSaD2(FJr#?gPZ=1;*_kj*gLhjT*FbJ6g=$1IEy3js`Z+?ZE z&xg|d!#+~|zLGWDAQCb^Kk_lVt7{`4tJKOL_CwIVhs;&=k;xNh?M3~6j^{n^x0fIQ zlS&z>Y7KOIXHqo0r6wvggg&I&WloB1r?i^i9Mirnw+D>$R?PW@6sPl#po3o4$&+>+3;r2d3qaufH~&SgMX&U7>Q7Ox^0*gl z&8x;^+RqXiodIQ zsPYzJUIKI;N#=QvJeK~0ps)12N|IT|&zJ3CDOaGfBjL{@6}@$pw@BcNEi;!c`$P%^ z$O}^UqGuRb(G)n1+y74_=4x`B8_L0Q5^sM0`iS98!)xnTn0?8X!pjEI_A?A#%A-S% zm?M9-N5)`6OVLCf&jzqi*o=pw*cnD0@`pRnc-;zXP$SUh;l-n#REnzO&Bgb}|93Ox z$aQr4Iqr(Y2f_#m?9G8zg!9(bd|Hn-f3!s&IpAuZyshDhv5| zd`u^&)6(JG;u-+F)XY7+dv>f*Ta_-Q>J`7`E{)uJs$V|C?eC)*oV86kt67YkIw-Ch z!SQMa#+~~rgp$E3`;G^)jOtd8oK?Ja^sk}-YApmPbJJD{xB_{B%I$?-(A6xFj#bpG z8?cWt3heM@slVcVVZ@WYcM5ihRHvy2&01cB!D(e`QP5|6M!bP_nwv>_aDP2k9{HnR zsggUQ=t-~(u=$}y!jZ5p*Ui?ZEd)>m84cI{7R6f^i-;Z5-T$EqV6KLs{CNv3zU9H z{IGEW$vagd%g}upHZv^+B!Uv+C>eD2AK$Y1;rDGXy|Q<<$LHgVV&gHNx5j}M#DCK` z=wc|3*lP9+4tDAyEH-7R#Y39hkAp-$zfG7L^K;(i>jdgxZr1C4DjhLBXml)8H1ngv zmV`Fs>QRa|x&sfV@4caZHyWOQ1sQ#vJA;T7LL@bgJs)Sf&)b! zX8+m+DcOJaEu3O<_XjMoU>0^n(Xevzywt}D*SfE~*D_~D;(^hT;dXo8jq`sNH%B&4 zogD{*p)FMZi5y_K&aLB*GqjkuXZ-EnlErhB=n{W zxy{ARsi|qA-z}bv$I8|#8%k3`zW*tW3n_b2ca%K2_gNTY-U!Iw4Wzz1ByoW2jHSm{ zN)8Q%cvTJ%GSyxVdvhBpUOSp4|9f))(pW3=y#^X)G6H_p2+alV$WOl-)&wLzL}f~& zLwOc#a^bJUH>fV3*K^L*sEY{Mn%n?Z-U=lmFp}fg)+HeioMq6*m&@g|880T|=%-Fk z+l4H;^q(WZ8%=u}wNVLHU9eMtY*Z#?@fX=k{t*=F&JOfFpEmvmhWi}{AEVf9DoolkVaq zdgIoS9d4UpP0fSFR*6s2$<;B1&) zpYCoIZ9Q^D{mjOTG#qSr9TQp(kXM@)-O5CN;ue3NQ`{G;itw_p=tM~WAw2%oN%7^> zWLGnL4FHi2w=8JPG$bp0~vcT5mcU5wk99}hpSv?S$+N{~^z>$XW1Bw1KYLx7{P zdlrVK&;DjE`ofES6tXW7EVZ2 zZi7urO^(mX3TnP}2$0I;L_6?ddi~GvcG+)rynJa-M>7Iyqi_2kLp~?!)?`?@^94@4 zd0u3fH3CO18!j|c08s9Nl&-$5b zB5UK7gnO3rO8_@JY0-A*-p2JM8!PnQ_-%=O^;G!0$i84F0;$M34YvQ=VN5Xv`_Z)W ze0rWrumGk&t!Q1h$gC*$9$L07&`n0kyJ%hJAt}q&*PEib-Hn0s4zCNb4{JVg$TyHb zbK(wuw^x$kU1#plo9eB-;Ih6mo@tRf3^V42UYO5hh6EUNsDJFXZzwmM%z}k;Z8_i^ zPC=gOSRFZoxxDFC{sD2v&4~qh>aD;Z`Lsc^VYzLXk?DG4*-gIDyM5!V>X#dt7$uOJ zNffkvJ3@)+%rt5LQc)E)&b?yAFxy7~>5e3a#&G;P}Xo$B-ljC_XVXLp?mS>8yH2j`moL%)2~z9VlTb zwTd@&u`BPi+o{a3+LRypbEG@z#y4R_)XbGzlL)M1`x zJ8FBVcV|Lh!ht;9u^Z0%hPE*+8CI1h=zc@$SSK9gDoJzb#q$Ov-F0y?+;2n$hSH7#s0z?nG{flz=8SL$Mhnst>3Z}=ehUiOsca~LtmuwCyB0^}u_;2Yedax)HU~4Dkn`&ammPn($w$}93`U2?7XicB7n=PSq!!-j< zRsjCuD)eDx!86RGoVhNo(hq|>>51>uXm_HAx3ykFU$wm1;Mk2)d7P($W{-e*U`dw^ zKAjphN|9Rx)4h0CRGLnRxNba5XA0Z#KWe<7QL4>#Dl$z=9ndgO{U8>YdcI_&*d+_# z(oYaz3MCgQ-f=8iy+khjr9lY`71^H#asjfW14OvAP{s@P%{DGPpQ|Dr1!+MGhe9oQ z{-)J4gyTG=wE=d*O&Ls!xEYcQjyFg~S*o1Q7vJl+mZGt5L6I4qrrzLkp%gMZ z$_v`U?d#hM1vO-Lrjv`bX!JM%jV*IA%OsS&_M)W3dzhVdEibEeS2l(<4Y6)0IOASa z?%%OK0kH-@r5-EHF@Y`S)M;81rVtllr}U&wA_zbK7`c?~-AzR*Ixt33?Y3-Cxls~T z8g{Uv$B`=wBH0m?Y|u9{+45!8NYp~^K_a}NuV%rcJWtK=N`Jv#DyUslkY?*7cfPiS zVOPCmeOrUd%7^8-gt9{(@D1jyu8kC8%}w1)g39s_H7H6QU74twQ(^K zc9Ea20b{b$RlrV!!?HG35!%|hqZAvPo@0kPKN%F&$y4k~#xHt5&R6ILHVw8wN{SBE z1k$pat}#B`8a$0c?BioprTl#5;14bfSLBp{)hQtH`}}8UWr@6ok&>@Yal6ktbhjog z#aD23rldPZ1Kkd$6!DzSQwsa(cytud8`iX$W=udWzt74gbeP=(u(;)#toaq1U0&6K zd#xle-oRtf{ z+?SCGB6m|n{D;jupTBg+PR?+nptW1? z077Dfzza=1%fx4b{r&DQjauOCAB8dZGQsRfmmSE%U${Rq#b{~pWS9q%jtykb{`#% z3XjXY7GYRUKi*R)nCDtVs!xuq%>9)piO>KOUk!EOQuxBK!lMix=!!W2PmE8Dn%CE0UuX_e+uqh^a+uW>Iy@T`sMC(d1E643-#3xi8+a zF*oAP!Lp_ji4(8B=Jtb&l|Ojwo9Br_F1X7^ZYhj)5wNl}vO&>eN27! zPrS)Yq2CKQ@e77S97M7{e-_9z?}=yLCDJzg;smk>6ivbrAAw9aBK%0j9vlrV~ zdibXiQWW=Fm31%(|EqK-UL|<^~Hg!Jzm916s_~Ku(*4lg)giQF)E3w%7~fjWD1U7n=qDitWutlV@cJ^Cx?2E z_0(~@Qt85PfzBHnx^d#%QN!gz1TY~k^ggxbQLcu846{1=M>ph)`M=l#nQH&!)1n$c z3m7XZb0Q@&MCZ^zaB_+}A+gToObX_*mwxrwMH)sifUJH$Biy0$^!0rYhsk4HB`RK& zl;%4V$P*i%=T8vw2f%BKZ_PZDYZvzi%#J0$SnTTjvE&+fJ3aXs%y%&4-M(xc&-7Q^ zeDl6`Vu%3HPuN$C98O)TP!qL3tR{=evn}!~Z+Kv9@*iROFlRGH+=mXaEpo2 zJwaEplfv^lJz3!m*bt)(0r4N^p&)t&7+OOkz`Rp4P%AyY^RhF8{%;e=aKz^Y;Ei7e z72og3fFtf{fbdp&dnV0AywRR`6FIDoJ<=0VFyEWw>p?bj=*5<|X_WNO_*j%yM zP^Cd=21oHEGrN1Fmpkru_zOeQqWh;n^JuK~;Ov?fXDaVR6Xigb?Vtu4PT&TSmE~YHNh+-|bZz z1RlvkBW4~_YJE|>SUb+Lc=ZZZI(tnnBft(4f3e@DJCwYT0m-IYJzMD}+0F*`aK2h^ zia~cdzp3sQtGnoIPFu8gA$v(B1RE4+!d3BBB*tJpx zNU6b}Okc_rC>!C1!!M$sPeFwKljZDFL(V$hos`cl7b)s*CH12L^b^Sv?M*J*awTPw zr|S#5%2y@BV=!vZn23k;zvF1_v{0%7VSR%uem)d@1$l1$yhBj-1aJ1XocEAF>bBC* z|AqiyHvhP0knkqF%C33?mL>UbpLlNeooaa$$=|ZG4mup`dFbHu)ci~e-PafJwG<}2Zb<=nM@I0fbkWzGlDS5dtMsvYO4bRp5*SRLv>H= z%-0W*R79&Z^y}`SGYJdu=Rw&)=uMXQtGu6PJI~r;_7- z3O|`gu17t-x>kE~h3ky}Q0m4eb@7E7clE6Yx9;7l^q(J1JuU#nv^tU*#M9}>ZU{}~ z*;LS(==n^A_4Pr>#O;dyTGN4w-ZaEoMYndKU?4bR@j-ZInl_6v?_T79MQU( z+)P=knthwqz{uAY>I|E3A7xT_Hbu&_`G;ucvlJE0F*U4+1-6wAFZR^i*ZixriCEAO z!MLhpQDZ466?XDORRg8+lhS1QFyEJ$X(WHDPz-qR;kG2JL`+({|4CQ>zzCRl%^=3E zVa(1WoluUb2#OD*AGRR~?g*625je}C+5c=?Wx4oxSd&XuDu8_hr4zRF? zc+mh(x);dpQQV3mL?tB25hp7t*>|QNa>`fN%AY&|!o%zBWs?^}nVyG(uL2n9<@Ys7 z{}n{x<0u-@ARxlaM5@;|yIyjN!Hf~fP-m`4b5_ITbesXJPxIcMtqtG9 z2t$h-St%uVBAV5!V+^wxGQgi;9{8AYFqBOhaOL}7P8gqgmp5BQ3vzb5lZa{ zS|Jq27a$cLCj1K_6S(p8_y>em0D!>BL+Naykxwj%ps+y7JQZtQlui!1D-30ZZ|&5>HopazsP?dY73e#>*scsXCgrQmfNjz?y+m^uXZAg4qzb zT$eT2A6#HK_xYvsHMhb7whaG@6t9L?>yQZf(*?ascWroYURa4V7_3ceo93N$wGY;x zwOJtKiQ%tXyrc1=AJ5wrV`Fu)FAQ!^&wA@#D4m9j%=XV-6qy6$601ePAg1U=(mzgB z5L1hDr8p=YX{mGj!ZankF;gUvZ(HgNk_JCj-fc4Y{u-^96F{2kn(5yrI45I(mpZ{U)mC44i+Y3NrRL=-s=NcTSV< z1N{rEg!z}d8QM?ppowRhi3vP>W4wDoCLNNlLiYnB!e4|M(b;|!{SQj)>($TYknfAI z&UNQhA+Ue%KYo5@Dw0y4B&Ocq#d|E!={Ym?cz19AfuWl8ZfKftilnT=Z|_no+`J11 z(W?Q>-H3uLF#TEeQq8vjgpW}Xjbueu^Reg|>nIes(#nu`KlfBCi~`ibW1FYp>eC-j zR%2TqeQSo^r36qq)F4PO=7jg*6?hihlJ6m;82Fd)mu&0bomz;T!MVr1;GzqO*ZeEh zUgi|z@evyJLaV9xOL+Y8U?Dk7c9>#zh4hp^l$n$;>Tl&Mw>iJRdyrQT=@@GhJA(od zTL(x{K~_VV)VR)&xDFI?Au!jU8Dg+hw|gG_)0MBB5_kCO*+Z1T?SnqGhK z58hDJDSwle_jlh+QcYnr-O5ibuRRaX_iGvmKP4YBx-svvvn=X#b&Z4YV@gPH6RyoJ z9y1f#p@=O?zduIM`LE*YF{4QBU-CoOj(uzYb1?}FZ!I_p3cjFTRtwb4%4l(HZ~t&{ zmG}5D9N*_lP@qbkc_sND$MhIe^9b+wgxzKNPyU@6<=(((MYvF@3h~!wN}B(&S9@g-Hg8Gzp0)2-)l<`mU_e(wmK+V3qlRv_LHQ`%bulV&-@Fz6*~oCM~6 zg*L>2UQD>cF6V|9Q|zn9VWuMLJdPlphJ&NEIL2LGOy*Y!Ft9=(+-mc&=U*de^jvKM z7vbB|l&7d98oZ9Pk?7Jl*BL4hgIh_|IHUOH^PZMr5>q^N?+o{@fSwd)*5uBr4!4LDjL4a+e4yq+K!tO3@%^`wqJdL8bB|P93H)s!6&%X1E3*fE~nd|v=iBr0Apg(7jzXG;%w+Sz#xI~U} z#D2|U-Beb_$-e%)EHd}kUQ&*p64*y(7DYs}wuycrO7;FLx5?;D(Zg%o|3vcjL|Sv_ zF)P7juGiWVi)OIRuMBL0_gCIJ&_+UrisSG6Bru?qqnmp%X9<-V(*5Qu^yLy}&*Q%i zY5v=wYk@BX@*D_34t;08a%J*AzwF{JMC8!yZP)90IXu;3Lvp8KIj`sK)9hZ0 zMfMS|MJs$H72o{Fs!J=JeUti%#Y{ zMd+af-;NPJBF#+4rAJl+(KgnrHqW{4g-ew>hWKcS`ugyuI66j&)%(N*I^&5i)(K@E zs-=#%hY_h*F-L(iu@Ah%(x5}VkLK`(avSfLgNZTr(7`zIwz?0-?6^bztdD~+r5n;` z<#4nelXuF;zp%eXDRPDO_s-P3h(ijW1Jfsc)!wFUyl4)5?87%|k1mf9`|Km#VUH_M z@tDJhJLzQ!Es_ApilSAyiP|MM_DlmD&Agnn0@}0bG_cZMM~1r5ck>BI`((E*JYwUP zDKtx(q5MQb1bi+bB*>|Ez=@2oo9wD}t_`E$P!%fUvtqHtH3puTHJ)py(hxaT z(tSZD){cn~I}~cDvTUJYD>br?R+Bg_rr4SLfn$=BpyYA6`s;BD%1-!3>ay%A!VO!8JHLwzX^rr*U)_;n0T*7&iVQA{+Vm;cV|BbdPER!ah+k;=?+~qrmSQRrt zGf6g9Vw$q|HJ2S`msW4s-kMs^r7>;!l5F#sg{CWH`-7-1cHHDL!RQ zNmY!Eo&#k=3UrDx9;S=FmJK~NQC%V|yxcm`8f>!Y(HZ((D{q_1(TV<% zx)4l_*KWel(bbI;PJ^SqA3ki75qwQS+waWN8xM2 zT&~QeVyh-IniW^6&T@YJOOI2bIrVC*gOF89(;B+L$l0p9$=1p!B}eCFKJRR`m9v+S zW5Y{9sV-+%!ZLNlKxpL)JPFNn17SZ<{6%@yQuYLPb;`2z%d4sZOVKaL?rnSnXj%B> zLX;u$s<7WDm}jeB{)g^wrN!+_(SZ#%IWnlKGsn^n?6;ipqZ<5}J3K?- z9ED5J6c1RemqZu3W&+zRMQ4(hSPRIDTsnDz=_3}f5g|PF+xHh1x;%j1RSO|xoEp44 zol{{UCwM!I&uB3G3nNO1R&<&+W`DR5VgFOV_sG|BylB$gpA%%w8FG3G;0OgdqENb)O;gFIC1HF`Ahpm0piXH9vM9=+utLldM;l5fqdql&m;&ABd zk86kX6v-A42&z{!Q`GK#(wGKsV^ODpRp?=2Ap+kJa?3^r3bl2y1(|3@EO ziCQOJYJNJR_Auw1IkV!O7Dvf<>h!YQ2UK0}G4t?Xuv|=sa{iGPs*Ft6|2>wi5V_R=JWYc2tW&)nj$hPCo0r&XMDEtgEhOV^p78tM`-5^E ze-nkFg#Y|?XYEG;y|IBB`LExmMx)N9;8ioJG@<}GXu2aPCU4LQm`y#h$)uIRXy&P=(g zz2$9HhzICqbWumH3e+K`F;UvnuwOtLWq2CC|*Qb+1JJ zok3HLlWEm9_xN659s{S!BK<8)Ei$M1;oa339d_Zr&2BuL6XulLX>3&bzj0;rXE=LE zT=?Pz1&YWIaoDsT4TvfnfOBh^6r7j$yY!+JvRt=VH+iW%>9OApZ3&@9H}QDk|Z{Bea3TKaTC z&X@wG32BvV{vKlYUzpX%r#=H~YdIZvTAyV3XMv=phf@1nn_9F~W&hT!--nwZ10`>B z>e7BwhRrIFWm!$Pf*sy&T!{tWhm>8i&yhs@^Nn3&ZI}H6oIW z`uOm3cEj^PZX{=!^K$RBu)JX3WBs6fb8HiWyJAV>+%m>UuB z$560a&jmvD1@(@&B*yQi+Vh;RjaE8L_vSx060hf=5y#gJvp9A3n4ZJ8HG4gDNbK%O zIgnH!LOR4m6yBocyc>*+;W1vJtyGb&kP%~ty_c*=!9V|SbUV1wEI#<4Bn9ny?vpN! zS*?Hl`N$tkPw1&H>oF6(Dnfy+mj%aGa)|w+h>X6qkN$Zv)zWANz z*^}HUF*h80t(43qM9U^qVB?x%)2s9`Zw{d&qU`2m#&z+sr(bz&<0%if%NQ{McSwTJ znGVUKo}oSuov5bPVDC{2n%#m!*vS-{)0;Zpi;B#ZN#Jp>N5cHZVKGnlHCp>0iV zl?8xrt^hWdb7T!uJeZczFrZlWWM}I4k3D?SezVAe?UVgCL*}+XZ44hK59i%iK0IM9 ziyf$5=dw_|_P?ro6zvmJyt#I26S^c$c@_;?(7s1 z{?5VW76rMA(?;o;lUXCS<+ABNXSBeHE!A_`NuE~2qGUJDrqXlx$yoX4!`~n7N4pz+ zkOH+td&1{JEQ+JWmtAT^o-Mg7lYQUjGwC}7TO3SOt?hN|b`l~sDJkGDH>{mM@*g*R zia#!c8$ns-r#jgj?d%W`2$gxJ$;^lwaPt)+D1t?r?`092d&=|E`-_&ZKeScke7b9{ z42~~)3(2g_^Aj`Ix}8<0)OYsj4OqC);9GQHt;-r&@(1BbDMA|x@sRAc<3bl4=G9POr@NZt3ozF9or+|+&OlS#!3SS-EmJKOC{6rD`h+xHk zm=PL*rcqC6{)%-J$%&&*E}oJ-mqv(&)hEZ>irQKjg(V~q@bJn9^yg%L8}XRLFlRU( zc@izheN@D2CG149ZNOg@uJT$Bz39+%DXqcjf#%6_t-U*X5+A?{nixS zR+Oc15LyE)BK8?VMVEAj#QMBOx`+XXFdW`BE^YB`>^fZgb&cRkA<=y|R)}t?)~8=? z+F`%vw6!m353r()5^QvZ4&N(#ZRBvk6{IQ4DK8Cb~sKZo^5YVyv zS(EEB&H8wovy5stDIP zdoTr&+mg8P8x^37>8{R^7-+L0Lx(u2?A%q7WvDlOSc3Z-od}|=0V$F)#$WuHwwF*M z<)*|sZ5kbHZmh#3qn%GuPa~ZIeL_ZN7gU~`nFD;b>qkjH3;ADP_0#&mC*s{+s~B(+ zi*b8snT4f|q58^ao~QzPnPY&N_Ke3{!lODjYu;v>r>{P>g)svSnBSaJd;Xt{nr8XL zRg|1jl$U>1*1}9uTGanUD~T4JDMo5b;?Gf1{rFMazl$pwUGq|FFnuP|2nGdLU2^Z9*lHj(g_Q?vZUO`#?B$c}c z$kcMs29*`JXXeyb!_!ovZx`T}bwGwXEz35;0!U3opiGhvpydto?4svZLd}Ta*CxKp z-56Zb7achM`;z18PbJcf;G)(I10}Ggd69KEC3S{;+tNPu5C}K82{MeW?Ej+GYuW1> zdQ^H&=ocZ$-r-7;+AlE*Ua*rL4ia>pk=(D?%kjvxF%>$=(HE~L<4RK43f1>k?XHI0 z2kA;ZJe6}YE&>43%}8HK7igXp&}7WP+Qn{Qwk5I{UFjPme{Kx6!*zyUw55v(L01g> ztsMS4S)+VvZN_g)=FFlZokwZc2p>yD_SA0M_MaQIt zcN_`njAvd@U2D2>Q2l`BTb zuv>D5#_swQhuf>IA^gf;MyeHHI~s^%feSAb9?K(uC?m|Hd<1ToBqx+`t8zm3!)3Oo zWEJqNf^#wk4TT@IH{Iq0#b9CoONeEImrfj)*J&EUar#lbcb(l74)z6G(eLGr6(WQa zguQe@4FldToLA_t0=|kg&s5B5fCs}Bj=?o(dr~Af3mO1i-CZLD{ACn@a5|wMNm%65 zz)E0@7)d}(N&>?upj>!@L zI2h`$sC_6RFiLMwazYRzzn>l+A47I2(yUb!3gfQj-LKE$#ktA&W>sO9fNpngO)m=a zAHYon?0jI7&JpiXY=NmZ1rvUPwtL%%KfzmbiSN3AJ$K8RE6x`{A9`Xc|s15Z97LzTwYPI ziaq<+?mef2n_1w=pS}0T5+X6q3y1}+0B`mAWi7^6q)i&&Sh?m=4w6MtlU&9NPYV#9 zdmy4;Uq}{SA2HkbwslzG7r%w0K)r-ePUFs5$;&_lCPrE;PghplYk00_O|jLA0B8r7 zj-B|t2XOl%(c0QOt%87iE2ni&1dsf4zIAc`PyLVf?t`}o7fiT}n?H@d-2SNuRz0`D z)m&DNqfH0niaw4lTT-ZwORd`ERX2D3-yt<{>2*_KyNUA^g7_mrgoXL9nCM$eFjA>R zIvZC%+qR{(qTS-XN!LRMfBbAVaPlnqu#oQhn+3m%kHSr6701Gf-YUHQodMI*fF8!-AtP}2Oksc70O(ONt~qc|?`TeH#BC)FO%u;G%e z`40r6x`1U(1a<88b5cES#^0}j4wvDZ8Li@+lbA()=kzgKDl9)4zI*rn-Cyh5C#|t# ztdq7_xI;AYy#l}9W#M-pP7RG1yn)#@tiN?jD8DjYKdcNt_PTLck@5Dm9_cLmro`^R z>}*3DJo>FuAElyXa(Qk(a@b`*n1H3J`-&!f2GG+E_YzvykR(SLdXGou6z%oTSG~)$ z`mIiJ)RhbY%mbTz2rr~H%X>vGPXaL`Q+c5MzrZ>O#?QVs7xgf*+_||;`RP#B|&uZzj|FtduJ;wO6YnwMj;-dZY6%@smXGr zgD>HFWkwC;VscxMG_ z(kJob&NQkE)WJOrWzn;C18jhf&Pa5RZV54rc_A|k9e9<-nZqI@3x&I%7B&NK!${3D z-IRku=t#(Z8RjKK}s0hO5ii4&GbnBuS-b4JBl@ztB zkEZ7Yah-FqKQ^8g!w|9Wdz|Fpkmhi{_VDtOpn#W4;M~uJtz~O^0T-B6vH;S@zHx1X z%kEb-1U6FF@I~(V724wfKMhoH=LqeawupkDWn?P?E7rO$|jN8C#L{+FKZV%t%TL+E6K6qD9gz zTZ+2ZB`F~!pRy&FnnXF@zVAQb^~3vz*W>YeK3TZqQxy{nn?VIXqf7TB9Y*+E+u;83 zh8Pmb{#27_AF4P<;t^tNx zX+|&SD&VWf+A}u{Nt_;)!u~EJV!CB?qGy`?aoo9t)IrT9e0%#@f%K~S^dX4*Iei=& z-m+sp$UQW+`btPHHZC^37V&M&Bb*G{XOtUX$6F4{HS8b@GhqH0V(c zMb=*5Mm(-93DSJ#O1nYIqJC_R}g1?3<7t3wjT*{ z!KkmFkeg8_$u44+Mp`HLnJ|J5&Rw3Ik5Rrr4@w&qeO(M1SEgjejXxdz%9RYpezXso zA4zBW7G#EU!-D21;|?X0;~L}G_c1|w!2}>!v2b^8M+H>^3rkl{6z=sQauyIvmTM#> z@5gVAjM0%@o_e7Cg|h_gdyOlqq`(O?+2gokE~xbjaMB1*9@M z;l0^v@3V;=j%qIn7tY@tYJs|8mV+7JAo^ls7-dT~M2XyIS~euhNPio1ejKvX$FT z*z%2d%mU`_F850Ft((FJucMYv9@r#O5*2Cnu$3Qde8hwjDY)R{1NNSzh4rCIdwU=k zq3BDKg?Z7Q9xHJqf$umnocPln4ppo6MK@E#vO$O9siLbu172k8i`!>9ZFqoO=*RO- zMMU!Jye)mf2fROtk_epNSx0V8U_+tTm^8oYt4>)iLB4o6UJ^`k9#1@I3Z55vWo(*W zs;6I($Tua=(|KO(2qT%hy&@!ursT?}$yUTkmarJHO@y9eZJphRFT^$*pG|d{!VYKv zwcmWvo{jDoeYjkoF)V89pP<}!&%aq`ifVSD>o+x5pBe6+KbO$lom`Xm^$Gft*H`bI zgnW|!;bUp9dF^tJp22T2gl}O^^8*{_3o#@Y6P(@j6s73`aJ$^jQ6#D7TK(i*)4V|v z?iY{UYi=4Vc*`@}<`806ZD(~~eay~jy=&vA{Imi|#zWRybM-|!!z^Vv?~VODE`x10 zKXi0EKw7-iw07a>=04?Ug0y9ZK+nopc7S1)#H5a##*;?1+9fIh=GB!BF=iU{#Xy7H z0#nxd(aOb!*ZQ#CcpL3lOkOYAb!pSISis1l_bL%tpYhF7~=v_K9R!}Bt3f8cvF zUA|XQTf-lgmAY(rQi6A-)<>KbR53!Pl4l>;SMlMVNx?~>eG?zEPBOJcPgAYjr(a*B zAExcC-^FxGSBpg|rxESbggHYQ$6G5=t)8RI;S4Ub3|ECz9t%!Z*Um4=;*L z9YTz)GCMjzcsov#e_P&M?0xP48C7I4q?ML2aJ*h={C9mUL5JDNDeLu0_zzF|kbf8n zMxc7=N5PB(&5ZX$D_r*n5PJoHyN7nP>vXQXeiHeEA8Q47wcF2Hpv^B_R>Q5#B}P9n z-A$GR-nV`g=O?tud1hZbk<7d)T9)_mUfuHFlhm30J-ySr@`;(^M0x#?kzQNv-OU(# zAx`RDvo8X@;)&%SB)dn%7X`JV$P<6B-MpL?&=yZY<1UOC>@S(YH#lH$!jQ04HB1c)1L$*_WrDSSr-5xnuXxe4=O;&vQE|}GBW>b2U&oNnX`ClV#(UC zHg?`mo9;*^F>AC|USu_7JJ zAFPV}?v_M|R$tQ;FjG60Dqvy*%o+22E>sHrZinfz^8D^SCmFaNlihYRgT_w6mc@*9 ztg7Gd2b5hI>zNQ{!!Ho?)4wSa5IIMQZBQQNR*lfKRvEsg77MpBtm~8h;C*W*jM)T>h`*2zgE+inJ(51sEr5Ka}#cPjOHp_2C%E?KICPR^FqZnG70Zv zvY;`up%q{liX8kz>kH~oz8aE3hw%lz-&~h@p}ODguvV$B1^%!04Qd^UNq5AVSSb1D zmYoQLV^ZkfEyh-HV~#dg=B+rK#nMDCnANxU#?XK){cc&0j1*-GC#SpWT>2ZxHR9_` zELI_gu62S1*~~x2uG`dae>K%PlwozzV@MA@{R`K3V6M;0vug#@)wg3_eTQKm2AU!f zN<~;RE#)| zIdN`sReP8(J~m~uZZPRU+MR}}&FarW8-NO}=z8b9ZdrzukCGM*6cE5PopjeL)tD*M zfFGUT!A1E7+`?R^$!<150A74qnlrKbO?qy8)%y%i|DTI?Oe01~*(i>Xu;J+y>8L4~ zGs1Nr0F|f|n3YyBK)TdRS&V(=Z7}j-F{i0b`dFOpj5JOn1vwuPUdCi}v$S9eIr05K z+JX*!8SU({H6fkq{_8}dm-KlcxAU+gf?9kGfY40N=qh(-%$y`U(*%v_`3;q}kVfY3 zkXZ{J7y5`sS$*@u1IjSQK7Nq^drf~=(Yy`@{2r;5 z7@TH)oH{)hj8}fGp}Bc}1G)`!71%fhOwKICZ_Enoa#}y%HO^`}&QcmkXh6;heS)rD z$%y`EW=gAT9-21}Z-5?153#!?fH1f25SeMH*2r_594dG4Na+8FE~o-u*Ob&}PJKSA z&>p|#80nmCeqYprTw6|tog6j$!*%g0^(l@L)ix>o_T_o66gQGJA6u6BTcQN=(^|M0 zR}^G`ocg^kP>J~U?qdvFtzvB4WHRr^(U5>L1V7*CycleC^+Thu5ND*69LyzawI=-S z8Q@8F_nJ}3^}Ok&p(0Io0?iEJUrDlp_B6&6kCO+od#~qK@lN5<6l1$xj!Md|zudNM-=vYMt%kmznFIIwpx5fJjq;_D^s)V%BTfr6Bt2S5+bmgLcser&) zU{9LL;Z{zH#R8VRe1>Wr0Xq;yRAr6$S-R-UTniWa*k9WBZ`ePTFG{_bTl)fE{ z{J=dgwk(N<^7Z=VKem*2>V%tef<3sO+H{20_&&4xqBggoVUPDHHE5n z2dWp$p%bX#{ZObNB+%Tyf1kk9!+d8yU$9whWxnpsM{B=+2-z_3hN=$<5+ADC&dN!# zm8+$W8>S&rT@ST62K&Xd$n7vdwt5P{x1dWoPM_}ELvpTm>ud#rE3Zv}eBcY=EfoW! z+4Nng5IGwZfGVWRAOO$xz5KpT}VsqYM}0O;1o9;)1^BH8CHpO9YC&U-Wgi^Gy6+y zH-_pnj11t>>)8&^WEc#F$83k5K~R9+Dh2~VEnIJ1qQ3qh1nRk9vLLF#AOz{TKsZeZ z66vB|7`_)n5Oj;n0o@FGv)&~`P^+Caa1u4B>q^(=5ItITV?mI9d*>Da08QSjyIR24 z0&#uE`2>-!Gs!Vso-%!ypLO4R+%k{;UX$}CMNnp!L%SF@n^=5xO=J4 zN`ioMGvznm=>+hl7c0ga+mxaBnfR_k^kM!*k}dbI#|pM^OE;oaV9vjMFUA15S=)Ij zj6YC`{EKW=OK$JyBjfqgY27Z(MaWINg3%wBR%emaYIlccrzn+3U75m%t72z)(o8~n z&X};^oTd;wtfmsqyzw8+05qTNtJ0rm_|S#LJ@rtuKM{6o5#+ zM^x4!8;$^Uv|=)QR7p_`V2st0P#6FRz)IJfe79us_A$U=GzZJJ>(tELfsc~|Bdyn! zlh685IE`iywF8x)&KQ>4VC`;+8f2)F*##&7-_34N2onJglvMzr12nR+3bDbE31(;S zJHHOCrvBNDDA$qwvI$F5p&;)_%BSeJ<#nSOSKk73F=;A4Qo_%6g-unC_mtk4%SSScro?4oTz2HF1lTP&HL zNx*EaxEK9=TeuEG&ln9jQw8)!ZROkRP+63z2oGF<(jHX`6=>_tqWXJ}GTH$hN7`+% zuRj+5c_##*(Pz(E(Ac}M3Wi?KfdDy-HAY_ZAk9$Z=tnt@rzljJLO2TtrFuGk0j-#2 zs*;t?PHKmrSaWaqj;kXUx$JfEI=c;#V&kcTIS(E4)OHQ z2Y~X(rw24}!3{P8RovGf9s447n6RaaGGbkUwHGgq7Kem2prW(BEZDDZQ zwdGnosQ$TC4EEk=JdS!cM)5Y_u@_RoXi~s}pg5hhHc3@9S20J&M3(oiZ2Rlbpp$%S zo;A@86~xm+S=(bw8V_iyp#y>Ft#=-&%{Qu#RYXFh}70rw$f)hmnV_UE#_|~C&6vh7o_Z0YI delta 54144 zcmW)ncRbbqAH_d+U)ObAdvnd~y|OYd6_TBOtz^f&mj?Hmxh}qx`8QqxF7Jo86;#Aycr%+vYV^>~5 zP))diuORx&b#KwS#$(!XKM08c@|+j%8(eGxAv`?+=a!T(6&+D*yoyT>8RN&bhFtn4@1tg#u#`!Ty>_Iq~K7 zI9P*g(tY@(L}UWv$*Cl~qJc2-5G6h$C0-ghGon!H<0DZ!l-aQgcXks z7rP`-B{(Id$`uAgzKg=ezJ|*LJ9yE!-`p5uKsgNHmhkwxd;4UpP&i!x1Iqu-md0Vz z`k1_XdcEauKwtRUi^k|v&U8dhFP8{eyo<_Vk+^>tFC>cC-SAa_A7r@7;A`e%Gu5@F zP$_yW;dmNCx}KR-Du$V7>;Y?T-H21n5#g+kMyLV?!?9Wrz9iK)6jdWUj`o}cxrH~S zXfklHJ?16_<5tku_!KO?i)7$Iddwv(LnP2yk27Ab)FIZOci-D8V1;hJ=62-dS61AQVp+tq_T-J8sC`1bK;-zl0<}o6tbAjL_6DDE{dXRr+ zmstYi_HF^I8|s_Oq}hX2Qt9}Hz{l&D7FC+;H0FzPUFGZ~4FB>CdJa=Lk3sGK?T2q@ zcfITs(2udu^B1lltBKC`3b!*U$Ui0$bgQ@n70ko5okxU6e4wa@(e*Glw|9KvxtBVS z%X&}7w}YO6rm?a;VMEtGp*c6e*L zXq*;qrdTpE0Ci#KqDyeZ)0VzHWW!p ziO3cO6^#%@R`JE+ugixT(8X5wvi&8>;?M=})kEXj9kYT<;to704lYoz#NVisx(gTi zsQsOZ)wM1YLxbO_0`&Ce5Yzr!_riww9|B*UDPk!>m!jd`0vW@1E-upfGhQ!Z>9W;_ z#AjY*Ei0zN=w1_1I0S`0ll36`l~GCB#9JS*v3Lz^Ss8Tv8RH4pjc!3Ff@#}q+C~{K zhQl>^BDyW0H(tISE|v@b5M(?l7PQh(vx)7$aW|ItOI=%k^DE7@`t|WLrV`#*v~dK_ zi5=ZG_Qv0nQxDpR6)LH`R{elCcluN;?`&Q9@SKVzR~1SMdc@9n{pJs0ohj^xJ) z^)+D1c-vZPj5~Pyi^jr^Pbj`e&MXfwuEPq0hZ-EZLXAHbnJmzZd0A}aQUsQL$kkA| zjPGXcQSG3{B^)d3_aPvCqu(sOR2K9uwVeEZ-sExN*0@Y3 zIh{@(qRUNLQ@W1Qp<`Rih|giM=jA|br)&BHd-P5#9rI2jTH~NB-7_0ZxK51;JaYrw zGcKLCFyCcR%>CJngU|+!lxG;Gv}EiQgVIDR6A+n!PlFnJyx!`q4sDt6T7{}b zkdr!4L*%7*bMrW7iBY!xiqn6UUB-%&8rLQcu(BEyO?*6@vfD&o5$;*je~D0L6^mc$ zy<3xK_{x`t^2&4K%`8E3=glF7x~N8k#4FvkVg^k9qIFKN!8*6O1H!Oc`{C=b{v*?b zPN9HZq-fRa-63gag9{@Ckb1%BM_t?p?Y=dC6(MS#d$7yI$@E|1pfe$x-dT{aA zQ=#6~Ur&NPUG%>2-UpF0QuhQpmTUPdB15cDFvP z!S+3qw{2VgT-9u@N;eESuuA)>mUH;;_|~H2vk|(?afGp<1*;Qw!83mbp*#1hO8iCb zq}XhjMZ9dJg-L8+HlE52W1avCdfXa3lu8eCk%f^v!9jk3gD(B8uX!5Jf%BurD}&4y z{ePEfj4@ou56|rmWU3~LoS~fa=S%n5U5ws^nkKgWrF>mv{^c@9faUwlDNxt)ovV$| z>i_{Ti;8G`97S9Lk|MmCb#lX0)gGI%_Tm$8mQJ7cQci^vqb^BLSxQEdM8a1E(KVW) zBB5vQzx94^9A^x0)T5ITEBM4ia8fmzm71s+;xKv)Z&RPNbiI#{@=Vyq8_msHfx5ZV zzTod{XIVgq6JRb^)9-J^iL-rBphoItzlvVyj)P>h;U(`AdDHl9w$l!=TT?>VUlKm9 zAtr!qY_c<8aW|fA4IVx?NNRef@Jb4*fjHWZkmeEJKN>`D_1?@}F)?;Uu9n}0p?soF zWT(bf&UwR4&(kQbE(Y*Kbx9a#q{gcjwQdHogjk9H1slm%gChmUGlUZ*xJ8R<;4je= zObi^>qt}b7-Ii3jh7YJ$>0tSQ6;b%rkF*ipdMpQ-cBS_R^9VX%3UMB zjEpSz;;|$oXlrqwaRU<+t<|9S%fN2p)8Au1HjyCmkx@pGjCA9#pkFDzEwC&UMey9i z#f+8xmP8HYH?JNZ40cg%|EUCAd4lq-yJ!s8MJSF#IxbGwsm$B|ZeLP=;olEHA8ub} zJMpxwEYfw(rY{s2`e?mfFnMn7&2C86sF@6fnYXBtIb8As*aP@_G}4=+)(FGu9bF=? zVKngAC3G!>Jun=6Vk={QyPgZH#FobWg2sCMG1OiWmn0O!DvS=LNd0x8G}wc7Ew;UH zxOAS#arJjjdB2PI%epIqy;Bp&w&gO!cC7p7QZ~ROo49YATT2_zDb9~s%`bIx{qxh0 zi^f*wH;A01xCbK49~&wE`RTLpB-BXH7JRw%PG(bc;~jlq zIkQS2vi{btDcEi=V?~kz_Bh`7VujJ%#?0B3+~{sA=Xs8L*|^ZLROnqgQ!w;az{Ii* z#khd|k_UE~(R%Y47d@_hacQs#cq#^F=FaY+Z5MZk${Tp?S2tvSo;M_m+ZJa(D~t)iEoN|aC*4}uxU<%@yB+mH&X zSR5ypcemZ8tY=!5O)CE^jwkF>*KI+3)5U>Je%WcgDT2b=Va6CieXzL^6D*v;?m~Q;h9;Sy^@dIw zw`G8N*SHVFUj(jfnH^w2IfWiQt0KsMLbxFm$`J|EUq2cQ5U~89EO<{>Sm#uY z!%k}ZwBi^hS@HOvz|qIRG)7oBPuIMU`UYQLYPU_nWiU6AFnlcAK{yyeHs2||3tO0ES@Qm+My zeErLEyM+`H${5|}25C4Jmn)~ru8$e)NA-%Eoe}QUEa6TGx$?3MrP>y@@9Zyna$O;U z)+dqCx7|!Dq9I0&)3lKI?Q6iHyEOe5a9n!U? z)iaGS1*6{FK;ykX!Q^p^{iI|`i+JL2uT07Q{<1%rY0)roywwS8q2p3xx|vPU+0;!k z+Ojy9qNiK0S#7Yh#et@w{IZz|Jz`w+VkFmsxLnwfQX2RL8A|*!`DvL-b)#6=Btb1! z@Z=X#Jl6@4n7?SA8Qm7pV^Ns<#|*)t)U007f>n4@`r~hpYV~@3^-f(JJ7T15 zsWRvEPys)-#*UcvubC6EVN#a7zsOX{{nlyBJHjyf$v!3x`g{d`^be2m0WfAF)_B@# z)%D9b4Cz%46XST#4lGDIURba+e!Rp{PR};uq;z<$tI!eW zs}1C>?b>ow4z5xu={x6JPq$P@N6?lI3=YVfv;pjvIcW3rtU%)ml!j=-zvFsu7yrE>5V4+zO+Zq`1=EnU9|DNyuQ=NXL$U zS!Q%Ko?SMQQ(xy4jOq1~#c09e3kxLC&Pl0mXyyK^w#Wt{YfR<#B8kh`x5naQp);iN zv>Q)Xv|)Qnnu?muKmT@GJ7}p08BusU`b7q`x-$J<)*_VEC4 zyo~>{17PwR@JL)EghiN_o*B1~FmvN15j`kj4ts>eWak(rHX%iy5}y#4KuV5NN%;Z* zo`ldJ*yw~a$zy<%*od%3ef*TFS0aGdYu1P8h3JgBlHyW?ZDTx0q6h21rsF23ljFVd z#-m`P2*b+;yV}6{8}{dlZUou}?gRdSA8-QTGJF9aVEk}=gm;WT(JyjR1vpM&Dgnp* z$bieoUEnBiM8-b@sCTrh_I(iZJT?We`Is0E9E!65s*_H~B*yqfkDQ9CKgo==i4FBH z)8PWzfEJ($Xm9}qKm-s11lj=pLo;s2WfMKU;&Wu-h2K%vwU2QBHirN!>T}JN1W;?E z`93d&){3UC<@L0#Iw1_?KZ zh`b9~R#fjA)GAk2(BY=a`8WKOm>OL3M;!2Gc*WNjAtxj2zwPgL!uJE@vaxRCk>wYJ z@E#zgI|DiO_z{(;JE26mexD$t*0nP@KR8I!{WO?grF=NlX=RY(JLwX{R%Ce%|7jVO%}K14~Bv^6=ZyVT}yv99dakhZo!-HBQ; z-PBafi~9hc+UDfDa%xsVL(@D(8y~1=yzlaiZwqZ7*lfIEyVJY~0qQ-65588gi3YXSG zS>s*--=s&8`AmU<$~7%(_`>sxd_{frGpt4OV-I1^oSS>%87&(qT37v4W$`99p;~qw z#4Asaw+h-Q($DZX;*VU<)C*RDahc-Q1qQk%7EDPHWOGNam!W+W0IxbjopPsXpZhIr z^A^kzt0uZ2dN}4|{VCY_m~|%$Dy7&5E336Cpn-6FV$QR^#SU6OEVp$aFK3!VmawG2 zd}2L@bs{skova{^%Ad9)Zg20Uq*;KN;0!74>~RDQ$*h5AV>a-Dr#4nL%=j*3Zy1xk zyE33|e8NPkw&}cN+tj>UoiZBvC?>_v@6E_C_vC==Nju+B_D{mj^&S>*A*f>r>>lE-4#t*Z>L?Fim0+*nt` zuhWW8q&?neG$R%(6N{+k>0|;E!xFE_@3!YC1-GzDo7FDPH}HiS42@xhN&K7Db1dG)V@;0nSc%0TPbqqbHe7eq(X z-qQva?mx6_?uOq9Za?^wxcK|vRIq2YAU>Cq>nr_GXOw}mW7vv|88hLnl{H0`(T{>e zSKtigU3yd6V<(X}MdM5=u5uE`FG$-FRp2l8=!)FgJ=_Sc_g~iA}BKBb3(j zB*08Vx@^2T9n))C_tzvGK29-+jA{->tKc$`QK|cE-F6M5*XUi+x=?ou_HWIP}w8!&w>Hl zCdaQ&1{&_DPCVZfm123s!8uw&Zld-BoanEO4U}}Di4?TWA}{PN*{W_^s4^jyGdL}f zP&?!{SpW5Gv*FQwJboM7Dj>gp&q)%cOLKVA+Z5*KJgt+eDCOF1{udr9nS6`}5gHg= zn^Qjvhple@stAyb9}SWeVz+OChKHNHz}K7wE2c3{+5K*Jv~v0<@#`jz@rLGBQ?5z1 zl!erc-J6$FUN5Zg+FB4Ue67@VGzit>3ch1~I5L|+S(w?Ez47)!faH4CX5+7Lfn2^U z$Gr3`E;y<0o{8xDWe~6n0<5%BxO1brYtVB_s?Y}U4@NIM{P%c4Sv%k1Nn ze$atiSqj=l6R)u_l7zULAzW#T+#*Angd2aW0dPqekdyqPiMS$$(uI&_@`HSfrL;vw zM@(~TL@`d6e^EuxA7u(r&$}=MGVUxt+Yw21V_NlR4$@3;J}(SWM|yhv+xK?%^*D94#s6j(*>n3+`bfvFuZ!IMQxd{FCD3&eFZKEoL)&hKXJ3CK`-gjWH>C>({V}%* zjrwV`_Iqq0`43^b-iPYh;gB7^=D}3V8vmZr*r%fGyDxu^fvHgOX?>T?S7$`NMC-=- zNW%aD>Oh^So`$j3*S0kqUr$t2YH-Q99XAF_^EFhqjyc6?Uj>nLp;9Dcn8H_)rx+V} z-ZaP_X-iLrf)t$pM$S&SSCD6X`v#jO1tZE#rgg}#}GM+P0PbYZ9O7kUa5lt`7 zUiUxam=eXVt6UkA*P$VtkTayiItVa;Y(&A!4nNf0yxqv5{Q@#vW{eyeGow;7L0nz+ z$ZL1vpNjozTq+o-_IW!oLoZV*oy>*joKn+E7eZ&&2kTourjp`{V z5G7rk`!GnC^4hrtJO*RJm6Vz=xLI(|vw>=F4BqwOy(rpXkm9G0ptp`i_L(E=1&~$N z5@9_3JivtL%NaTU*Kri~u21iz6FtUq7(}pcvjV|p@b96SUzoB)4zoG=!qM=(Z5zwcbR^R_b=G^twkM8lkvEbcJjXNx-0zDqlkKTC)w7M{qe>Le zxV0uGi|>aL*kBSraZEeJAaOznc)c(nr_^Y!`z>JNM4Q4bBvaq|rOY&E#LoK19*9i@ zIc5I(u+AvvK$~AktO+h#4;-|W0JKo?0j=~+wrJ9L|A2+hR$C8OP}%f}%K)SHoPV|z zZ^kv7w$>Dji6Rz+X%xr`)oX@NQU=mBO9`Sa=i3*lQi-niI$5+fkLj!>@Knl}C~Y9? z_*t78kJY2mMp^4hl4Dxum+aFW@dr5)_upacYi%%`%|*_h;VQdO5i!2G5VQj6+t*rI z?piiP4jys(9yJxtSY+)1#AC0da+X^d$Tt3>_(KhQV_!GriY5NZtqV*FxTH}dXIide zc1|8H>oqjnC_PO*$AnrcydowkG*ra@d0z{Mv(CPS%GBH{qgXt=+In)|uTs<$f4Bk8 zzp2g^kbAtwv&v1e5Uz_?YI`S+P11sQ>CJ{c!xvB2j4SzYt@!^sKndAVBgU*V-aEXl zG`nLr~BPG6{?khKg}?jSNUS2nH-5< z;B1DV%~y}f7YxaQ(4(ocyJ5}XL(xX~&8Si|N;^!ii-`8KhQw5N*q6clcd;txwvWTwHeDxjgt~1>Lb(NT~`Ho zXF?gIL?DG9@O9yO#6`qeD#wyqP)A+HvmFHd4o^dYA`A|g*Tu0DN6b@_?pG7UQjX#D zTw*T1jVE6u4HL%u!ozRHB|o4h-=vqFUa=>|D@)h>)Mx@IU3U^d+^ys@!gg`?3Gt_F zC&R*`fOv(I$s-)*UMdd|N~lIxHYV}v8j|=t8pX}tH6n;^yap#5B0kvuNQ=S6CjgQ{ zf0^pR3E6OJMwM9D4XyTNpaHp|EDS;R0@8W~<1KAtc>Ylas(1@70 z1oC5EDat86_4sO_VC>Y{B-W8Qpfwg9sf7-!U~2gN9_e;}nXI97#Ts72jvq4zJg?hI zjC*y4^U!msyn*D{QEk|pJp!39OHZ)P(oiQ&v4q>%R0o=XR)qRNkFd_(KFHXk+(qZ zSPDc^mAD&|{K_u8Ey8i^l(#rai-s`}z>L0Dd7 zoI}JKK`>=p%3t?F9HtpB;x<6PJafTTVk}I(^tlCZl+66SY(|B_U_CmyyDC1_jPDdB zB0HS^<-|LSH!+4L<_0D$aY&;RMu`cr?;jkA(@DCj(8}*Cq@jS(6Roh7{7as6J{2y% zIqm>E5GB#6pzR-@Aaybr^l)>d1~Du5*r&h;51x(Y3J? zzsqh$$fia~HQ>w=%O=Io5Sy1#KrfOK^*w|(GNe6htl!tC-+rqrG3r8Lg>#q8)u%L% zuu#71@59i}QgadEhxN?j!`u3UZoJ=1Ug#o|H%e*-zG&W%j8#p<%_}1OyrIWsPLtjr z5sZ1PVVge@OI;U#=JVhyDJddP{+{|bt)Vu(K0VQXkx!jIoe&NzI`_@A7_QtoN; z%i_XcR=J8he+#F&Xy=z18u~}tU-;u68geS;P+@tEj98j!VtwVwr!j4DDU!LdmFQPi zQ}Unr0VQ^vU9sdpkG3(y^@BF!>^;}XWU~+9135EtTBWt^VWGxXVoR!Yg@0bqC|f(Y zWAm!wROBh-8T&d<;pL0v*_iNw8-|p?I8#rF@4MqE33o9(xcL8cQg7EVcto-Hn4ZWv<@QAa`6X_}5NjugIU*#JzTMI_Xb|#GE%&h|#^7={a<=*pJfgzLI?E z>_cB^86bRYGX)4P8ECU|{{Y$A$RE_ z4+$OKKing!iFYHFeyoa^Rq{3VH@^HYdw@rT%Rj1eGrC}|E9g0NOtXR4%rMY~`718Y z+Xhaq{t&gM>vSse1hut_M#-2~ZVL2Ob3VZboL0^Mo;N}|q+d|8|ck)SU zboL9ReJU`GA}o4&ioyRW9vzM9;~^_r0Vf zR@5lqef>k7DIE)H8xg)A-wqlaLuZU+3YdteII93xTCmXMKXHM0+MeuHYyrI7t=@Uw z)?k*2*6o~@gt$s>sx%$F?fqgwq*WRKQ;v!#*;-k>#5Qw31Fpw;{llo7-5QdhzzYgy zgowOUo#t0if7O9GOb>#`kh#@sw!bk8V}Q_{^@?MOC;7-cOmiP3P5UL_2nOG9vn_<( z>$GlkM<|AI5tvkTQm(DtoTLg`?i*8@FrsDA>b>sk^F-j0z}3t5ZoAv$Fx$xoJpcp5 zi?#I3b?kF-sMNcc0~`si`CV`1EYr|hb5{Aa zApH>i)i%?@8pwJD4_VU^;2fgu(`27*qBN$Yq#*&|{e$hvg0!syDb)bFI!i?O5Kr8cGTVj&sTK6PvoO(!oco|G@XGPhbqwabV=0 zR6ng_^N>onLn9lYz$qzWILo)FbeDNrwUMCM3JV;G3l^IH0~Y7Lgi0-yEZ~x z3L4Kg6apG|tAq^R5YQowRi3Id>e1D1S?Y^b$FuYyz+t+lzH=n=qb?i+&_Ca07(5Dt=lEg@ws=H^FI)&rC zM*aI^hmK#j&Za&47)A3ID;p%=l?uFC(55>OSVA{s??Fstcx$2r1`W51-!=-E6rlK) zWRXu>L9qzHNit7I?O$Z?^n5lAueARdhVetK%e^jQ-h(n8VR5QawH~zJ2hKOg3%CDT z5IWR)5`U)wi%}5=1{XRupU87B1uUX;Tq_SKx(`4I^FTsub^N z`6gmba!csNecloG?QTbXoPl_?smu}D*S@TwW4X>nAr}W8417oY3-$$-1u>D&#IQl) zjl$Mocrd7~D=|SF#Ivb3CfVvgA_n%i?Ra2uD^S1zd~HpbCe}LIxw6Z1ZPxyy?zC19 znKHnG(ylpw$XP)Bw#fU}I4%*@07i-~T(ll3m8S}RvduSnzA-wF zjFl9bTy=2!aYZKi?r~|liCZlxI90^7!1u8tE=QbD)7z21g3S{V^9q%th5s?|H4mke z<|n7=DQNV7+12z(aTr83>M<_Sb*D*_a`4MbGN?9ZXTVJjTA$1@4l)9*7}0}$Rab%* zZ1fcGEFqJ^%Iz#*MyPeTO=`Hed2pxCi03qK`|Pap7_GnJubfIz;IX>?5LCXz`!@Rg z{i6DqW(v&QM1bfcY6PNv3SBrh?S%n9SaU%-4|l_q?fJo`lSNkP6Z3SOaz7=$(slm8 z5H`jlxfZ`bzHjb5<0pN$81&zE7UuXmSDQsrw-UG*f zIoL;>k}ujn$YzoLs6P+6O>t|MON+VHs>c4cqATaegY>^KhrWD-h!EX9*hb=|V{P@v z=7U;|j=WqsmB{rfdJ@DH{k`6v&+|J+E_dY@Ut@;=I&eDc5jEawaeogh>Tpu2QB@`YL&45YvfBS*z zaBfx<-206f=n0_jC@zb%2D|?`OY19uf6}H5&!1pw;h%HpZt|o zathqXmtSMHd3qIB?I~YbKW=3{bcnuH;!rL&Ut&@3ChhvbmQ~mLwNn)AD`;wSqbN?N}XMl@#E}n?{!=d07Yi<8oFDlds_+OnB z&I*qsTov3iT2busKw;#=$MMe54ULaUU+jc4Htp_#mgJVN)FRSGDDOUw;>&B;wch^$ z3mCVm+|v~$I;o_?@6Sr3_dKEP933$_5sP7Duv*&$^R|z{Gvrr1^|A7h zP_K`r9`xq`o z;n{7bHfwwDg%DdZ59=GjCV@1-J^1M0jmUsYD+@hE#ZWG4Yh zFEjl?5z1t?=bB~wkV*9wD?V{yCq#-moxD1?;i$Q#%66S7L0zV_guQ(c|1^`3IR+`CEDRQKZ;leZZCfdaY86D zPm>wzq$fGTLxafP6y^b0Vvq-}drT z;p@`p7vQ9sV0_YKOI%2{SXqsu3J;{G+O{-AUyb7Lc*RcQr%)YHtBhKwiB@{5NLJm* zKLpjsy49V$fV39>a(u-R9F>_A=qS@%S?8-7;?Coe=7`iC%7{`t2v~88*d;LqVB)=) zT2vCB-cZ3{AXxArTgC2&0wRi~BlK$VPtLf1z+!9tA9+264~2qm ztrXg4g1_o>PG-TeM_KrB#;MLe?1{^ROQ<0=Yd*hcm{*`a?+4bxJ<3WdhK}9T<5ALC zidNS#Kkdz^8dXo^X3C7i@$=cIPmdEBIYIN`K}In7BQEe2s??98qB*_iV^LT+4PI;B zrvraO_g==b+l${nPkxfNR3o~~kpp>3$^eiOwx=3I0v7DU^d(20(25fXW;u@!Sp z*B50$J{Jy|+a7j^-}c^NWiPOcrNxx=PO*R4sN`2kT;%<`ZLRzvuV{;)(NnCC26{Jo zHqX>s9mHxxrmZS^INbRbAV%9zR=zA`o_TO@i%dwM?tJpeuc5tb?ks64<^t_P{m}Oa7 zZKMDaE*&tEp{y=eAId(;*VN$f70l&>FPgwxOlxdRHO{AAxlz7(^>uAaon3Y+8m5KP zc>_KHZMkAkAc+->C)+}4JZ2qq_{)_BTM~}&jvcoJ{wfb9OS~>hG9wQ(6_4xRu(Csn zW5X!&c%Vt#De zOQ1zO+ZfX_S1g~=)*?p2nzqQbi6dG%k?z(jEs`&AD@D1ul{1>Sl?yL$bKAI?kXEGG zBU=`tYtg}cTNs~#_<3-e=hsN=h`LSk_82w3ZJ2AO&&pi@zh z=G+ep(_r2K^+N3_uhmzUZ`A6nIWC;_UZ71qR%n%zSXwCv+EkWUA}yyOS>uk4_mxmZcQy`yIMo$;_#?u-#=dR|Jwf<>iPzb7miZa_;!dx4$s zh)~i=(5EGQhTcVgU4o6yI1v}RLx>?HMriCE=e3PYmQWB8?RWGBne;HMNwCJL<5v`N z;LUD)n3rE6GYJ`rQ=kDf`#7~{mS&Qb#TP-rWkvFU5moB z%o}p0i0zm!{|pG#n3-)mPzc4L*IM8XnsI~nK_KqXacESN@c}o%xJ0C7^9BixI&21Q z3|x{#6+VEe#yy&NP&CD>Ef-bKgHL?E?|+aY)J9tm*VN_96wE6T{0Rks%bj<03>ujk z9!yP-Vtu3x+S^Bd%*_YxFz8_#@4a&o+H%-xA!%}jzbI(sVcd4MO`wmAo8(2-gC-14H;`Z`34z)6J;j< z3WEMmMra>rzG6ZT!MV6r9kPE$y2|B_^EXe}#5&0fsI*>V${$A)vU>;!B+d@0go@`X zhigB&5*eV}m<&$_9)xWV5@J1~yw1qIL)``RLK*{*uK(B+HyckUz}aTX)loY@xAy4^ z>1eE^p!g^KufY)OGj5{Qh3~c~?&VHO(YPzGJJ2?P5j%M>_78!mem>sY(zF#Xh3%d@ z)-ua0$bMOUP@eU8A{GSxdp^tRqfQp-NbODly_$WF|-hS zsG7ZQ&PY7-`DjW$^1v<6&vQ#sf%rUIu#7@oaiYr^f$RLD9&h57=L9ZOg0*Yr_K|ky z>T{!C_tb-$$EjnLl(gkmMpQ|l)%b$OC9?<5>$1RJJQ?c`#s2|1lW>F4xzyBJ^ZKSs zEqBC}$s6|kMDL8v_oj&oQ84d{8d0ah{MEvig{xbk$|XEL{slU%QXM}3vQkbkx8Y?y zdrKMQj3*|#> z+OAEsd_$1Uno}1-Yt-=|sFlQ!_ASyw38+{_J-#{4@VmN?{P39q0zl#YKNl7=ybmJV zT-?i_zwu?N`E>dVogY8dEL6C3YkR-!&i3T#LGWa4D7EDczanwI*39m^UjypV)nHs5 z3fy}y^OX)R{X!Sig>e`J;$*yQI$?szfGjUx(PL?Vs8Lir!M?qKpC@`~C zljU}v76Rrh#b^1YUKYzi5i0*q7bQ5ICwhA=+tmFKilQ}~vliHxjf~Grh_eVFems<~ zk8I{y`==7}U?N%7pjyD(Q-)JeF-Fl@eq-CC7O9^YjWg?B zcA}I`w)P+lk`&Dco$XHxG4zaDq`_p z%P5kBLJuwqf=$*)f~a-mB7#dtx-u63?o%h)njjdR1)}@oan9~ul>WvCHbg14XCH64 zB%&kzmi`@SUoM|HgMTUT*>grSJ2jo6(#%YA-Em##tA17*&U-1HCU~oyJ})o1YQcAB zH-}3Z>qMXKg5rP4S)hp7Yp1RsyKrD;uyk8}(bL{9RoR(B=xF>Nf$`5(>dMY6a#QP+| z8{8TBQrfbrnU~)+B323jX-VSmz;U7LgeQp)1m1SJvZWLF zeBytH6XNj3W8vapq2pqi>42AqgFOHiI!X1!2oM0Oj$$MVEK#3H5!pS5YBKf`N5xp2 z@u*LTbbqBjHR$#zwaaQYDDvdJ0J0#ha>M_`|u?qNBAm&UFs!_CIP}#KX)PJB+T2Hvz3K1dmy>Eat zyHNA2St>*X{s_$SjhjX9ZL#GC^x8CM|Kgi~Og@HaTSb_AYSz!iV{Y~?a^T5i>4O5K zV3WIN^efnBW|tT2r))lcfIQ1qjQh|o{I2^Gd$~#CF~#Wg@~?tPT3o+aR^M{o3)VSt z_<5cU<|nqu)$SsH;t)zfV0-!-9jVRx!qjPKfi~)S7Qlp zOB!=}Em9P;aqAFG22~s)Y9=;DN*$>X*2{j?-M-u-9P&w4k0q^VGyP7vE@#8+)|#y2 z8gw#Qm4cTslCat3BYB?7#vrhGvGSSaX*i1(AF=HDR{gsa&D{PGR`Hm;AQRo95%SzR|M!=na)Sdk_jYz^ z-l}#V*;F8|Bd$>JBtvy@L15`K(Td9RVRr~bSvOo+v$ChZv8mG=mm&J;s$TtUTf!+9 zSH-Lk#{a7?wml(7P3ZwrtLZED=CiCQBi3tQfm*$a<=&7rotqpV?jrH2{dyg3{oPHO z-(Ac1{)S8vZo<(~oJ!j-6#}ci!`=1l$*T!h3tazI zZI$aweQkp!KV>A0GF3C%3VJ2e#vx>;#|Q)KewPZWNG%<(6`|zxvCndy#n=|Z`Ni_2 zP$=%_X{TiJo6{;7|N7v(Oo2BYHM=hFtMYd1W?|dP%zyA1r0|*XqYw8Yjb&)eS+>e2 z&)EArBD2oV;)vij(D}Rt4!fsg!q&Z^pCu>~*gxD1Dm-m{f(D=b*F>E(pn#f2{`BZ* zn{?3L^BflIql9bzEDWlFXE+o_-f8;{(`JxsaCX({W<{(%3)vRg%=SndYLrXI<qu3IW4Pm&a1`6$76@bA|laRrOeTL znWe$@l9aRzp>Lb5T=KrM)q?FejiGbQs!Z{N^V1u2bKWYg15ODS% z5!|cHj2vgJYy>f+7QdROH^jOCy3Nk}uM;UMOgXcXiIOX~R4d8m5zA!d;h(Z_tQ@>A zvRMR5duK@aMaKh`w4tx!12y<3a~~BXMv!wOilrZKEqysMH>MN4EpPnMv~#@#KZlO( zeTEwnPV6g4=#d}t>UnFg|6ZWyz2n;h8y2&dd**@;ZrR4YHO=M>T|wK<4{`s_T#CQk zMY+6gce(sBEL)8F{Ovy5$r1{r=x4q_ZNI$Kg33f1+F(EFA;CHJV;#yhcCYcyg-7D8ZhJoG!B=-!tO>&($h)tM8POkw4aoF=5f zcG0K?0vr^{T!1V|Jg-m{Nh}3lJBk0kzjJ*me)Bpuv(-1l$u$kj^02)iTQs%issWW6 zx#Z!z@O#NSLEB?*;re6{W&L`lCLm)}hsEC&{BHbOc%f+QMOX5v2Gtb+cvB#{3FY>i zcO*+|bjaRuqnP?`vCVhjCA=xfkq?MQX(G5#ZuhR=%VBr1rbekMQH{J#>A(FK#1@2DOr#~AIOt7m{EsnGPA46xs z&;-|p;q}HgU?WC%cXy3eQ96{8Fpw5DK#2_yWDF3+_Eib}Bm_Ze6h;duA>rsUHU@}_ zfW-FsC(b#~eV*&O*< z+xM<*?uEvz5g@Gc=Rpx5o$D7GhEVTFkvovG4oOx4%YaGC25>Ji8`aKtWqw?8xIyW8 zuWotUmy>yPAG=<~m+dFeZpW>~4q7E2)PAvw^8-Blh6gUyo?a|MJu%sFH=4!4m@^8T z*Yh+V2>%K0DijhBnJ5JDKQ7X&3VODBy0!Tp;FKyUhDZbKa;A4@Gp7OIZcGI(Rf08v|;EQDgZQl*L2{ck|wyek&g!ZJZBNSZO-dU0P3s z#6)tT!JN(7##URvX6tj3O)H1KnX|Xa8gY-8mTZ?l-1HsNH4X#GOfK^ZHE3@vMh`3Q zTzkL)fQW86&i^o{ziPxYe6?b4>bN=iYdiNeN7S?4N)t@NK(|rqLYsWF*%=*R+pw1Q z03f33UhFixAe}Yz_n5W>qqmKpl@pMrK`3RtZaU7^I3$907f6(?V0fj*gJKt0HOD8I z5E>IDZTnNpR!z;dqr#mSt>FoEKau-Va!*s*wX*MeJXz>V?3K#6nsBzXvaO1=#}!pE z0d?t(vh`DF7NE}DfjtBU*0r%YOnh$A445vs zR&vIZq(-kl@ll48w^-2Mkq*e^oZ{$i9M($zKByt(6FIH<=?<8A%WIT*%PI=2uNt+- zvHtx`l~!4~;Zm<{u%TF5fZNDXyy46qF$c$;E|3I3v0FRu06?uGZfWT@i)FraP727_ zh^RzP8HHHHocai4^@>~IGHIf?3v*~`IzQ&_XUII4d9;>f`d1Exy&MKrQNH?;xQ~n> z6}P^0a3I2;6?2Dw`8f}Jg=!?%UE<79drKdpq<0ltQIrQZE$SI1>m;=iUE1$Tr;Crc zxrBF`i=kx*b{@}TRM}G|tmHx&=Q&Dm!;8A!-1t=d#SfkeR5M2^l$ftC^#`S2=ql-Y z7iOsl>FjFhpfv-5zhAAKCYWUw21k;Pb-cw%dbqmN@0n9kIP={kqmkYR`{EWI-TR@_g~m85`o*b_!8=dC!ZPgdBHSFdRcPeS}i+Y;t0;$=N)qD=L8=oIXCG37MOf4W7^Z-Qoi1&>jXDgbR=yw3&f>0CNHnp(52tP*5qDDwXFvJjMz(_nQ+2N>R)c?7{tG zw-WycXPH2ZZDf?uP|;s|9}9x49kwPd{bEhG%tPZ#9Kdv%#}-6~Q}f+w8PDo>z*pC; z*ayDD4e-tuw_!+JTS{zJC3gKMBZZ!B*&dY*diB>7-Vn?@rpkj92o=*w%ixh~kjx2W zi|Bd=muFMQsDB?@AHH=xumD;uHmE1%T|GC{a*3^5QbW9{-Vdahd!9 z^eMzcfm82l>ss0hR4U(JnsJLv6+77}I{~o%=w(~0LptN-U7l+q+hDQ{yakw3aYkGXvttv!Pvg^t%xti7v##Umz3AVdwzMXIo@VEpcd! zln8;u$Bk=iB1?T`dn;w_c6~w9<{6r-y7gnxZlCq<+o;dHk-jsDh~M+Mv>;NP56*H2 zlE1mfFr|*H?Z+M>gSu;QUJ}gIW&`HQF2L{&@w@44#r~~**IaS>HSO;emhbi$ylkqR zP{bi3iPhGdpl_$+wbyWr)+|Zt=}t-a3<0VfJq5cdrlY zi*#IUfUQLY7aJ0Ia8}(StVP_|kl&lSaLT6-{v(`x5s2rmbB%kWAvK;Wg+*#q)CYwD z^rntCvdSskmXH-J<*z*UBz=9C`A0F-FkWzxzkT-tElQ?+>V{ap=*REb^d@C#)|;

lVg-4&YD zM=u3)w&lX!UypjdDNGDp@a#&+pWI4H&e1+#OG#Y1Zc?I**e+jkL@UOO9-3fk z#$HA?k}e=cx{$e9J$-3A;j)?8_Q$m}jIN=%F~dcP53eI-->Ai6ss7Pjg0q*ml}1~r zVc3so0aTGnlrOMtUj-gQwiGD^OiDv*&E_ELmt!I3O=~it#yZ=)ZL!%IJNxlfFt09v zp*3}M+%@T0MnumjyXU`;$7X3RYDru>8|*g3*uBBaAFZVPZ)ofvpk# zzl2AtH+~mJnKSFP^`ULAhVNv2%RDUh#wAY4nwIen1U&J01^Tx<*7ldX_jBgy?LLQY zlEW6E>&A9h-V9{pFyM|(mps>IXZwpTZlduQQ?IG`Q}Ev5lY>lqUT%Q~Z-~Y6mm-Vb zg}MTyG7x1|`9zl6tW%rb!75r!7{*h<5C4$Ejv$v}gGT(pK*b*S#0 zj4jqCve5?&<+X`1S~fPp&x!;aC;u0SL$YzVdg#!@KnDuz?eGIMF$Td{GXjw=O;_k_ zQik;YXc)5|LTgj0wU_=1yYjA$|0vrrBz0oOzk&N8PK$ww@P*9JY=GHkBFL;;lY!vg zr}R@sb<1e=l)a#`(S;l3J})bAZCy)2lQhR3C+z82sXV#sS;Gv3+0gt2f#jjUYti2k2DU5>*4>#}6uqiRBaC+0eDM7?hW5-jl z&@H6NfXE{`xKujjVOpAFXn3gd%IJ+F;OR=$`pp{H$<9ODa%6DQ+3Wqbw~*G^%dA!p z`_t*mbb!}cOT!DIVv?37D}9NGv+sbvOuBj#eT8r2KRTho6hQ;u;B`gQ-U~%4pTHbk zB1)qna^LTVE4b#}dJp}zW6eAVFb3-eho2NC&({c5DkWg~FJ(kl7d`XN0lq2H@TmiW zixzzrNYd49OMr3^(5DmH-X*%zBRzAf_Tkg5(R*zg^)`f*u}@=z){##xSicWxkaKc$ zs6SAGIDb_*yFva&in=p9k7Qh6e-K}el91ir#}i!KeRFv?=GJkNT*p(_7)l((_#*q8 z1}0|VZs>52vf$zb_ne!J&|3Ww_uS}(e3)>6DD_%0SXqXX^v``s<)96c-Me{Ptk zTC3j+Nw_{ZcQL0%ylKz)kVpytT!~9hD=M*M1P63c9`~4Z%Gye(a9+!A!_9fQEl{LX zVl#glu>5<&&BYorfO(p8z!G8J02u!#D71|xRK7je;3FergDVYW_JnH(HsA~*{jVm2maiQv%GUi$o|N`C!O4IRHe`+;l?3q{=&yH=RV(FLwpEXfSzN! z$yjMlaaDU|^7hic6+(-`Fd4wHa1x5{I1j{JfoA~IiQKQ19tTz*rLWetPViLKbe+#MtI}Bw{%yGUJI@H5xQNIShYHUPClel?KeGw|Y zf3`GCl2Xi-7JoxO&2>-R16m_ z$|Ab+f6HhrPU#=ByPi6G+UeV@y z7-OhesB1X!l2@VMP=5f~4ICF_q2AyN{#bBMA0MiTmfKjBzIG6{12!qq`UCDAUrjy? z7nQG4xL%cX_yE`)wvD|X3mlO5Xr_Aa+a{fp8&MIl3GSUaFO&g4iN!IH*?N(QUCSdq zi)If@KBr&=0;r_@%6OC4H{841UUtDKEnw|G1S!cDd6nngT_9RF=;otC2cOvm8Ks4T) zo9Mn4e1p0PUVpk$C?F4%qkFysnRwQhuG|VW*O8VOBMA_$uziGVMwr}Zij^!Ie}T{A z#K?Z`_WagptK7@`4ItR$wdw1ma(hi4Bv4@o1FMrswfVg%^4S1kQP<|?u)-SfSc zOz@qWW-H1$WpJ#q>f_lel1$KpB?yEeP4d}iTsb1BYYXsnn^f02{GFE;bKZJd$@s1D zq!58t+;QiWnlg@X+BE8tXR*;zmQrSGgc9j&9i?-tdc8Unz8knMG9L72M&*4C6=^%G z;&v;)J*ulaoX&dS(rgb9l^o?c;av@Y-Q2MTq{Og&0VFU~`ra?eTj4!9i%K$55C5%w z-QpS&(bGX}2%QCLGM#roTK!=bckRqIOI`0VwdhNQ3RD9-<-3owW6a&!Np?5#YMET8#rS zfF2!7Oq|nz$Fgi$<@vK|mRo&cXjHA{2P8k1oc>&Gnk6VKy}8a1QYJmG%L5E&; z1Hfr5;WYAW4D*BmE?=pVJt?BKPee@(mDB+Sg)Si6BrJ8+-VrL}c9uf@qfbo*9gA3T zXU%J=QFR}Bx(0c4?wxuhiqBEee(-a4PSK!go)rzRYcwK#vhpI@1nJR)wDO}h3R9*B z;hny4lp^Gq)qzSE@r?p3X0#>hci&^H&{2)CwqLAVG5DlLYS6-612Xgi+ou<4e2UPdHZ@kP#7Ok6pT5V}>4Ck7kZ>kOA!2lrmO z(_B(-)k~^&Ej!4G4pZzh*7?wtAf9FO+-$Nd?u<|v)e!4iTpGZcErbfdAE9CXU8ugkh4;(nN zfSy4?%S>}oSq+VCZla>2U76CY$Fj6^we5G#zepmTfM{oI=ki}Y3tRh`H_T`b53}b4 zR@X~&wI1kn^1n$Zf{CK5lS+%%t!9x5Yt4>=F3`aL%B)|ByH8(0nV#k=Zu!gS0aB?- znx*th;}w!vOYY}vweEJE$Rdy4yFl}$b`L&;bf0&6vH*Uww7v$5*dOJh6W{^%n8e5u z6v?{CZ7328v{uJ*M}0^rc9_q8#Tj*3b#Khx&T2UU)vBCb*+a_po#o!d&x zV+k4xu|6XT0v37$=M<8?bh)L2UFQ2cs0r_Ryk)^-<~X*dMZkF|m@Mswgg8s=Ks7GF zxQxpjYx6yF(B8=DrYC>d*wXK<(mj(>F#@+xz5;&ZEHOL{a9;tO8l<_~S`tKgZ>2Om zOF#k6mrisVAHE`Px#rQO97)$V2x00?&^IRU=i|<1E($%tJJzxPV%6WbXi1uMCLW!j zdLgI*PP}6)32qdq5a|3oT;SAgir*W~s6AT$pE57$mdd~L93=BQm6wtNs3901tmE-30B(VkL zTYdTj>JuyD_3_i`ecX?#Mnifv913=LG812fY`PY;XA}NV1xyxUc_k-ef#a~7`^0Fl zkl`b)PvegzIq}C)tv)D^^;m5jlNZ876Pokl|NO#{MISi z{$AHX|BHFf6y=;5)E2PG+G=YDkzxpjm)8{Eo)G<0EUbYq zt*jSAm4H2pmor&>_y*n%oKjCh;@m%)P7m(Up`@mK1PA^7fs_tv~`y^ym1 zd(`Ba^qG@oXR--V*%N%OF;bwYaKjD~1`)PWBh~x+M{GSbb;@$-nkW3RT;huIS;0D!>^SX zOb!1Arw?XrPN-&xdq+Q?cvGGX{+42g4m@)@i-`2fMZc}bK9Qh~8bBC(OOp$Hl+9?!s4i zx;C=t6JM5e>@lH*AOiPutCoG9cWGo7px?V&ybT&?Tx5}?!ywl7 z`M_Qnw)BRq^;M{E{mA8x!)8bv02RLM*nN( zGt`s$0@ONSZUJ~^4MG6TSvmtnrvc4pmI^mMbOVk_1-6Y1zuM2OyEsO%5<XC4DpA@|fjngQ)sRW(6zaNtGcyf0htATg~VSb5v3v5`HJ$aS-C~dEh zsm_t!Rb#|5WjJ>)sB#C`O8ze+Oib`Kcy)7Z^?g@*cX;WfQ>kd}GfNT!v{>!3dAbdf z1&Q@E5Ge1*u1Trg$sH|mr{6AC@q#w%kVYL^a`h8JV*XdS2PsDoaB6&`0@a?e2`2q( zIZfAC4;U;J>i*0Q+FZ)nRKk&DN(e=Zcpzc1)!b!r<<=>7mSy<}+ok5gm+ z_A>K4H>i(KWK!|=ybI~ih`iO6)e$Z`VfW{}*t-B}zm}19Sh>*vy1W9GpVSPHj)I#M zUQ^h6FwzFA#0d8!s3;t)3ucbEYk9=vj^I1-1vL=G;#MFu|33!#i#+|cJmbIoSmt|T z>ORBb{&O}xp$*cFiwaQ4c~F1a>`);Jd6VxRC3%&*u(j7bhlG1J{WkDIZ|C#Q-^0)+ zWofEiLg!~)g|WGvQ`nXIyY@V)+(W(06lrYgtKmXE(Flj2liwwx(03f*a=h1t=!X`x zEDge8e(65nwk5-nx3DwOdgO{+UGNnrSLUKOM}#N%CjEHD0o8(4oD9CH*W5)@uBv#K zieVaa#$eLiq);YR1A{TnE&J)|vkfgrqLm$tAg`}%|NMx5oXaa%9|OD|Iy?EXF!t|l z1A&1v0b&D682f}WRvV>N{k-!g&h!VG1A zgXr+p2B2K>)5%#YQ94nCBKh?LAaOY^f{gxOGZVm$)?w}+sqqTemgFX|hGO6ig&#!Z zLBDQ(aA6v7E*`&N#8l=0&QGpTMy_!y`F*(f^`AVL|N3tBg#!T@Dp=yyhyS=fWSQxq zQc)A6`JrRHD+=1-;q=HX`H{H6+YenorMLAWdD4sq62761=Axk6Rx9Ydz$V6(vLWld zh83?98_LItXWJ+@hK6Vkdw|CS$UL33*Ozl%4hZikyoAEOOOHiIrf*{D4)8dcZzRib9GR;up<9)Zga>_@0xm4TxL2`K;0Jz?JR@X$SJbT%qB{`zA(u$)g(hp5RQYCAXbA`OV9{7*2rP9oz^Xa}NsgfKa zDfB@P&RMj)DVZvCH98yyg$>2XC~~CAq$IT{og7I9d#FR2yRGsIw0tM$efk0NXFQG! zNW7of4O?YxnPNiX=p=`QM-8kWv*~OxLZ?uEF2f-k7`XC@yR)6CLqk!XDCMB-q z;TC*`lk<^LI+Qau(_tL&*(AV;VJsh)=x^LCX&P`T9=hZ6@ggsjAsiL3Idx72w(?!d z*5_KcLU%z$Z1QPQSGY)!fZOQ1>b5M&SKwrxIut6c3RRLz5*5@7yOr}#ToQ}3j1zP# zQG1cC>;z>&t9Qnh<=NmhV5ei4wGEBDEHUz4 zyq`RyLti6x?r}XSR5wnm-{$2pw*M2W>$!D(8||)UjK`PnZ$18}CdsvyO&>gwm2iH& z2jE!3YK4UB1fE3nq>+bC^iuXRmu;ziYJ#3qk~1B11HEdS4tcq^zYEShvj;u(lj?&Q z8M)_mhEAz>0`OjWo;z;NV#vHZmnnPT{=GlFls;01)3{sxUw08lX=s(e5sSG95UjKD z_n@)bdAw_LI-2q!WpbRP6a6UQ0ljgW#8TtGa#jA3o=W$Vh}Wjy4iRRRHS4xOD7 z;9LgNCwu1l%Hz@wl%#r9!Cdl}~>9xAK6@-rc7I&q3Ggd@z%{daA6!8fQq z3d>zeqLH}A7C1ZmkL@;cX|zuSsktuFel7@lSm1C-*|Wax$!PI1u{EnbC2rj#XU6Qw zU<*HtrKBpT)K+zu|H^a>pH{dLLw?K*2Ee+yp>+Ysf*ZVNiM5nji@)9Z4uopEkIql$ znq@dfSmq|%Sxb4iD(*pmYM6y7@O`B60eBSaE2xBeKD7o=di|Dx0s>wF>PY->^2qa| z?vQH5B6uS7Tm`~KqgDnj{J#>8zg(WYTYv)Ql;e!8Hn5^bT6Ysp{K)11I4`bVQ=_9f z*X}E@v)-n**MRSganjff>axnBmQXi>qrdOIB>J4Y*(HdxqsdIdwEyg z#|d8WB*gz>4%FU!J7B(~o`h+&lWbJke#li*(w2v!_}7BQXp9`aomdrbdGTB``!Az0 zQ8K-fvD!I3M-l0A>4~Vxg4s|q50p4*~%TpsW`3XI40J)xN(AUy_}>1}+Zk?)*N&)IiVEj;$o z#|rwSuUFWUAG{-Cot@rgNjd|iA2aNBtX^mZdoHED7V3>0 zz^T`k2;mHEg*t_De|0EOEF*=>ieFk=_zlr;ngwY2hv-?!4>(laWQY#pGba>;z0F-l zfP4=B^}$d`tuuL+1Xnmh+lHvL#Y%DZWRU~)jJ0kpwRx$_uN<)atF?Si=<>736pR%RwGE2Kln7cGWd9_=>`FmsCpqTXFU zl;Q!q35FVUL4rJsPjfoTNMyUbSAw2O>tSA+zj+aeA^aL+pkvVEXF~_fO<3-qLX`=> zSJ=_DAW<%M6&|^P*L3COfJ>7=Oau&rFK%!v5${3a>=L@#F#CRI{2vD%LJ@oF9gl21 zwDBZaI|Pp}rjY@3Uh(2I-*PG#6OTrCkx#o+1`2`#huSg`g>JD=0h6^SrY$+`9~zuS zHDKE>lAs4pED#pzP|2IV-n<3K+(_ZfUCk$fGur$>C@i9rmgx6Bb0pf{DjkL%BVLl5F6dbB zqguMnM+>$W=AX5z?Q#&9(2}>USm(SGIPd;ADC+({sPJ8wm$A9$yXZ8!;z~P`H2Sr5 zkf3<)@zsO|dG0oR+PYvCAKz9ggcYg3tjW!RiJ^AcO&t@=pDS#p(7)H`3qSX?c6oF8 z(Sx>+W)7xdy|;fsf~Nb^B33fr<@6+|gN&57K}}yXyH-FP$Ebd~lGBT%HZZf`Nn5U_ zN`E)7`}9#X^+^ukovk#*s^EZR|8981c)Hbe>4ORfHhUJdtF#1VfL+n?&*x6`jWd55=Me&V>%Ko6*QLmRA3o&zniWiF{>urzWHiO0!O(N@LOdH*#5evpLQ}9>i-YbX#aQg?$hk!^* zwSlCVOJJj`5@r^G#~omRP~Iqg??&Zn8lzkEtGv1*;^whOLqjx4T+1(MM=knkBCDwA{}m*cT(RRmkX0=+42qUwQ4F0kPiq~ z53B>o6)KpJ0K({&w|d@kU(n}(Mtd1w4T`f>Czstu>r#x%@&gp4o@%wWll?E>>z{WCknLq(#_xM5>jIVPjMaq4cB zVY3=S;$f1{WudaSs_q0TeAdx47dv(Ek(AXumZ+!F#iD)k2<3IXs4AazD87zQO=7pHfhFam&A_mql!QA{#G_n=pb9aHg_l!9*MUiQLc2<} zpK3nYvBI2?_}ZIl?7+4Tf?R}%R3oK?cYH}X^1yL=v7M3+oaFFDsN4Fm}++O2T{ z5c-%Ni+SV;=^t}M+GKXxJw1~C9A4sFO=^HhHHNY1Ew%y}Eo$r&i9ArAYW23qj0d})8t z16J8Dk6OeKR1aodq!!ITP9n#8}Z@77$ltoo4ojb7kY^Vi2 zTN|ja(R}Yk1AMLBT;Kh_Z}$H!>Ods4K&Lj}3k2v^cX0~+dIJ@saX#(R-LlV?#-0ef zx&w>g9u3xioVPAyBQ+-*c{mNvP&DX=9l;hAa=05nBIQ0ms0Xi~+yFEV1hgChXzD2Y za==>j4~2jPln#(otl8slj6fTY`tj65)?V3Q4nFq;#)MBHMlTDRn^RB9%7#NW$ri66 z=H7w8Jb_oX2b&eWLfE)pK~%cSL&*Y}vy&?LmFjG)b$NyCKQ%^|l{)zH#d^l4dDYJ< zZN9A6iRFuWUWM%FollS`M9NjuKBxB{Ggq{V^wvv);k13S$z1o*!d z8id!@UNZqol|LpkU2pE-C#O>AcSrdNN=MVR_FY=r6e@4Ju*$u$wfCff6bk-32;0UR z)B!mD_p-<8fS&8I!dR#S@Ki|*s4PL0m*1RRd*8=3L)bF5Td$^(N49+~dTiM>U4Zt2 z6vzdZ#UBOkQ4Jg9)P_!3Z;vhUSK#+QYI)Gk*ZF+TEqg0R6fdJy`jN|C23VFwn=m6O zJ%+QmQqkWXk0v}g!5Atkp{Gz$)3l+arFvK>LJR*>nU^6oB0E0agduF~TFd*EaS~n?TJsVYnj1l4vjB`s2q^b>dM(@6LLm2LfnNbq z4j>SsCw;47$LE!;u~aT4%3iB_#(js{gAc;>=cZe-RngDD1dy+i-~1^V7=z&@Q`jS5 zrV$HXHpvxV^NYGJvqvB)w*jwR6#r8r7~HYV*9PJeGo7!=w6$QZ%baAoT0jXYTt)B) zfoA-h|9wU>b<=-5^#UgH;E5wrGA`kW1Il4?c}H<~sfd*E<(gC0Zxy6j#mA~YP|4Y$ zv_fCLM1LOTujy5G!jeJ5(+0hl#DC`xdetr+N=dWB6p<6#@G?@}ymhSTqJhaCK^|{v z@kP;Yr5=#7MG*f7PutBx%nXRd&}i(ZX3#BGNH@CRZvM_tb>YdAkjc+bD)i)+z4JT8 z7)tiT@V_UU1xM+xVXMcK8Cg3OyFKM`ubz{oz6rn>jv)EB5nM!!V5}^HR zxB^ey*yu;qAVwhp=ZsM8h$>{eQjBDbFszV>t` z9D25gXMSUYXAx$glmuSNF08h)ZGrGVKxAC0SLYZt$X*_2m)Z2UxXO0^s-Seyl-tXX zLY*X>skDM^?kA z)IS?P?uGTc_eET9Yu$Fk6B2g?>Xa^@8zH&gL4P!F^hk>CH-wH?O1~PfWCk3H zg6Ft)$Ri%ju0GnH)>KC~EoJj?IqYz7T%=mnZ&|h6hT?-msBO(^v~V<38x56@gz6$q znJE2w*7Uuzl1^9hCPrJT{%7Rr+3EVrjs5_FHh8B@K?>RJ$sh8Xb0vTv$_S9_cAFIk zz^i#g)>MFsMOjRJDik~AelLYkC-b_8$e-!-VjeY+TyX~#+EU9BXMG!KV=Rf2enyox zHJ0j>j%lE#I9To<4~a&i1;YgBhT1i8viHwU)*Ce$%^8Un`P0Xmgk{mkr}8Y@%I3t5L4?McJb zAjM~|fvitlsq+8#N5zB&_vAEkD!Asibq0is62O#|HXig{7)Q!)qg?pEPtf`uIFTod zH}-cS@m}<`=Y(%W=>v0_-(i5kk!|Fq{ln2 zI&71L&uGej0<1$O-jB`z=b6J4Z%;=3^S@!x$8WejW^m|#Hv7U!@%a}(xhvcDHU<+4 z%G!q7In>;2X3wxSjl_;Yasqi+8E=hV5`yB#h0+S>D9BkMNd&1eAF(qmJ0ydjC-9&iKrIcJ z*sO~iqUB`$i`cqWUjA`a5z|@_%w6VPpWTGrI@GX_3K>NeE6jT1KHkz}I&3Z+W;0+2 zytWT)t9^ZFlQBp&G6Op_E`XNKtepRX(M0a3K!=-lst|-~ZG3(9$*7JwF?;Lof@#Cx zTnS@Rw*e}-$EUQ4bND}w^PCsA5o>>voW_)%D96qK(|SsUh0MY<9!X8jvepC_v@mVj z(z*-ThMnf|HO|paVChKNrCLoX-@}wBDe9}!>Wn2;DcutjR1tVfb>;&Pz)&Pshz7rmzUW%?VbR^nU98R72L$gHEU=XUc>e+$3yOVBwM zAu{LLv2~Ewsn~a9FDA|K2d(n_?y=s$DTvWj=Lq)vpul$RxX9dUTV_}*GX0VGZpK<2 zr9dE$nd80v_Y+V5pUVX3^FsfWbPLk2%r$M`c$h74HVMIM=bCHHg#U}ag-}-BC37UU zXU2>!qHJy{Lp_tX`&sg1sUGX%JNMA$n!d6FQdn4pv)Tl<~AnC-wVmv448AQcxW9rh$#B@1V!x-SRpYoXdM*tV* zMj6saS*XZ7j1w+gH_(f6Icg0@Btp?TU5H>Lm}&|P4c75g5Ihj5D+o-G z@ZRg%zi?M{1)`mWX@p#NT=<(+TsR!q&Qj<*i?8ChwuT?~Izm4!&ThfSDH;jZ6fO{y z-ytIxDbw&}<2wSwcAe{*1jm776JiYBMv~qaP15}8Z>JGur}i-vN@i~`xcRZTI^@qh z+`0UptT~q3+a`5Idrff)ya>Mf^nyiiXuUFb(J|KgDoZay1E?j}@U$@Ke%lA;@pp%H z2d^GKwZmF>9I+ux-NOtIdtNPdqAYoaB<5AUv+Rga^< z8It8}euDJayi2A44eESm)Eld4rOYZn_*=((^6?J009>)yvn^UU5HW1QF)Z%Sg=_R& zI&esoOh5qi#wGfvVce#6!s0=A(Ol9Pg2=>;g9T3VA!oGh7BIPXqQY1&4bl~cCb-J} zb74^55**>&@2D&yMuXwDww7U^J2zoG_HWj;^F>4Q*CGzh&&8{oy8^`g?f2tV&20(X z&h^NvC%M13%9_>Yz(e?oN8$EbX)GTmm51%^bi_1*G6hkCF%yJLfy#%Zb8Z?JldMsi zr)LR&oQbbJZhScbq9G@;&lXFu`W7`qAg^ffDO2UWMOZ4E=?s(IF=pYNRI`hLHnKnL zK`tk(lxVT?!s2#=-+UlkCG@bJo_7LMZv^hoUwRtJ;4qQNU7{g~9hO#r&x0WU=0T)y zJ}SW>7f@GgH|!<`x{W z%fwj95L8v-3FkjKEgJTfzFL|y!5mEb$LVDH7mF_h`6hqBu9bAm$LrfoG) z7uth|wm(`d0U8E(Ib-A$QCDIPN_!xg#8dcFXr{WhZujRd4->`F1|tnxiHUJiYklyf z>P>NIIRtxx=a|mkVgTqSsr+!Ow0QLNWSZ)O!l#!!h+2r`Y4zZIsdXeH=iD;i*w`qE zUaTo(hs+QZD}~6;Z7>xyPW0A-j@O?`ay3&^xt`w*)Fs3L%J&Rvfqh^;2ef^F?K+=m zuLq_ye=hZbwRLxOYUs(U=-6j>IS-`uPTkPHC_Ic9{78&=ATw9f=i4p1j0x~O3avTy z=ZGnEP$jICXnyfg68eCXkkDpD=&&ZW-ktUqaK!&BHjp%2MKgz%TybWNMyCPKFSdG^ zy_=t&-Jj&se0wI8sAzP+2Lwpn#!nHWZD6?Lxu<(wBgM{A!pw2c9x%xu()eM*Epx{) zLcvEbnXw;T*om5I3W#@EI>Va!unH3Wn|@}^ zLqpU^F^Wo*z#dJJa>Yx_8uf+WR(Ed)e$(cNyuD1%xSF9;H(_x9^zkK!@BiioGyLFT z=zO{;b2EL9Yj5LB9Xm)OLY!-v@%vs0;EbXaGk|?sNi~z;tqM}$gjp2d zMI4aDuphK1_O?S~S+%V5lA$;q=r~~)@&`Swzi6m&RG0DXgo!@u` zYQBaVdUgfkal7<#xx@Y>RYKPxhfQ2Rles_mjBq;B3D|q-uCyG>iW*ZWB$sRQ-JA19 z&UGM99Jzr0uc6~^UVB$Bxc(K4%l=I*)5&a6ny>Vpg zr{A+F^cX;NgdC(W+QW|NjGU`vV`}V>#~43%ApK7ugtTMm{#`0uUax8yKlF{ID=;8h z?_E`{iNLgLb&K@2|F!{B-w0<&?2qOcUu}6l0Hu!zE|i-3w)mTQ5)^wMr?Nu-+b9LQ zw6IYlz3`UijT7H&d+93+MNi2lXwi=CA!1{8#Ok8_V?59QZ9g$AQjK28^o_yg{Od{* z5MGhgK2iAxLS63Fe!ux@)=n_?`Ie8or~83#kbcg07&uDr6pSnCE2(Oi5cZO{JKSE8 z^MP~id=+g!dMJl3C{AUMQ~9u{Sg63gn;tbx33G*DZa>n?q6AD87alSfF1Jt0VMSxL-t6 zN9?h9zl5ZY)MM#>8Ce~<$MXFOI32~uO8v?zS)KRxdu1;{->Z*fG@uksww5+ohk|G8 z>XG#+2J8cdWFv|(+r*S?Mloj}v>;njtk{RF$pi|KZDUKeqmbD44rE7)6Z^0;`3S{@ z%64@lyHh;ao?c{ciVxe@kL*t&vjg_u2`RzskWg|MC7c}*Nj^%Uu#ZKNqbbMPF(=5e zlsI;L0y&X#l6~qlIf;_YPDv%FQO>Z>o+GDIGT50^GL1rKXEDgxlpJ<$9yy;!oFHgzDBvuzHyUWL8)X{Rg-T~ZnN*)CD%~y zv1{wd_bCt94c`*|z*1?46C)oXGarJdc;N$#R_v)}ZP zdntYFxBcXIl=tipAISq04twwud5H3vJ^Y0{LK$U`jg!ApCfJkIZ{#V;G<)Vdd6x2n zJ@-E{mom>@SR^k|ezKQ;kyj|I?6u$Ib;=+1#wK}-@|XQ@o4iBWW$%HZs;6Ps<0P*S z%d7FqdIGC=VaSS#v9G|RKGMxB3-SLJFsG~rB1G?@Stma&nweKMEs1}PuA?_#Ta1SW z>@+-Thqh{b>!<;3ex&0vZNnA9)eew$1mJIUmzp$IiVF=1+1=juc^sxj!vSgF0` zvn%dx%V@ucY8d_hTsYPFtN^R!3hdI)LNUPghQ^JYCF;E`_l29c-R)qJf%foZ@&0Bx z`_HAdFDp1-;B|bHXI3X6^s#M0oWv8^ z0Kd#9>JVMiDi&0q6L_FHR%j94Y+xu7RP=yqCYyvd#0)TRJ}1z=ixNo{1$W{*<_WCT zHV?lfjh@uo^d1&hTC@G@B+)^rqM3NG^VYkFE|Wtk&W~ZC=6^ z%NBLol6Dmwt@d8RZLM~F4maZCg^zEw09HHnAKs+;AI~B6ee~v!mr?yYQ#vJ14C>Dw zq;n1=g@$Z>JzpqN(1i@X!I%N$4ROI;Pa=t?gws$%NP|*xJabb4Izs+e`})*I(n=^d z3asH~Ew*BuAi}y+C6f@t7g(kr1lth5p~vB>fGf7Pi}PvIId_@g~o0YNR?J|D1XxB*(xvreI`u4Z`}Z{QUH{?9A>+zUNQ(p_&ib66;~txVCbSl5xBYP+|30dJ zU`+Nv$NYpCWB(8c zGJjO$C5tW&t>B{+$!Q71iLNyD-fuRlxw>$tV9FRFy)PoI&@I&S>@*x-H?a^srRD3t z(vjh7bnz3c^vGrL2vJa}aJ2B_(7f(pDAV-jr^2W028MlUhJmrYhTDrD3Txtegb$nj z5yjhX6;VZBx-XX9j{X2aKe*4EQ)}?3W~tNn&f9w_^~}7AMv0W7F+vvb_0u%db4;V^ z;{pkUENo0(-AMj@HUF2wI0yIaEU2t^mXc?`bsRZudtg7r)!spIk|= zv4q4{yb9@+`tWQDZl96AayF2Oe_DVr=p$So=Xv&Eu>~OV$xM67h;2(c--rStY$JRD9Nerg*(_8h9S5rE4I-`1|-69OL9@|9v~h!ef7 z)L$zb2>m+5oxqrd83qqJxUbvPC*fPt#_e-}?n@lwFE|o{1e8mRMl@ZAZbp8EW?F?8 zumguQ5MQg@r&@$wD*O;+9jVc}bKkQz%lJ?Yf;~NlHtt67pLMt``J;6-`;9}J431wd zy){LP=^#2ligvzt>{vMJzUDP6lKAsa)Mr;eja-N70h1%pX~=kz0?4_={6(J(YwPxPJ(w{6Vvm;;~7N;}F}M6KSSrMJ}hWUt%Q@JR+Cn;~feG)a&*R)Ui1K zI3ugDkmybwF=sGOD9rLJRW$;|7s#@s6)bq%MLrIpi@%F$lAtTmu;i0qX-dXe%hDs!Q%1&HBDyFnYy z(Q0uG_y*`Q4(*(ceXK89!qoJ6y5%XqgM4CKF~f)V(|sNK@2oDh2pNxiqRPbzTn>&u z7ca)6fKXrZ5AHV`UcG%*_}ZP{!orNTdZ1iG|G%KakVzg!7qrJvx1?EKRd=|@q1h}r z*uVdqw!lj>etOd`tm?q9t`R*mbrA-)D{s_-1`3)93}@X%qA zEZ%-2)V__L8wY909_3Sy$BH-S@XC?lw;BJ5V4ufqb})xU1SbkFw>)H8fk`&cF31#( zKL; zAihNLN@2sRnFKlD6v!{lldV8c^)H|2eryT#p>O{iO-jgbPCzeijRJv)KM7dqVmMN2 zi#~&eHC$0BDNFNjDkwO8dBMj(ch>0 z^x@QCQTQJ79c|idVE)^_kCrjhj1b!g7;0AhxBS9NbgGvEE?fo6cQ1toWA4)T{s&U& zzxJ$EV?jm_0Rv`YIKrl!aeKLiF*o0@0{s~?PdUIR&cuL&z=r*=KbxG*mnTNSP)Hyx zFfI#-exNb&%LSTO)EWDJcfM&H2_-GV}Wqpr9}zJ`j^qK4)Ilf$;*E5zq*0Z z*g41RJyjX<`}~E+YcVk!Pc(qfmfW-{Mv3u&4PWa z0B{*v+*iJQvZ~HUGB=brdmxWLDTk|r zp^xP{%O1lLE86KNXxLZB7XL?e_bIKTLp>9N{q0ki-odaN@lXMp#1?2R>Uxs1>?J~^ zVe>n0OVk`FJXEn8!rNc$J1*3F1{Lt4|M1tviw35W+`e!_zP~RQ-;(lg3MlitVkfA= zh!sw{WMFph6xR;aUjF%9e4A?y(if2_i(JgpEIc?)>p@QlZ}Ra0ROGOu*22xLt}P#i z+Ycrk(=cPNN=7d~R2EB++{!@3@D;xx+IO31B5JEErx1d_u)hBbv-aoS8=|u!OaM%4 zO(s1y0YV`LUQe#HHT>^bc-K$8yC#X9%_aa|8GaAlV zE?;OW0`{YNl|X6fsv?y|H|f~o1S7N5^92^f;=s(rs4bf>9my-xne9IgvTAKxuexp8Dkk(j+3dtC<9PJz!$?D4i`F;tUIg28{cNxc)iZ?f$=D!Q{p^@P#6 zTL1D5^DXm1=9dRCB3t9DF>a>IbkcxD5P_tq9x#TfFm!r=B9 z#NkbifkEhvn%n(j5MLG4<0m7!Bg-w^!VL8*HOr-sCww=~YM!`~ks|^UGQ>r2$2hT5 z)C?0yT8IL~AnS^R!*WKU>#_K_di_})Uj^t~YCqalM(%yThwJgGgTEw1a6X3M389q% zwPob|$SQO!pa6_aCnC4(aLVT0=_&^ZqAFs7dYMm>8^Vu1@q*}x>*KTq3e%6nb3%Zj zzqGQ)t+4`;N5e;2{n!13nny`8k$%n80#hS2q|r({ohUhCqVT~6>I=$L2&`Tgt#+*l zv(KnXCzft<|99@bVtmSGy>>_pN(Bs-dXv0qxgq-}Qo+6jTfVy8hFxO$K_-89s~Lad^2 zqse*KbiU;;gv39wEuL#RPu19=K+O2h_6_{epC8(}S<16JKd87n>Zxi?#C)F^Jj041 zw3wF~GQ1k@ow;m<-=2TH`wGT9`Ez&RI6C3#D=3hJI{IEz(jbMCIo>Z{kN_!VR!tRn zGYz_D=u5fiv*+gWW7Jo$Dc9|%_)`li-|Ff}e4$Az=>0llKf8~TQ+0I@(pFG!s!7v0SS;LnVOS?K5a zs%}pCX%}m3|JQ&Ir(*Ud+X0}&-4TK*wNl2C zC|LJ+Y8Df2J`!cpEv8YI{*W(hj~)g6_KmJS3{w`VRB9g*JK_xFoopk=|>)oQ&VIKL3f@HhBg9+BJ{WT9Mn(;xJL4 zCxRE;p6p7}vwO-E#;03J5l-_c>n|3|eyoPs`0(@x?I@b`PXd(hsO)GAe_&&4IAA^RoeE1~O* z_$`z>7yDe>Tb%{b7PTTZIeR!$7xxTWu7JDI98JwMEWmh5LL)pQJsg8kMZnfFqYbwtu;N;=x{ z@L(|64tcUeE#j2Zolk)DfQ0R2VH}i@I9r++YMaWhPw{1_dSjK|{m)chC}+N^9o(WJHqclBDT{t5LDAJX;sZWV)NK6ieGCVdvL z0UKO~#){nNCG{isuK1WlGe@ZJ@bukqJLG@yq3I|YH#LhTM7k7SO}+Drr((AZ{5Q`^ z;BWZkO3^5o^6fPD-|9TAen(m_`_KA3WhWUEI51`P;*}<5ztWt}Q=9%_U)69xI7HWo zaB5uS&6|6#%mU%hW5f}+glrhozRkE`=(8hw<6C78X`a z`tGXO&chjt+Hs>Af9GUM9^*mt*L}g*FCv^EQ35OQ<3?@srZqIRixtRS+ z%u{2bUm0&e&f<5Z!`QqI^Dg$Vna1f$~QFp_s!O*IHh2?`;{O!V;e9D z*I7h!b!L%1v1`MQ85^AmES-#k&F*Ky^q$Kn3#hrM4_U6-taif0P6r&oUU*zV>6M6& zVan>BAIc9o{8nkIHBDLR+GQnN37ABGW+b0k-$t}7g92Kl2miGdObW$ME%whEc^>7+ z9j0DmK7qmQ({|)6U6Z6dUSoYNosv9}=fEV7`ImqtzAO2=;M%CWDr`dR!J9~<@R4L? z34z^s+t?!#Uqr5_(B{+Zc8N)&i@ymk*XA=J1lpg4_whU*V?%H<3mVfwptNocKi!)lxGV8!M#GI2}RGFDXP508S1u&$lz|!2vg@ zM&Ntk3T(tMUfS>&p~9OEw#Vn*hTf^;!;dMjD!*5Bz`tz#jZ=`WOo}}z`2-4%#7Slx zPo~fe`g+onl0E5t56sRaYq|Rj*NhZ6YFr8;Jh+`+?AX>_w&&(Zj^!<>O!lST7#fo~ zK0G#m94FCpc@cbX>77)&*kid(PI|f6{vGz%EjT4D2{z&1`+>HX{lN5V8g{;Eul*EF z7>1MFyG%)0veC+sls#0Fixv_NP|E7QgXR8V9D2sxjE(kVBJD`JD87x6{j%}E1Xo1b z;&A>>u|9aV$gU0|+&BR!z^N%O5+FYfEGb{|N#bGR5EV}AT{nW;#u^Y(Llfd5`t!^b z$4<*Ov_~>Y#;dk$;PUS_{YEgfEjik!Xm?ZkSQo_;-M%Jr%cMzDbNZF2c@H&KLshak zM$NklQur>82W1!MZQX!C6&deb?C^~FwP@e#J^$qWC2t^bk{GtY`kGAz19TRA9fs&^>OirYR>W zdeC{LMIdqGXde~wVsIV`;$~oLDmZcQ1a^iOJ|I|}HxsCwXylHSSRe!MVsgOjm>F+G z{;2cJ8n-Tnb$}w&>zcAgN$XBI`3?3dQPY0y+V}9m&_iqUQhV4p0vLNK{@HA`UfwmX zY7C2qgX%gEpAu=hNm}#__OYtO^kP_RuecM(MH)w_=HRw`&+#5n2QZ>Z`rVp%rReS3 zsP`h}tmE=pAY&u~e&&O$fCTWaS?A+HAx_Vh;IZPWkaFuH4u250`}yDY>$hw}G)rml zn6jI_8#HgZ&@j7?0;qm$a*Q(#y9#)T7vrrx#^LK8u()NO!#uTj#5+FhniL#P%0x-r zW!|ImgbC=`S1iZWtN>~BwSu5kd&tWRZ)`jA+|z3z2qto6qJuOml-kL)*-Vtea94lQ z4qvEd@^D*d(Vcm;rAiDvEqIZ)Qo=aRrC^WdJyRInGz-j|&26m1&h9x{*@;j^Z^z6m zWeCS|_U*Vlj_3hUbok-&2_6@f9^YXob>sr|{`*AZXaM&xv7~KN&5ovao#b)orTuHB z#Q=;J*(nj`mG(CZ*Pfb9Q(N%{a zXCVQb@Dlrq4Zh68zk7}6W)Er}qX&w?9srm)qI<8fc@o-)U3^`rLT3IILDsiCY+=xy$ix{!klK`7n{O{Qzosdpjq0s(^^; zwgN%hjH6cXEi!h)t=@s@p`NEIN&j88owyfrkN~kH?eXy3+h|xTm?{ROqc`(aO$(?! zL=mTUbO=sEy}fx;du3c4QAe#fTbo=E&0llVXVG_j{x*$uC|SFvak;oYh1mK-{2}u8 z`qleuxH9vbQyS^gUDm&UmQiDNjyTQPjg0xu$t3@sfh8&?bA!2j2KxNFb z@{;m`GBRHEAQZd$r69zw1%$#uVboE)e z#JhX8J;4;~eIYu3qDBcZ8n zjp0e=iPT8S8Y^kYr+&UVK0vejQa_0#jfusAfWaPwP<_-U&hyu__F&;y;@iUdxHTkfsRoiza8t(;Pv<`#F92yb&fHzA*s16me*h_tydkH!$O&h;Z-r(98fTY3-aS2( zo96KhPdy6)xdP31(46QQgj`NR{L>r!g(X@y_EA~b@1ZvJD$%3+DY;1Ov3Okexfk#o z5Z}rpg*J{`h3q6Szg@K*9|^sz-vtn+C96Z%>{(nSK$0& z)hyrd*kZ+Cd04!V;}s<_e!KT@88Hd#8a6OHntVdv-SPJfU808b-Xq!8oxV@$euBEr z>hiS6xoDLEZwLz-<=qoE9PW569!nY)au^>Fm8Uk& zsk(H0{5)Ui`e)Rgvn9Syq+B(_`o!JigSL!w-2@RrYZvB>X6>RCps#|L(8?EUBxKI{ zuBR>G9-c%9E$PX%+lA4TfG#eim=S!ww1)?p4FJ%g*nKd>(_DuCwj2a|K?b;`5Y!2% zwIp?{5v9)HvQiwu_Av45GO}S*M_fZ)y2O_En?_ohkQ5ox=Pkk|8yuNe|86^G=QXnU7^rA4d|Z; zk-x-H_p6?j>kc9`)w-gELPl?7XwX*Pth#Mks7LdoIj=~ff#<2B!YP3o5vd|>?(9aw zdIG`_q)9J@XSAKKl}VE*IOTNji~EzBfzB6f#CHWY1`?;cZu@PuCxn&F4&h$n7+hv^ z-{gfv!3dI-J+o1x2~-JP!K^jKZ|Z&_l7(+(yMswDH49T_g>r)r{I^*ZcNIgjng}+=XF!?AqNBzZf}4Bi2B^Z|o8VyCLY%Fw$A7`8 zKyEsy;8i3V1a{eCrLEE0%cVP!MXkb7is?yuiq$e$1pbyqjfi3pMZ$qDh?2lQ(GvQd6!*>X9N%pt9ka)!R6l7`p(?eRk4Pv%V_J7}5aU(?DiQ?H} z@<&7-TM%8*RE-fZNaOyFD!o$Hs#%zL%Fr6*nUCE~+e^W;@=N7O@vy+q4g-2$xaD?W z*r|Hy87S|r{P#dsyUB>mZn4nJqd=uD1CyKVOd0ykz-(3pzFn*m;j^Vk4Ya8ks@*z}uf1d7h$hZ!|lgyxo1qDWLu@)L*qN zv(>%eH+FmFk4DbG7WZ}lODo$i+as!8m_IO&6|nJo`zOlz!Xo#0075lOTI7OIGZWB z*KwYj)=wt#BP&r#0)uuVk3wb*Z&c0-Li%o!zsw2y9u`^Y3b~U=S8BRk_fe#7c72LN zY!-|<$si}_{(5|WyFYEIdtirc@(S_oTck4lq!s~)+;D;w%B z8RapH_ZL*~7yl^C7j=!tpMK_-A9BpLcfwDxI}xsSG%DzM>DY_l2@&5HwqT$if4-3G z?~%oPf51F$oTNKYWoV%m{`^|cAgV|*R;KKUpv_W9%>W2D>KWO;cL78`s%3+|6&l6+Bu7kwm8 z#Yzo-k~??PMQ~mgc?@pJb@EX!rw*8@ws)BOlsgP6)|z5ue0a}*emCQ zaIA8>tS!@=9r`sQQQ06;$RBL?QuAupL^z+@JgZ|^Q3Bf;~sn1cN zgJGT*QrGz5LT8#hWwxf;FqdzqxY)1Xzj{fWU#nxe{u$`W($8#}!w_XaPh_V40?H=# zS=ijiOkRNDo&qafuiKz5%WOGM@NrzTc}H50)Mzx1E!&UkU~yE`jhmD4$M zIsU3*=Li_Nzr@gP9fl;+-I2dB=M$TE=wx|kcLVYB*P@v>q(S$`J5o0Uu3^9m_qSy# z&Y+wB{FzT~7-Sh_c3BS6`%M7(*@N|d_Ox4lcp3BySm`fJ>@#?{n(IH2ca2xrOF+Vn zuMDvuNrLQ2s2gN#E%MBlMo~(ebtn%I`iI4X`a>}RRkPl^# zH`H4Mf?fH_aNTjBYo58X5?`4Hp$T-k*2DF+PMdJKcmlC-mi1|+$0e(_yyLGLa7l3b zhs=ax`z04zomSRLcV=Cx3--jujcqWjt!{-(b;+%GC#6<_X6w1M8x0@dhC}*#`+7C_ z!TT=oCn>bK`JzDe)gyJR%CN4!=pe|AozceU4Mns&z2LwR7H;;)Ri%;g?R!U5KhE~5 zp2<0)7dnrc5Sn&7QY85{?b4o0niNjp4-die z8H@tk(K%7 z&})r{i@weX{O+heTy8t5*!dM4JiLvV{HosGG8le%Tj-p@VRHd>lH#_*D$D7`v{T*~ z&aB_5T0aM1;0rrLW_zk1t`z*fF)=UUm_)te#M(|f=VaS5D$50LZ3_lFp(&#~dp9{yB1NCCOJu5Q}aJVGedyR=La1D2IcmBN2pl6$E-e%h7E4b^YZYkq|&{u zHVQX0PDi1eXW&!D@VLb@W#ZWrzx-qn#HA2NBz$|-D#}2p3U%)W!S2G5H!_MOw&PxiUlR9azum3*P}ad*jG4JY53?P^E288ghzYt8ttb-N z+(c|T3G6I*75~*x?8J%*%dS$38b|*QL<(vxO@Zn z2%f`?j+{$tuqXb8d-|9__*U$fyhzcXDuxqMt2hU-Xt(JE$+P)TSE_T8Mr-vM`>>-7 zob+}n=V(OH#4nBNq04rN3%7)C&n}lNxhdOAP4upti`WBOyCjxvUj2%D_(GmCi+hqo z%A4r9M}n$g^7m@HzLXL3GN3Y$(jm$K-RVT`d7;A1Qy%>gzlC$#=|Iy6s*+Li44gr}utQ2F^ zk=2K^3k2;@cs{C`4f^N2h=FzGXXq(shmgM7dE?3!O$HzG)P&Sz7u~vLI6UCc(Dx^cL-_YN+ke#u(&} zS59Kf`i*5=$FI|K3OsVQl8tF1w!)>6%k>zl*B={}WPQZlLy(o-HE|z-FE;v(H>?X& zg)oqfzE*Q;7Sqk%cNxN%xJ$F?Q~tcnu?Zbpflr?XDgx_k(Jg4j5uv9Oi_5HMzT7p8AxR2xB09%5OWQ+ zp7ZS={$@pe%`CXu`_F5RsOjF~FJf24_-nfgMnazSA!L7i?$Vf01!dJ5N?f5a48ABelnk+^1<8xb&;U{=3hU0r2X8gRmO)Z~#nZ7mumsfqX*ej@Sck-s?p z6emXX3zjM+SY`xozw)?Nj*H0Z(W#TBs&!5jEDvH2J@|eIcfT}#L3swT0<=B2TX#rt zsq#>S5j|C@zu6aTJLEUty?*}b(N=U3u+-219y;0*VsYp&k$BG^tUA=&>Y21uipadt z6|(Sg-k{G>N$Xx*m{pPTdci=UEJ9UZ$^ZHKtJXH{<|?)Bo&yJ9Svh+h+^1G7s-q}2 zEV3q)WWn9-hoFZL$A?2UD1v2ZGvSK`Re> zP^GH9f{A8vG>)4mUroM#wg)c#2iiJe2gNEpU{+XYn~TRp6sm_=;x{=mE<`lwhy~R|tw7SbUiD9-W!6O{SY&f6QsPK?b|2~hBN(#uRKolO z?*+anFWT5ynKm>wIJ}>K7H(x=NlEC7!?LySPu^)ZB ze^T~`1#h3lN}+U)*!00m58q3WT<**{xzp&MBSN&@a_a;iS+K&gB7LZGR>^D9sBCx(LT( z$febTEYZvN_&3F1f+GtJQ=bpkybq_lSUf#=Mf-8J%T)o4?g%(?u%Utx_}QUV(9I?u z-`1RAC7fO7$KZw@>@%Pu#8cW$Ga8-q{j~Dth1@?ROYb*96?E&LQYkOxI!aWVkll*z zM=U-3EB(3FJTIfyq6wes5iXtgau^MqaQ$W86KG-Gl`%<3l+Vic4gb5jA__0#USO*HCA#u)w~rhCQfaE0(kJ0Eo1EBb(Hem z3hP?d*-o(jB+)x<<`89L`-(+!8`11YEmtJALMn=wMJq2CHPTi&_QTXyko#im-> zq^nH%$b7Br;@D&f58oZ`JV2yua=EW|PhymLhuus=Y%YOUO{+WdQg$}xEx;XBuUU$5 z5j^(Dx}w*aZ4=zmgj~=!tapcp$m=x|R;z&50%QO*funxq<5tjpuBkSzeGAa)+p-BJ zWmJOe?1mIv8>k0OXs%)6poy^SwS=AP4wd}N72GYRufw^&#&(L;t%5LXEEya${^r18 z8QaG3#LOyzB>iV3Lzd1p%YqN$6x+*D<^?$`BKB-;A!U z=B>m9ZI>l=Tt4;G$l*52=;%V`zj;e=M;O2M{y^A&;_0PZDtakVR*~+PBFPIVyk^~w zvr(%6(f5QuG75z^H82LUK4`AM7qBe+)f_7!+|s zLm{nIQq;y;e&T?3qj5)Q1ND>ph<)bJrR1sa*udLuyVnKEO(qn) z<>MFn%emlzcM^aE8g=h{vJXov`V63RIi@XTdZ<%SK42D^`!7rMg!ddcbwKGA4J+a+ zKESY{;IL>IO}L5tCI{UHovfI5%eY?Yg6{WTq*0v}%e-J6@V@UXycp5Q&`g9QA|6s{Nl;3>t z=R)y+`4AIA`@x7Kty#jFpt?RQJ-)|7vJh7YM(7(-6+y(N`uybHBH`5F!>X{)cda(m z!9`y&hqeBBp$yB8i<+t0+k4Dd44ibp7I=ztU4th^WR>vQZxJ@Y7x{ssN@egYVhFUgeiK3ysj#$x`K$!#DpJ3 zQ5nDq-PJfJiL7{9;E5B8=TdReu==C~qJNjQSwvzYP83q5#G61b{LJ>d&-z0*0a8f|Jh@ucP7hakqhL<(ms+uc(fgt^=zc=Xstp#4UuIl(i-9rG*s+r<% ztL}Wu1`@<>*nZT33Ql`z53DIIYGCyen{Jx~Nv*X*0E5;;l`uTfA`*2k4 ziB`IVM?={hA&ik#=uKsbORwmszhD4`Bbm#iN=IuTZB=!eO)fgnkB-b=*yKU_-Ua~6 zc&C{n0Ct8XXlKZCwhQK9MPni1%yOg;6d~gCjsN=?DI|z_GONlH2EE^UN=V3mTSJ_r zrRvwYf5wiG_RaeBtaW^_h}hxO8BX+PB^CoswNpY72Wx|72OEWR-O}xZ>3fM&p z7I9bxlM#%OQyV{JgFd3sHPEm>6$y945E^FfB<=h`m% z*8ofvCsr)8uwaDeW5rC#J-|3`#Cx@V=E#?<+jucgg9Qy%#ha!{TA5G0_2!(|i%f+W zjT&)ro_yUoF;C@xnKP$Tue8)iGG6No=~v+HcKV1X7*BdcW=tt?9#v_+e=1xTQm%>l zQ@cf#tD_mk90L_;jOj7eR?Lb6a9Aq;5@tN*6#ECPW;uJOBQDG0Z@;Zz+@xaCJ0HAX zsHp!XgOKy(oe=>DU<9ZndPW8PzQK+3M?7D6Zq}W)EuL)1yzA|4l^}wW4!hjn&kWQ>mjscJ~rp$P#w>bnK0E^*QtH1$U z_m0!(G_7^ap)e-S%#UPiXI$l8vvyS8?QJ9tq>)U;q{L*EOcc!3Y$1dy;jl~_D)nHP zT9v^`DRx~;m3qAdcTUf|RJglI9d-41Ve%YoKZa{);Ni)&Id>nd%|vgdpE;q9Q1=GUzAv~dxz_YyL~2M?_Dqr!7iXjdz3`Dp;DGAa zoECm_bLBs$KNV#)R;g~%DDPT@sN-~N)xXy6o(AAZ!B!yO1`+yQ8V1&=)pkl{IRs#2E`e*GvR2-sKnxq1~ zBP%M?IOrM1q$|^e6>m?(qM&fT9*ck6kXQ`tQQ{KU3p{@9f2!W%V;JneH#`FBeoGux zmbfm;PZKb3Sh-NqaQ0fr#-riL{D0SW_V?&!G( zt0N~9krOyUd?W^alxm)os9Q}*%B{40&8)B&PVEIqq_{0yDUhzAb=E_|rd|`qIv#7_ zg+6M4KBuUN1Av?1fvWmT2aX0CjmpZ15bg9bBNJlLu0pzEg!`&|K7`@SOaUkwGqRqE z4qK8WdNOq@2)q!Q4z%sdaoM94AGuRHTjvS10J(3q{`>v=;y;-A2!-2A3i*@&nGk(L zyCzA;>@}*BjFLb={xSm_?Pq#F0XQm^rO|=wB1JGU>>!U7r=SZ;+NHXkHQDFz+q%BX z2G_KKJAadO1@oKzmM@#?&$R=`3jJ!qTZu=5qCoUtbzgRvW`UBG+-_gy^ z8`eVVJf;2;kx#z8mchAHV^DBDA(s%R;!jvJ+_!syP-MriXQSY+`tQ#>V9Rm5uQY9xGgvmm*uM!{uIs|lxDvHIlth$p0y7G zaf`;PV0j)jTZ%)-9_8*fpZ#f7wXk+Vrl@92aZSa}(Nd$N!*()apd_*TvwNY{wHe|< z3srn{9mrfmG{Cd9(-uB)RX&FDtiX0tGDirXO~*cb7#r$4;J`T=#gl-lC~)x!60jqJ`^O0Vv`nO*wwKHA*5 zzPp65+&WJgaNP^VL4IhvGrOTJIX;dDC8S-V_Nzttwq zW9$!IVGLG61PHnTzAGq3DkM#zCdaY~2K&+qd1%p;-^sJY@7cr0CzQZE#=Fb^d<<65 zP6#q)r~g%B$+oezdQJO8mgTzgzm6=+gR-ckzxA7j&)ZDNW!X6+tET{FO{Gyf3ux@_^!HV{(M(G^kn&s?zmf1xDy%f z&s1oqKTE3{7Sy`dJygu+q261&(g5B0G$YgTY0FbVM}TLcH6L5iduUaHGc2|NZ`+L1 zTM?=(Pw@z?;Oy5OYmewV0_IIr;ITGGh+HB z`NiWx?oW9pMNF`Bkk@e=MHMh*?dVSbJvhSpfuP4=MM==+t0nM<>B6#28#7ntN6E$l z$Qc6jBr&6FMns7Qb!v&e&cEr*o9}k?)7Pm6E7Tnr{At@KQpozS#PAcyHfJU ze$RebhNNai8c(JToQa$g5U??y`aZdiwbS`9rx=;~m5%;@LuVcj<^D(U?=#OlvzyJ# zScc4yZN_dw6k`}EVaOIgHI|AflI2$PFqUgeCAWQ{x{^wvD^ZprNej7kMaxjPB*|@& zE%WpF`~3U)=bYDhA7t!$Hcu2a@w<}$@ZAsn#5akFWl=UHV59A6;;tuK_gj2-l_59G7!9BoOU!q*_js2f4WMAnN=UHuioqaEB6YNLHD0BeUU!hOb42@(CLfsJF#I$ zuu4#j4ocKRwW$j=<9iQcwW5Vdnni+K^XCP5Sy##$-oXvvqIKzd)%om?aP~cTXym8P z=w}r?jT{-DRE1Zjv<}<$DkqlG^6{Cf4QCcgrU%&d<;*MM_*K3~C9#T|IHZ{=UU^8K z4wSFm*H^>_gUsPupG}M}`>=3phViQ=Q&H$+M$YW=G1CKo8&yi=lD1<9Wtt%o$q?lE zW&1si(Z`gtK4+$QJ2Kc>lWC;yk}>Jv1oncXVlwAtO2M>Pjt%@QoHmVyj}QGAvDMZeH6QpJ_{lc8ePbtgP1C4ic$>WBO{-hWJhZm4eqqn<75u;~Sq7KHky}lBV&w`( zv0v{;0o~yN0hjg+h@_9t*kYMJW0;8U1-*-(f=54kOR|Fm z9S1O*9(@O4bKTLcnZ$4k+v3&iO?H^;lAW0{GX0It@m0%8iFExRcj5z5a+!aO4u86R zkqBV1qqodiB39xW3rC&HjrQb(_Wzk>`ln%(DT{Ba`JyL%iOe4>IqE_3$e1FJR}Jt( z+Y^^oYt}GYB1X4SOUlphK?B&ekr?-}d1y+GaojlaLDPD7mP;mdqTmDArZXBSfGUc| z1`B)s=jzDSm)T+%CFx0|JCtVrMPwv7l$l8;N zN~N+WXi8iWS-6R7@{fLlOHa3Totx#!?7IoAlC|WyYB^%g+Ez^x%cXCcx~cj%_o% zIvKKIOEQB$wO?%si-Uy1&=`lr4 zQcPCRU!++#OD^?)J@|spyiSUdfdSo-YTO43jj1+SI$!K!mK81^4kht)P39XlU|_S{ z)$fr=7-IG}>F|;RdWl%D-XP}k|6Xg73XVe_cN^lK6LvTUhLsjq^$Bev-{JcPNBoJm z4hQV)CZVBSO+Q$l9A&P#fobhh$mzemuhWd_yv=zThu#-{Q?!lzf>(X|$Lu}<;nueS zw}hBu|* zr0QtRsz2I3Wr+>hf}&n2H<_rFQM5iYhvI+(dK#%2Tc%tC!D((6zWeXTK?Iwsas7PTL*? zkUM^ggO)l3{tOu}eD-HzZhKWGyUmz%GW8*d;_t?G? z#rRh^G{7I1mP7a}cx)Tf=~~)<(n6Hn-8B`Kw&aQX+P8(r&A$_xlBdVRt)CKBIXPjL zb8O^SivnUggu8PCe+#CEcHq4_CmHfs`RdTD$uY*+w(9zPOgPsDn^?mLV@ZEMGl>bu z-;Wk$g{vKo#fF90dEZeko4cD@-<*x&;raGSU)4d)oQ-*?=kkki?w-o01+#x>I?;Pr zi0sf`^c-MnH03ju7_nWkpGP!Oe^?952}U|!71ftnYDLy#_?+d!9%nh&cIXfZo3F%XV`zR!l9KV^zUh*Gl1g0#A>?fU9(i^ju>LZ8H4hH+}a*9)PCk8$F{ z&c5r$6Cn{HxLL%+y{1qxyZV!2fUMKr!ky||5`ft^(opy3JToQrV`Lb88gJr&gTBdV+wZw2AetYX z{MRaz9`)G&oDbxkhhi@A4t-Ey&#tcM)Wn9Yo5$@t{BDjpRE{oryi#KzZL?U5`dyRP zC8`Copq>!^GDC?O{=Jm8cX2r;$ndHR^!UOu^j+iqWdilfg}HNt@Ca=IvQ zWOlCTuyXEl8J6+*qASUqcubZ+Af+seI#ZNj4duN=VrnxD;}leacwsB$aW8cysmqM@ zU*p%8dF@3lsKi6n@J>~d_g>X5cxT^KR5E%`^>cIEB>XcS@mRGwg;n)wVP9%c(Z7+1 z{l_?9qlXleQ?W z-WAOJ+!P^J;s1{J_!_Z!scX`Y)|KbXnc=zyPI%yk1 zOq-i3xx<7;i&Cdn4^(%A9&6X{+bz{ZQ#>=VJ1I!sKHz$?EoFvSsjvRH6#%Za%4TtS z&d%Op`Mj0+N&<0*lu2Dk-jx!Ykoe|?8i?4bir%~>W@}u$lgd~Q{~;f1J&w;lj0611JElDlT7au+(tFFC&48=|*8I>K82a4_H`_2Cnv%?aA2S zy3XC6=ecIqYptXHUi+xf5S3ey#K(Juvx^)R*8!Z`9nF=NAQP;ER8*R-V7a5yiW@7R ziCq^YZtlVBJ#@78S#W&)j?7Cv$7NpLmgZ%^3IMIDHN@9}yW9y-D;r7F{;6?Y2wej-)E1je%*u?b@BN&Lcj75jg2@&R#;*&q~L#M|%W} zhw`KLFsM6vn?%V4-3~UCq!1fVP_I{e6dcG$=5!$d1T~qCyV|TW;wSOkX_`rDJl2iV zMq5#*#|nL+gxS7tcw6Abnos{m@9;3u`u7qaS=e(zXh`#oJ@gDzI zG}8YD_3nKry5Ha8of;+D|Ien4;WzO(k=Gspea0Rbzy_w5vGxGT z0)ePsh_9 z8c=O7g>8VbJmN*lTfhZhECDg0f{erdg#gQ96&3${DXwTl#d3G^m0~unradRuU)0)% zTJYA&H2#$)A}$#wR#vs9(Y18*DU><0^RHHTHpQs8%2|b~?tw3(cob`Sx*Q{JC*Hr0 ztPr21oZ;PSIirPbRdsVqMB>wbC8~r~S2|C{il3<}pQu*r{dT8cB~})H%)?MX}G?Gs5@!`74J z3)XurU$2}%Sh=!odTXBPZn9)+AfS0^qz2Vv;3iao*}k2DsHJe?HMK*(qn0{p7#si* z_#(@fCahuea^@q*yjBw*PcsPdv?r?DLC%9hwK5m{1M+EYe9E&^CSf`u{ac^&Hb) z1haF?)O0BOwlPND(958Dmc>5$)jo*P9HWD(qhp5YTg;dKG7y~7G$?%(j5)G_?V-8? z>u_8bnTsk@2RcpPh{r}3LagW_`#`9n!kBx?(ORVwXl%qa+2cAF=Dl=_ZO(}ulxYq{ zOQLRnTA(#;1YLuSt-iM`ISAREfQL#?>t)bO*(TQzj?s#T(i~R(jX7*IfU$ri4y2xoFq7_hHwKtJF0pit}SE0ow+uCpEFe%DKO>c~-QB%Q%3u6D*=S7LTYp4^%1eXbea? W#8oCi{~an(I^#=;ZG-hx?f(NwQiTHm diff --git a/scroll.map b/scroll.map index 37c2889c..ad30b205 100755 --- a/scroll.map +++ b/scroll.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: scroll.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 19b3:0000 0000f860 +DGROUP 19e4:0000 0000f880 @@ -24,42 +24,42 @@ DGROUP 19b3:0000 0000f860 Segment Class Group Address Size ======= ===== ===== ======= ==== -scroll_TEXT CODE AUTO 0000:0000 00000d62 -mapread_TEXT CODE AUTO 0000:0d70 000008ae -jsmn_TEXT CODE AUTO 0000:1620 00000919 -16_in_TEXT CODE AUTO 0000:1f40 00001e80 -16_mm_TEXT CODE AUTO 0000:3dc0 00003308 -wcpu_TEXT CODE AUTO 0000:70d0 00000058 -16_head_TEXT CODE AUTO 0000:7130 00000405 -16_ca_TEXT CODE AUTO 0000:7540 000003fd -kitten_TEXT CODE AUTO 0000:7940 0000080a -16_hc_TEXT CODE AUTO 0000:8150 0000158b -timer_TEXT CODE AUTO 0000:96e0 00000235 -_TEXT CODE AUTO 0992:0000 00009db9 -scroll16_TEXT CODE AUTO 0992:9dc0 000034c3 -bitmap_TEXT CODE AUTO 0992:d290 00000605 -planar_TEXT CODE AUTO 0992:d8a0 00000275 -16text_TEXT CODE AUTO 0992:db20 0000010d -modex16_TEXT CODE AUTO 1755:0000 0000242c -16_in13_DATA FAR_DATA AUTO 1998:0000 000001a4 -FAR_DATA FAR_DATA AUTO 19b2:0004 00000000 -_NULL BEGDATA DGROUP 19b3:0000 00000020 -_AFTERNULL BEGDATA DGROUP 19b5:0000 00000002 -CONST DATA DGROUP 19b5:0002 00000076 -CONST2 DATA DGROUP 19bc:0008 00000132 -_DATA DATA DGROUP 19d0:0000 00000b14 -XIB DATA DGROUP 1a81:0004 00000000 -XI DATA DGROUP 1a81:0004 0000003c -XIE DATA DGROUP 1a85:0000 00000000 -YIB DATA DGROUP 1a85:0000 00000000 -YI DATA DGROUP 1a85:0000 00000018 -YIE DATA DGROUP 1a86:0008 00000000 -STRINGS DATA DGROUP 1a86:0008 00000000 -DATA DATA DGROUP 1a86:0008 00000000 -_emu_init_start EMU DGROUP 1a86:0008 00000000 -_emu_init_end EMU DGROUP 1a86:0008 00000000 -_BSS BSS DGROUP 1a87:0000 00001056 -STACK STACK DGROUP 1b8d:0000 0000dac0 +scroll_TEXT CODE AUTO 0000:0000 00000dd6 +mapread_TEXT CODE AUTO 0000:0de0 000008ae +jsmn_TEXT CODE AUTO 0000:1690 00000919 +16_in_TEXT CODE AUTO 0000:1fb0 00001e80 +16_mm_TEXT CODE AUTO 0000:3e30 00003308 +wcpu_TEXT CODE AUTO 0000:7140 00000058 +16_head_TEXT CODE AUTO 0000:71a0 00000405 +16_ca_TEXT CODE AUTO 0000:75b0 000003fd +kitten_TEXT CODE AUTO 0000:79b0 0000080a +16_hc_TEXT CODE AUTO 0000:81c0 0000158b +timer_TEXT CODE AUTO 0000:9750 00000235 +_TEXT CODE AUTO 0999:0000 00009db9 +scroll16_TEXT CODE AUTO 0999:9dc0 0000364d +bitmap_TEXT CODE AUTO 0999:d410 00000605 +planar_TEXT CODE AUTO 0999:da20 00000275 +16text_TEXT CODE AUTO 0999:dca0 0000010d +modex16_TEXT CODE AUTO 1774:0000 00002550 +16_in13_DATA FAR_DATA AUTO 19c9:0000 000001a4 +FAR_DATA FAR_DATA AUTO 19e3:0004 00000000 +_NULL BEGDATA DGROUP 19e4:0000 00000020 +_AFTERNULL BEGDATA DGROUP 19e6:0000 00000002 +CONST DATA DGROUP 19e6:0002 00000076 +CONST2 DATA DGROUP 19ed:0008 00000132 +_DATA DATA DGROUP 1a01:0000 00000b14 +XIB DATA DGROUP 1ab2:0004 00000000 +XI DATA DGROUP 1ab2:0004 0000003c +XIE DATA DGROUP 1ab6:0000 00000000 +YIB DATA DGROUP 1ab6:0000 00000000 +YI DATA DGROUP 1ab6:0000 00000018 +YIE DATA DGROUP 1ab7:0008 00000000 +STRINGS DATA DGROUP 1ab7:0008 00000000 +DATA DATA DGROUP 1ab7:0008 00000000 +_emu_init_start EMU DGROUP 1ab7:0008 00000000 +_emu_init_end EMU DGROUP 1ab7:0008 00000000 +_BSS BSS DGROUP 1ab8:0000 00001076 +STACK STACK DGROUP 1bc0:0000 0000dac0 +----------------+ @@ -73,623 +73,623 @@ Address Symbol ======= ====== Module: scroll.o(/dos/z/16/src/scroll.c) -19b3:0d40+ _screen -19b3:0d58+ _screen3 -19b3:0d70+ _screen2 -19b3:0d88+ _mv -19b3:0db8+ _player -19b3:0eb8+ _gvar -19b3:0ee0+ _spri -19b3:0ee4+ _mask -19b3:0ee8+ _p -19b3:0eec* _t -19b3:0ef0+ _bg -19b3:0f00+ _bakapee +19e4:0d40+ _mv +19e4:0d70+ _player +19e4:0e70+ _spri +19e4:0e74+ _mask +19e4:0e78+ _p +19e4:0e7c* _t +19e4:0e80+ _screen +19e4:0ea4+ _screen3 +19e4:0ec8+ _screen2 +19e4:0eec+ _bg +19e4:0ef0+ _gvar +19e4:0f28+ _bakapee 0000:023a main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) -0000:0e48+ jsoneq_ -0000:0eec+ dump_ -0000:13e8 loadmap_ +0000:0eb8+ jsoneq_ +0000:0f5c+ dump_ +0000:1458 loadmap_ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) -0000:19f2 jsmn_parse_ -0000:1eee jsmn_init_ +0000:1a62 jsmn_parse_ +0000:1f5e jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -19b3:0f2e+ _inpu -0000:2080+ INL_KeyService_ -0000:231a+ Mouse_ -0000:2372+ IN_GetJoyAbs_ -0000:271c+ IN_GetJoyButtonsDB_ -0000:29a2+ IN_SetupJoy_ -0000:2b64 IN_Startup_ -0000:2c6a IN_Default_ -0000:2dce IN_Shutdown_ -0000:2e4e* IN_SetKeyHook_ -0000:2e70+ IN_ClearKeysDown_ -0000:2f50* IN_ReadCursor_ -0000:306c IN_ReadControl_ -0000:38a4* IN_SetControlType_ -0000:38f2* IN_GetScanName_ -0000:397a* IN_WaitForKey_ -0000:39b0* IN_WaitForASCII_ -0000:39e6+ IN_AckBack_ -0000:3ace IN_Ack_ -0000:3b70+ IN_IsUserInput_ -0000:3c16* IN_UserInput_ -0000:3c5a IN_KeyDown_ -0000:3ca2+ IN_ClearKey_ -0000:3d1a* IN_qb_ +19e4:0f4e+ _inpu +0000:20f0+ INL_KeyService_ +0000:238a+ Mouse_ +0000:23e2+ IN_GetJoyAbs_ +0000:278c+ IN_GetJoyButtonsDB_ +0000:2a12+ IN_SetupJoy_ +0000:2bd4 IN_Startup_ +0000:2cda IN_Default_ +0000:2e3e IN_Shutdown_ +0000:2ebe* IN_SetKeyHook_ +0000:2ee0+ IN_ClearKeysDown_ +0000:2fc0* IN_ReadCursor_ +0000:30dc IN_ReadControl_ +0000:3914* IN_SetControlType_ +0000:3962* IN_GetScanName_ +0000:39ea* IN_WaitForKey_ +0000:3a20* IN_WaitForASCII_ +0000:3a56+ IN_AckBack_ +0000:3b3e IN_Ack_ +0000:3be0+ IN_IsUserInput_ +0000:3c86* IN_UserInput_ +0000:3cca IN_KeyDown_ +0000:3d12+ IN_ClearKey_ +0000:3d8a* IN_qb_ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) -0000:47a4+ MML_CheckForEMS_ -0000:4800+ MML_SetupEMS_ -0000:49b8+ MML_ShutdownEMS_ -0000:4a24+ MM_MapEMS_ -0000:4b20* MM_MapXEMS_ -0000:4c40+ MML_CheckForXMS_ -0000:4c9c* MML_SetupXMS_ -0000:4d84+ MML_ShutdownXMS_ -0000:4e12+ MML_UseSpace_ -0000:4ff0+ MML_ClearBlock_ -0000:5074* MM_Startup_ -0000:54a6+ MM_Shutdown_ -0000:553c MM_GetPtr_ -0000:5936+ MM_FreePtr_ -0000:5a2e* MM_SetPurge_ -0000:5b08* MM_SetLock_ -0000:5be0+ MM_SortMem_ -0000:5dce* MM_ShowMemory_ -0000:646e* MM_DumpData_ -0000:65c8+ MM_UnusedMemory_ -0000:664c+ MM_TotalFree_ -0000:66e2* MM_Report_ -0000:709a* MM_BombOnError_ -19b3:1090+ _beforesort -19b3:1094+ _aftersort -19b3:1098+ _XMSaddr +0000:4814+ MML_CheckForEMS_ +0000:4870+ MML_SetupEMS_ +0000:4a28+ MML_ShutdownEMS_ +0000:4a94+ MM_MapEMS_ +0000:4b90* MM_MapXEMS_ +0000:4cb0+ MML_CheckForXMS_ +0000:4d0c* MML_SetupXMS_ +0000:4df4+ MML_ShutdownXMS_ +0000:4e82+ MML_UseSpace_ +0000:5060+ MML_ClearBlock_ +0000:50e4* MM_Startup_ +0000:5516+ MM_Shutdown_ +0000:55ac MM_GetPtr_ +0000:59a6+ MM_FreePtr_ +0000:5a9e* MM_SetPurge_ +0000:5b78* MM_SetLock_ +0000:5c50+ MM_SortMem_ +0000:5e3e* MM_ShowMemory_ +0000:64de* MM_DumpData_ +0000:6638+ MM_UnusedMemory_ +0000:66bc+ MM_TotalFree_ +0000:6752* MM_Report_ +0000:710a* MM_BombOnError_ +19e4:10b0+ _beforesort +19e4:10b4+ _aftersort +19e4:10b8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:70d0 detectcpu_ +0000:7140 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) -0000:713e* wait_ -0000:718a* filesize_ -0000:71f0 printmeminfoline_ -0000:73dc US_CheckParm_ +0000:71ae* wait_ +0000:71fa* filesize_ +0000:7260 printmeminfoline_ +0000:744c US_CheckParm_ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) -0000:756e CA_OpenDebug_ -0000:75be CA_CloseDebug_ -0000:75ec+ CA_FarRead_ -0000:766c* CA_FarWrite_ -0000:76ec* CA_ReadFile_ -0000:7768* CA_LoadFile_ -0000:7810* CAL_OptimizeNodes_ -0000:78c0* CA_Startup_ -0000:7910* CA_Shutdown_ -19b3:10a0* _finishcachebox -19b3:10a4* _drawcachebox -19b3:10a8* _updatecachebox +0000:75de CA_OpenDebug_ +0000:762e CA_CloseDebug_ +0000:765c+ CA_FarRead_ +0000:76dc* CA_FarWrite_ +0000:775c* CA_ReadFile_ +0000:77d8* CA_LoadFile_ +0000:7880* CAL_OptimizeNodes_ +0000:7930* CA_Startup_ +0000:7980* CA_Shutdown_ +19e4:10c0* _finishcachebox +19e4:10c4* _updatecachebox +19e4:10c8* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) -0000:797c KITTENGETS -0000:79dc* kittenopen_ -0000:7c2a+ catread_ -0000:7cde* kittenclose_ -0000:7cfa+ mystrtoul_ -0000:7dae+ processEscChars_ -0000:7f34+ get_line_ -0000:7fa6+ db_fetch_ -0000:803c+ db_insert_ -19b3:04d4+ __kitten_catalog +0000:79ec KITTENGETS +0000:7a4c* kittenopen_ +0000:7c9a+ catread_ +0000:7d4e* kittenclose_ +0000:7d6a+ mystrtoul_ +0000:7e1e+ processEscChars_ +0000:7fa4+ get_line_ +0000:8016+ db_fetch_ +0000:80ac+ db_insert_ +19e4:04d4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) -0000:82fc+ LargestFreeBlock_ -0000:838a+ _coreleft_ -0000:8406+ LargestFarFreeBlock_ -0000:84bc+ _farcoreleft_ -0000:856a+ LargestHugeFreeBlock_ -0000:865a* _hugecoreleft_ -0000:872a+ GetFreeSize_ -0000:8784+ GetFarFreeSize_ -0000:87ce+ GetNearFreeSize_ -0000:881c* heapdump_ -0000:94be+ heapstat_ -0000:95fc+ heapstat0_ -0000:965e+ HC_OpenDebug_ -0000:96ae* HC_CloseDebug_ +0000:836c+ LargestFreeBlock_ +0000:83fa+ _coreleft_ +0000:8476+ LargestFarFreeBlock_ +0000:852c+ _farcoreleft_ +0000:85da+ LargestHugeFreeBlock_ +0000:86ca* _hugecoreleft_ +0000:879a+ GetFreeSize_ +0000:87f4+ GetFarFreeSize_ +0000:883e+ GetNearFreeSize_ +0000:888c* heapdump_ +0000:952e+ heapstat_ +0000:966c+ heapstat0_ +0000:96ce+ HC_OpenDebug_ +0000:971e* HC_CloseDebug_ Module: timer.o(/dos/z/16/src/lib/timer.c) -0000:96e0 start_timer_ -0000:980c elapsed_timer_ -0000:985e ticktock_ -0000:98ca* time_in_seconds_ +0000:9750 start_timer_ +0000:987c elapsed_timer_ +0000:98ce ticktock_ +0000:993a* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0992:0005 __STK -0992:0025 __STKOVERFLOW_ +0999:0005 __STK +0999:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0992:0042 _fmalloc_ -0992:0042 malloc_ -19b3:04f6 ___fheap -19b3:04f8 ___fheapRover -19b3:04fa ___LargestSizeB4Rover +0999:0042 _fmalloc_ +0999:0042 malloc_ +19e4:04f6 ___fheap +19e4:04f8 ___fheapRover +19e4:04fa ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0992:01bf __PIA -0992:01b8* __PIS +0999:01bf __PIA +0999:01b8* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0992:01d6 printf_ +0999:01d6 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -19b3:04fc ___iob -19b3:10b4 ___ClosedStreams -19b3:10b8 ___OpenStreams +19e4:04fc ___iob +19e4:10d4 ___ClosedStreams +19e4:10d8 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0992:0205 fprintf_ +0999:0205 fprintf_ Module: gfx.lib(/dos/z/16/src/lib/scroll16.c) -0992:9e0e walk_ -0992:b884+ mapScrollRight_ -0992:bb62+ mapScrollLeft_ -0992:be16+ mapScrollUp_ -0992:c104+ mapScrollDown_ -0992:c424 chkmap_ -0992:c5b4 mapGoTo_ -0992:c81c+ mapDrawTile_ -0992:c940+ mapDrawRow_ -0992:ca94+ mapDrawCol_ -0992:cbfe+ mapDrawWRow_ -0992:cd2c* mapDrawWCol_ -0992:ce76 shinku_ -0992:cffe+ animatePlayer_ +0999:9e0e walk_ +0999:ba0e+ mapScrollRight_ +0999:bcec+ mapScrollLeft_ +0999:bfa0+ mapScrollUp_ +0999:c28e+ mapScrollDown_ +0999:c5ae chkmap_ +0999:c73e mapGoTo_ +0999:c9a6+ mapDrawTile_ +0999:caca+ mapDrawRow_ +0999:cc1e+ mapDrawCol_ +0999:cd88+ mapDrawWRow_ +0999:ceb6* mapDrawWCol_ +0999:d000 shinku_ +0999:d188+ animatePlayer_ Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0992:d5ce bitmapLoadPcx_ -0992:d72a* bitmapLoadPcxTiles_ +0999:d74e bitmapLoadPcx_ +0999:d8aa* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0992:d8a0 planar_buf_from_bitmap_ -0992:d9c0+ planar_buf_alloc_ -0992:daba* planar_buf_free_ +0999:da20 planar_buf_from_bitmap_ +0999:db40+ planar_buf_alloc_ +0999:dc3a* planar_buf_free_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0992:db96 textInit_ -19b3:1140 _romFonts +0999:dd16 textInit_ +19e4:1160 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -1755:01f4 VGAmodeX_ -1755:0286+ vgaGetMode_ -1755:02bc+ modexEnter_ -1755:035e* modexLeave_ -1755:0376+ modexsetBaseXMode_ -1755:03b4 modexDefaultPage_ -1755:0416 modexNextPage_ -1755:04e2 modexNextPageFlexibleSize_ -1755:05b0 modexShowPage_ -1755:06ac* modexPanPage_ -1755:06fc* modexSelectPlane_ -1755:0720 modexClearRegion_ -1755:0838* oldDrawBmp_ -1755:099e* CDrawBmp_ -1755:0b02* modexDrawBmp_ -1755:0b68+ modexDrawBmpRegion_ -1755:0cd2* modex_sparky4_DrawBmpRegion_ -1755:0e3c* modexDrawPlanarBuf_ -1755:0e5a* modexDrawSprite_ -1755:0ec0+ modexDrawSpriteRegion_ -1755:1038 modexCopyPageRegion_ -1755:11a2* modexFadeOn_ -1755:11d2* modexFadeOff_ -1755:1200* modexFlashOn_ -1755:122e* modexFlashOff_ -1755:12fe+ modexPalSave_ -1755:1354 modexNewPal_ -1755:13a4* modexLoadPalFile_ -1755:1486* modexSavePalFile_ -1755:14fe* modexPalBlack_ -1755:1528* modexPalWhite_ -1755:1552+ modexPalUpdate_ -1755:1ac2+ modexPalUpdate1_ -1755:1b3c* modexPalUpdate0_ -1755:1b88+ chkcolor_ -1755:1ea0+ modexputPixel_ -1755:1f2e* modexgetPixel_ -1755:1fb4* modexhlin_ -1755:2020 modexprint_ -1755:21ba* modexprintbig_ -1755:2336 pdump_ -1755:2396* cls_ -1755:2404 modexWaitBorder_ -19b3:0b54+ _VGA +1774:01f4 VGAmodeX_ +1774:0286+ vgaGetMode_ +1774:02bc+ modexEnter_ +1774:046e* modexLeave_ +1774:0486+ modexsetBaseXMode_ +1774:04d8 modexDefaultPage_ +1774:053a modexNextPage_ +1774:0606 modexNextPageFlexibleSize_ +1774:06d4 modexShowPage_ +1774:07d0* modexPanPage_ +1774:0820* modexSelectPlane_ +1774:0844 modexClearRegion_ +1774:095c* oldDrawBmp_ +1774:0ac2* CDrawBmp_ +1774:0c26* modexDrawBmp_ +1774:0c8c+ modexDrawBmpRegion_ +1774:0df6* modex_sparky4_DrawBmpRegion_ +1774:0f60* modexDrawPlanarBuf_ +1774:0f7e* modexDrawSprite_ +1774:0fe4+ modexDrawSpriteRegion_ +1774:115c modexCopyPageRegion_ +1774:12c6* modexFadeOn_ +1774:12f6* modexFadeOff_ +1774:1324* modexFlashOn_ +1774:1352* modexFlashOff_ +1774:1422+ modexPalSave_ +1774:1478 modexNewPal_ +1774:14c8* modexLoadPalFile_ +1774:15aa* modexSavePalFile_ +1774:1622* modexPalBlack_ +1774:164c* modexPalWhite_ +1774:1676+ modexPalUpdate_ +1774:1be6+ modexPalUpdate1_ +1774:1c60* modexPalUpdate0_ +1774:1cac+ chkcolor_ +1774:1fc4+ modexputPixel_ +1774:2052* modexgetPixel_ +1774:20d8* modexhlin_ +1774:2144 modexprint_ +1774:22de* modexprintbig_ +1774:245a pdump_ +1774:24ba* cls_ +1774:2528 modexWaitBorder_ +19e4:0b54+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sound.c) -0992:0234 sound_ -0992:026f nosound_ +0999:0234 sound_ +0999:026f nosound_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) -0992:027b atoi_ +0999:027b atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -19b3:0000* __nullarea -19b3:0b68* __ovlflag -19b3:0b69* __intno -19b3:0b6a* __ovlvec -0992:0316 _cstart_ -0992:03e9* _Not_Enough_Memory_ -0992:051b __exit_ -0992:053a __do_exit_with_msg__ -0992:0597 __GETDS +19e4:0000* __nullarea +19e4:0b68* __ovlflag +19e4:0b69* __intno +19e4:0b6a* __ovlvec +0999:0316 _cstart_ +0999:03e9* _Not_Enough_Memory_ +0999:051b __exit_ +0999:053a __do_exit_with_msg__ +0999:0597 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0992:05a2 _big_code_ -0992:05a2* CodeModelMismatch +0999:05a2 _big_code_ +0999:05a2* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -19b3:1a58 __argv -19b3:1a5c ___argv -19b3:1a60 __argc -19b3:1a62 ___argc +19e4:1a78 __argv +19e4:1a7c ___argv +19e4:1a80 __argc +19e4:1a82 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) -0992:05a2 strncmp_ +0999:05a2 strncmp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) -0992:05df strstr_ +0999:05df strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0992:06e1+ __open_flags_ -0992:09a2+ _fsopen_ -0992:0a09 fopen_ -0992:0b2c* freopen_ +0999:06e1+ __open_flags_ +0999:09a2+ _fsopen_ +0999:0a09 fopen_ +0999:0b2c* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -0992:0bb6 fread_ +0999:0bb6 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0992:0f2d __get_errno_ptr_ -19b3:1a64 _errno +0999:0f2d __get_errno_ptr_ +19e4:1a84 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0992:0f34* _frealloc_ -0992:0f34 realloc_ +0999:0f34* _frealloc_ +0999:0f34 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0992:1010 __PTC +0999:1010 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) -0992:1043 strncpy_ +0999:1043 strncpy_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0992:107f+ int86x_ -0992:1202 int86_ +0999:107f+ int86x_ +0999:1202 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0992:1230 __I4M -0992:1230 __U4M +0999:1230 __I4M +0999:1230 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0992:1248 _dos_getvect_ +0999:1248 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0992:1253 _dos_setvect_ +0999:1253 _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0992:1260 __I4D -0992:12af __U4D +0999:1260 __I4D +0999:12af __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0992:1333* _heapgrow_ -0992:1333 _fheapgrow_ -0992:1334 _nheapgrow_ +0999:1333* _heapgrow_ +0999:1333 _fheapgrow_ +0999:1334 _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0992:13ac _memavl_ +0999:13ac _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0992:13f1 _nmalloc_ -19b3:0b6e ___nheapbeg -19b3:0b70 ___MiniHeapRover -19b3:0b72 ___LargestSizeB4MiniHeapRover +0999:13f1 _nmalloc_ +19e4:0b6e ___nheapbeg +19e4:0b70 ___MiniHeapRover +19e4:0b72 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0992:14cb _ffree_ -0992:14cb free_ +0999:14cb _ffree_ +0999:14cb free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0992:1538 _nfree_ -19b3:1a66+ ___MiniHeapFreeRover +0999:1538 _nfree_ +19e4:1a86+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0992:1633+ _null_exit_rtn_ -0992:1633+ __null_int23_exit_ -0992:1634 exit_ -0992:1655+ _exit_ -19b3:0b74+ ___int23_exit -19b3:0b78 ___FPE_handler_exit +0999:1633+ _null_exit_rtn_ +0999:1633+ __null_int23_exit_ +0999:1634 exit_ +0999:1655+ _exit_ +19e4:0b74+ ___int23_exit +19e4:0b78 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0992:1671 ultoa_ -0992:172f* ltoa_ +0999:1671 ultoa_ +0999:172f* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0992:18b5 write_ +0999:18b5 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0992:1ae1 __doclose_ -0992:1c2b __shutdown_stream_ -0992:1c45 fclose_ -19b3:1a68+ ___RmTmpFileFn +0999:1ae1 __doclose_ +0999:1c2b __shutdown_stream_ +0999:1c45 fclose_ +19e4:1a88+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0992:1cb0+ __ibm_bios_get_ticks_ -0992:1d27 clock_ +0999:1cb0+ __ibm_bios_get_ticks_ +0999:1d27 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0992:1d4e kbhit_ +0999:1d4e kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0992:1d65 ftell_ +0999:1d65 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0992:1f4b fseek_ +0999:1f4b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -19b3:0098 __IsTable +19e4:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0992:2143 tolower_ +0999:2143 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0992:2151 unlink_ +0999:2151 unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0992:2381 open_ -0992:23b8 sopen_ +0999:2381 open_ +0999:23b8 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0992:23e5 close_ +0999:23e5 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0992:23ea filelength_ +0999:23ea filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0992:2448 sprintf_ +0999:2448 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0992:2477 getenv_ +0999:2477 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0992:2562 __PTS +0999:2562 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0992:2593 read_ +0999:2593 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0992:26cc* __clib_strdup_ -0992:26cc strdup_ +0999:26cc* __clib_strdup_ +0999:26cc strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0992:2733 halloc_ -0992:2818 hfree_ +0999:2733 halloc_ +0999:2818 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0992:281f _heapwalk_ -0992:281f _fheapwalk_ +0999:281f _heapwalk_ +0999:281f _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0992:2834+ __NHeapWalk_ -0992:292d _nheapwalk_ +0999:2834+ __NHeapWalk_ +0999:292d _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0992:293d stackavail_ +0999:293d stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -19b3:0b84 __8087 -19b3:0b85 __real87 -19b3:0b86 __dos87emucall -19b3:0b88 __dos87real +19e4:0b84 __8087 +19e4:0b85 __real87 +19e4:0b86 __dos87emucall +19e4:0b88 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0992:294c* __exit_with_msg_ -0992:2951 __fatal_runtime_error_ +0999:294c* __exit_with_msg_ +0999:2951 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -19b3:0b8a __curbrk -19b3:0b92 __STACKLOW -19b3:0b94 __STACKTOP -19b3:0b96 __cbyte -19b3:0b98 __child -19b3:0b9a __no87 -19b3:0ba7 ___FPE_handler -19b3:0b8c __psp -19b3:0b9b __get_ovl_stack -19b3:0b9f __restore_ovl_stack -19b3:0ba3 __close_ovl_file -19b3:0bab __LpCmdLine -19b3:0baf __LpPgmName -19b3:0b8e __osmajor -19b3:0b8f __osminor -19b3:0b90 __osmode -19b3:0b91 __HShift +19e4:0b8a __curbrk +19e4:0b92 __STACKLOW +19e4:0b94 __STACKTOP +19e4:0b96 __cbyte +19e4:0b98 __child +19e4:0b9a __no87 +19e4:0ba7 ___FPE_handler +19e4:0b8c __psp +19e4:0b9b __get_ovl_stack +19e4:0b9f __restore_ovl_stack +19e4:0ba3 __close_ovl_file +19e4:0bab __LpCmdLine +19e4:0baf __LpPgmName +19e4:0b8e __osmajor +19e4:0b8f __osminor +19e4:0b90 __osmode +19e4:0b91 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0992:2970 __MemAllocator -0992:2a3a __MemFree +0999:2970 __MemAllocator +0999:2a3a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0992:2b97 __GrowSeg_ +0999:2b97 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0992:2d0d __AllocSeg_ +0999:2d0d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0992:2dfc __fmemneed_ +0999:2dfc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0992:2e19 __fprtf_ +0999:2e19 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0992:2f6c __InitFiles_ +0999:2f6c __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0992:31a0* fcloseall_ -0992:31a7 __full_io_exit_ +0999:31a0* fcloseall_ +0999:31a7 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -19b3:0bb4 _fltused_ +19e4:0bb4 _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0992:31b1 __fill_buffer_ -0992:3400 fgetc_ +0999:31b1 __fill_buffer_ +0999:3400 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -0992:3547 fwrite_ +0999:3547 fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -0992:38c0 rand_ -0992:3902* srand_ +0999:38c0 rand_ +0999:3902* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0992:3925 __CMain +0999:3925 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0992:398b __InitRtns -0992:398b* __FInitRtns -0992:39e6 __FiniRtns -0992:39e6* __FFiniRtns +0999:398b __InitRtns +0999:398b* __FInitRtns +0999:39e6 __FiniRtns +0999:39e6* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -19b3:0bba ___uselfn +19e4:0bba ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0992:3a4a __DOSseg__ +0999:3a4a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0992:3a4b __Init_Argv_ -0992:3aae+ _getargv_ -0992:3dde __Fini_Argv_ +0999:3a4b __Init_Argv_ +0999:3aae+ _getargv_ +0999:3dde __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0992:3e08* __set_commode_ -19b3:0bbc __commode +0999:3e08* __set_commode_ +19e4:0bbc __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -19b3:0bbe __fmode +19e4:0bbe __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0992:3e14 __chktty_ +0999:3e14 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0992:3e73 __freefp_ -0992:3f14 __purgefp_ +0999:3e73 __freefp_ +0999:3f14 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0992:3f55 __allocfp_ +0999:3f55 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0992:4105 __ioalloc_ +0999:4105 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0992:4293 __qread_ +0999:4293 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0992:42b3* _msize_ -0992:42ce _fmsize_ +0999:42b3* _msize_ +0999:42ce _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0992:42df __HeapManager_expand_ -0992:47e8 _nexpand_ +0999:42df __HeapManager_expand_ +0999:47e8 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0992:483b _fexpand_ -0992:483b* _expand_ +0999:483b _fexpand_ +0999:483b* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0992:4870 intr_ +0999:4870 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0992:4884 segread_ +0999:4884 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0992:496f __LastFree_ -0992:49e9 __ExpandDGROUP_ +0999:496f __LastFree_ +0999:49e9 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0992:4afa __nmemneed_ +0999:4afa __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -19b3:0050 ___Alphabet +19e4:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0992:4afd __lseek_ +0999:4afd __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0992:4b37* __dosretax -0992:4b3c* __dosret0 -0992:4b43 __set_errno_dos_ -0992:4bab* __set_errno_dos_reterr_ +0999:4b37* __dosretax +0999:4b3c* __dosret0 +0999:4b43 __set_errno_dos_ +0999:4bab* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0992:4bb6 __GetIOMode_ -0992:4beb __SetIOMode_nogrow_ -19b3:0bd4 ___NFiles -19b3:0bd6 ___init_mode -19b3:0bfe ___io_mode +0999:4bb6 __GetIOMode_ +0999:4beb __SetIOMode_nogrow_ +19e4:0bd4 ___NFiles +19e4:0bd6 ___init_mode +19e4:0bfe ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0992:4c20 __close_ +0999:4c20 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0992:4c56 __flush_ +0999:4c56 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0992:4e9f fflush_ +0999:4e9f fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0992:4eb4 tell_ +0999:4eb4 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0992:4ec5 lseek_ +0999:4ec5 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0992:4ef6 __doserror_ -0992:4f04 __doserror1_ +0999:4ef6 __doserror_ +0999:4f04 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0992:4f10 _dos_open_ +0999:4f10 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0992:4f36 isatty_ +0999:4f36 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0992:4f52* __get_doserrno_ptr_ -19b3:1a74 __doserrno +0999:4f52* __get_doserrno_ptr_ +19e4:1a94 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -19b3:0c02 ___umaskval +19e4:0c02 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0992:4f59 _dos_creat_ -0992:4f7d* _dos_creatnew_ +0999:4f59 _dos_creat_ +0999:4f7d* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0992:4f9e+ __grow_iomode_ -0992:5085+ __shrink_iomode_ -0992:50c6 __SetIOMode_ +0999:4f9e+ __grow_iomode_ +0999:5085+ __shrink_iomode_ +0999:50c6 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0992:5186 vsprintf_ +0999:5186 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -19b3:1a78 ___env_mask -19b3:1a7c _environ -19b3:1a80* __wenviron +19e4:1a98 ___env_mask +19e4:1a9c _environ +19e4:1aa0* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0992:51c8 _mbterm_ +0999:51c8 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0992:521b _mbsnextc_ +0999:521b _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0992:5276 _mbctoupper_ +0999:5276 _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0992:5299 _mbsinc_ +0999:5299 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0992:52eb __ChkTTYIOMode_ -0992:5359* __IOMode_ +0999:52eb __ChkTTYIOMode_ +0999:5359* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0992:542b __HeapWalk_ +0999:542b __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -0992:566b* _fpreset_ -0992:5696 __init_8087_ -0992:56c9+ __default_sigfpe_handler_ -0992:571e __chk8087_ +0999:566b* _fpreset_ +0999:5696 __init_8087_ +0999:56c9+ __default_sigfpe_handler_ +0999:571e __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0992:578e __EnterWVIDEO_ -19b3:0c22+ ___WD_Present +0999:578e __EnterWVIDEO_ +19e4:0c22+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0992:57b2* _heapenable_ -19b3:0c24 ___heap_enabled +0999:57b2* _heapenable_ +19e4:0c24 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -19b3:0c26 __amblksiz +19e4:0c26 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0992:57c3 fputc_ +0999:57c3 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0992:5998 __prtf_ +0999:5998 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) -0992:6afa __setEFGfmt_ +0999:6afa __setEFGfmt_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0992:6b18 __flushall_ -0992:6bac flushall_ +0999:6b18 __flushall_ +0999:6bac flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0992:6bb2 getche_ +0999:6bb2 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0992:6bcc __qwrite_ +0999:6bcc __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -19b3:1a84 ____Argv -19b3:1a88 ____Argc +19e4:1aa4 ____Argv +19e4:1aa8 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -19b3:0ce4 __Start_XI -19b3:0d20 __End_XI -19b3:0d20 __Start_YI -19b3:0d38 __End_YI +19e4:0ce4 __Start_XI +19e4:0d20 __End_XI +19e4:0d20 __Start_YI +19e4:0d38 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -19b3:1a8a ___historical_splitparms +19e4:1aaa ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0992:6c2c _bfree_ +0999:6c2c _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0992:6c51 _bexpand_ +0999:6c51 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0992:6caa _DoINTR_ +0999:6caa _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0992:7023* sbrk_ -0992:7033 __brk_ +0999:7023* sbrk_ +0999:7033 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0992:709b fsync_ +0999:709b fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0992:70be __setenvp_ -0992:724a __freeenvp_ +0999:70be __setenvp_ +0999:724a __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -19b3:0c28 ___IsDBCS +19e4:0c28 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0992:72a8* _ismbblead_ -19b3:1a90 ___MBCSIsTable +0999:72a8* _ismbblead_ +19e4:1ab0 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0992:7302 __mbinit_ -19b3:0c2a ___MBCodePage +0999:7302 __mbinit_ +19e4:0c2a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0992:73dd _mbdtoupper_ +0999:73dd _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0992:73eb toupper_ +0999:73eb toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -19b3:0c2c __8087cw +19e4:0c2c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -19b3:0c2e ___Save8087 -19b3:0c32 ___Rest8087 +19e4:0c2e ___Save8087 +19e4:0c32 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0992:73fa __GrabFP87_ +0999:73fa __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0992:742c* __init_8087_emu -0992:7432 __x87id +0999:742c* __init_8087_emu +0999:7432 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0992:748f wctomb_ +0999:748f wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0992:74da+ utoa_ -0992:757b itoa_ +0999:74da+ utoa_ +0999:757b itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0992:75c5 strupr_ +0999:75c5 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -19b3:0c36 ___EFG_printf -19b3:0c3a ___EFG_scanf +19e4:0c36 ___EFG_printf +19e4:0c3a ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0992:760d ulltoa_ -0992:774a* lltoa_ +0999:760d ulltoa_ +0999:774a* lltoa_ Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -0992:77bb _EFG_Format_ +0999:77bb _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -0992:798d __cnvs2d_ +0999:798d __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0992:79ac* _dos_close_ -0992:79ba _dos_commit_ +0999:79ac* _dos_close_ +0999:79ba _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0992:79c9 clearenv_ +0999:79c9 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0992:7afe __Init_FPE_handler_ -0992:7b37 __Fini_FPE_handler_ -0992:7b6e* __FPEHandler +0999:7afe __Init_FPE_handler_ +0999:7b37 __Fini_FPE_handler_ +0999:7b6e* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -0992:7e00* _SetLD64bit_ -0992:7e0b* _SetLD80bit_ -0992:7e16 _LDisDouble_ +0999:7e00* _SetLD64bit_ +0999:7e0b* _SetLD80bit_ +0999:7e16 _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -0992:7f7b _LDScale10x_ -0992:8853 __LDcvt_ +0999:7f7b _LDScale10x_ +0999:8853 __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -700,30 +700,30 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -0992:9030* __init_87_emulator +0999:9030* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -0992:9844+ __Strtold_ -0992:9a83 strtod_ +0999:9844+ __Strtold_ +0999:9a83 strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -19b3:0ce2 ___FPE_int +19e4:0ce2 ___FPE_int Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -0992:9c30 __LDClass_ -0992:9cb6* _FLClass_ +0999:9c30 __LDClass_ +0999:9cb6* _FLClass_ Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -0992:9cca __ZBuf2LD +0999:9cca __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -0992:9d92* __set_EDOM_ -0992:9d9e __set_ERANGE_ -0992:9daa* __set_EINVAL_ +0999:9d92* __set_EDOM_ +0999:9d9e __set_ERANGE_ +0999:9daa* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -19b3:019a* ___f_infinity -19b3:019e* ___f_posqnan -19b3:01a2 ___d_infinity -19b3:01aa ___d_posqnan -19b3:01b2* ___ld_infinity -19b3:01ba* ___ld_posqnan +19e4:019a* ___f_infinity +19e4:019e* ___f_posqnan +19e4:01a2 ___d_infinity +19e4:01aa ___d_posqnan +19e4:01b2* ___ld_infinity +19e4:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -19b3:01c2 __HugeValue +19e4:01c2 __HugeValue +--------------------+ @@ -741,6 +741,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00029390 (168848.) -Entry point address: 0992:0316 -Link time: 00:00.19 +Memory size: 000296c0 (169664.) +Entry point address: 0999:0316 +Link time: 00:00.40 diff --git a/src/lib/16_head.h b/src/lib/16_head.h index 87c70cf6..c7d8ee28 100755 --- a/src/lib/16_head.h +++ b/src/lib/16_head.h @@ -171,6 +171,28 @@ typedef void __based(__self) * memptr; typedef void _seg * memptr; #endif +/* + * typedefs of the game variables! + */ +typedef struct { + word id; /* the Identification number of the page~ For layering~ */ + byte far* data; /* the data for the page */ + word dx; /* col we are viewing on the virtual screen */ + word dy; /* row we are viewing on the virtual screen */ + word sw; /* screen width */ + word sh; /* screen heigth */ + word tilesw; /* screen width in tiles */ + word tilesh; /* screen height in tiles */ + word width; /* virtual width of the page */ + word height; /* virtual height of the page */ + word tw; + word th; + sword tilemidposscreenx; /* middle tile position */ + sword tilemidposscreeny; /* middle tile position */ + sword tileplayerposscreenx; /* player position on screen */ + sword tileplayerposscreeny; /* player position on screen */ +} page_t; + typedef struct { // int showmemhandle; @@ -191,6 +213,7 @@ typedef struct typedef struct { long old_mode; //old video mode before game! + page_t *page; //pointer to root page[0] //TODO } video_t; typedef struct diff --git a/src/lib/modex16.c b/src/lib/modex16.c index 01cd48ff..90005d7e 100755 --- a/src/lib/modex16.c +++ b/src/lib/modex16.c @@ -93,14 +93,20 @@ modexEnter(sword vq, global_game_variables_t *gv) dword far*ptr=(dword far*)VGA; /* used for faster screen clearing */ int CRTParmCount; /* common mode X initiation stuff~ */ - modexsetBaseXMode(); + modexsetBaseXMode(gv->video.page); switch(vq) { case 0: CRTParmCount = sizeof(ModeX_320x240regs) / sizeof(ModeX_320x240regs[0]); /* width and height */ - //TODO add width and height of screen + gv->video.page->sw=320; + gv->video.page->sh=240; + gv->video.page->tilesw = gv->video.page->sw/TILEWH; + gv->video.page->tilesh = gv->video.page->sh/TILEWH; + //TODO MAKE FLEXIBLE~ + gv->video.page->tilemidposscreenx = 10; + gv->video.page->tilemidposscreeny = 8; /* send the CRTParms */ for(i=0; i= 0 && pip[0].tx+20 < pip[0].map->width && player[pn].tx == pip[0].tx + 10 && + if(pip[0].tx >= 0 && pip[0].tx+pip[0].page->tilesw < pip[0].map->width && player[pn].tx == pip[0].tx+pip[0].page->tilemidposscreenx && !(pip[0].map->data[(player[pn].tx)+(pip[0].map->width*(player[pn].ty-1))] == 0))//!(player[pn].tx+1 == TRIGGX && player[pn].ty == TRIGGY)) //collision detection! { if(player[pn].q<=(TILEWH/(player[pn].speed))) @@ -72,7 +72,7 @@ void walk(map_view_t *pip, player_t *player, word pn) //left movement case 1: - if(pip[0].tx > 0 && pip[0].tx+20 <= pip[0].map->width && player[pn].tx == pip[0].tx + 10 && + if(pip[0].tx > 0 && pip[0].tx+pip[0].page->tilesw <= pip[0].map->width && player[pn].tx == pip[0].tx+pip[0].page->tilemidposscreenx && !(pip[0].map->data[(player[pn].tx-2)+(pip[0].map->width*(player[pn].ty-1))] == 0))//!(player[pn].tx-1 == TRIGGX && player[pn].ty == TRIGGY)) //collision detection! { if(player[pn].q<=(TILEWH/(player[pn].speed))) @@ -113,7 +113,7 @@ void walk(map_view_t *pip, player_t *player, word pn) //down movement case 4: - if(pip[0].ty >= 0 && pip[0].ty+15 < pip[0].map->height && player[pn].ty == pip[0].ty + 8 && + if(pip[0].ty >= 0 && pip[0].ty+pip[0].page->tilesh < pip[0].map->height && player[pn].ty == pip[0].ty+pip[0].page->tilemidposscreeny && !(pip[0].map->data[(player[pn].tx-1)+(pip[0].map->width*(player[pn].ty))] == 0))//!(player[pn].tx == TRIGGX && player[pn].ty+1 == TRIGGY)) //collision detection! { if(player[pn].q<=(TILEWH/(player[pn].speed))) @@ -154,7 +154,7 @@ void walk(map_view_t *pip, player_t *player, word pn) //up movement case 0: - if(pip[0].ty > 0 && pip[0].ty+15 <= pip[0].map->height && player[pn].ty == pip[0].ty + 8 && + if(pip[0].ty > 0 && pip[0].ty+pip[0].page->tilesh <= pip[0].map->height && player[pn].ty == pip[0].ty+pip[0].page->tilemidposscreeny && !(pip[0].map->data[(player[pn].tx-1)+(pip[0].map->width*(player[pn].ty-2))] == 0))//!(player[pn].tx == TRIGGX && player[pn].ty-1 == TRIGGY)) //collision detection! { if(player[pn].q<=(TILEWH/(player[pn].speed))) diff --git a/src/scroll.c b/src/scroll.c index c4010895..41476b58 100755 --- a/src/scroll.c +++ b/src/scroll.c @@ -63,6 +63,7 @@ void main(int argc, char *argv[]) player[0].persist_aniframe=0; player[0].speed=4; + gvar.video.page=&screen; printf("starting timer\n"); start_timer(&gvar); @@ -156,8 +157,8 @@ void main(int argc, char *argv[]) //TODO: put player in starting position of spot //default player position on the viewable map - player[0].tx = bg->tx + 10; - player[0].ty = bg->ty + 8; + player[0].tx = bg->tx + bg->page->tilemidposscreenx; + player[0].ty = bg->ty + bg->page->tilemidposscreeny; player[0].x = player[0].tx*TILEWH; player[0].y = player[0].ty*TILEWH; player[0].triggerx = player[0].tx; diff --git a/test.exe b/test.exe index 4a1548065b02ebf76122e9f1bfe680e9d51cd64d..4dbd9a9fa0dcfeb1219f860b798f1316d97f5964 100755 GIT binary patch delta 19824 zcmW)nS5%YR7KPIj5(qu?-g{9%dIu{~qy(fJK&1%@pdtYyNC+V6F)ALFVnGxIrAK-f z3q=qJO+ZZuAP9z@|DJL8!yfx#J*!d7j;wqMNN8cFB}faAA|Qo^IP}VpYG|WM~et0{<`(10*l2W6p*+k zEQm3a?%nV0?x!RGh4S(0)S#!%phxdzf#keE_1PfM72r%LG1TA;Ap!^`MHBUJk`0B= zfUq_!8UXh4@bvMeECFseTgA!o@uo8>((%*|YTcmd29@zmpf+y59t_G$`q&_s9t%9X zzyLuTrZiFFxr`yfsK8jMTNlU?aQJAb8R#2Y~ z0vd!s?)9r6YF$6v4jd!x{@K8cn-pHJE&WMxAkh<^tO!=Lm1dnLSB0B28cJ8XfE;5@ z?81K{GpxHqX-)k!w?Ch63IOFXMtw$1z@_S|e2ZgMD22PLn7S;+-2>djPZ!*ExiuPn zNe6yc{EUYEE@c~@S{iM@ifxJ6{3o7IIP-X{u>a{RSL5qcH2JM}UC&ViH#%YDe#Q=(q297#9My7zGCn;9% z)Y%w-pqO|ukw}OE^cCIX5{PHxn6ZgUaX|dVgtO$xD54^u>8PdXbulcImq^f6JQ5o| zqhe%!=nzlO*wD~eUwa0m=;nQ#d0bI8@x0EAf5@PIMb_c!a7e7JTihtW&~hBfu~tzs zX3F;>sO4fTNC3T?yqDj`0D=W59fIletIO(C`JV6Ux$>Wqpp(LB`Gd6i-{NB++VJ?` zA{o4;o^JCs7(ue7VKX88yjGoF%fSSJmBsoy5R;pOzpGm0TWJ%6^O0cECX~Sg?2dh& z;6lgLi}B2S<1wK6=qIJznfxB0B?FXm0x(_%_9_+EU+0kkMq^&P`V`IP8gpCF@_oN>Suo>~~PZmvxnZG{G~XX80YE znF4pt#PVLAjorCFp@A)l;Pp3t#4StOSba*|;rBBFUVyu2?w9dm{w1VA{5luo=b`j(Os~f-QNX>ZPb^KX3-2>z#iLH=kNd|`7q9#benTB&KvMBH^<>B$6+MFOxf9JBNCvFd*5&xr zs>DU51BkDfh7Jh2s&1o<;VM_r)$==Ili{Z zq>R5W?Vt?Cz8{x=JU5;LU`Id-!}HK#O20GN;j^GDwFkX?_c6Ny09pS8lDFW{36QD- zv%`Ajaw5D3>5mvYw-jL%Q`6WKSOpZcVMtd!CN>1eq*%f&Jr96G;XYh937+0Qum?&y zPcz*CZDw+biQ)oIko+2{Iu2FD%<-#m)NkKgiOF8novKZaXaRrHSXa=g>a)r3$y75c zGil3Z1p{A-KNuuVb4BCisN&+YKBrB)k__aDFiytPm+?kJ*%G)r{iB%Zp{dS)oO*63*Vm>~5kllK9u;&gV*N1m8p%Pa+=0E$b z_K7E@D!HQZ00)rg$%P8p)@BMSOpGCL&;3W06nfjMWFt|KV`SxlW%l#kXw=L>{_m1D z&h;3#JwJ^Wiy@b9)g^H*+XtT;0~v?xi;%%Y+Z>oxMzZqdbT?ja zavVrFrUyB-&snreAR$^sgN~iH3hm*$%Bk`s?erkr`T6`#wYnDcC;#Q6q;XqP4cS2; zt7Rey#ZM=1sSRkEoL2=TNryS1Rv9|_0hk>2Xkit;pIB@aMrys`1v3JsI77B}^PHZ1^};!(4cF4X_O4*j7(?i! z29MKRZba%~jb*gkq;YE5uvLq;t$(j-W6?D79hc}ytc6mLS9TCj%Gjc7?9$HuZq1(3 z^E&rEJ|;59+)z#<3SA+FOY#!~GkIL;7znzi{`6Or-6zkP`Sg#FowHtr74DAb;1T<< z;hk;Eak`|yRmzjjchLd(gHtQk05EO70b;;9Qet&1_ul!Z2wkBvuv~jJaaV+uAi2Ut zV722kwa(HP5*f3dv3s(;TL~Am7x-JJSo)l*rNbL6d9qAF+vG&(yF2h`p&tGgfmlo)4>C|8c~lubk(#0qBi&{) zg$_Zqe)#saHS<_|$8oR1AFx8E?A>cT&p6{9_na)*YaNEaC~CVH6<(OifJBSV7^lt0 zh{^SFyNQ_35Y>l`O?>Oo?lSE@&Y!El5~qTbD_A22Gho*rvD!;_$d;M|s)sTZntRcbGp0 z#(Q~%?b`0RcjNNG{9wp6GnMFDvR4Hdf(koVwOmFfWS@(h)Y|0kt#BF~MMO7fry!NW zywBf9&coHcmSbo*#|ejQrW=%cWnPSbWdcK$kYk5d0o!PwhhK}MP7&FsvJ~#iS}1Q= z)+$hauiZSmA$0X0z2xECT?^Q4ez&9WJN_ePX&l$UlEJ_;qNENeY$*4WjYlGp#c+yb{8VtlpT=VkY1?6#m2ap|^@IDJY7 zVxF^Vp%s?kpFeGh=KN-6@MMTx5_u&njTltL{XL)?2I2JRe_`b#US}(~((nP08#4Ho z>`$zBOWDhVEn9()mRR^L74og*A6xD67FT~K!hI`0MP7!pBm-kt&eUoletkMK84l|3 zlC=~t`<`yYUwf+9+SlG-&HW<>K=}F`adJLcA16xbge8aw%TPY3#Z%Xt%vU7~1|RpI z8MDdlWk9aof6rO=bVdhZY;(tQr|rxM+2$e=p$(UJ%-fQWiiOK41a2YB z2a`O4Y$@GBChOy3C#YntUci~(I#wkCkFx>80e&EJq>el!NS#Q9*fY;LK?tO%2Ll(6 zZit^Nm;A|zZ6c9V&fX@(_==q?t2<;qkdb8GLJNkOuah#0kel9U4S+KWoWRnO8zBA_ zen;0*VVt*wkD2C;gD(eqy4o_IapN+RFSM;d${-#yF*n3sM0>`}xw6;6!(C)BDHbY0 zoe;C^7*#!L200r;4TL12(s-L;HEIR3FsS%%BlA%b+4>t4K6;r7xAZmqK?Z%|Xsn*( zw@hvpLs`0_5K8A^A`j4L3+8rjCT=K&UF7$V*cF561jelkpaYj7$&Y_N#NJCZzUaib zKW3MdF%U^BvKFHWmTtxEnU5uAsJ>~^8XKHzK_|J>WWky1QB!5$(GUt?_Z?Z72JSdY z;&hQ6H%}Ww9p{Z}5tD8sF&y?i%-FGAKZ=MSNl4~PHhWGEXykl;X(=zp>E8b9>@*;M zdcYg9{OsvGTN=2lF2)yzq}b$LEvzFw`$o;(@-f0+P;n+Lfws5JIp&M@4EH5!-JMpd z{E$3uvnL-o76)x@0a6-jMT+Yj)y->r$U^F7QdO`xIle~v%OLeQs!@qWDr$wk%F?vC(h;N4)7O$yBEZSElrkVWa zRh+YotyYJsU;n;G;fP!sBOZa%V-9RBHJB}AVBHWmT_T>!*ADZOp>pjHyI_Xon1Nme zZEv))8piWL-4!Im8{C$OANLe1X<784X(=;IfkSZ8<}TqWF4S) zuh{%<7FViwuRcta|K6<>^XV%28n>{JtMKD7JB0j!H(-8lWa`(2-^ zpf>sVVL!3pW+6V2T}d(6vZNq-XdEG(0{;p43E_UOg)h?-2#K78Q{|7`lOLTlV)sSc zO{Grvz+Nq#v%5uyt1vn1(0;<&YXx7Qq$AdgtO2LU$-Q6?2a&>;`D9PoqR-WP5+D)q z-y~a_>A7bD)eOBoyL9RH%*+>uM0eFC3LM^?H{^1@7`2{1`g8v9E~k!aYTLyy|KN$?fa#;z$|R?Plh;%=(&u z<>VHn;(SEiz&!KVsokhC9sY8yG4HX1kCOMNIAh&f1N>Uz6}Y22c81*HS>_i(G5-@2 z7vI;Zfd^jd&9Bw)Y^mo2IWH>Bwvd)`$@tU7}Loy)bUa?{MSU30D zUD=FZ_)bAq+%M2Qj_TopG9%(CzOzZkRN_qIgbWwb|7v_uH56RhLS`=N{W|*XkJK-u zfCM_{%bg==g5L|E=%;L@`~%F7IRVoPI}6x@Vke8#99G@yhvd;e;IijBGQGZ1cQm|H zL9>r-;#68sE)*wI$*V3kBE62WF@G@yt^JqCKMM+u3+agg=`wxcOxicTbYvUu<%f@5 zWs$diAm%mq(Oyqa2$b~$*7l%Ol(j#ILbi-o1Z5>^-Ll_$?z49y`EBS1wKi;T+EbAv zPCcx_+8+B+pf<~y{|(CX*}!4EJ=;_%x|1Ddr!Ya>l=*{X$ty9!ibDMx*C>!6h6b2x zbQwyt7tY|Sm}~qB)0WY9$=tPV`$TWCWtcraGw7?J%jU!j^0VRQbX#8CWfvk z>b0P)z7=Z4C4!9KlY}F?{6%J7(|os5(O`I&oQwxAo-ga~aF6q-AaA}t9sFFl`sv$P zmm#H@04BLCozwuGT<)p2tDN3rQh#gdyefZx{T;}8Gd@h%w9am3M%9Yi9*%i*nUhCO z|E!oEu~kB5%0N6WrGJ1Ls1i}&Vek~>l~9t#hxEIb`0e8V1n`}M7u2>v4#y-EPA)h$%i8kRDd0d=Y4YtbP{&~|r-q}6 zkqmT99sRKKYi=#8lDxq>tofjzlRc;aa}2jT)3hE8ctz}&dIm!B+JdmAM5McE zfct-H9_)_(WC(9SVO|_@@<_-jJk(!Wq8kwARxUDV9d_!6W+Q z5G>X;gZO~~d%S&pKL190ApG-XyPf;y-%^E4e^^SmCGxe_gCD={U?AYPU-}l!A3d4i zI1gzcoxh}2dr$k=HW^83c%NL&K%bc(7uPHCMpqmDZtti9#Tx9-pXULhm@wbXUA}z8>Z{6;uD5eH$<0-B1?^(~_~(k#ry%B9K-iq2U|0OVmF%xM z8S45uUrtJ#pM&Qq{6TohVJiSpa8cE>ccVUB-BR%?_V{5Pqq4p(S@#lU^Ww^+`^Okk zUEgRauU;M5keQR(7vOtLrAg3W-+Xuz!D1JjBFd(W*x$3tG63j{?*KSpSR;c&u99gI zH>~g#zlvT++>3G6qF|&+WhSgwh8>MdA0VK9xpjnf;M2aE6H(s-FJGDRQ4Qt{u&XG< zO8%zhK$>fri%S~EXNT`vQN(}ZX#3)f36yxK@Yvc$0$D=kJ~eyYS@-0^&I;?|zV3t} zO_-^$RZ{p|Mp4G?-D>#|>wyZnxg7PvSJLxU-NycYz_-ILZ-(tX(A@@4zG(!9Q`;)O zFNFsngMKeb4Trp(pt!&OiT>cmn@V9}xH0YL}dPEI(p%KgN)`52ejW4v6Knvta6k0VdV1`T*)kfze)2TephGDS5)=jXhN>#|gvtYOm`Rjbqk4KDF{4q(UZ6 zx_h>i9q-h!o@dtEcbVAFR74|kF0}F`Y|ohNNUDc+ExV}2EN{B1oocb_+zENi?w?7v zNf(3`I-REVNyBegJP0g4T*vPufAqGzj6PW?_9CZXRMXWIt1-*zE$|mYU&Sbx%1suB zV!wNBJQJqONnU1>wzo6tlXIu}7}qK_8YCht2?=0YqQ`2zYLwlSH`H!ISZoG4TejB$ zmkD81iTvuEpWYv#jy9BIf4|A^Hf$9D`F7^aMqhi`TpmpQBXl>uVcKC56|(90oZPV! zWNKd=;wF4A6yfyu4-NUylA3LB`Bd5^&#P1Q|Js6MKJI!EwLKC|i>VTA5~Y9AuMHe$u71S5MU>WGl3L@aO?SWyOHFQkOaJrHLPFrnWu#i{K0oxH zzpT81@_$M5qx;KKFSD(Q5`QE_WJk!w{5r)^s@OmK1d_YQYFy-lbN1ML>BGYdkJrYYazBEFFze=R$LgA^a@xk&2dtVVs6 zrpXPtJmLA+p-B5hKmpOuWf-Y*Z7NS(^9@+aJB4}yDGPK-$-*XIk^~jj$X0UARA{Qe zTuz01go-;0+x9H5^8}hQk;-feLFmFXRRWThzB@g%@012jQZkI)wsS0vz*>22CP^>#n z55h)4)35qNS4U238%G2nUL2@n2nDQoGaI2sW84-w+kd@iWD}IFp^;Qdur7PV8{p>k zc0Kck(xa+v?bY%i{%`|n<$@sGgTom*rx>-_18PzZ=S_P9Al|!>!J)wq`bGTw@9ib) zO5%g-~9$6p)6HfO)CXwml{l5H~aJx{^Cqk!zK}VN2*$-TOR^*#4ZJR;-mh>Q` z?J*;;fLcDSr(g}H9{F3~`ouD7D)?JxP)yutk%R}QL_JCJME&M*N4*Q-r#D8ZLH|y^ z5!?OeMVG{FRj2K5{OcYLku!M@MePQ)4n?Th`z+21k`<#c_g_Y~3D(?wIUbr3xcf~s zEtc~(xn$-umSz$c zc1)inR#JIK9cFVp%8Bx0dULSdgr&6lT=t$r!Nt|xG1T9NE3`TpDTLL`nA1ROcXlz< zNdD7U0r_*j1jR3-W_JOtO81rcUfD`!y>A!Zu6(ZMx^(^EC=qj1`kC7p7IeHSwCc(g zafS+U>JozANUn-tFOgcpoKmd)-sD%$srMSV68>!LC5UTd>dB9}b;ZWKJ6ZFQ+3!E* zOYO=2lFpiNaqf^5Kh!SLIQcD1LPql_OkygUL{{XN!-5CZ?j395j}XG7MXh)X$5?~X z4~-e0^O96Fdo6LKd@iX(yvTe!5|YLKvDHzwHa(j5iG2l7 zI{4z(J6++NKxoT;woF;Nj8G-!()!VKaWjh!`}hAvAf>a^^#f6iZV?G{))o=d7vmcC zgghb7`Yi`vrmQFGiZ8l#z6n9jej9!JLbhx4=I2u|rpDt@u=1bBxwc@4Ei&`gDB}V_ zxYwuoHP(WIhE2&AZx>+bMKH6AXyOu-ol4L*?=o9eL`WE(3J&`N@p&pY+l|Z@2Zydc zLzaxDRvY#4-L>5vDPUk>A?=m`T%(fwVo6E!jLV+)P(*-9PcPH%ZncVmPP&_6g29VcgWu)Y}O z2J5%6bU_1N@@P`sA;iCnH(W((%}$z~@dFH4Z4%PZg&2}*Qjm0;BlcD{)A?j6V{4NQzC zgs!EH*CFWA_4M%u1Vg%!G5!GIAl<|iZ$>yoH@CoB60GRfHh5ct9o^mm??`Z>JG=0e(@6iG9i_IB@Is|q|-C5;wgk{ z^vo>$bwW0snuDhia_M>b_#1=*`psMT+k}7Ucj)-LgnRV+h4=@Af9Ve&;U5!<=*1;u zd@11x{pmCOb3z&YMLGT@;T65&HU15ul3w)||Bg^iuc^h?5$fp;jd%v(J-w+J-$H1m zx3%Lt2%Yqt4Dp!0}ZxQzb+U#MR{F>Iv(6p$()1-SxUhWL@D^Va?pHB`w z<1t|KI}(=~eekr5CGeH#5G4cuRAnzdl;;%7lcjXydYtt4qG5m5$=o7|B&t*O9^}|O zry2)GkCZZeL`r@vmYQZqQ43QUUwo1wOPm@n`sAhBKry8QQNen;t>Ce;lDZ$CLFZ%m zV*|>;?GbCuqOv>fly>OTj|kdwB3!Bl?-BQ)5ip+}NYEX;ATuHnCo69$F`n?#`*%7- z?YxoxBbltz=yf<5ntVX3ozpht^>3)Vk_022k5Xqt%-)nCgPHNVwsL*ESxjr51On&=_?!pra zv46BqA;S+$kq%>n9WJFI^HO;;o8~>FleH8r$n{4seewZlT4q5x+(mnANr)eedEGPN ziWUM*W&^H5OqI0FFSTqBfV-ZARtv2zeqfI@U)@c7%wba;LuBa@I(^PUQR0EO*IDJi z&bM@nS;8Mya_;l}x>A1#+*{*#yEXF5T3^dKP!p2Ba6~GFPnTkEOJq5J5el_##o>M0 zN)Lg_u*w33=c3e~59C%syI7XGiCw%Yt*prBfk4=r=)H3tpyR)M{?(oUK396#t37ym zxw81U|0}+K|2ZFM|HfB3v0&CxUe>8~{7wmc$MVJJ=9k^2IWn@kB~BM+l`>eg&wbLO zd?+L7w?cAfVge<*V-*Ss=c$7o<#mKb;$PfOWqoL!RBne@(s~saj%c; zPF=uqn^n(N0**y2^H)J#M~3Hh9?IC4RB9xMNY?kFt;Eln$_H&IwjbDYSS9)$z-2lM zOm0=vdYrN^K6u#m3A||TCT*1u64szq0)Os%2cC+@)ii=!WhAhVc*x_U>yh#HaLirgE##06HmiFnwoCKK+NmLE41RZS5H5 zSZ;f(K^t}beJoAgXJsn~X{DyOKbuD0$i5%1d*gGpVHqj-A`pb-Tzy}6F)yh4^MJN+ zu|xc-*MLYKze>(@)CSAowIIg2Bb^pHMEXUm5Qj%86l>RKyReEiEb|DCN9=M;t9GtE z?;W@R1niuO78(}epOOxw@L;emtFFMk8R4NaA!4lpVj}YhkL?7ML5Opma^y?NVj-HZ zYJUdP?I*OE4^W?(%1Q=yL@W_=-wr^sg#nJVmo$D(c%@2Ev}E{D!D$xy+j@6N%+?aF zw#V~hHAYX(et=(@gt|<>h0ONPEof3E)3{nL zquha)%?pNkX<1*7H}6f7L235YyQZc&aBC#Y(XCE~BFH)FgI?x+CTCH6+CYe5sAxQ$Wx8pVNOF%A@U2x;p7qlgOl;nZQE-0s zMwdLxnFW%B_+Q+dsO4g?(}k=;#Q1@^=z~7$bJ^1kUc{m96SHK8T7~`vX(j#z?$1is zpHcXDN#=a!{tu~570E^utlP7kjc%7yd5$hwe|K}+T0qV+imcO35Uj#TPmeR|lS_B& zrZ&-8RQWE&FkwI1$~-x$Q8P>J^Bih(kv||@EVnV+XM@;Th5b8qLFPk20ySTL{|{x+ z5IkJwC{c#ud?hz`P9;*1kYg1R;B$$lBfQyDxQG#yb-`7!jL6^PeGe}yT(h6Tq+99x z!}=6bM3pj^-l_S^jz9Lo9zL6Bjz%Y3?gRCs)$2B&s;6(AfT7q4%ny1MkXbZtB8lP2%AkJm>zkUT0Zg0@b1Qj7+wQN>sHvzMtr2b%f-B9Jy?3 zO45#w<)UZ@uUuwFfYxm}g~_<&N!ut=dFV(+-8t?(kau`Xw~G}qQGU}ZnsnUqvIUYP zC#RlnCdxTE$T<`DB?)D??&ooZiM|(w4oKxdSN}3GPW>%=6lX<&Ai+rH<&+E-W^6n8g z>X*#)G}TP*6}(C>+ySbb4?OR_G185Ue0K6JRYB^ded}u`q#XyHW!>ps4CKZ1`S#nv<{$3yYh%c-*&H1^AqmtN#^N- z8KCkcDNquJ0p=4#C>`{R>ZOrwlZg7RR-C!b^ObUiXGV}ZT4=94Qa4Ob0O*QTC~{oH zroAhPh%xp4VB`B#%0&IMz4%?rD;Cb#`dKkGJ!F;bLk**vyGcG(_Yo?n|GZ~;t(Mo55_j$ z^SbK%-9VS5oX#Z6c#~yUX|5=p3M_;!B=Vmh#pOx9TTX+5ML?n00hA2OL-_AS5e>e; z8=WU8KH_0P`cT&u2eQTT$gTiX-Sb>?$N#L@3sh-BNeSmrcX+e2(q2&ERZt%!jx&BE^dIH7?a4GKIL!welnWbz35N z-Do%pYGPN)e$33bADx-eAArf0pQYD|-8;MZINJ0R`Z~G2QabDg$AA!+bpJHwLpsC1Owpw# z2b5E(elA`{{46^rmX&UH{SOGHM?hC1SEYYWz*q8{ug9ahXs2aF@8>ucK46L0mGf6Q z4N?0GTUmiXo6j4ayK#CtX>LTT311y^@k&U2^);D9DTp7cW7Wh6mG#GYmu6H2K| zAp`7`d+hUognR0(eNHY1vpJKLMVXN(m*Jr@LncfP+}IuG@h z)DjNwIXz~tJ$99(*6pJ|)u4|#$9*PLJ$$?DsRc#gJjYwc>0TsIKM2v~e$z)zCi zv=m@37sWKO=)holspt3N@67^#4mOP$=bln zV8TbShmE#o0wFiaWqYd(a?ya_NLpa63-|F^FOmW3^0;njp28BEm3`*B$l&0>SdoE* z6FLpt)Q4u@(ydn0JK9wVIZ}Bdh3Rq~q;);718nS>u>9PlyAljF7BS{OfGC~2Ks)~a za_@b6P{-{n;m0rW$3LM1&3rFAJ9;9!KSPW^Jq5 z-E)#t8di~CAMop9lPnpwhgu+0m~kJpYem}M4M4uPiql^-3v~-H^V>{BTX|UqG>tPG zcSQ8-@ZqE^_1$?9FzBBhJ5p?`L;I2boGx@UG&qK81$i=cKOJH)yqC0d%gU9QZ|+^| zV35Tw*m)p#iI-_fPM=E4WR6K(;~AWQzqe#xeQh!jT-b@4>Di;JSdd-+Vqs1 z796f}LAY_BoHHtWwMcZieEQ~t0KwgMO=A~DQ+OT85dyrvb zVB3mkJ$A|czS-E9SBTH)e#VOdq6c#ki|-+SBQh;hQwi}ecf!O?i_-)q+pcW#Tcbx# z8(<8HobWF~nEqYA^l{;jSzDzW@p7ETjGGDO&q=P>(zDHj7UXLI?5cW%iL8KQc0IB=ed7lmit}E# z%inson$uQoUvpleC_~SEx$uyeN>?CW`ko3QGbN=ssPpI%t<1Um`=RK8WYAHdhfnUKsvy{cbhWYubUXU15(g~jgIXL(>wK#66^fbTg_TsI^r-2a%K_92!DkHR7ta9^Y?BI8FX;I%+ z7w35jISH;L-k;JFW2%y+V051(q$a$L{i+ICUpSKW>Y-#yThDT{7 zymqV9e32auTO4EhWdNm;6f%*%<;s6RZzL8(e>j{jdLFrJSJkR+FX{7Qkg_oD4e^~fXj z#c6}PR*DG+$#BkppC-}$q>r55>{*|*uirJ{sNdGq0snp>MWmO`UWe39a8N%e;_KLj zCuU21WRb~MvHG|qAqzUcgmyYL=G|(mlzXbv4K;(Q0wG(|Mli_kQG?vn(k-_8G5OXf zo`F%&n#m%KfI$D9uNsAx%eXPn64_<5L}Iu@uyvTT|Cs?2%9hr4X%#L$&#m3ty0rkH*R4pP~`7EeV|ag9*U%1d<&f37yWF*nb7EL-j_d$9IJYqfUzU*-pgmrt|7k{`PJ0SIKx!fd{mvO_}` zuce9{TKfmEuktc;2|h&T^q=;PvN(+`UbzlJfE26RKMq>^DU z`+;oanO8aV@LD($ZWvJPC0zEA&T}WTysHc9{Y8W$WCj6!m-Klx*J{8@P?0@IgDDwx zc)FFFUF>#ge+}}UeUmFW4vOyNmpFpv{KAUGtS(f%I$T#qu6;&D{z(Zcr|m>{>eCEj zI}R{cO)bR|&gG3|wqHp&czYOn^Y~p{n%I^y4Hxi5k0NG}OBAOq57XL=rVsQTz-9OH z4sbrx1X}BQL=FI##7tecy2YB%e`BLJ3g9cpoG8m;e27Yq|9SM99uECQ|3F*r zgi_P2Wer~`S@@va2FO&>8MyY&>Af$PwC>Q^@q6<-09@;sSrVwx?L4fN8R{J-ZR+x0 z*Ep1IZwJX#VoA1uPLxO(%^^Tff{X?-KZAZBmWxin>MmmiS5iyml)a94Lf#gQ5D}2? zYn~fL?k;z-YOlTHkXkQ?=-e5uhBP;YNqw##gl!d(&E(8ZxavV+(MEmQ%!=UIYEAf%IPy*@sNtPM`#8(zBWy$HuKp;$A==p+^kPSP zhnp%v*0Re~hL%EKh$+Z#aXK)s_BN+CgY<@{t(4$^*E`NYVjG{k<7WJhA18{7>r6}! zx^J>m(1{UN{kLN3)pU$x{Nkl^`iTLQw(5*;`Up}aIQeG$kUIvdP%RLchlG(v&WDR5 zDDT?DnzE^BdpS-Lm=Ic7(xdyWEM0jIuoamq{7peGY@=CMv8KL@g8>)XLfZ6A*1A!5F~cw|KF|9!v)$tlELmv^^@ zM|P$1-Et88P5q{2{%wuAt*SGt@iyZn{FwL`(bE$rQPbkx+yZIw7Nqpjdj6PxC5&7L zROvI+6giZlw^Fo+658Lm{ztMKI4IGv0D+~bEo`C3*sDQrPO{zujWCR6#xjU?7e(JA&j#iLsN;IaJYqBv)oxE1t2EF^5dur+Qb6(t&KLKF zg{zp?Btl;J0}tjlvlL|NchL4TxxuVRAb)>`Vg({%(vRf*OU+M-u@zkv%JBu;Rqb*O z8d<8qs-q)^?W>OVz2jXQLMRk!c$#%8`a_=nI~-D3BGzR;o-i%-gB+-!dgk=>00&F~ zF=B=qO0;T$O2sc)s=TLqf+^C_`tF)a;qU+kB17p!3S~L!;+bEa@m`41 zQRGMmiI7|d94AjeB4Qu|?%WyMfDkR`Hv?`C3CtuT&0((st^6Kv|#-svI%N>(kv`a~<6#{mXX-nc$Fxr&>)d_Mt`@6t*0D)m_@>;&8vDbKP z3BH#xAs=enL23mq;uO!NMTm>D{r33+NZ8>hKSX$MF4$ZW#c zDNuHD{-YfI6s;a`2iY%{_9(j+>XsZf$;^4mpctpq8i(VC~7REw9kE5ry^k8Hl~Y5k~p81_!ge{51~ z_De-$Ul7hL7Dx8S>3dsOPUXOxi$#I(~=6Z`TXXbfv9z5f<`~gQl)cORN_1 z+*z&rBz9Qk+EUZGb{+BR6H!qngJf3ayWL{i(8H`AYGPX_Whr z?weR;`ohA2cjG#*loO&qEx1-eROqs@Zb&=Axks|F;OIP;4Um+@)e7Lr%gsUmLz#YI zw#?E%)z{~A$AdsBy)FEp9GpfVCpto9fq&tF#MV{B)qpHy)cRemya;NlNgG-7`h#W8 z?@S|TtHYklUF5gb^zXbpg%dc8pr?ew;ON>FDX(~Mw4C_d7Pk#d#AcWq8v7RFH?W*o z4f=%Oui2mJec-e94#tYKCk4lzOa$fuqRX8UC3h*U>1IwWQxLp$UCTDTWQ8)6;dr9) z;6VC-VXSJ#_78J2@2sJME99^b;w`UOJ52?6TEGRpxsYjQdIXR2dhcbSQ%{BFPHLvK z_maZvO&8u9$TeTHH-mo{>6t%f;4L}}!Y6GS>p-C7umuqMf|$MzC}9Tc7)OepJEIs| zToM*nifd6zRE&sA02GrG&JxeYM#d?{2Lv*$)f5!RF2p0I6b1Fd$r$t*^RSmOR|rHR zq1NUsa?-+;shA8>iWN3i>;<)GYG2YKS)NJPl08c?3i0wXQuI<9Q&I%MfY1OnL*q05 zS?gX|rlFr+yI{ z)>XFSUat!cXe=cc_<=5U$wnc*ti0B(hwANowFA}c&lpN*RXG=xJZ5vmc;E_=F|j+~J5wM55(&PY{-JeR&bA71J=Z!ev~v|4eAUGcbl zBq;8;472XJ;a(ozcA#Sq>DwkN5ink=zv8oE6tAOW@=%{F=w+SsydcN^shfk*JCpN- z9&_B={Stn(U5a{E9OT<*wezkm!X$uAo73`g2jQ?5zWxbYjb{HS%$_nDKOo6C$c>_4zRp0Kj2d1gI| z1zjidgB-{$@&eCc_Bhkuc3_$RFu#=f`ZJAHP^1YBCVlwM^l76vGSkD)e*4y$2JL}%0ATC;{(OP zeO~pVpkz;0{r*-x4Dq{uhV#2}zHzx7y2950#ntf(=a5$#N1#jE3yFLW0)*1P%#*Vj zW9NARXU={x{Qj~?K1-^&IqZ*-i5$+Qd!kshWPnm}7hOI1R!DDZbyRE`vNu~V2x_o4 zSPdXsP_s9K+j0l;l02(k+TS!)DSB!~W z-pJ?m&!21h>f}Fu_>K=C>uvYHdmPwjc!;7p{>9X~BDOj4>`-Cfr@?z4E0Ebwd^|p> zU^31R4TNc!Ilw@n10}UUY3l&)lkSJML&h|h=)HxQg-?l5#|pbp5rG2@>^lR8c)>@= z_ZgC(emuh7uauk{eUiMESQ^SKzpq(0MU5R^RhYm_Wp|(15PkEB`Ug!?T;a-Dnwhm< zCvHZpdL7}G^nfT__nrzL`@_J8x{$=5uh&?`m#);^L zwuCmzhHYAuIIH*fKaZoCq9HvE@_;NEMcl4Du+rEHjd;G&E|&J@T}in;o&k~`oR!f4kE--HHX8VdUHnM|@2b5vqo3ak)C!luXNzTR91SVJ~+VC*EPkchWRMEDs zxDifTD1KkroHRB1v$#3-`cJ5(X^z9yInx`o$$y|hVy}xtqlJFH-{QIy>DUrGpn7+^ zYXt-yI*Sa_o0ovZAB$-#4msp3^vvP>MIfhUj1Tj4g`3k+enhX1zfv#>yEMguo~Rd3LRRwS4DQdU;AV3pX{iK zpLg^OtwIbOoECi+;bGuP<~@h{p2deTNlUPR(dl;3e}&MA9Ov)WZM9~Vlj;Fale)aq zN3Ro&nQ1EPV)7ZncSa5r5h0UN>=*X~!e$vz8@H9{aCM*gtNGsUCO5dF89)G&q9_!n zHLVWqGV4SqGL7vrmdP(s(*Jx`rj7FPuPnmE>k`jI0X4k0wUDjEl^qziT@5%Aa0S|0 z^B5;)YI|?%E;|xvE6&m;^lcWlDF)beovV)@E(V2zM=n41>p$c(=@{M@`M4KxeC}HB zq9a!WVKuq7&_)l}U%I&PYbAqq?`rtLX`!|c;S00oSe-9l9+79Qro%QSZQOn;g(pvD zz{4|F?6RiA3s(LcSa_t2MPlPc!n4rrS0X(4!u@3iShug=4;Sy<88ZM*MSNmB%tCob zV-TlQfJc7*agqao*)mc5CYVXx7Bo6Hg1h9Ec`oph7wAB7vR?)fMlnz)67+Ho(E~h% z@XeX;+T1qCh1o3Np=iXc!XQWBZ|r|NLi|xeWxrj)w;w{TORM!}fn8p4gXse4Uz-Br zb2BDphjYfqipPSzim+*j+ByXU^t_bzz_DmF;+A4a{mZ3~;gxXdS+1-2Xqj=QeaRcR zHn{f2TxJcp3#E3z^I{#l5H*8cI&55_;Cu%Ww@Zs^>mtQ`Y?`+<`pS=#b(E4%$9a5kvp?qRDV z|K7Z)^)LGU-v=4K#kU?9WIRxFoNpB zQ`8_#ri8&lftlo8loSGgW-#BAbm-CNn4NJ}w`CW`3+U$z2zlI#D~@`H@c*Eg#$F2O z^y*th?gUimI*H<5NC-0>w3{OZ(19HCz6ft0qXfSWd(dl$jI@0;Isr7420A|zObR~r z3w$;NAXK4NsPcnHtOpOem&brU7$Q=o8Xu$P!^OACx(@O7*h84K z7yx0hFE5hAmI%3jG14q%8(jgil`R!5e*cSLF040Ou4llDHVd(evIt#RE~uYlHj=#K1`_PgmyrTZ2q}K>^t!5)+sW>hb-KxVs~uMbnrIm`Ur!5Sq1E#! zVzsXNd3@HgsKGs-4*2y!k*HRG9J>kfm3Tb?QaB6&zl0#^_zt+cT>+1ADd5>?w5CM2l2saGjtCd^(BH z0!Q=qpwQ_}frHo&;V=jYWzF;;wOq%Et$m&A`#48Q12Q2Rna27-$B=sx{I zLR!;*7j0oFX(d=G$P`L(7zDOkkIQf#7jyv6pVN~9zhShaH&uaj?ATDiHF3?tW)`RB z+$3!dElt)0BhsR!0wAddLh!o+9be*e7%{zpKY#&EiRZQe9OwvDq;s(HVA?D5J8@x^ z4M_$R3W=oRZ16L{Qe?L@bIY{56&yM!x3R~6_wMtB(pbQ)0`!XeLz{4e!voJZKU17E z5)Web1&sfb6pKklYTyQ+vdb}TLobj4yo1AI0qa^HKUpJDFV3KV4Z6l=x9#{AJ#8_?l9tV;@Jn@l{$v6(rk-|3E`FOXH#T&Oa z1vECE9})W4c5lRXJJ{`VVg%ssb6vSrP{aLyLA>SX(a{if4g23$cag+?>J{zI=@Fn_ zw^0`!YDu}aJtApMCnTXLpWj5yOe@{g?e)o z5@I*4vbgG|MsAGK7OP-ksV%co92niCxKTc_FOq8tY$h$oo_2Bw6CGu|1n_fzU?CF@ zV~|<$0G!2v z@LGTh2&rE%QY)SmUjKQVCv`0J$Ko3dddb26Z}6&_OYGFhv17@qXTSCq{Az zX?^A~M>k;QjtgEG|6WZ;>(`dZ2%y;Tz=0OKd>VDDt@yF~5`)446>@Dmca4AZ#Pd74 zt#awyVx%@EUxiNiX7P$KNaDG6qM zE?H4wBZZ6y7hzG3g)C_LmAh?Ed_w!;`Uri+eIkV`$t4Z|15qL8VzEEGS$R|~$OwaT z+jB7vVgOqeLo{ytU{|zTnPB@h5RMtlsz%UVb zd>UP;)*mtm;I1ND`!$ml;P60Q?8r2bCtY!1X&^*fSb6MQj5hDWH3!4pcC&-t2Lt>M zPlW#%mMh`AjbAH}pK_m#0OrYD$%T!=n{2Uh+;xpP3`2Ahn1_%Mga9cf_D=S3QTQLV ziwHzLB_@iE|AdQwCWp)bVl5LUL~Q?yQ*eYPLL+={i;zgJckt@j%`YJ#J5MpB^d>z> zV`~3}C)(@;zmm~r?9o<&?rWZ24slNI%%d;SJ5JF7xdRM9beIQbAQc|g8&Dh@7hwZ4 zFw`(dWARggjB2QZHKV%&_yZ(2I5!OR0~G(ynEmNm{z=_`di8})7Y2#*Eoaw%vx>cr zPI&~OYge(f0a?y~(Lh+ywkPh3VLVZa5#uYM5Wc9m0|3tno{Rp5;`@u1 z;QJxt26xd}Meegf3M~nlP;Uqdbv0w3i*GRjhTKtX4h&T;U%LU$FGp$&v(UZ{a>q+W z9~JU{9frZgi@q*Kw&Vp@_=e{HD&!`*)QgT1@r`DQ@H4-O1x80nbpQ(9kB#njR*Pa$ z>H{WBbU(f?w*!XWf%`=i*hz|mRWJgI`0#W#i*1Zf_B&0!gtiv=75CBZP|mgs>?7Z3 z{RMT~(*H$Aw>wsHu~o`SjR}K7^1lk}$oxHjf4jv2&3_4*dE0_H6fWp#>?R38!uEbV zGwxgk1`?z4yq6a(golne=t-l=8HY0Jcyu{JX=Fti?quMH-G(7chm{MFb+P}J>gjF& zIG-$U>eA>;?tm+tes*iI1B>KpTFDeD1g=R9+g9>A;thiEN<$Y?)8-bxJ@f(ASD9gd zgXnwP8FYj`d>EE~jL~lJC&3JY1++{K7UTPr4;DS@2;?uTuF8vs2!!PBa-bO}7{E?@ z92*s1fdz&)6?_FaubCmD$cvPA^u7kiiUtjjRc)d9?qy+WXimdrh===tnOMJ-!eQ{sghH%Zs>Rw{|AYpARS)oq z2M7ybq(9M9o9gp_24sr@c(CYms04+p1TAH1vIqi*HU7H-=w1tD19)&YTK~yukzs2S z5In{QZIlYqYfEevxCo+0Av1(z7IzjcNgcKh5EwQ9Sfq9V08qdH1(Xc%Aark5@koO_ zgbNXJ^>t%(b#KLU07h#U7DO9=h%MT1Zw1~sb9Hdr2yzE*ZbWn$WB^-SF#j;nJ;Bc}uaU;q$K0T3EaPZZz-{Y3)+ z0+cR1h5{%ytpEu(mkS2l1O)&BNArINBnAip|4+A27Ee%MRN)}tq__b%5PsPp2RHl$ zcTo94Ik^;#Ol(pqZVC;lJW!MMQP4b3Z2}zD2H{FgdA6P?1=~I60sWGNj{#LH=o4O# r(E+C424-pz7ylOlA_M`h8U)@71^^Z)0RR91000S+Q*i;{lX6o-{9Uq0 delta 19684 zcmW)n_gm6^7suHkDB|9Vd#^Ihy+_L&IdGd%mZGMhRwj-#5jCqj+NIer%hGa_dzNKx zQQS5_)U3R5`+e@~`TTIM^B0_RuGjnZX)Og;zW`H@1yqA|KwuCA6ev|3CTv zDi(AQUSFi$WaCX+SA1EWme&J^g9=#iCTKy+?%!uS25HfvxP+fK-j-vrScDQ%vJnDe zDy8}K`gr&&3qhd*r4r^YX4?7h60<?*;VI&2Ae<6SHn>hT5;+RO z+HmLq*xS>~*DrGkFumR)K|LC4I-|;up|#U$2gKHB%rT)GF}rnOP<|q-ULlACWW+H+ z(E2G!ltdnLP&hI;MkXeXsu-H@Qp3`2nGsG5EzDeY;oz~PkU=JD5idgB3oEQk0|E6o zkVoArh*sMRw*!-8J^BpI_+BULbY#Ea`xCt2Nq8{cR+e*+T6yw7gOO~73&=6%fc(iH z$aL!`Vf4mcy8EBL>q0v6FHB2&hj0nI@oA+aR2HMTNsQ-sQoD$GC42SP&v2!X-J zdMiL6Y93YcDX7)0(2B?eRRE;#7 zVJQT~c8-pP2vS>gdn^aynL>+oxAGy^$-gRN6N;{yxeT?&-B2MpTv?M^^h8pSn) zf_(t1>hKrkycwXNyEz?{dkg^fE$>v||Gvpzp#Tl}>unlzn=45)n_skW#BX;h)c)^N zotEvgvg1`o2QFzm8I+`6%F!5dr+&49#`_oSDC&W9`HitbbePZz9&bGdh^D7N4w_Lk zfwUBuHAOk|3jpTHTSuR!$gb0!AcA-^F`>4A7Plu}P#F>h(AX)WSFJt_3JOdx}c&3ttJc>Tfh^u!>eqw^8J@)@>hyi`4^kyYH zIPy{j15@{C(*@&_F|>xuKW@LJv1XVM!gUN)u05(-I4*CZu?fk9>D#*09$l4kyKodS zxVSG|3}v#Ecj(F&^s1_{)kGuRS2WZ0&m7$acPLc`TuAGZD-XfN-Y5tW4cdasM&c!v ze@qGR>Fig&TdLt>q(Jl!k^=T?8G%oO(>5fsDk=)S0?MX*urzwL)YIY)O0O zfZT`i7mD-1crJDXq%^cJ3mxjE_B&_x$+ywEm!CZbxaA=0A3)Lu9}WenIn=aUPp>4v zyGN0TnsZAs#^t1j*x*W_Fb)$&sqtJBu94xun$O<{SO;VZcHV3)3$aid18fAf~?Mv@)Ktjs2^y2Gg{(?OWO*3 za>+zWg6^7yR>-1BB>PI4b|zvJ$+Ixtv!GYTgDO(+Th+aos_2b!lHv}pfOmkKt(t_X zks!87XpRwFux2HT5=ukd-2fnKkRnnb!j|aFt`ToaC{PVss1f_d8vPat>^a{m&yy9Z z_`%}coO;H+zd=RPY9$d|^=jZ9g=&Z4yVCO_LJg2z{e%yF$1~Kq`8rA^0|T z%MIYVN_vJHHMk_e#NWr1h6oB})nLVaZ|yWe>NLP!D_eQKdy^L`B+FTK0b}}4yw)wCzn2$V@HgrvOIW5Res_f{GTT zZq%r2r$@b2lis1Y@xrZi(FWs~tr#okET~oO)@>e=%`-=B=rh|&hR0hEmDJkrHdg1y zE|YDt0Dx>^6_HSEwRDow;++aJ26%(NZ0&g61@aoB^EMNFOQG8}!bQwB+-1!@UK!t* z!m(kc+oVeHYPm3r>#{$BqCp zmt}6m(TwycFOl@G5eM(F`LYlay6#O7>bad)>`dBch}@RpqB3&3sezhSQD{R=Z-Ti{;FmF&EL-Kjp?Lxnz{*|%=@vT3P>sxl0%s==|?G?k}ax=Wxg8nsR=~O#KQ(auF8`U zowf3Bd0m(jTZ*>E)|E-RyAymQlp@qBr3Kgf)}F8;EM@LnJJ$8>9e>A35H_)Qxr$3} z+cCRlQV{wca>e@#OFlzRI8kXU!|U0XD)|?>2W&`rJCnS6M|CkS{RBiLd~fc-$S7Rn z`SJu^(s3dyr{*w}wzVKGvr>hj87gqYfs>mOzLvw04kx(W6G}q+s zHevVb*>xmsJKEnX;H`Qa;!zCMU*fD`d%w0>`Q@8+i{}m@(yMmK(&<}uQDhn8%dB}s z(y--34+Yy8*=Biw@}4Vn0YW9wg_vN!gP=XQxl!gIsAOD(R!QrR?W6Jr!1T)&uLbPa zApzDUmgOyKBwR_krtk*nrpFD8)CgpKYW_L`w!OXp?eT|I4fM(n45U9YR`h^NY+=0w zi%*zsAkvB?MnjG(~VG_A%N8IR(%+PD-kDLVDmep&3HX7tZ@7e$GJi zM)21qj^4&~>c^z`jX6b&jHCp(AM48*YdA-`HhAS!pf=*?=~F8apmuMpCBkeq%|@`S z#>2YE@Ahwx&j1hM=X=P>`FL%eSY}5(OcW*8`l(Kx_BX_QRl1P#xc41PDX)hKakv}8 zTh?}l8)9tpST56eIj^DRib83N76l-#o88;5Wd5FND*Ns+0ThO_=tw|YhxK>CJXk?W zhNoM}kxxFE5zKp*<3rWtt7cS%fXwYpL;|$_(q;43q{HY4A*G#Qg!w?Cr=e|T7f$x? zlK3%Nvvv>QELdx;8c)F3fFVFO;4s3b4hk>RQXuxEb58Fdl&brY7u46#=SHLxcrhUq z>W8z3h&6uV)un+4&0G2tXUyrLF!R4Hl%j2<4_Xu8jRME8^vNVhz^MP>jT9K~-Mi0i z=2HW&`Xf49hqCyw4oT-{S0KX>t(lr@;=|E3Uh}SNf5Ah|onT4~RBD+fZrL8Cc32K_ zwuTlAVWLv^E}g8tQMd|&N+cPZk5Z^SYbboQkp{Q)`(s2+JI&MFI4)?J6e^CgbVWgx z55T^Nq93A3n?3ZbK`U;N-aq0thSTv=H_n3^_d}8%_x-@u-`V%%LhxOdT}vY84Bf*T zO-Gj0ZtR$|S`yTz-)gf4=4a4}9(4IO@ZZRlQt)VN7_e%GY`zC>KTP4Bq&jW~?SmFC z>|-M)HAhT&+|QWkBinkJqIwjZ(AS*bTm_(k()a3`r8uuE@2hyu&NIfEe>?lV4HVSaT;@0T5yHWf__G;45?oWDvI zu{OZTd>y8}A>pN;S|uH=?sN$T3k+VvROQtVMy=mlJ4vsOTP#eI{j?@jghqmyQQdxa zdgf(^cb@TEp6z8LROdaD6^9l|H?T#nS;hxV+YJt4RnW`NONOTLFXiGP(evYV` z(%-SmPiu3?8a}uyrXf{0<`8AH&HHNH5~ZH7;C7i;OEWFEI2b$1`)J(Mf-ITA@|t)y zX9!0RH~jK8-;5W*9HKR~9UE2^h-hP$?Q=hjozhQ2-_sQuo6M#O3mMPwB(y7%lSt1m z0&N$m{_ng)Wcqm-{0W1D#eE)nb%tuIz7+Sxp~Z8h*caCw#@gzt%a>=8l#2`4i2en3 z*^$eUEZq@7?PwpC>?6zFDc%B0yI)XzR6_FbuALEoXqNOB5IVb?`{P{>DP} z-ecN1;SpZN=)Eqh?8{a1!%z{gO6#tPmt%s|Yl4ABM~kJ)qPzGGx3TFz^*XL{V$*&e z<%znvoiMgI0Xxe)qH1PZi!=JRm8SVs&FJb<=0?`P`ajjjF39|Z(uL5SXKo#OhxQ-t z2Yz5Hgzv+De;1NFzZH*_6+iAF>agKaH>e2z4w0{Jcko`MZHf7$rNli}id79e9$#!p zqprG4h<Yn{FO(H_O}W zSavDUYi$ooL0Jd1f~a+tc#va)c+riW7rr|hN#o&bPL(w~v0iwJgwsto&eG=hLiIS_ zLJ}z7cP&fOXVyzClQG#YcLEdgfxjS2m9`;?6yk_$tydsZA(~)*qcN0h-y*WDYOa}Z zQYYV_&1KtmN<-6Aw~z-Fr3<&gK;drNylS2nM$o`9W%T<>!u;>{ z3fnb~+ZV0E4h~ld=v0>+Q;sM)74kO}@P5DR`Nj(}n;(L`Peyvk1$rosrH7WHPaDA} zQJCRFrB3y^#}4*-GM?z49B~&tmz(#5{Xg+bgdN|! zy3k$F7M$_(lHKLI=3^;1x$l-3_k>x2I`Hu~?aU0s$5;J+3+l(s9T&hslWL!f?k0X)?exUKFXJ`=gE@bjz86vF8$V7D(|TxU(8CptInL48KZxA?R)5=+`Qm! zw!l{!T`Gef<-0fWR{Z>Xr_vZ>?p%ART{xAORzC9m|jv^;*v`7 z-D(r?IQ`#n9JW1`exTvtJ@w!9YgCNt-45Dc6}=bV*0(qpcJ)X`bPmf@rLt!Hm zrI~&|&_wsWm}CxSo78qrn0NQ&@nvUDnV$G2tdYrO?YX$PJ%5?EL$spIf|hB9@S>D} z{V272zRyk z5?n0$Z>^J}%S}Z&18Qv44PIfCma80AGmh8e7J!0h!0#(5#1w}k=d{;`MC|7qrP-9t zmd(keylGe)(_gb5bNV4Mz7w3V;aR6+k!5m>=w|xU+%2wl~&h>vb6X+z?Td{xMUI9;oi6^N zBl|3A9fsB?QeR%oh^c#!W?S=l`z867R>J*anpBw7z@LItn$5U$iAT76al=biBd$#? z@+dp`vm^mg5_D1U_rL1X8ST;~ve$TNe?C8y5;}7US*yM)2t68rRTNf17lFRsU7qrJ zltY%YlUkI;@mkNpJ)hf(aFb(9Px@BaX5LBU;?V9d z{&-p|E)WCF>0+COARDGL$7)ZHQMMqK?V%xskL3{_Cv|lr+hslIiGwbC*L^{%l%juu zLbAW~kfQDsF8@T)TeytR91Yo#0LX|Zz+S~sgj1-$t;kI@!4%W^a_!T0c^({#*89Q7 zAficIlXRD@8+|7ZsGRoQJy<-!wn0FhfiyC>w+bwpS#0FO2tuOvo&bxegt`GtNaRjhzaCo|O>In0{py9$D|T z(uzv+%>>S|51;wBl8q7z`|K+X1hH=zb#hACs>tOCJF_rh&#n*p5H1RvCIF3E4Lb31 zU*J8&^ZheST%b=KyFu8PAJ&{`>m5g>52I{bjETuqJ+2Snmp{?ddB;bHQB`cKj)0g1 zh<}h(DGVXpSApw#)hy-os}mi{O?zS?K9GTx!GTW(X@bTdU?*#7M?NUu<^4&$Piwt$ z(b||_tm&Uf!A0l)O;k2i8erbh-_UH@|BwNj-KP*H?Zc1;j3+dIt`aQRKd5KF`3wLP zqM)$RGZIigJ;5RgyKJ%RxCFq_S@x|;w5#t*a?_G+6KJ2Me+Y8th%wkyy`bB$uoI^7 z=$Fuh{Ic@Y<*|;Cn%GgPbe@X_O?u+k+`5rt_4#W-YqSvG$+)-P|E#?1lscxiDJB^F z*YgZ|#^m8~J8h@g)3@vc7lo#rl zoN5QL(jstN>_>xNC9)zWhH%F**ZfbQ-m&Owi@_VT#UX+Afs;_*m_$xuS^E@bef)`& z@b^pWZBAnxr#~)g|)OMQOn<1!9MN zND6%_7GIOy=)b_L^S*3f@SFvD&EGI}7u3J8W@Fx!RP<=(*B|q?q{;wk@gle%U&c*P z$nMd;Bv_ABwANu<@Dznwffr1|g4Lbx=r;=9!Rex_cD-e^7QBX3YTCl;pVj* zxze;~T!sF{zglT0%((3zkUE`8CA74^eUV+iM5W9($R!w(y5dP{;Y2d$mxAQ-U%`Y5 zKlfK}MKY0N8KXTf<2y%PPaMRtA3Pp~<^D;@vjwAU6|+VUFP$g4`l@Wa!E$(L+*ES$ zW}yKi4K{NDO@?wSQix1*GbwxvBG@R@Gv|-Mvp|Ke4CFxxc=qZ&Yf@Xkk6b$l*RZTc(lWEm)3!ODF{*$-=Exldm#rK!<6iFVZyFs*$r?Gx zz5ig9y8{@pCFCE^}jW=$VG7vU}U4-05d!j_q8(Ft@S zeS#iaFrbnYq15|@4{HAchHOLHKNrGDFbD&VARvh-h5(v?Aqp~tumoWujv*pS5F?6H z84{8NDWWt(MwTE)lxHX?5|oH|hO!DlHAStRTX00@)-vRaCZN5272?j(% zhLJI0A8|j!C!8ckFisH(r-^46k!K0#h*6Aa z5+Q~d%ZNKqh$kj6$P_{%F^Q3UfpC#{iIH-dkV>R7($WbT#7xGOEJ8N%DkFzR$R*Ml zdHI9_;x$I$b;1qeO~yaB2n^zF#+|!_d&K*U2M-C4h>saX#RTdTVhQ8vGs1J?3r6Wn zLK*QD<8?XV4e>3bqLT2A_?}T!O{gK(GV1CH4MZm6LnEPy*vx2YCA1OS86BO3E@C&M z=Of`0v6u1r3!#trmC-*y7$gochDQja#4!eIoG?LTGbX19)5ICZ>>Od9xWM@Ko$!PB zlfmH<7O6xYV`-VNLj1*8{Z05o{L5JTm%t~kGd4B}Tf}X~&Msk(2=LVtpbw>|9x7^t z3*=U$kc%^F7A2w2nw&l*G3iW6&|=+*#)?~tNvGIkA<2B05MqLN%q5aM)H{fqVz?E zX@1TVT2uq9`b(97 z&g|E2;|+Qv!s9%$rB>1eGHs^GdlWR?4DLD|x0g4F@N1A?`lhvCSvL`?s5WT{l?W6s z)j~{jETjviV=*0Fqt+XD2HS@CiA~z&wL1B(vCmjZ??f5NE_?Q2h`-61RH^+GHwrdX z;NmtiKV@${)x4W>Jaa-DBL4_SZP*V@l`Mq6)RAN1Dg?oXZ@OL4kvM2h9N_Ax8k4&I zmCf}8{*EW2)w8Rv+_zUUUrl(t%;RP{X2=Jg)^%31FP6BO_?PqZW%KJU@fG;P7T#U; zpX+r8!PUw)h0lD2e(Y>61Fa$V-;?o~6{yUF?T|UnU+u42OM=h%mcVAIV9^S@)E8nu z)b1y(g0RaRjRW8|Q-jhB-)NzezmMH%e+l|&?El~uaIUY_8^*Z*=2C01TjXnv2cB<& z?Hm1MKNrp}E6R(FLry%AvRr)GxAm$kFE>VBkLEPnaW$P@(kH8SQ~=*4i~Fc>okWrD zs#9VL(qAC_KHqdNnz*$Arha8j&D-|9-Rt0f+d5mPVl6f!;r*Gb2jEsVIdfcP;IyG& zWi8ZoXyHWB!>kuM?GNG)OV9RbS)Dk?QVdzk+>cpxSS9;^k<1b|o!p=gbc^fM%#Uty9vsfioGJ3MU!r_}Qh zV!@X6t1zCk%ZvfnOQJFlbuIo%=ux8cTHzTRa-;2aTW}CZABcUz(FC~tmCODgDHjda z(Op0K_pJR?8c~WbqyiyW-Usybh5V4d{{AwNEQj`0Z&ADaeX6>V z7uPt3b;1VL?R4;9*62^VL^b@0Qnt=~jtl3C=5jt!=uj?bqH^oX^ahMoeN zFPIva>XlzjYuOwGYF152VCi!2%9l@Y{v_088qzyEulMbV(Bw8>+hvsh%Hi9#QGROn zQLmjX>Le)DzJHr#nyV0Z@Y8{V=k)wPMR?}_?giW@XQ~ExBWbC8E}rtqsCTquj)@0F zO6i*^jq++1C8k4gOd~tuw2-OQN&>|rM$Qi(tuk9-pp>waLQn=tO=dcf-OK`gKPslpvXY;o6{(~saa(GS<_}+HL_!$lQjp;U(#T%R3H{S$sEdUe+Gn8C;~yXLm9AuB8q%x`s$n;jR>d5%Snt)V z<=;K_mUkOWh)0WmyYvx!8?CV(`%EM4*l!q$8(;HDzZ~9z)*lJ+{TOWcAjvpSlvT38ClitM2%-prJ>k~jQJJsbCi`f-I(;_k{t^JiPSQxA6df6 zoxX~X#tlCi+*sV4XsxZ>Mt;3s=?y?&Gj(H+@84YWMor3LZ^+G)_ zVKw-UXJP#zlCzBFJqm)Vk>m7B?!R#AMCn(xk_eh>*vtv0o;4X$|2N$wzlr?aj`zD* zRAVOHsueT7odsmuwLOttmX;{Jd}9Z_rK#NcTzGG50o=-C>GfRkj&9esl{ep{hU>>C z@1F@|%ca)#(RrUeGVmIbw1(ueR5PRvuC=hE=4QP%u)pQcn|12IA-+59YgXEhS{DB@ z!KDX%1?sE-bRECeRfhcf{5b8D(!N)jZ_;ZZZIVb%>#eTg;5~MCuCQdXdycU0O_d5W zW`dubyZ=Qa-c`ZFuya+JQB50JA5-jvT8dGfF8N%tpZAqE^LrkDm(Bl!0H70z`#?)P zCRkKhP&v|npoc1HyF@l{P3Fz7k5wofI8}!9)kZ(hU+jYE%L1K!N=4a=3aL*{pJto- z*!=V6nM{<1{X+>$gUc3l0}&xo_c^NT=E2bft;BWTjV*|8vg|y}D1Y9O@8fC^(OK9R z()2_9P};4zbM^wi-|W5u9msJPX)_50eio9ok{i`mM2}Oa#(g5v{o zW`{K^qDD*T#(&Itfww;^s?{jwc1L0Boutp)Lpzr$-}2vgIO)J~yL&M1z8!B^?p&xI zMJ0_|D@XN_r_Z^fbg_XDoSx`A@m@)3x%a6PP_QVd9b1Gl<#?)ISQI@j5Zs}3%oici z5fTI~SjnPVOpI&`Aq>j+kAKjWsxrT){CBCo?pETBoYArTjilP-7Y&W@b*IIPTh^Dy zebEAjd5*2%OOf06fOhj+l|o&dY{}tOp*!zURO@`8sHFS!2KVeX$dNUih*J4uey!+m zw~&*xV_3^t`8AXjH&_xXZX!B_)5p!_I?0OM!rZu!Yyk1}rWA&4bRkh<-M()!g&rWD zuoJ|kJvlZ$3iR;PdjJu8m{T`T9U1RX0!ve20-^u?n>KO0mmD{_$3 zlcM-3OKx-QML#Z9a2EyI3*4N#2CtFqd=_W`(!t1U?%KeamRHCw14@98;2sv}tt@cWB$uZPqfV+B! z8wD78q}I3_gwgukp(X)>`^6}iMUERa?jt=zd&d@`& z)s^>jx$k$ALM@dtQ=sNyurAhh{DYE6J=|qG0mIpUTdoAp%;?1F`m1WD7*mv04drYo z665ftlfw1Coj0y?wb;ZH5bF6+XfrV(*y?orTtw0-RhZtQpIUd_4Z}~N5QK@Z)tz>u z&)8u4CL?Og>Tbqw&di3zTMsKK^NXvTmhF0v_%APwkV)910+R@?X{`Dhm^=IfT!DzM zG8n3qiDP^H+96xP5vC5JFuq`Otu6(ZA_kd2z|NJS#$L-D{O5QjbyLw*B}~{$q`Nz) z!rrGMgL1g5+<@7j7Yd1cDgGdy7;}d6apUs~@yyoFv}U8fArewT7R)#B*F=bq{TO=7QT-B-`#^}&c=EYh0_C&#+<+lA(+ID-?rm2b9=?Q*5IN2HmW zR%h;)3i@Lc6PdPg&F}`yxUYn3VCotVQ0(DF^v>EL+-uGJ6IRex-j=nEkuQiQ#=|GIzh97KW(_11_>S7NxZRUG*ql-TCwpqZH#?Zh6KOuZ$fNl3_ zXG%JKjGLacA+SM+s@zk6`pX~Z2sEOKAw3#fRBrHIt0v~+qQ4G`0ek?3B`{l8ys+n& zJocM?t$dAmkmi4Cu~y6kA+wka`S){HVoC~e?$z2!iRj`~xyj2J>(bT|WkDerBQme+ zD^9X^yE5&)$Y-IAHJ3O`Uc+_BcXM~jHLUB|%YDc~Zd`ft*&5wg+Vauz?W5OkjYpXv zseW(=f!VqB?c3^e_MfHxAnwd_4#Ph9k%4VD&rZ=PFlpOUWc1^>NmjAk8^x>}&u4R6 z|J!jy;XyA2_|=3iRjfdh zy;f5)S$3&m%+wGbS`lKR=Q7gI%ulqMrJAU2R)d_5us*i%+e+S8xyTfWP0erA`M$uO zdUvVHszR5jx-U?SmoZ_KEkdYUU}m>RkKd9kV&1|}O^{6O`~{vsl;FK+UCfOhQq<1O~7}i(5@qNEJ>xQE@og(qQw5@1~2yobu|&K zteec}W}B+&3YsbD4$R`>HhsSys`1wJfQ{O8Tg)1@ynY8ClP@V#^-L#%!j$r z0*e*wN+{qu`83)az*%z;0j-@HqZY;W)qUkshN*T&0KRR|-XFr8G4F1P7se>0vV-bj z;Itk#?~SarrA?h&!VxlFhnj*koKi$yPiy^bhx~J8J4kb9uz;l=kOkz`ADB{uIh_)E z`Lwhoi~XCoOxs95V4+YC%UR`pY!8+WhC%I5g3-JFIqj8AIeJh7Lnb(suTb^1v=K&X)wx;z5^y2N1FLc{CWVS*>dO=@?E6zWSS^7v-pG+5z zjZ4Il8G;5nX*BlxRhhJ+6q>rC;Zz|$)wBT&O0Tz8m}1@FdfZlQ@p20ufJRMf+SLXJ zY?U6rXDK?hz~p&S-ye+dffGuEpZ1 zsFqz?9314brclg0Lz9G3cq_gd=ddU9LdFkD!gs2G9^uBl&K#KAA~%FkH_zWWnqvjM zHg~p`E2&C)cR0r=BuUGIsXOFT)OBYgP}gX&CvS*F?PZ2Tj+_ zOktNkv77?)b5|b6gZu~N^Njkh!LE#&C&6n^X4v$%KoeH;CWJeei)uQCV%>A)3*^q_ zkQ(yjoO3D_ZVwIAyiC_Qo5o)Jl=bRx4#fCVUM~QH&s)SU^kiWjD{wNF+L2)B|+g2C)_ijW^)OXx+^ zppoeCKnHKt&z^dF9stLusxTl!H-(qzb&_iR|_p?oBEyd$U z@+-31w&P81LZ4s1b6Y=Ed{u?67x*Q9^f@z0e2N%612`|~m;92;9Kt3|0L zDv|DMAd<8*fO=2!OXgGlW_4WswcZW@SFX;C3svjoT-Qd2mvzXJT=vpD+qm{=uq+3T zQ8Vb?6GP)p1jsEUBCDtm>^7~yipTmdW0h7?6kJrEA9@CPXJ1AZg0%nkTC?-Wzm-k8 z@_v-ka;a5UcL;K}>EtDuzB*XR2Gz{Y!t9=_J_0sw{4uAtJhZBXS1$?)^3*FE9v!)u zziHp9En0*I_u$$qcEb5#^HL!fZ(JR?2fKb9l_f>Q+CoGOqIGCRvlgZIvWMN|8u;>G zqyD}>B*NbKQ|czw^F(pvy~Bt4_gPuv{l1@3Ysv-&qtZs=xjx`6Z`n0|3RTM64($we zg?foAS#9vzrCx8WPp^jr3{789hW{5^^ZFA6GCZ=^XSe)${e1n71W@DM16zeT7?nd0 z0;i_^Nyc%*7taMH)MmD(M~(#{C}*HaDzk${7^G69P(wZvwKx*hErEECXw}ZSL`&Uq z5;w$z(Gxwb@3!>oDLw&HFVIBZDCu{sH4*SpopC%2ua?oYvtPmFv7`|C?%Yg+I0ej6n3p59d}4{OYs6uN+en$oW^ zQSF4WdD`Y7-B!(akXLAi#8=Ir>f@*n5?%a46NzSF*}vljEQ2tr01#Vgkfe_175&Es z=$2AC{I>yzMB;fOVwHhH-XtjeEm98%n5YulAdh z*4qJJ{@q-ySYkL?G6<2pVTdQSwdb;I?9?9Td}sw4 zAX?k$AkJ+Rk-Bqxwg7NAiaBy3PzynFe`>Hw2XRIaP)1`WNO6B@lz&e|&a!y|KQK;jn}4K%v!ez$I`X&@ zZ5p?3E&GH$C*oe#XZy%~$`^W%6v4!})a?>uWxi8`l|)Y&Oh@uSt)Lp_VEur0AW(rj zqpdc$r!V|L7Q9eb?Jr^+n8{4XeK?l6oZ{z1y_iphX8zo3HJ{P>R;7!h$c8kCy7U)M zK8MtthV++Snz0Qu5+8H!cQ1}7B^q$J0N`kqDQ z*)pdb-GhO&~t^4+EsGL*VNf!)=sg^eg+>7WE_acOKLPkupd|F#cDZK`fEREGB zsYd`=!!q?^qFR)YT~g|jgc*!}*;j3Kg36t%69N!1nDxEpnPKklJ#L0n;H$6~fnKRi zYF28HY3hch%Y;Se%VH{_xIwbW?#RK@0+6TXu8+^{6K|3B`nZ#cbA^=(2kMaMu~SE1 zHgR8rxz#L41y4Hppabex$c~wK-39Q>w)ZDV;wgqZii)E9Ahs=iW2h7*v}1Nahv>M1 z8`1xfbO%~us2q-tQSEFp6S9OiB$!9Dlr7kvcvS2`!_w1DH-&ZKZlWWtUR*d<0A8E`f?_%8Qk^zS9Z zb8Wg3q+^k?2TVBB==a-N9)7XP8vbY<$rQZ_2R+wc$6vd>6^g9#(7XRu<=MBqZ}0iK zuT_Gg-+zmCg{TtcZ^{=UWzLg3J8-k-xZ>kEK--pj`q-QX)Cy;;DwdeCsr^FpNOueeHJjeTG42^Tx5lO$j zGnO{DmybNwCMn$MwWc(ha#`lYNF3T#B6XhM3MOOmN6iKOo)a?Hc=t6KnG-)Zex!W_ zd7Smg*{AaDsp!+OiF_yE_p+4M?V{NhAtz3&DJbqQI?PsUrD%50W967hQNM|ioLYMQ zrui|S?3uyBlhjYnv9CE>jq`2ZjmIa&pCzAV$DC1);|B&?t1I#G=i?A&cwv8i40RlBE?qW5B9h5OlFiv#q=l;~ zKB)&3D6;Pz9%QJcGj&nh@>G~M@+_WZ>@D77EY4R}#)H7N;eqN#`^HZNo4k`Z6>HNx zx*8E1UF>e47!euOC5V^OJ(U`UwM`(0$CIz5x(n*MNu@-DlZnb+90N4CQ^&@mPA_dG zu%wXcpQhL;e-H81@{L{{9lAxa1L^P19F;bVT z1t!3$94BSzqQt*{!6muIYK85x;`!(hJLH!fzxIXE z!VbZf+`a=|^^`d)QG)fK)=c_3$BU!H6x}-`BS$85pL*!{*rYR0v{>Z z@fk?76T{cyVb<%QwD^?>tcEA+Q$WX@`J6=uXPVq_wvZ({i)oEjj$^NA3s z9QD5U(^wJrqgn?R^zkbz{8@Q@Q{UOasE-4tpTkU$2J$XzonJ(iGko9lcW4?Y!a(i) zI861kuzt)J$xmT6*hO%s|F?;yFFzxXm~_Hh%n{q~ko_o5 z5Ln?wCJub){lVoY5UPvpfQ1Bq8n!-9myCk;+m$7%7h;KQu&z;<8}_%`L^)Q$t(e&W z|5jZ7VJ16q^a!^p@cfVG(4Vup4)a-2we)|WA+V?vvHQ3mh8uhrEp(7vJ2Wt%izFmm z;ZPJ9)HJySe4kldamdZCMY|O&E(5tN0m<~;mE88SL*@EZ!Kn&i^xvj3i49k1Q`*Y2 zFCe|2gLsRa{s%2ULJoJ&p ziS~bThuhx>lw!n(CTAHzFYHs{+|p^&5r{D zMpj{llK4?ys!ERjqko~?JD_%zhc$^yu=3HlX%Hw=cB0eSD08-kWj3jyo<5)GlNJ?0 z-bYGR&HalE2BU!CV)FE4B)5bTcyg8rwQ*mGj?nOG&d4@)rbO{a(}6&CCLR~7J*@%l zFzX07&faI2zWfR$`_C5@N=ard9VP(@k^k>I+$i-OguRil#e`wi0f9r&mxafRK+>yh z+dH=xxMzT3gpO8Z;DcktKwRPksjl<#5*P7@UKEcIn^)eqi2KO@ zikP(e7RNXe@o4GWg_UKF$d&mBJ5hMsV#K~#dF-v9e;+@Zoi<*Jv2p)_i(pQsXGFBE z*iqZ3BivTvXXU?rRjHy29E>|0A&0&!aEGEEksy1f_MUjps6Pfcarz7MVK&Mq8iVkO z03Q1X#7d6X9@&Q=u`a(t|Rr1##%q$(5io3AT;Da}PNgq2S>&GIQmPv)@6@dVm3Vq~ zErFIDkY4l8w@GNtpQ?gp!qZGHG?U*2onM#V<^VVKobgn7L2;Jmap1_=k3a7qNjDxE z9($S>H_YiAz~qP4oK}dYj&}a3A@l@IJk5 zld)|7je4Kn6MEn0o9_LLZy(Y>j@^^0y>U;q9Hc7vi}Ra3`FxI2g?tku!i_i~Z9;uAKf_>=b|3^!tNkdy<^Q594q#uhEe>Gl< zw&%wO%H-Q0j_rJP&brSl$Q?p%S}^q;7nB^OinZ|oz7qF#{85SBM7yK>x(wZ2D!*BRJMNqU@k7QH(R-jDRU|dSc=&QT`VqCA3s~w zlURzYSp9yui~!Vmh^o_;HDmy9LUv~{_EDkUYfu_x5$)E|ml1!87q}<`+$vTU*e&)0 zbMd#A0+THc{)iWV`hRyf-vmE)1IG9VKSqK6Ay96*FJmWv=(h(V^#8R^Tf02#oLUhD zu<-|9pjn_@wE7j+Cf_tA1_3>qrMXg&Lb_o`j^*vcG)eLZ(EX5xVxVv4uP$6lU02>6j zP|(MZYqubO17M}iAQdyC>I}K$L9Gqnz>e7x#b|A1ssPqs`6c&$|HT_>2aGN|>boyo z22lXG!JeA~Ywp)0h#g$%8CDB^?rwW*Tzu`9ya>4d(i6Ts`kG!h2$=Qr#C0kj1^|7RKA!=rT6w1vNc_=-(+Rm+QR=seHSTQx(peO^;AQxIhLgiq~%a zLWEj>(=L(1DbgjeDUcMD?HFy@XOPRl9!yXJpFH=J3BQK4jyF{W(d^h45d!ffRLi`K zTO@6T4o#reEUt8-0<|CpK0>OW?j z5`kji_Obthlrbf3M&K3Jd&@CRLj)`TFAK(l!vQuA6}c=@d5Sf1x3|kQSe9lC0)2Cn z@;2H`1r=_;e&F0W)sqOx?urFz5lxi>h5sH=3l9xt`KjEt0|WU0-J3Z^uDE`oyel`420TARZNyNaQ$&^O9jUZ23Ifl42X% zHU%`Bo*xMM>~?R&J3H8Ra$*GV?sHvSRife9pnu-w=h4vux#|RR=(44N+`#lVkq>2kFfI{B(6;f*b`< zbpI<_tq9itpI~MZ*xBweI-34ig$6aU)`Y)~;*P>B1P8jv14Q)cO8quC(z_UxD1KLZ z6gCn!DqD`~ri5s2!O~`{2B{LW(NfJAq|>-jvF1~gvI=Z$EuL_8a)A@uWd!hl!gF8~ z5aoxFkn#!0>5?7rQ0z>1pS%3UUZ?Vrvmp{_3ffQ>7|#tz4-5|y4e*luj6W=ZdHaw6 z?_gR83IL9agpvvmDEw>}BaAQA1V?Z+D`PAQQXX1CACUyXdysr1#uYn*7!`=43dYI= zjl*nf))YJK2YT3y(SlUZM>INr<5JZL;FbgYnhMp&*YyTET~I+W2QYs{0Xu%)cPA7e zPKJGx=DPEl2>_Eih5t?IN9)(fY6u0)g20>dd>XoStN7os5`)44wiR+a*mr;2^Yc4P zt#YgGB9uZgv!J3^hR?#R`WFhC4F~0I+xZ;-n65{a!0UmWZxGn`ij%{CqEIHF#wiJX zV&z!|{}@n=b{BPz5{1V4iMv)$(R^;#`Uoe**nJ`^TuG4S00R=|7Arp+eR;(eq}T{^ zH`_5b4kRTNwnH@g3c617hAL%C%_cE0J~a^3$^o?M_eG9wRreO` zsx_rtg#eg~bf^$2Nd@138a0$pF#GKx^IXv!5?hOqbQ~0b%?2GF-xZ5BNd~tJjVZTA z==q(Gz&%t_FgRFJ{e$gb4hA-Y5)IU#@u$J4>YR6V_II=%&s|gjb_DbSif8y;U@_7` zN7y?8IkPesF2V;p+5rXt=u-MnPdZu%TSJWu!B&DGsi_|AjgSO?TA1(=>KeerFkXBH zUA4_0f-*R+2wT22xA+zC5M4XSG$aXK*p+Dr5nC2{JAaKfJHj==_rrFxcY_B5{DV*7 z{}?0?u#1kBU&x;=u?SxS$(vlMjwhQ6Y{zk#j&M0KL((>xkRA{K5Gk4VIrhaD`{3D( z3mFiWCWzSoj7TPbcFX`RAtp8-;-!q7a3UrXBjS^ckVCG%@aoOUF9{*tb20QGGGRk% z9RDfWIP4{o{LyCY(N^vgo?BiHPLA%QW-p0O*wGCG$_xQ?qX!@`t{xk(P#oJA0|_&R zFr!ED9CwWkYJ)+oqXXIa10=aPxD50Ir_cJB{pni%OWo3c8HX2i21)a4*FB?)oV`wP zc_nKNSG0-&%+3Ztip9~iC+=Bc;B||UKmgSG_oB=vr#R4me8A(u z^@*V@1PjgBKvI_tFJG%kSFKcQHv(UZ{a>q+V zU-9yP9frZgi@q;Lw&ef(j)vy{D&!NoYKx8o%|>R#@ZU3u1x80ns&%!xAB}e0R*Pbz z1JoQS=s&(+w*!XW`vOHNNwA88s4%T&@bGjti`tA%_IFLb2^MYm74OmRP|j=%>>VBb z6>d?||J_ALJGxeKv93x{Y~?~7@(TUy$ov_9excX_seVhDXnjtZ6ib@u>`9ObaMFE@ zJnq*81_YzL;FyKsQHYKX=n|uHJ%~)|o^&}7X_-X^?pfd=$N`8_<%bq+>~;T^dg*Qd zj-Lx}>NMz+?jQ=^oOWxm1G$T87RiMw0V_8RwpQ{w!{UNc@I!@C#na}Gzw`mtRoIz- zgXng98R2w3dujL~k1@WI1^0kl&N1>>dr4+XkC2;_-}>&lCv2!y%ra-aq$M!*w$ zh8z{agMwihTU)`+?sxB{kszFtKDLo>jmhEI3)*p9*yI_Qz!7mIfGgxHlT z0^O#;imJgto5UsxfkOlZkBzl~QUD;F;C1-~rjZHG+yDT^m=q|!TYxHPzlGU~cRFArU=+}h? zSr71u2M7;fj33cQd*~B^u0)Fhe1W0H2vAy!2wPQZ2xS5gE8YTj=w1uT1NCriI{%Yt zfg;uu5O~H1(3nRxc58)@78eK<7y;~4g%*w$J^!064q*^FfCPtx0c8LT000^6Pat$} z1tigk7#tM8@p5%zbahtA_HzJ#Mi;aeK)q-!aBr;zIPG(Fa0s??2X5y?89-zNzdSH8 zGBY&)H8wXmIbmXB07BWfS7~bPYiw;H?Qn5&NEX-qcX)Yv0FwZ0$OHgO4+o$R!VV1a zt)0FH2M=Z>6AZ8b03q2#2m{ywA419(>;e;~0syiC5gr2o1IiK$A_M{Zt{Mai1_0Z30RR91000DllZ;bw0pgSXQ$yIJbglpZ diff --git a/test.map b/test.map index ab53a52c..bc2df6c9 100755 --- a/test.map +++ b/test.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: test.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0816:0000 0000e820 +DGROUP 0828:0000 0000e820 @@ -26,24 +26,24 @@ Segment Class Group Address Size test_TEXT CODE AUTO 0000:0000 000001d8 _TEXT CODE AUTO 0000:01e0 00005a3d -modex16_TEXT CODE AUTO 0000:5c20 0000242c -16text_TEXT CODE AUTO 0000:8050 0000010d -FAR_DATA FAR_DATA AUTO 0815:000d 00000000 -_NULL BEGDATA DGROUP 0816:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0818:0000 00000002 -CONST DATA DGROUP 0818:0002 00000076 -CONST2 DATA DGROUP 081f:0008 00000000 -_DATA DATA DGROUP 0820:0000 00000234 -XIB DATA DGROUP 0843:0004 00000000 -XI DATA DGROUP 0843:0004 00000018 -XIE DATA DGROUP 0844:000c 00000000 -YIB DATA DGROUP 0844:000c 00000000 -YI DATA DGROUP 0844:000c 0000000c -YIE DATA DGROUP 0845:0008 00000000 -STRINGS DATA DGROUP 0845:0008 00000000 -DATA DATA DGROUP 0845:0008 00000000 -_BSS BSS DGROUP 0846:0000 00000a5b -STACK STACK DGROUP 08ec:0000 0000dac0 +modex16_TEXT CODE AUTO 0000:5c20 00002550 +16text_TEXT CODE AUTO 0000:8170 0000010d +FAR_DATA FAR_DATA AUTO 0827:000d 00000000 +_NULL BEGDATA DGROUP 0828:0000 00000020 +_AFTERNULL BEGDATA DGROUP 082a:0000 00000002 +CONST DATA DGROUP 082a:0002 00000076 +CONST2 DATA DGROUP 0831:0008 00000000 +_DATA DATA DGROUP 0832:0000 00000234 +XIB DATA DGROUP 0855:0004 00000000 +XI DATA DGROUP 0855:0004 00000018 +XIE DATA DGROUP 0856:000c 00000000 +YIB DATA DGROUP 0856:000c 00000000 +YI DATA DGROUP 0856:000c 0000000c +YIE DATA DGROUP 0857:0008 00000000 +STRINGS DATA DGROUP 0857:0008 00000000 +DATA DATA DGROUP 0857:0008 00000000 +_BSS BSS DGROUP 0858:0000 00000a5f +STACK STACK DGROUP 08fe:0000 0000dac0 +----------------+ @@ -58,7 +58,7 @@ Address Symbol Module: test.o(/dos/z/16/src/test.c) 0000:004e main_ -0816:0300+ _gvar +0828:0300+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:01e5 __STK 0000:0205* __STKOVERFLOW_ @@ -66,52 +66,52 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0000:5e14 VGAmodeX_ 0000:5ea6+ vgaGetMode_ 0000:5edc+ modexEnter_ -0000:5f7e* modexLeave_ -0000:5f96+ modexsetBaseXMode_ -0000:5fd4 modexDefaultPage_ -0000:6036 modexNextPage_ -0000:6102* modexNextPageFlexibleSize_ -0000:61d0 modexShowPage_ -0000:62cc* modexPanPage_ -0000:631c* modexSelectPlane_ -0000:6340 modexClearRegion_ -0000:6458* oldDrawBmp_ -0000:65be* CDrawBmp_ -0000:6722* modexDrawBmp_ -0000:6788+ modexDrawBmpRegion_ -0000:68f2* modex_sparky4_DrawBmpRegion_ -0000:6a5c* modexDrawPlanarBuf_ -0000:6a7a* modexDrawSprite_ -0000:6ae0+ modexDrawSpriteRegion_ -0000:6c58* modexCopyPageRegion_ -0000:6dc2 modexFadeOn_ -0000:6df2 modexFadeOff_ -0000:6e20* modexFlashOn_ -0000:6e4e* modexFlashOff_ -0000:6f1e modexPalSave_ -0000:6f74 modexNewPal_ -0000:6fc4 modexLoadPalFile_ -0000:70a6* modexSavePalFile_ -0000:711e modexPalBlack_ -0000:7148* modexPalWhite_ -0000:7172+ modexPalUpdate_ -0000:76e2+ modexPalUpdate1_ -0000:775c* modexPalUpdate0_ -0000:77a8+ chkcolor_ -0000:7ac0+ modexputPixel_ -0000:7b4e* modexgetPixel_ -0000:7bd4* modexhlin_ -0000:7c40* modexprint_ -0000:7dda* modexprintbig_ -0000:7f56* pdump_ -0000:7fb6* cls_ -0000:8024+ modexWaitBorder_ -0816:00b4+ _VGA +0000:608e* modexLeave_ +0000:60a6+ modexsetBaseXMode_ +0000:60f8 modexDefaultPage_ +0000:615a modexNextPage_ +0000:6226* modexNextPageFlexibleSize_ +0000:62f4 modexShowPage_ +0000:63f0* modexPanPage_ +0000:6440* modexSelectPlane_ +0000:6464 modexClearRegion_ +0000:657c* oldDrawBmp_ +0000:66e2* CDrawBmp_ +0000:6846* modexDrawBmp_ +0000:68ac+ modexDrawBmpRegion_ +0000:6a16* modex_sparky4_DrawBmpRegion_ +0000:6b80* modexDrawPlanarBuf_ +0000:6b9e* modexDrawSprite_ +0000:6c04+ modexDrawSpriteRegion_ +0000:6d7c* modexCopyPageRegion_ +0000:6ee6 modexFadeOn_ +0000:6f16 modexFadeOff_ +0000:6f44* modexFlashOn_ +0000:6f72* modexFlashOff_ +0000:7042 modexPalSave_ +0000:7098 modexNewPal_ +0000:70e8 modexLoadPalFile_ +0000:71ca* modexSavePalFile_ +0000:7242 modexPalBlack_ +0000:726c* modexPalWhite_ +0000:7296+ modexPalUpdate_ +0000:7806+ modexPalUpdate1_ +0000:7880* modexPalUpdate0_ +0000:78cc+ chkcolor_ +0000:7be4+ modexputPixel_ +0000:7c72* modexgetPixel_ +0000:7cf8* modexhlin_ +0000:7d64* modexprint_ +0000:7efe* modexprintbig_ +0000:807a* pdump_ +0000:80da* cls_ +0000:8148+ modexWaitBorder_ +0828:00b4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0816:0000* __nullarea -0816:00c8* __ovlflag -0816:00c9* __intno -0816:00ca* __ovlvec +0828:0000* __nullarea +0828:00c8* __ovlflag +0828:00c9* __intno +0828:00ca* __ovlvec 0000:0222 _cstart_ 0000:02f5* _Not_Enough_Memory_ 0000:0427 __exit_ @@ -124,23 +124,23 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:04ae* __exit_with_msg_ 0000:04b3 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0816:00ce __curbrk -0816:00d6 __STACKLOW -0816:00d8 __STACKTOP -0816:00da __cbyte -0816:00dc __child -0816:00de __no87 -0816:00eb ___FPE_handler -0816:00d0 __psp -0816:00df __get_ovl_stack -0816:00e3 __restore_ovl_stack -0816:00e7 __close_ovl_file -0816:00ef __LpCmdLine -0816:00f3 __LpPgmName -0816:00d2 __osmajor -0816:00d3 __osminor -0816:00d4 __osmode -0816:00d5 __HShift +0828:00ce __curbrk +0828:00d6 __STACKLOW +0828:00d8 __STACKTOP +0828:00da __cbyte +0828:00dc __child +0828:00de __no87 +0828:00eb ___FPE_handler +0828:00d0 __psp +0828:00df __get_ovl_stack +0828:00e3 __restore_ovl_stack +0828:00e7 __close_ovl_file +0828:00ef __LpCmdLine +0828:00f3 __LpPgmName +0828:00d2 __osmajor +0828:00d3 __osminor +0828:00d4 __osmode +0828:00d5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:04d2+ int86x_ 0000:0655 int86_ @@ -150,9 +150,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:06a0 _fmalloc_ 0000:06a0 malloc_ -0816:00f8+ ___fheap -0816:00fa ___fheapRover -0816:00fc ___LargestSizeB4Rover +0828:00f8+ ___fheap +0828:00fa ___fheapRover +0828:00fc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:0815 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) @@ -160,8 +160,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:0844+ __null_int23_exit_ 0000:0845 exit_ 0000:0866+ _exit_ -0816:00fe+ ___int23_exit -0816:0102+ ___FPE_handler_exit +0828:00fe+ ___int23_exit +0828:0102+ ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:0882+ __open_flags_ 0000:0b43+ _fsopen_ @@ -174,7 +174,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:10ed __doclose_ 0000:1237 __shutdown_stream_ 0000:1251 fclose_ -0816:0c28+ ___RmTmpFileFn +0828:0c2c+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:12bc _ffree_ 0000:12bc free_ @@ -187,8 +187,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:1708 __I4M 0000:1708 __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:80c6* textInit_ -0816:0c2c _romFonts +0000:81e6* textInit_ +0828:0c30 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) 0000:1720 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) @@ -197,12 +197,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:17e1 __FiniRtns 0000:17e1* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0816:010a ___uselfn +0828:010a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:1846 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:1847 __EnterWVIDEO_ -0816:010c+ ___WD_Present +0828:010c+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:186b intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -218,27 +218,27 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:1d7c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:1d7f _nmalloc_ -0816:010e ___nheapbeg -0816:0110 ___MiniHeapRover -0816:0112 ___LargestSizeB4MiniHeapRover +0828:010e ___nheapbeg +0828:0110 ___MiniHeapRover +0828:0112 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:1e59* _heapgrow_ 0000:1e59* _fheapgrow_ 0000:1e5a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0816:0114 ___iob -0816:0c44 ___ClosedStreams -0816:0c48 ___OpenStreams +0828:0114 ___iob +0828:0c48 ___ClosedStreams +0828:0c4c ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:1eec __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:203f* __set_commode_ -0816:027c __commode +0828:027c __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:204b* __get_errno_ptr_ -0816:0c4c _errno +0828:0c50 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0816:027e __fmode +0828:027e __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:2052 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -272,26 +272,26 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:2bf1 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:2e3a _nfree_ -0816:0c4e+ ___MiniHeapFreeRover +0828:0c52+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:2f35 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:2f95 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0816:0c50 ____Argv -0816:0c54 ____Argc +0828:0c54 ____Argv +0828:0c58 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0816:0280 __amblksiz +0828:0280 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0816:02d4 __Start_XI -0816:02ec __End_XI -0816:02ec __Start_YI -0816:02f8 __End_YI +0828:02d4 __Start_XI +0828:02ec __End_XI +0828:02ec __Start_YI +0828:02f8 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:316a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:34e3* _heapenable_ -0816:0282 ___heap_enabled +0828:0282 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:34f4 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -309,16 +309,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:4ad4 __GetIOMode_ 0000:4b09 __SetIOMode_nogrow_ -0816:0284 ___NFiles -0816:0286 ___init_mode -0816:02ae ___io_mode +0828:0284 ___NFiles +0828:0286 ___init_mode +0828:02ae ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:4b3e isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:4b5a* __get_doserrno_ptr_ -0816:0c56 __doserrno +0828:0c5a __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0816:02b2 ___umaskval +0828:02b2 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:4b61 _dos_creat_ 0000:4b85* _dos_creatnew_ @@ -350,13 +350,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:501a strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0816:02c8 ___EFG_printf -0816:02cc* ___EFG_scanf +0828:02c8 ___EFG_printf +0828:02cc* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0816:02d0 ___IsDBCS +0828:02d0 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:5062* _ismbblead_ -0816:0c5a ___MBCSIsTable +0828:0c5e ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:507e ulltoa_ 0000:51bb* lltoa_ @@ -376,10 +376,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) 0000:54ac* _dos_close_ 0000:54ba _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0816:0072 ___Alphabet +0828:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:5507 __mbinit_ -0816:02d2+ ___MBCodePage +0828:02d2+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) 0000:55e2* _msize_ 0000:55fd _fmsize_ @@ -408,6 +408,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00016980 (92544.) +Memory size: 00016aa0 (92832.) Entry point address: 0000:0222 -Link time: 00:00.90 +Link time: 00:00.92 diff --git a/test2.exe b/test2.exe index 82027e5936090a02874b2917ad3cf53a674a0a9d..094b269e7946c320e395c3cb5d960a8f6600fc35 100755 GIT binary patch delta 6844 zcmW-l_divSrqtad9 zyE?i{azGGUT;P3~@h`d;t`q~C9zb^~0Ib6SesO+kVNoG)Y;ef=^DSgA5d8Ic0!%qU zHeDfNE(p_dLaXPv7dubqTCq}V=iA=1q@~KZ<_;RH5i|E%;PmQzqcmewJX^Yq$vu2< zH((l7M0mnx38u;}A*Cc|JoqUeSE*G+3U~x`s++g1dp*`hJMn8(Ax+e~fI%HcN@*S0 z>Wm`NN%Q2`JS5Cv@_xxn;1fO z{FkmR080JvQK4Pjx+yYBeStBqHgwh=(#=0Ve`aPO_yQ_Pyy`wCXx{qkG=A6q>2>8Vg`_?~pXkcxtTcc<@KvI348^Y&4#3~>`@vV|&2 z`N$T^UiKz0S^B#%nD_|`1BX~@EMb2+ z^>qPqWswA@pBZMiZu^CzgvV_D<~(;1mt9{wEj)Cm3Aa%|nqkDR-pK?;#iq}>@A~ki zfWVIV}10tA4;B4A5=f`-A4!}t)KNJ56#`KYz@o1c?b9; zn+wKoZCmuIjVD@t*~)m~$)4ft;$Xm`{BvuTCR`|gf37gt1UQ_W9h#}DCXwcx`?Nn> z_lar$)6EYpL~qSEJ{R9O(sJq=hTHBRtp+b>wl_8b^GuMiB(E`IYC9Y=tueDZw0QHC z0>L*varh?I58;I(ulZ`Zv%{e`HHe`yzuDKUfm+QbX%Z=>tozptD{HDZ;?)Q5bCn1?h}%5B=y zuP8Ds>!zDy!K>xyAlfO_)yd@6A)F4K2dV{CPSjLd7T+T`##boG>{@U@Bp_^sCvLj@ z$>I!u`r_8h8?@u`+l*cth2RWvHfGh0!jAAwDnWu!$KSG^k>96XeOAfE)h^puW3>Vekmh*q(7(+?LcZmI)>-BI^E!`) zR;k)a^+>jNz5@{DNKeI2U0HRfN74&!vro2-F5juPRX8?2`1j+RJCb^d>sxX-=H3I* z%W<|$39Vxrmo^!;Ar%fwmzjNH;VEtYV}FCMb&&(%i#t3ztL64xn;I}}yW1;Zb8aJm zqmSBWT_w|y>NyDN^&-5gu5fwy_>)0;f&~e83Bcn#oUzR{h6ypAcB(ZIlF^+eMl#~b z5|^UUA`)EpRoKIE`iy9mlK#NB-lDyS$E|NjBm@8|++)Wi0HQogF-gIoIY0p_3<)P| zIO75|aLJOA5&%%?=f$V08sPm=t4Oq!SB_}sAC(>MfK}b}4-UhtOLQs)q;Y~Zu@6#X z;?i)~Ni@MJSha?tSoFk0`D~}vHIJ`OP6MJYCZER#mD`DYOcM&=9sK?3b?#=XJj-U8 zl}Offds(>iAX}(anSZ;!wN?IrC)rAu!wP_M>!)s=MRek$03n$IU*Qu~n%DF@2u&P@ ztt>0n!WrAv^Q-$J4()a$#|!(4e)YGDig#YSsOt8NrSV3I@h)DKtM$CZZw?5IeJn%c6h;4l)7R-@NDy(RJHcEG4Wb5tP1N?;g|fzs(A?UTkRmz@N{Cf7=8Lp03ZMGY$COVqk`--6kCiKP$Dde6JkRHQY zJ8G3V_{UQp_rj0jo_fEGCZMxw0*Z`s0z$|9&#wWWwCTXrM9&ENL)X2h=4E2TBF30V z?yt=D1^g%65q&ZEl?a*7Ku!N9f4#dKr+gCAQ1r8REGo!*>jd|4V;=hhk;SWploJ{) zZ%u|fMX}z=AFq~j;;h8p3Kc!ZOAZTbjr1AH)EC+~ETB_FuBL>HAfvZ|*a1fKhQ9;;&*BCkv;&asW$ApA-HNoG+; z!^RH1LNwK9TS}iaMF}0Ht@BgAvjnWhYqWSR+5}B-o|BJ&GLAK2>1hW0Mmrbq%=Nzu z>dIDIV6EBZS0g_MlAKQL{kcOM{P3TrM@i~&(&N*m`E+q*H^#UYpH1g@D!feSkxRQhuJSsG-@*Z{nMvUhJQQ?YWCRE z-cw4l_W0bMv456pD!I_K&=sQp<^J2Du@Bi7Ulo*mpnN1-v$N4}49Wp3Uk7z0--W#! z9R2wCoeQ&hnSFmwWbWg+;Q3Si)#d9C1{VVd9S(hX%6$dFz}n(IO&`G#zKaV#sl}$! z;5oO6DO%*>n)u(h#b(6ljav_C>tQ&FhpZ#Ljm1SA7?E(6otLT_juVWGc3Z>tjnTzb zw8vW@h(+!Mt=4v*Qt8GyPCxN@?zX%jw*NRf##^5C^!Ak5?8x3e@@Dll?ajn%-T`*8 zDpBlV+)lG*M>oU_Vj%||&%!3fZn+%5J7G^n7LQty>*V8SMTMBMAtX<+h5P>J9{;v9)YHYXlB*V|{z5pO_zn#eUtCiutRhPFr=_E}FR?f` zmp*kOk#vL8lBP+c6%RI#Fil538jyJz703p5wsZAFzOOUST8uPL)hF))Uo9VQjIfV9 zIRMjtKwsvrw^)|9{+caKKkhy+qhe<$q=xJmb6aZUKcgCY9r)*+NG0j%3NmVB8UV1A zk#S4KxIEL{=t43hKEj4)Eg|`x4@klA;iFc> z_EhXHa+FgBK4t5h8Y_b(p{{RVTf7MO)Z{1zdRFoV)=KCM_Yb!Fu+3xrIhPrBW|-bd zf3a^22eethtn8AR(55~ay)em`D`WGIUHLr6(nHg+{=?Hh=KSYo^f{G28#87Mr!Q8Qaye?hc)qO_VH9OT@o zQ;8LFZDmL6Yv$hf^bv&fbdit4FY%LTJ6z)$!`VqS&Y@?MOvui$)(P$zaY%}qEGh6H zpZBeaS2@9>EBkSe!$t*l9KJCj}Kh=ZHR%<{}r~sSm+hm&qTHW9DDdVVh zv~&A!CVxC`W;1WJCh2oN)^rBl+9r;M!h{sN(O?gbS}fSExH9^o`88_hg>^H3{SnKD zdLwVMpMv+usT!dt$50_1eErFe0bCCo^5>C}oY6v8M!m$J(Vhs;zOPQZjkF3lIS@n7 z@$#TGz)iBkK2?*Xpiz5n%xpjWx~+*BhI4SP zQB6K%Z1ej)4&XRa)%|1fG#sKX+W|HiDDoQ+}6vz$}QgWd?`S=PNY`)xY+o<@JuNOPS_pk>ZI&v+|MBSOVA z>B0LB=;j|7>opR%n3}b#zobz3uk2kjU$gG=brmYEVNdY8Z5)$%mipQw4ud;Wn1oEm@(+%HxQs=Qi9IIoPSxZBX=b7gkq%2$}nGxi_~k zUurOQ@HjYFie?(y*(sf1A70ZzX1e6KRj)JFA7Qwda}GfSP^Ybi2NgG6!>1nf@=J|? zSy0@pit8k=f-WCKs^JVEFo#WDbJj{K>~LhX*5KgA1u-7}-qBR%JZydHwyn9B-=EvH zVzx+32rN`-NDGI3y;XGsy-2!r@F{yi9+q6} z-QXpM$!T#ZW_F&!V&={!tN3zC7y{xf8xfn@m^h z5xiFDdOT2hTG;L0h-31CVertatc%l3+K)CL!(IFHy4*)>aF*1#Fe6&B=`p0$;AY*y zJw?n*e!~QNwAbW$O`ym;z9(zga^o*y_sHysqr~D2F`tkUPaEXFa`|idVvRio8v9Q9 z(T$Z3+|XIOSO>3^z4SaoCRhY3HrV<-^EzX0l)X4i+V&rYkPnn_8M{NR^ulmqMtLWK z$(*dG*bboo{#bs|pmvc`>s)>?Wbe3TW`aiLv6v?g%(6Vcsv7kwe35BUDC8@h5kz(R zzV8=1_ZO-%G^g-Mo*B?}Ma->ucDLWef7A;y`==IVwiLn1pt+LyEuR=7o=Tukm~|o` zp9iyo2^ty3=?x&Qbpa3^WD3hXXpUMR@5KB2{bTgO~;qNtW zn5zX`Qls<}boS@90+n>?{9d6c%$Zc1kj++(F5^ zukJ_ea3y3&i1}sM%<1JCXZY!a?-*DG;)lbuxOhKPPL!0ev(mjAMY-u0s?aFRO@GHRYMT$dVjs6dRhO6*BJl`Xt0)9H0O z#j$;F7c2RGMM_Eas!a?4(H7YG3&_R_%*z7B*q+#D6B>?cA8QKDBeeG3`7S>x_YK-> zAqbU>#5?@q`8V|aK+jZ4r8c4F-K~3D89t(}Tgod*p?98To5q8w=VlS(7cl`&HK+G- zB~SKEi1G|n7>$YYffeZARkkxJ+UPdCw?dm0b1+qb&~4=6q^{@bbf8pxfs@R+Naf*Z zto~2!Z|0JmI^gDQ@EO3nK4?UCZy=mo47@eIAgnnJ5dHesk11948uWnY7zpN-HBnE; zHaG=etNhf(?3bZ_N+Fx4`kK^|b~jc1c?yTOP?-2JWb^}WuT*VljekwToAgG@hSz)S zM{`n-wKuSfEQ@lSEv@+zza^t-Pv=ST&w8xmK zYPBSXAqa}WQ-gOgA0(8-MD9C^>JWP&O+WQ|;=q3nNl>UGC9Do2Z9_*R^&;^bkizG~ z>j#UThs9GH{g*3=Xr4cwpTDhMzF4y6EJ*ITeAL85eOJNVGA?hIXW0hy6@kor`%(Ul z;J@u?D#rZ97y>AW(LI^jbIz~etzVmO$EVc1_BQ@izX5UDZa{0CO@YTl?|pPp+aQu1&S6;oSxkIAh)p`2O>O zv7R&AL+>J5way*FjGTG+e;>M|i_T!eTRK5&vYPuel$Et@JYPK-J}e-)(SKbKhc+yH zQq~W<01(QV-a}eC+xq2E)J@#Px7Xry=n?r2n+En>S*-%w{98jT$4{;7E7IRX53)BW zzQC5&Z3b>JEw0@s>9>5%R@ZUMZ}wRn^s8WRCB6K7Bguj9R0VUzwva9QbzZ(9>l+G< ztb2a{M)r?N5}Cotj~U4~_9Um7T+2?`c(_b#F#@iu%8dHB z#60?j1+mn^TA*k2P=UAEilCA$N0t{?YtC%uoi%fIr?2$+mtq^>NMm_3h50*Ks5kCJ z3ck!Ze<*T|w8ChrExbnIjnE2f$vC*94K+$YNKs}|p^ z+nbX2@H?-h_8X*X??%@k+Ebi9ld3*P+hGOzwZa5PeL+S*-A@(A*1~{^xaVmb@gF=q zgyD;y;|kqTxn(01s%U&W!mjJNC6KGRnjTk`u*qod<*{rs*Jjd5o3^7)h@nxN1{#;_G|Fu{b9{;^s{J4)Sgh+ozV&mwa;ialRW%SgOIL4Oh5bojb2<6aJV^ zsEbXU@}?Dh>!;mP;vTa&y;2@obh2FC@3}!7q3nL%WAh%lIEw39FAc*ks9k6-JH z zw2;uS@c$*;=u0s}Hc?*_5-*P>0f1pR63BYQbp^m5RR+K@G!AMu7O&>w#0wj>E@E~t zT;-Zaz*5UG1n+4CfC%6=f&i#gdIbpp2=-k^c!Fw|VtdB-P$hedh#gq%;>vm!XozeMm`9S2XD z9&ib39nrei>Nj|f!?6t^iuU*sapE#qCqIQUQhW&&XI}wbUnudiw+>B!chNz+q1;3w aNYn>sx3EYY8puYK^m|+ehf0h+&HoRAJ6lcw delta 6677 zcmW-k_dnE+!RXjsxwE51jS|Hr{J#9SPDn@yC6AVPB@DDJQQbbc zIe97w!r^@H`w9-y+DwO|F9CjDKvgjS^h3dciGkXYu@O*0c!Zx{BgF>4RNYfWMH_I+E}gU%qR3Y0S6If5kdQSB`RPBA-x ztXP~9U$`}FOJxpYtC`C3^dD%)1b7{Or_!%f!P zCM4IqTz;5sL_@|`6|)cMHj8X8B+ z__Ce`z|%ffsLRLM){V#N{AN7UX4N~uV+#CcZvF0Ho<{zauk=KJoN24z*pQDVwspo| zuueR!^RMk@k!Q&V1Ja&o7{8)Wdl*bQsj8eC(gU9zB5zEW5F~c`IXG`ms zs8RAfeH=2lltWwbXwuPUQ^$k^oWjoYxE7axj$DJ}n?;{KM-uue^B?BrJluVh14jLw zq{(W--k3iw?&g2)&Uz6kf{XJ9WlHN?Do+r>S57%?GPO@tt|h&J9##irc$;gAi1=K6 zD3W&i8P^4CrrHDKNG!4`7JlZ^SLI{>mlZlc9=%U^9H zf5*{>7)7~J?R7^d=barc<}Pgl=O4H6nCKj+Q@z)}T^b@UdvsD*eYbB!N8*j|fGXE> zVRP#nH0_*`KQ>?1VXvO^!(3~xo5~zme>Y9rLvVi7Tg0>gcgLn#lQvb0WVUOLK|iBg zF7h6piuygZKJ(H;p0l^H^eS5M+P(1m&G6}j>?Cx&l!Dr~(}S6p2iOxqldQk9*Ynf~ z9VFhsbzB#$2}$8~=r>3}->#pQ98ezN=h=dK*4|2sB^LL$j0uV_FYC(M0SwqKGC7fI&I?o-tE^@@f$Z{HUmEpyYHNzV~*CmD(vwAW$ z3=^uxR_mGmp5HpU2l8vErcjEkY$Bbcch1f`>6-lveR{!$_0Q$wx~UQrXDWcQ3iy2cs`nme!^P9 zMW!H}MBjJ3LuHwEmK(iQ3Ap{l=6Bt6_{RCb7lE8 z!r3^K5kc5flm9#RkZhb!aR@!}Qug{|6I(+D1OPM%^ilKG3ihqgmsN@qQ`J5Z6dQ$g6wz7;3Xc>BB#rwhRoi1l0X ziRoC}m;k|rrA5qgQ}PNwP~TQ~)$5*%3q$r)MnCh}ffj9Xjs<}7W&O~&reqV4Pgf3D z80Sv3XhykK@eSA<2x^J9Ei7PoQ*2@cZ2)wxbK6Z1m;gBzkhTxlvnRDu?`nLjf}oEX zH`<2V?|eMkZ)rouvE|wzsi<4&S4+O^;kK*&TJGEg-Tjwj-_L6a`$ZFypXFQ1nCLuZ z8$^b@JA{4usr11~KDy8j0;C(FK#|wifvjzi1evvdgX@g$Xz}Q|ZzDiT)5j&TRXNhK z_8sBYH!S#4>wd{tTA9N<#pxk0D<)KA5%9!WK?&Gs3>Avc=$m!^2l zXdUq!&~FJ67ON}$FfIn1;QV|4uLXfxYLWcD)Uf@twF}PWi-iY`H;ANjw;L#}19108 z|J*9}ng1EMVn<^n_s}TIifzmd15S0#mM`D3#UPe*om%($77r&v9O4NBFPw(mH*^dY z*HPo>s?XB|=lS+MD|cv2`PbfFd|rB5;R#nP!&V6Hj1i?U(`x)thsrLiU-#oj!~~(y zf)RLIp6Kma8Hz%QtipZ2m#Zr4%GTV|GCvAN_6F+Y^-Wl1nNn0iW)|alx0BlBv$$0J z!%tpfFIHb!w#YUj8f7NuzH%bd=~#a>&v!9>c-Ug#$H?aq(?092W4%K^KHQ_149V>4 zV>w>w>mO#7)V*l`9PuTxk7f8V{FZ7!$&CLeW4fPt*mI`p>BrqngeFu%WghtC^}hB` z0cmQdkiAgjOqICf`3_s)ThSp#R|f2u+zYWZXUYi7(`G%STRmZ zjp4!JXBLb1txT;xHlr;MVF~`+z5N=nPXP89-p}70#0u5h4Y>1eR6f2eKW@+nuZOq2 z0P6>y=ZVP(y1Ww*5Q)tX<%0xUN8q&11&uuR@V-rB3N^mNQ=@mRPmG)NCU2OVASVl9 z5;q(4TE{!!p}>SSo@ciwGa|n_MbX+k6Lj|+Eznr*OfWCL z3-%EcaS%dgBM8~?8JP*#as3iw9 zEQWwh`*n^{gL4W;Fh>2;)mR2-KUgjBqnkXaJUcA}W_|lG{yU#IjjRC{bK!otdJ(Z` z+>GzzwWtEPNR)H84;SH~?Jn#*P!&7UPFa8we$AzEiTODqug@~(p#0%jzAcEmmf!v0 zxMs6JgD@Gp@?aCcAqQ{gV#VQZ4XIzUOx|~*fU8!{7cNQeDE6eI;0_&Jqc5M^5Y~ZV zBMAz8&sfa-wK)#z{xg5ExN_ugJHE-JgOYN^nOg$fIRxJJJ2@Qs_oNB7F`lr67#327 z?2iYeB_1yi&LFx;SYzahkF^cGz)7At-4q zLoG)soDdPj6`HgvW6voD^iRbFsYPMaRDUrrLFhe(xr{i;QwscnP^fF0;SG$mTN6L( zklw>b-u_Zhp3$R=QCIL}+NSWR?tuH>4OiV!W{RtT(qK}?UIamVTqQ(7F(=;r&>*fo z@M)amYG~dIsPYC~p2B)KZ@MwR{!=HMxYV({aZi=;xQN()Ec|2cz@5sJ&XNT$eZ(Zctb{99;Ery!072S17@(Q{h8XgLEJ zO(ksjucqZY3@_+*)+{{hEquCpU6uol!Z(l$T0E%jE@_IQ$DG_ZE}Vyknsc=NF`72; zPjSH3@#UF;|r9n$5FQ&vgr{qq88@k#i?6z zQ-^p2k3$q~y5h1c9b}u+6?uL6MT^Nx`HIW^`S|3De8P*Dgm~M0Yqn~B-s&Sqprrt9 z>&pXYtJAiG=InyM@fF>@M${=YbEak1z8(4@nwY{lPt!Zw=cFSnPg%J;En9u|LJKFdRYP%B0ZC)kqR7OFYH^*=*I%k7h@pwonr>rg zWU;n`6h*6$&dfbsB`5J`STbz}BHEkWJ}%?4avM{_yDd+zrufy-e>c5Dv)$!K8H=Q; z&#nEv-g`x3Sk%9q-?=k)umcwM_ttsj9cNc?bZ)0rC~a-n>YB_2z@|}jQ@dF`5~F+L zK&V24uMAC7!6khd3TV|bdhfH~Lx)H5=gVLyzREU6C->)ix<6FkJ)J&PV729v_cgEd zX|P&sH#tmqO+@WKw@CM`3yUPn+)9yr$(HpJxQ4rgq2^lk{Hkj1G3REXJjre97A46D zK{-N(zeOLZu~`g@Z~dsP>Q;wQTwH}5ePYb!ufOpYk5WKOR!w^O@?CUxNd6eGJ0{vs zDFI;)SpI9g)z$|kqkY^`Qfptz!@VA&W8s1N)~is?@nP-cfz-j$TE5C9p}$On92?AY z*-^g8a&uN#n1HyB?13J6p%!{Xo0CFtj&p5f)O}y1D6tV65g%3S)Cs^=Gv#<*yftv4(++gel z`|BKJ-oBcUk2M7uCrCmJOG>_Ojkgrcn}Dh$w!y$5|7j^x-HapOsNg8=Hz4ctmGp4E zp<;PtxJ@ANBNAiMiXz~z9Gm{m)63LciPcZ|&=!tAWI`<5eYd`n{bks*4z@SnrGT1U zHQEh7(o>do;yP2*?DU!hq@RVlt7+phM7@K1@`bN0#(RS@vkeu`Zp{0l*f&C^SnG7k?gjcpMB7G2k=rWT;(t<7SUj7G<{=!X`jWQVc6$7l&tFmyHN@5^qpbSDW7WdKnSy?ocSMd9 z9T1MB5-58Ero6F$#D^&&6$>9#+mT$k8Kx0{XxN^9E{Q5UfITi3A&)}X&Qp-9N^dO} zF8}WZAqw(%8Vga^9Z4O0rS%FuT)+Y~joD6zGp1bz_@+zw1Iw`k?}q-OOnjXzb_>WJkz#PmQ2BUR(3%StG1N+q369AVidE(Hz9R=59#4XY@=va*C>dO zH;qc7#H_5CD%TCfb9)u}%e|_;dBAl8A8kn@9R$(w(Tkh1(9kv8D*^Kvjp%TbG!#}VlU zsAd6Q^=2E+>c_OANplSsDPv8SU2#fdj1au%s3bhnU<$gP0m>tJXm3k?C@n=m(fX2QP^WK4T2Zk#4>qUN z;i*GU?HKnpPba!1HjvroH<6_LU0-t|1oElE9uvN937Y*o~S1JuRVRM$*^ zUESlU%<+v3m0MQTsVWM?9|6q^DlAc`_4_hTg{TzdcDl%_zMVJssZX0vf%EhHi=GT! zHtW3B8Dq$0ByPd}GHb&-bb;m0Rk;a7FF48=aGq9cA6;-{q2Rv+kgP%UHG+qnjHi=q zwB!Z2Ubl|3B;!x~dDNMztifCGg$?+=G2=0TRk&;a?zP=n?|;hUv$H9ZCa8TY&u9A!c2|WB5^U_k*cb{OI-J_3z@K$mUil+9Y8NU}k@H9DF zM4REtnvys=fKZcZ9RlCfhmrn^GxS??S}LY(I)PZ4 ze9T)!tgX~@g=E?4sH#Y^2?aqZH;|^Ffej0YV$mBRDHxyCC zr`d%zB7#gsi_5Pj9kqhzAJ3w2X|*kIppx$$KFg)F>0g zEMQ3nmCx(S!F2ST_8EcCOrg#AcLzlN)Gm+Zbkf_2Hc%5AV&u#~ zof>STRyu?87FrK|!~3B}L)zF!{=T zS!z0%AKSb{L%Lvr$LWn|II@5k6r7wFJB;QvaPB6Tzq4ToydU{ z;@)NKzxm#sS=!nBF)%q_xs2VP#1?k#hcN!hbW*;=^>dl;z^DxI5+hKwr@#Lj);oZwOqaHAog{89 zuC}9)weroAI_wGBX2^UXgbQ+#x)wZr>*uIbQE=F(efnw->Ek7@$6>q`Qjw=;>AAr? zBiR<%sSoYe9T>fHVr0Ig?KMW1C?azHN(!C4COUlT0W2F&L1G(kR|pWX;W#S`&q7`{ z$pv;@h_neDzl*!^8TbfXvpBVV&x^Ey)L3GWpjEdP9g+O@wMpm`PR-AC+?S>_dX{$6 z4$m4#e`J;Uu*#)E{Cr6HV%y^JT#b`Twj`97Fpq}D2nQ6%FA0!3ekR&xTEm7@ISEb} zph_T{;?{Hq13QI^ZiaFEqWIyDg#ZEoQu?zU_%-7g>oiIhsr@IO6f}@XdexTz*wjf%;IzXv z<6w&Li4$}Pr%i$)X$ z9&jp8v<|ByK03@SsQsJ8;Y_AygvkGzjeiJ+_iRA?r> zM@mpcCKiE}1!tQ{BLSC{u!Q*C_p2M@ zgcTtb0zUt*P%qd_lu|7pH`6nG4~feN$clMdVhj)v z0Rj2Ezw_Qd?z#8x=bZaI&pFTSZG-f+L1Y|!`oK;gFbD#=fCGU0-2WT?zeN7mIYG1N zmY0t0&P6f`k_b>+Ak|~o!`WMc9STMNUgZs}WtaLjf&<@r2&xqYgDh%dV<3p@TgY5| zVcmB~J`8yixxquj->$oaoPdI_*EzBwg($>ym0L8U&lg1s_Wx;67i$PZo4%FNe+H+Gtn<0)<(g;2@vsWp|BZM z?}E0goRa4&F0sk}!jLxtS^v6ND(wsz>bMwFQPi>MsrMDIhR@vw34D`S?-!34A(t#w@t1f@i9ALe0MM%BjCr z3Ms*UK0JeLymxlsoWKu5Q?s{nlz3l>+7tAxy)nCkSlR~|5Ngm(H@`N&?e{oBPR7u+ zcZ=!uFE#lZcUZqj%b5$cvYcn+B{Bp`c%91#4PIF3!7-82yujPEPX&HlU5)df)4NMJ zZ+VRHiR@fPkeAe~MMA>HCJ(akh(=6}2ryQ#sBf)3(DjBkbBhe8v&WIGyR_YabW4JHEYB>}5<;VP+$xvf9{Ds}n zc$KS_&&lK5Nt+JxWjg81js@=KQ8@jL+jc|co9%i#ha!elUVzGpV{dGUk)u_yZ#g_Y z)QQh!%uMXqbiT>i^n+X?MAD^99&l_~8c>y9SBNj&)(mSXLS3ZnGDv0;-9G{(;1v?i z4q9u%Nc&`b=HO*a5Z9p++rZ`Rynr2X(cL7)*T;CGZg-wfht5@ObO6a{2G}r`0JbaSz4m8o zB_Av86klB$5v82ehWH~E@E!!#&%CUQwJKTbuM*<2#R^ z0G2;>Ys{s7Wo!a)TJFphsg(2)P1|qexyxhJYTT~0BBgA5g{T*xa)Lut6?4k*P7=6( zjoWkgHaKV6)AP1PPprk%O_iFPJfHRsQh(l5>8#zqIYFIW)YasEm5p68I(+PSz<04^ zvA{kb^s+8fs&264gp{646}P|LCt;KA3uY=OZ*UaWI7{@jpXe%l-213U$$IvmZZkrP zV_L2a=z3h|sDOY|(&RLzG-Q5@93U8oc8YJW6Hh)nGFyRdB4H)U;&pI_$Bc)H6m8Z3 z;qhYF%-E7Y;Yf(1S<%aV5|9?XsF6)qw_pg19pygW2679csJWny`vs48f%*f}5ee~l zs9(fByW1fX2QthOzChwIMu3)4SxeRX7I+G@^138P5QWG=w9PZVqC%(Wpg*D-OA-=gBLUj^ z8vP76xN%Kvd^p!2cU~$#kZBK!>gaP5iq3_JbjC^Xo{UP}K=XyCf{K=KipWvElxOE9 z%ibom!A!A$*`b=3r0-U0iq5Uek0gi9D8aUytC~Sc>FZM#m}r@-oVk!Zt&1Z z9ed!hAYtzE7EQ>*K5me(7!)>E0NP~2R3RxKKbd${e^98-e(;52e`44rmxB=uNGeF! z4@Gf2<>qYW{?8V4%FNN`x2hl8D`AnVTaU!SB|MYqUuB>N1Yc)-K4Zj{zm-YszrI`@J871E&`=(%h*wEwq-&R?| z*4f48mZF}84k}g6b)QQKc*=tg*0&4XrZ1}#za8U(@(5YU4Ox-zPBwsB8PvHl$`w{; zvu&RL>2AjIO5M$h=ZCeBSrJ2mpa*?3@5L@SZXSB#!ndTiHHJPdL+#FNG6^Nys-0a9 zG@47Z87WpW0`6H-jknFu*~o8S$!i$8H0712*4NoX_d>-P2Lg?ilzM37YxrYg$5W10 z4_{YCet|09e4yJUM|b2uLt7{l9$osbN3i5I86uhwwR0E;b5jJcIt~d z{3;X~zyGEqu&pFVe{0K=clYwSR^FZb$NQiz^{DYN$b3x*wY`H=iu9w#z~ZM*BPPox zgHa3Sx}Y^@me?;xdJ796>|gDBd044?H5vv68>d!{oOa+yr5r$6cQoj9CE@{`qR&Qz zK0OMyv{6_#6oFR5n-6GfkS?!SaS_+<;HvYetDSk*_fWM=&j&`LyBdpMgq<&W`$LP&%Ixa9G z#bb=(8mbrA*;&*?ED4FWjA3IrF}D&xMoF)lm!da4uHtu^UH`*H10pTAHj$?{!j4Z_ zMr1K;+tMrUtNQlt9*SPGMup0*S~C6p|@A&l^rY!eE&^<-Rv~FqF3_ zCK8ZDZ8$QIjtRP5El{XhE6G*y3ghr!Uc`3YOfS^>QS9Jr--ykD<2GB(aVaPq%kM!C9wz?!&zYdc;Lg0bl(ph0cBC6e&w-AuZ|Z9RS1 zo|Si#-ky+#2!xx}W6D+X{1(~C@x~n`;P3C}B)H&U`sgg+yTS!7RPX8qzZ8Pg&y*DT z2>)`rAVq%M%bL9NY5tW!AUknba3;v{Li|bKbXDGU0pIM-n$Gh=*UNKOD54U)w{zRR zi#*qhrLk|b>*hx2E6xK#g`lih$k}y!f3B}s!ABX|eeOLVFOQ|$0xCLWo)x=|;@;-g zYkGxMvT2)YdV;`&pxsijfhzL;2mZ1mymL_8ypF{Nf9yp4yud*LUhXqHKGjzfPh~_RKztTV+ZdP+tm&T3kQn~tVGQE@yI zTpOqSDEds63YW2QbWCwF_(YmPLi|xC!6R8%M+ju!KPie2ep4!Zv$hzYqq zb{kXMrmo{lD99H!G_7~#pTM^nVq;t2S=s>iw1ucby|}y5wCIbWQS$Oibycfy5Drle z8TD4R5H0NUUS7cN%}9)nYjiF59EG)fyN2U3D{Y?WQVexYy0YaY?@SYKgT#yIS8)f{ z>t3=h@g;;j^pjz&*e+xne1zW07?Ju|+I_FTZIabO5yYu#vwmyL=hKE;`Oimu>H5T~ zlS-E3#i7vgh#%W+uo)*kT%NE0I0nVtzW7~o81uNNb_%9zF3ffT*&{QLrb`r%x{ z0iW?Hn4C;HN#^$Shhd4^IyG z^XXAE=odARBh=fq+jxVGOJd?1uy}crwdkZEl6`~hp3J3(BwD-pii&ix7A^kkw`7}j zVMBp?95(~+p-F0R&?0xAHk*(Sl3VsO>vkv37uW2}`bF~9X6b@Tx0Xb8U4GM2R0?2W zPRnn2iEY8{K|XI_e${PLUs_m5)VTr-JgLqHK8>XXVFIS5`$yCb85f zAIHIOH?>4ZM`$NHbj`vv7{OgkEN}T4T|>sK&Xjgy##58cnOzu!|PU zI&|&4ckom}*1+uLTs_|BQXr#|5b5@R90zW-iwZE@JDCPZ_}aws)iG!27LI`pa!X&-7{ zAL5sZ9WrfP+=LGot7>#U@*My?g$*jDqDgHtw8>jS&z^har#j%`qaejyY}r3o8fOMR zOX6JXhUwpiHTFJ%^KD(&XavS?UmNHI1>D;5cc52oMwj*>)lPD)LiuriKs8|?wWdD2 zOAP+3c{HJ#Q6p4%2RTD2sHGcSZ9fhRFQ!Mx_WTL)+?y2&xe!PjmTR&vOR>*w)>!RTM7*h|8|_-RvKdABE=KD|Sr7@VJl zkmN0NMc9ZG&2Bwj^CV~WEi{gF=d<;kFliLF?-r`Aq`o^2FY$`WK?{PZB^DM0$8$o- z1CXF0aLja#_m7fXy9DcRB?ANB>Qv*PNUxFmsJTK#375C$oYm=k@8VlSo!3=NdQYtn zG&O#j(+tmIx1+4JWrQiDyPOxSHH)U_XN@Yu%EFxf_sH9#ZzHH!qnER%_l8&({Z)UD7w>2(|E>P|N z;vbqIA{8O0eZACkW7a{YFz8)~Kop<(El=+=bQF@?lfgRy3nS zNuE6d-V|$}lFAV7zt$7_tKYs<&DlW;^QT>3_3md_GbZ#l@z(a(qUyma>bOhSP>kk) z!D%ja!Q#;O+D3mk3FFRVA8rXQ1#ph9d>9gpv*uKP|8RVJd)e!owIDQilbfl(mod(G zueXaHSH))j7zd6z6C4wtCrT|Q{+GzcFXsz$H>ET<(`NqJ8pA+|n((ujo5u<5tD(6m z2vnp7Dm7Cwsz=7#BEWh-=VMF@+^EwlRnnPmHEGCY9um;5P7l7ydN|Wwq{u~u6K2{; zO5DSiwS{34fB!O6M(ns*Mg!YJ-3u$p&agy8d1?6@@+WVF#H#8t@m7geGp9!61+Lex8Sz2|k$N zSD*?%x*|@{1A+2pAL>JH^jUH;ZxjpM`|WnM91ArXff8k-38I-qy?KmxtqA(lP7T!Z z_B%*n%{pE3pKM7rtc_qP^<8$c0CBpO<&~-A4z=*>>;UO@A!!}MPHobmHs>Uo!@0?i z4#oxfG@XR*{nr#`)DV@5<}N?L9=l5DsXz(C;lChnxQxJRUuO&3%H^vRLZ#4BRM_Z( z3~2H5t9-S(JY#VfB<9kMO0yhFSMt@A^c6wTUq`9@BZyV0X^9gk+qHoX2aLPqDGB6b zen|Ugo=zL*;&$Eh*N%wZb*lkfRd%U7A-Aki8b2(6##f%;U_$?muL5L58yP@c!e#XOKA&LIt+Z^OPl zx-9YO>JiUA0X+Jkk7iJj__huV7xft`iAXa~)kfh;74xriH;O<$W%JkWyK>2Q&>m13 z=e>_r9x8w7z2SUaQuice@AGmIqJqB$I?(D38*56h*TzhjDV*;LyzEMw6O-?CIl_+v zKErI|Ndj!F!AmxsFmB3l+epyd0x5kCG#rF%72~roZ%Z}xW>Yk15@iTG_0f;?kv=8h>1#>@`I3AxAom`TL;DRoyha21O_Beo4A88;5D z4h?&F2ENSQfHDqw2jjS{6kGlz!YB#9_IY<5{v{E{X2%#ES`@*dKXIFFP)_XFO(t73 z@8MYd7Ha{?aLjd`0s2mi2lx*UyL<0zm(;t%-|9(k)0HASBGQW)z-|Y7$-58TgaLE%n_`i{L5mQHK(b z4#h(7s0xam!tIa-T0L7ZXH%U84N>Q8rp?K$sc>A(Kh;s}$EQC`s^Q}p%jLH|(`0*` zI~NEu2c0q(Km6DcQ0V4j8+Q?A+DWoArmePzSqdIC$C>wyF);Dcw*`)Fn|_hG-Dn#7 z*~&F+lvtT7-cQmy)90wrYJPrKJ>aVb-BmZtr(b8DV#{he-)Ru|l2tcY(^SU6YM*79 zobEeyy3I}hFDCDmYfP6z4?(Ca`TQkKf~X-!n@S=^N%viJ7L9X{waLOMEcYsq53zf{ z{sS}JfF{~Xn%j(v=d}9Ne6B$1L9+o#&?OmE3HJ(jQMKtOa|||@YP%U!lnrpdQgI(w5flGA(@gCuj*lm5#LJW!wlf09~hrB9bsMpIO#uy z&0VeRoI6|FodK~$SVfT+{kEjYlD5sHj;qH5ZxK8y_FMa{QD)9x)nO~4Eq3eP+`p+k z!0M-8yR+2JeXdVGpnWKYTL7}-OZqg(aC(ajG3>5!8BVWOHdFuQy*cqL4Va`YQ1U*} z9FL|77*lWB>UXa%7jvFS@<5ieMbyN{p6ue!$jerxm2;k;m$vboq4Gba&3d(+5amkr%MmF6 zSqJ8GaI-0AzZ6w440Rw1R~$JBQOM;(SRGe(;~@wr{T+nc=RP$;`nZh!fj_1jL%tbw zng3!im<|M$BNEp60KFK(KC3&{BS3WT%bspV`WK`(n~bIJ2C(y|kTrU>BlWzqizVg` z=%Y)&96a${`T=15tXMp@8F&ih8+W(1r{`uJ{p(b?^{6RkQ~`je9|9E8ls+&;L68OY z7ZS2@`5(@g(2026&)5fzI7G3obt|jbQ`a@Wz3cd2%#!-3jtAmCWK|yR`#N>|9V9`i zV_xR*@xRpw_b2S)`OiRv;wvphjaCYhyMle>_zaO`*2`RE0_&5!qEZdfDH+`^cdZa; z$z@sqA&Y`a)%n8}6hU;Wc}s8iQIOzxFJDBr$8Lu*Jd;6zL^CzI?mR^qnqQd1eOy`X z!u{8bzp`H9b6(D-hV^aiQmZ`YF9x2<#>$w_6yb2zB!bJ*;Q3s(od?OFa)`tuI&{{XvNuGT*?g zgdhJ9S<(@e+&>_aoM^>nZYMU@@M7F*C95lP&48qz-u@32%SRx|HQ`tNh=?-K?Psem z720H3U-8g8h)>M!aPZeO!$jk1P-)PesO`eQY6vmrj5;Jfy1f`=U`B*@Zz(P2_P7G` zeO1%oWeOYgS*rQWO1yjC=a5`kko%~|Zzm5^;mjB=Kb_(_&R>sy7vi`2knDifRLH*G z))6@}4%6Vor`U6La%DKUtB%&wtS>2Lq0k6O?+}-a*q4m2B$9bkFdi!L(^VDJh5x(( zmf!~S8b~h|7qEjRy+t2p_?^ulpDs;a;m!@D+_R?cqUEv95kQ3Nu4>lFX10ukY~-^< zV2OUD`ivJCcoo<1&t5da1t9xvQZZ@yVY-3w`khaGn+y}=J_KT)3IK!>FY zrfY%}WWZxqTWNU<&PQlu4w(NuawHRt$2PDBL>~KV9zjYm+H#{P;>fFoG3tBYcWzKo zA7_i~F%WSy>VWHD(g+LgtxxYszH4!jwdtPz0EzxSxr49{Tp8H}$+@0IRV;;z8gY=# znr`Ao5GCrRN#D9NeE6ega+SKe`lOQY3Prr>tQ$Frfl#axi|=U+nx|v;xlD95)+f^> zBdIHXNT(}cai0t96T-=D)mYo!3E_2Hb`4B*2^wdJ6_#6H6BPW1B6+nTZX{37t`(kp z`kr0t*1O_0u1e97-{2GXlDs83S01cAEo_!ajT)phtk*}gC%f=xmOuPFcY%!`i@jl4 z{}Cv?QbIYacm2(qRp#okRP3aA#;4bYz1vQKRnNpt#sf}kd%t|aJk|wmj_$lP(S=SO zvf`yoEIrZehhyG5^|Rdfb-8xC>2jhr_N7x+Hx^gjBqM__2(n*WHAIqU_Iy*8+H|Kx z7l1FmlACSIi!}NR@UwR}HWm7Figfu(R$svkW8)|?QO$;L@vt~i#1R2^c90$7D-33{ z#P4jtxVH;#rXs!wfc#Q_amm72lUZ(Zo`PL~ETDvlWfR87wX%_2|MI3)mhn8G`S_Y6 zQqoNzaE|-1x!QU*z9b<@9`R+&OG01j<-ew~&I;*fLILewM2os>UJGry)5Km;{hc3~Nx&`<2r+Y4QSxk}- z;?d3@k6f3!+YkUao7vM%IL@n!{mG<+msR&ioq~Y*uSK;Z>@z7p+gj`s>!;cW8uMz6lFV=S)(9rhFmtX5>M_GC zsr)R@sppzIz8Nm;U{a@L^xsD<4?@WhV&FI&M~^zDXn$R*a$^TeuIGwIg~TU2#d zUBqKV9CJ|)%wI`?k|Gx2r~P^LiAq=G1k~X_D`7rl5rRM|HTjdUPvmigaGolWI@4Rk zZZ{5Y{f-5rU7`Vng?NxAIfz(CG`=8T%DWoBGz z7Ndwg#<+wpgU|2W;%5$5sQy*E4I6Q#+KRWLbs%pXWi*6;7RVGRls2Mug|h7moCcuO z4+LuX{!0p-8nZ+lg1Qc>qV9vi$&lAK475?)<%DVbZ8*9m5^kRZ3Ad&CvIxj#mIW&y zzq{UKYcoB9Oh8Gr;s-Tp>!U@K&e69lhk9MW#3aA$Nvf77h&qHkpBdo2;v9LmUKe&!OtOk6oU#`n<{uc56atXQ9Xa*kIxI@^Sq{QX#T6)BN~|YczJ`GjWjgolNOo)^?DbE3GNypjIY?%je7o zg(m-e>z5nnwCo*lX4qTl6&>YZQfN7mP7a>4()e)Ss#o}2z(!xaX{G!#E8*;j1X(=| z{QCqx5fyVo)ycTiy!>V;DwQI$6ZLnw)1kOzU$j!;*j|0>Dj^2ebfan*LK$H3u%x*% z89hHFCQ_zY{H)Bn#j%1fo@%N7eI$oA?s^Bl#uJSRK_2elJYbpU13_uzkC9O1(-IO% z)&^d7@%kf4daBaSTgPp|P8Zd?+?~`X*BW@)!MjV$Xn;9$lB)UyN7319T9SJhVV`Nd zDw~ZQ{fT}n@v_Jqy;#uoTKB%0#+$zX;T-B+&QoS__rAm%WC4Rt>qo>uS>21S7818F zcb&`}#Yb+@eY)d^VBTlWJr4SExvu^@GUm!CdWM8lwc<)_EMbG#J!l-}2BR8%*>&D0 zj9N!$y#ZF7zCyVyC_CmYC#kwH#6qFr7ohp78d z9Xm)k4mA;vP_6C$q`kS8_chBn{gK_1t!a%5!6m(7J3qeeP& z@YCPumxaFtoaKd2NFTR{>F+0;9hE;NI4_XbbsCslNUDmxPc(drcSp3)7r3*2HQpsR zT3A92Do)(_d>KE+TFG+51s`+N=^rPQ1k2L$(G2irSRy@-g_{XxO=BLs=nY8vd@C6@ z;03*RwLg*4k(G83?EF(#dXW2t54r`wpj@bCzPgPy2Oeuf*?95XWaxAb^Y zoZY)8QoM=p7)JGJyjG-BNC(U4d|JFt*lR6ezXU#(BP|7-Ms;=zmXkWKD2-aw?K|hq zh`%UekRmkUF)jK7ajk*01=XkNHn5bxh+GUF*uS7%n86}3@KGJ97Z9HxPBK_b zHf`miL*;ZH6*8AAn4ZnU-`T1X^y-=+AFD6rj}-^UVmHmt!vgZW=^0b#H9#4?%a@lg zmTt0*Um%Y@kILN-Zb++rVr@0P_R-+U=i*bS#Jz+yT3pkiH@&q~Of?QU@2+GbMgnoc=Z=p)-KpwNr2@F`d)x&s#6wZA;4{X~ z+~rzAG4Srw&s;y>a3inyAWFcd^3ND?ibbN;YMhe{yQRpLeARNgvy93MsMGWGOcoDB zb=r;wnoew~(;fK9KtY_J`|J~NEj3t*SPT9UEd6fQK=yv0hliwgiTm56X~0rVAEvNR z#;GVz%93O(lVLDor&Ya=-_P^+Q(eYpd>MsAp))%tXZevGVE0gp3$wD~vU$fm5h-CUV$p@r%GAK>Ywc*A=&RY-{MBA5;S3qlVl4GZ1dXK2n%{Ta z1u-dbj$=>#w6f2o3x0<*ZHtmd80<0vKaD~!U|}5h!CZ%E9)VwcupEKLTI4K3=py`w z>Vgekf2%Dtmm+?~k2M-caz2oAx>GxM!IHh=%Wgjm03r$41?{Pc-_YEP943hMa?I1~ z%^2@gY~_u#xsewt{kV4C_PucWj70m2gYeTu?z=Xxm2mHT39Rq;4JFN9(K+YB-WdFw zO(R~~;B>5Ji#fa|TxbI0G1ecOoxm%{2-Np~4gJ}KpzN08$bt{3w=N&=}qeBxAqS0j-zaR`^w9K)gd{^vUffnnu zca&}h12r}EMJ+3rad~nZ0RDsqaY#%5lD{M>Y;arlBl3H#Q9MV_MxZ08)Arp;eXZ}2V z%&owUKV9}DXgtuF&K&&*M%{fT*`ts!_YIuzMCs48VAK+`UaN8&mGgmR`U4X&mCqk# zIt6{?&FLQ}qxA+vUn{wu$lg1R^j_|>?uOC~y5ww@yYl9q-V(htJs|z^>RA0mS5!Df zk8U8z!a5+`+cW~Ut-f(;fSZ27OfIN@Gj2Hz-r5l&%ctHBWs@g=uh3Gs+5@`rB0pSeSBIt>q+dUu8bX|(oB#Ng`mT;M|BsZoH)NuS~GPwts8iTEF>%%DcHhcXy@z6 zYXSs}fPuXp5G1VmEMmPEjYPa>AEMl1?O3t9xKUj`oWI@_#c^|=t>iXazwjW4kdO#E zopYyiz7Tgg!VNj3Wpnuo0{JG`xBFEBzqt8~8$Rb-v3oI1>Gl#@xEOx#+B*qZKzvcM z)6g7zEVi;Z-QhzW_3OAuInEwPJ&eJ`7vQk|1{+FBu1nXDoaf~pD zKmTN@e2f8Vg$nHV!62;u$?V1ez?m+7xtjv z{)ri!0>{I5y++P*!dC>M{5Ph;6cF^E!8KjoGC}vQ!`mQi&Pa-2I3H+cSP<@KAPjF4 zg|l7ZhnL*7jQ*_-!YDgNT)quS!MSuO<>G_b${Hgex0zgF(E$jyb7l1*5cznBofk3g z;{447guxDKp~`VH-?M84|Lo9PoswaimL8k2oH7#m?>b*}S zE6+7`4EBQNYF&#bdesHyd#oV*4ME(WWPvr;yv`{~k}`-$C<@8mO;^~m-r+j)g8nlC zf*M}JRljpR%_e^{2Kr``xd7t9^!SQa7^O*qhochCnHAf3I>2+jI7pK1Avc{pO5JMR zHjBnXkeK8#F`X=E=d^2|t-i|%K*ESsXLbyx!8oHdyh`c{&Q+}E=Hj?IiX%!E+}bst|BOCUvOS6sg-Of{Y3eHh(#a=?)FHo|Rr)nqG z>XR4k0aeXVlu^Ck9)Z&+b*zy02TYD~`GV~KLTi>*ciZ!R{*#>}iNEVQ$Ps~v^jK%- zriy4N0s0xqfCISe#F(KGGwqm|6>_tPDB3a>vF5Vv+~_eI!Z4*inqUT-k9Nar{4oXB zI0MPmG=?#2G~b$3X*uHfKeLTnnsyEXJ|w;%SiAwbg(Dvp$lg67ZAwoUqw&6=9RvhvGtpK zeSUNOSW`Ng#0=S4~KlCdS*~w*3FUOaXB=h zqP7p7iMo>wZfNP||Dv4v%ne2Z+2G)+8D-b1^<7w0B-ZE`hYjKT3((gnSZo(m_?CWZ zm$0wk=?Ir+JNtnCXqboO8>Ay}Wbg{;t zy1iI)R-7zV(bx9T{z5t?W8^zefx2FxC5Rv9MRL~U#F~zkpkh(dh7-_u-g$`J7@eUS z=eH_hj9KvQ((g`~Ygee8SIoDuQe950mA4VMK1Kzpvss06NCZXOa-;{&n;`Qq>-x{= z@AQ+M(g)UQlm`?JWIpsFy&o?1dnSPk3&xH3U@}4J07pGwGuE=Jr2jG1*H=ILx7kVd z`Ufa8Q~k~OCoBdt-9m&*rBvyLq_BlWq6=~|4Ih# zF!7Y3r-*Z8wGqfW1J>vAWazYx8a%K%Kuc}q@b!D$1e%dng_FvAL?;*|NC~-`WgR6p z^1eq|O<~s(3=yWIP$kh4rC4ck;g?cYNOW4}qnB_6=3GM~^^|IxCX(Ddg7$N-Wj}Iu z?MULz39C}`|Jz%k{ZUDFl1{p4`;x=uJq6adc#s#U&E5}+l<_v*lL{#5=oUDDRc=U4 zTS&e<2!g^3)BH5zAH)44N~Y>97sV0^;v>-Wrm}hSY&1Vem~9pr_u!&JnsT!EZ#wz zn`+?zo5dFNx%?LmqabP9s5=JS!)ym|h)?mw5OBfYze9u@Rv@T(gRaFDVLZ4KEuA{r&Dea8uSJxV zTfO!m0Yk96oKa;Y$TW5Py#fE3kI6`x=zmyf7RaTfR&o;q!doO*i4!V27fAg4JQ7PP zLs;$$ipNALrQ(bM-9n>$l!4P{zwu+-9WS_!10cRh>vT^y)o{U5X7hV5%nrb{KUZ^C zYj5UG>I$_hr|B))eP;?dyGn7qRIC}x*gQ|i(J2srV6c$yzLU1#9UMh7SclJ0mRH@| zMeod@T#S|93rJ9&zbD9x=KE_4LSoa9KWg+ZkTvo^FQ=6NA%i{kAc4pp9R9_{>GQW^ zqNeyO@;HB3`Td}jgxyr>-oECyLksZSBfSZ|^RlIv-^~?z?GAs0g~H}Lhe!n%MenB< z&E#WOjP8}jGEwP^?*@{m_UTayKJ%>F#0A0idQ}q%Ea<1fIEE3@QzO-xK7bCL_o_jn zp6H&w3&A1hw+Up2@@vo--wU5M(cL6re~*NSj+SBb$77T|pRw$Z^AZPO<1-SQFGRRx z*9rmez?r!#HBF(y2q~mI>Y==33CgMRcYk<6`6K-(&al^9NJuMdV+$>!_ zrkVNlADE&*MT;Bi{tYS2@p{R7lSgxOQ1}d=bM;3q#QZUq`kqSb%HSq{2Ahu9gf9p}Jqa_>Uy58QO1d1;(lzcRBI0lv~o^=n3;p5xA%CsS;tJtUH5^clmk` z6u3o5x)z()UA4|3+0-6Aw&b2l8hx=UqK{1ajMvneky5&Q(#d2jZP5UI(6a4O^FO31 zhS}-iQe=^`;fnmkvLSfXewqFX^8)aC1AkTLGW=|JMJnB9#=cI17KkckhV2|Lv&CNdK-4A_5o@rJQ z_2aUr&9cQx1onV8hhKenay^ET@q}$u8eD!-cu<3$)KqG4XHQYHVGZrkOR@QA^~IOS zDrHpv41qxGl&X(?jQMh27x)%nu;0G~4*PI<0yEtftKvC){r=bIR$X^{d@S+YSNBs* z_7P8Y-#~n90yqB2Bj_ve;!qI*LzP(Ql75cJs&CX)iG7lM8(66UVgE05_M%5y{s+N;Ej3IDFM!(mECo ze;3TCs;%^AbeS<^2xF|`yqa8k(bstD;y=$OD`cm3JmFis ztZ=p%wey=e1eKwzfcRJ7DK7G+?44Y0ug$Dxp8UvlXN&y^YWP$$xya-2CuK8Nq>w+r z@k3NIay13>}xzm-V|yJCZ0Q!u&n0yQ-gn_os*C zI|g5y@lB^Ra>1P;oWo74XD9G%#(BJFe5V2?{Ek5dUdnb+pEnPi&Izr{F3%;F5T0>`)!tUWj)ukah(_(9s znFsT_55#?|hp|89ApaRrO*VPh*TKWciXGif)Pp0&*9Wtz2hn7c?IjKqYXComRutKq zV%LEVr4H|0IYJ!1ZHFHtK%hVn67^06!cCd@J9{Tk%>Gi|;%Ez}QmDT{K4!b%UgtRC z9 zFst(%rDz|px)S`3hy9VmJ6KkXqGfM0PbzQRMy`(&;gg&tbq}vVm?&U4 zguQrk(k#M}oj($xG7GbHTHShkcN=~>sJ0`C@wSj~{k0i1n z;Zka1W*{ovgLD^j-@?+bUV01m{O1ME0C&oI^k|#X2_)=zIl`&z zMhGDnNDwWQ;Z<9F+lGJ@b9>qK$necw5qt}FQW%B5MJ=J!gu%ik?iW(wF=f|_GJbtz94p)gIjSiI8Cx7M437&F;gA%0G!WEULjf_Fo&XNrv(RcZXngV;J$ZAm zHODY19GMxg$HmkG5LN_-bY_`K2`=QR;w0DsJmC?M&x3CY``aY^U$WcxcxtG*rL`v!1`+%mACp z!hce_rNLtiu_xdleF~MG&;jHDUUJhdpq%&oA_3`}06W=`+L#H{wAe-9FK}KyY4k_L z3iU55&E9^-`l8Mqsa65n?xg9i5Lu9hOpZ0x&zy^+VimwiOwe((2J_$_wNI^DX)J*? z9D}qc#7V;k<*qQbfrobCpPBLiBqET~YzZggI|6<;qc~%x32^@MKO@V6MNZsI;^etz zuq-~COT|)eMAOPrDtGb*>dq`A%haj-)!4( z9#Q0-HOnPx@VBW`{8JxtGcRBCYZLy4=s{^nZ)E=baD|F0l)?P=7971~3sTHu9L+G5 z0k7V+6{Z9#oD*9E<@*lkA4Oge#W_?He0I%6HtFy4jeaF4Ies-mJ=H_pZYAo}6 z{--p${VVDhY0CIDj><-Coea*z>Ruyc0Dsoq7A{6^EWBoU@Whk?mO2CNJl$BNv1!v- zXhsmy;(*i17Agy~dz~i86(LY!I>LBSa+(UlMQdkxudvd4oH~+&b}eC%{@sx zLM`lFNrghr6uwH}ELXf)@@v`4bd_MYPiOlTr(zOl^0(L?C%p^1@;svH z9H+;USl_v3xX!!Oaao~Zr z|21?T{!l+)9KSsscbvV>UT2k6$Uc?qy;n+h+@X^1ozLR?Z z!&4RG5mVs6F8k~M+5mN4UguD6=7(Zn0u~l}{#LLL>eNf+D5Vu^sxUU|;3#{Yv1@P2ph4B|(0m)uhS6u^eDoobXNiKj2d9uZyy{94UhK%jiT zlVVRSaaUG`h_=_)pp^Fz?%X{2(}ORjR4vN*!?ioQZ3a%y@}dt-biHdNM|o~o&+_Bek9bAhdy(Y14gQ=U6(4q6<=$ExR@fbTqzcw- zKEHdy$mh^JSij7Z`a05iP6-H9jQUg}llSS=7q*I%)1t7H?8n^g$j|GlVeCZrkZF>| zb$IeK#$@_tVUOe+2ibMfLJ&mw>`%Defd^`d-P%-#Br)_yz#uu!Vow?`x*fx~B)49- z*g%UU7Pd2eeo_B43IE(*H;y;!IQ-N$Gq=_p@QEseh z-SKjNAdd1K=+2U`ftIqGc#?`)HBarQzFFvvD=Y5TCcN{~p{be3HDB|ztJ4yAZn9H6=`XSC#&_WS{K)uml#W2a?fGe6rljXlphtH-Zt zcdpO8^lC=Dpg-DOdTE~v>s9V7T{U;@be%uYV+K;bQ6mVu%aAtqC_ClKQp8q924&+Q z>|P1OK*3#b5*sspPedZ!g((tT##+rWoz(H2cJVXl;hzc9S9xIV>3|6$WLV=9KV<`$ z_?N!BJf(#lyEUi3D}A(q&t)QN+=S#9$%gUnjfb3#^4)a}_0Br-=htP}sCJjB834JH zU5zPl{tx!BN#n9&HT?Q;W<%|fX%p|%eTITB((0`?gx%rdw9|Y2UX2(^?VMit)%5LX z>N}r}zv|@)GB5*-#39(k8Aqs~p)q9TvD$;dP>k3E8*uWjRxRA2zo6dF0_^HTs%Cnp z4vb+J6(V~9a+K4Psz-HvX1Rx!SOZuq#0@4$e%7;>h`-&j)DY>ngOPD>z})yGK3}NI zlKuYfE#Li70$JT5SPm&#CV%geWu%1WYutWU{zpE0cWj4&?oCES<;rv3fvxqXd*QJS z4hHzH#T^)L*B0G#;Hy5Frc9p(WWD9UwuQYq!p#u8zi1S(Ttce4!U_tG0YvX?3K7wX zBvrKpac_K37*4!4M4A)a2VnQUsoPZV>JM2H`){p4G5d2dZ&Y8jMudO=jkc}QcVJ&p z_2|r+YxXd)+kKhh<1L+BUmR1tsnz!3PF4<{=?}Jd?~n>XS(*u^s-K{`!j5ecP!%Ur zQ3a0-ho4MF;_Pdr!g}yPV<$?I7c2oK8i{u6Ha6PhKjhoX5EzKK_b2p}jaGt7!ZHVu zDA<#E$GZ=c5%3eOs|Z@pN*}_ZVaed5Ohn{jEMRdsg>2#Gjy>zJk)my3M+Lu)$D9j7z|+gc8onv>Nz7QaBh9VY~i_rQqnP3Snf0)iUYC83xqEK2g?MLWd7+=Z6zAYs4H zi!5%oo7afow$$-@_|a23F2AW35=Zj?K>(Iob4Fw(2mMx1w~-C2C>yNM4|^wU9oR&W zF8Ic)E2&I`(2oaZ50?uV;X70X~~<5gUH4w za!K$y81vxq{ly!>knK`n3z?Za40+R&34Y#pY{QeLPdPrDX1U2qWRUF(xv0 zSk#)0#R_2?c$hDTNAu{04uO3kb6*SuLJqAHJtyl%Q`P9HvL$cdb5^+DR>|KvKe#`Ck$-d6xa%9_Kb*hZ%`NgaXNN0L zTTX#qk!ru9px%eh^0>-?X1Qm2u?s*sU{Je`T7>s@47R1VEgJBz$(~+^=RX!*VAHSL6x-dG05H? zkz_!sI@*_|GrriUYX?_USrE&e+3;PPALGBv!Qk@|MSa~z1h&`qYaOsZaC|r^P%#F6 z=+?Wo9!7x8%stxCIWw&tqNK+|9HnOr7d#M4h#a|$2XtA(tclDmdxoDkdn*;RexaKj zpm0Mu`aXePiG@4A{SUm~3CBICktKLh30&r{#AAe8Y5$dw(uF<{erC4eamrkW{Qheq zEdKpR7cUFp$wx2BR`BoNHk@T~ONBQ0Ri3Qgt9Cb}kN3R!5It3dY#%1*jWn_;-z&-_ zqzPk%2}AiFZV}4>sfy)*s zhuax@+2$;Cj&GjTukKF&5nsVF9V$fJ0^2Qn!Equ#2AC8_U8akyu{aGJlB3W+t=>$i z9cj5_dHs6{uWZL*;z}rXe_n#j6uRmmzWH&@V7mxuSyC=Qe0fMi9FUc-tuN{M62I$u z6M>KTFv%B7u6k&ebP)4OHvgLK(FOWPuV@d;{7VWvYivpPfV=M-*C(^57*DQZwQl#o zkJ~s>!t+h!LUEP$67M>s+Q1LMI-tf>g_`S}^y?dl>kjWpCUSj-c9N8}{gykhUv`$; z`aHzK6DQxc1(4+y9Jt`%W7XX*+s44Ys)$2vapw5N0&RG;6S~od&1^~J6@bUCB0N3P zvq1{GwIvlEMA|slr*uPh#~q*rHmx%io6&zYHJ)#+Q9~Dw3bYp>?c&((0~xIG;`cVD z1NeMjI{18{my_wjma4$cj?W8eQHl-mo(hYSx!FcsfyD!^MS2zz$Sl)Y%64*8NbTof zMNorxz}XT0wKYpd*5725WmQ&1kgie-2p0~j$T$fq2S{!{1sOG8V0w9 zVMA#ul|4Nl_tik)q@Y|l$jSrEeZT~t@g#;@Fh1t``H%v(C{-22Y7twRT4e`RQei<* z*jh#$)51f4A8?U1*r(DD0ZEK2Xu8S%ElM2*CRw{GL*)#f7LLj;Pgo0GG`a|iF0B*K zY=`WA4Wi|neWN$qchlw5Cj8_I(GI2NH#Dtfcgt5_gfWJl=DMxXr%u2xl9InxBC?8h zXTMH0^vUo8Z*;%kewzIkgDmUG5anZi9h{m?!I8=QYA!q}fA(Y!7^WguR7uFZ&L0I( zJ?b_RrHV4-aDjz%8VqK`M2f53nF`j&`N5J+wa!a>yPu`+4vc%c`!D}xL9_jn zG0}S-L{X=S4dO_ra71(0OYL^Eh6!}NpJAOmqJ}=yKarV##_c|~rLcPHfXzUBMTBft zL&&Owz{Rw2nzu&#SzGh%ACgb-A-b=_rlN#wh&ZR@kXX!PY)DPG3(0mz4*_eG=UtH9 zP9V#N2(a4znc4q*?(fLQB@2^Bghj4qG|C?bNvb;JQgnuek%4v|6K-ybYk3mzclT+n z9Wp-JZB?L7uJp^+URaSMVXmW@tE=wcWB5$E>_b`kGisZsN2sR8FQJ#qxaf@vVR!eK zQ@ZBFI6=AJDNEHIU0q3kCZM(4&xd3YF!(>IjHQ^>?B$Goe@_;*SZ05n-`O)O{tA1m z3#S?qBU*R#oG}EE+I$2uj8Zs(eIDrb)@9gbZO@Zb!%a=F)<#40uG_Jfgv#E*3iMEx z!VaxhLWsAoEgKyAO$28i@ow_I;GWGl#i9)2?1?VdJ{!0-2J6tDHUQs}Ze8%Ys+PHH zjMPke!o;&3RIUaln|pl^26Bs+;s%r z-Upx1D|#{~#PBf#A!ibIsOsjKCsS3&an>uDOX48WJ0qtbrBCrb=-~tiS?u~wOV=3h z`}_`1QldO;{FcYJOhDD5URB%;6kD`6Y=C9%?vaekOf}@6&%Ytk3t>?sR_Vc+*}ZPd zmB6M4ikA-MbrfIC*L$S(1l(ukmr!tZnhCNa#m;Y9-Z@{`Zgzj~?DJO@W#^j@5)Yli zzmjEWnOhT&x;@s^innRDB^sUT*OK-%_aYwkc`T)6XVdIQ1oodoX-VsvyAY2aehkx+ zwrNfxQcrDZNkh$(iAU4BHnhH#X`~Jp_o50?r^Rq44>f~8Wyc1Z%82C_`%aB%eQJ`8 zAVvqkW-nhVb9`VMV%nPk^akinkD(%jfoUXCR5~R5-RmoaccKxj&k@n(#xa9ZL4k9q zL=))*q%Uj`5ZkAz-~8A@Y+>9}W7!u1FO2h#+~eteDBmP0wRJsC-Z%ldk?r0tZ*Crp zNL6g!LzQT^Oy^ z_W*Env>UnX9##2M)2FNV*Gsv|<#C_l9U+A(avZtjQD_WV?gwlxuISXKUn+a{6*mD3stm=NE!L zDd2;oRy;qlt?xisgTIZ3fSA=SkDu2vS_=-%aJy+Qa3D6~pt=qQl zjbD*V+5M2+r}T;y)Z+D?wC^IioxBN-33q4m4QiAFUC)A&#r;C1Of9ug>G zCOis)s`3Uv7dtme_ps&z7N6|%R)yPeMC`FdrpDda)hEZ+VK*(lW6%Pu<~p%cSzd8 zdao8PP^5rb(IykcoCVBmTdRdu3#Z$wy9DC}TjuY5uv~N4w)wwRTA@Uj7)!lYxHw*N zzO!)$cD)V~S|LyngGb&z+l&w{<|!3idOYs~T?HizCS;I`jtsv`0Pj^BV-v*K{5zTW z-@o|@voSeMg~5L(;vxKS+s}U)^LVHa3_4AF<{7WxR)`0{-67SanTf{TnIhdFA84JD zkwd4|dLOD81(A9@rn)U_0FJ9L@S0hK)PX!1%Ufm%#7lHVrZ zD2V-4c5!Y9o%mhzE|LD_UInH8H~q-Yo6lR^`BT21=*>TWYgWd)^Fu!y5Uxi%xrw&R z{QdI#vJt_=b@#nRolm5Vz3or52N6zM5GEI@sOuJ~rd6E}6&S_*gcujQ1y*BnKauSK zto;gQb9-rid#ATc;+J4$XXt&KsxV4*JNJ*xR~E|OJXwbD^v=!GU2fX#%!7FumN%xx+2r;ng4mQ0;B-{^Ng{ z9Ub$Pka)EC=1(CKqi?@W_r5!|w2M1lSqk0XJ+iZEe(r^J6}fC2@gN`d1_J`+f0;9!U5F2 zlYh39n_6ZKKB*5z3YZ#-z?8vP=ZEr;x;h#B7Usr2akn#~$$|(FNyXYOEOLjQJ@>J@ zntdUwOAG>m!4TUBtPnZ%1pP-x5wo*;@^rZfI!0}AUP(Q9Ho&Y(9Lw5aiY)zH!%32 zl!4P%Hq7o@#3r2Yg%nETh{vdC)gX=2s%&p6Ur#lRgjBuj%D~gfNj9LzdjSY*gARMr z`u76z5kN0WZIG0)UxE+8Ya*->Cl8_NBBUs2l;8@=1bvyiX-vb)Z_ zfucF){(I=n*4g8Q21{}v8Qh}`ERvc~ge3j~WTk~BRF%Z!NV_aN#1*iIgixMrC0wJJ zRjE-}4|75d?{w@zHeSCmmSKf!h{}CT@KF5LO4p)((Zaiemr|_B#y*=(C_KgkRMG}B zvvssG_y>DQLas#;*(E#u`sZH%`(QboT+U|?k<97Fpd|+J-)`o%Ikr&v8)3hK8PEZ< z27PCZoJCj7WIG;npHvFWZKJI2-{sT|zBJ*YOE`L>gM)XcQGQ4~7Znq=@e?9TTBw3l zYfaFH75h3M_UJc-p=vub0%V8W3sd;vq)zQ~5!h!oNSQenS1Sq!MxVQHTuNg1x=s*l z30BP2hI<=7)U`Av&#Oz@pE{BQsKRNJgIR&oB%*??_`ibE>oc|bi=0BE`e(r$q!j9h zHA)%bNO_Jr`x5(@WebdJ|Z$YU%}6 zY)IOgQQ;4^O5AIbq&yw&gir9FQ~z~LUU(zLL^OO+6)~URaCb38`Lq#bxEb|@X!0KQ zZi=939vHHaUR)P1y<*;KmnR~l9glQ~|O8|x)O z+w8R{N#1?>!oMdr;5FjUjI~XkIA&d!+dl3%UPrV@bi$fvu6#;HxGWDM!SK43Jf*bQ zY8o;!I{AJDe?Q#M5zMj@*`FretfOc)2O*R{+K|<-0Y@o3ZVFZ=?~_lH?z&Dcv4E$| z`5Amdy&8Q9i@yXHPe`%orcXR$V}MeNYU-b`tfyev#!i6$Fb%=hhk2#NuhP&b_b}vW zvtai`#!rjkHR@;n)3FHM@|`p+eansJp>4X#gC&ybGzpx%h_@#}H~2T$XO1vF_C$SW zamONwMtmi0eX#}njNlU~`I;PNVtPXG?QXaQGVR>$v}%~>DR&FuRQZ2rXK@!c40Ypo zQsEQ{Fgq6RA7ce24D#qPUd>f^wo6iWs&CfWTY+2+=TMdb66ZHDi04P1;qsrnFVKUh z4WvON6N(Iqk)dD_%*Gl|Z-;?hn}uXQm<2tQOBeR}Ev$gs`EwqsMA&7TAG``jHmST$ z6n;V&FW?mi>Iz})w=;AhIdzp+1O6K)d@Tf?C(J8Bx`pO_8jXhttzACzolVsp|MhIo zFC4=1${71k6;2(zLSd@qjGm%=1WBeAxY!2@;^XZ*T*?rM4dY{fUVzHHUDj-ZVDX#gF1#GnSV&od=sV*w2@u=bmk$(nul4$6N5r#wXMstbq~S9(;A zWeXrw1p@(p_=G^Ar8Eh zhzITGlh1{FgzN(r44_*|I{`4%C6t{!`pmEeJJ{|+ZWiRxJIGaoZv07E3;YQjTL3qugKt3F&j@-G^LsHE$AW`{}d0fjJtoqIPU{sjI)5AJa2%S%~^EgO{8K6eDP(B4zH*aia~{MHX=|DcZ@ zQ97&&aGp1rt_t|GBOOHPS2@?=J}TX8u+iB*3FZfbuTR3!@f!JXuXry47EWK8pUszT zSi6hQUL>LprFRgm#V*V=9IXh z5@nY2hR>>G#2&IJ6LoW0zwJMslnz@Szu^V^cVgfN8}xMYS9)<~y6c|UEt_>E*2OgWXK}&MtByC~ES9!YyQ-XQO_a-1k zTqEmcaY~}!N&zgaBm7yMTE~gm4k4{Lmz8tforcO!>qTJK!Np3rSdW~Y8-*yBEk(Wj zGbH2eU$gnETlpcs5)Q8#Xq0a1LC!!ye?G1yQ{tWS5NkV|0as z;)%^z6K=(FK{zf;Tblnk(E^jAysV?D=&U}NsF9-uT2}>FJ#5p)Tx1UwkXOm>!x2R@ z)I^@k?W29nFX~G_VmBA2({jI)TJSW-?{wU$aHcQ4vx(C|jN02dqWo_4ZTTPBs+7d= zk_hF)OtELF$%3YFMqH9A9Uk{+1J?uY=!G#ygkhpk>8Wb^#2X+DaV1UN9KJ8yP4L)J z6Rw|QJVnu6$sq+~{lnnbhELv4ShYZ>oNo^L<%7@hPf}g&dZ~u$XC6tg{JX>WWl;V^ z^WI7H6J|Fi$W$r;dq_vX?4f^{qyL%fr=h)8OQB$?a|8LB7HxI))S81yAGfodzDVl4 zAz_E!M@GR&o6K4ISDPro1IT4^0`g#g-okVW3Wl1dVf0obZGmdHVBbF5KJbUCy>}kg zSrbW8(Jyfwg>Yitg_5(CzU)M_tZFpAcJTQmC@P=#>YILUQ4!lGsa4z$Yt{0N ze+d)@vXV92wcAKwY+`D*$3WL|5rsaEnO&itpdFh^OltbCTM(Xd_{h=dm{>uaxkeZ$ z=w!MeGBqM09w6)KuYt5dBzq)?womu1gU+E;0{{fV1F}liDyjmt5Or-$tx%mrz}?qD z;Nj)(eZbZ2RK;l2soYeol9xRuc~ktY7^HMB)Vn)26#9*3wf+{W zh7A@VHH<|@p#*1lXJe<5-5h8(I~WOsuKS`S4Fc)V3IA9;8Cq@jG}f*iT2ovx10@*+ zjnbT_Cyd^VzIJ}~+-P95*SU*r)G%7-eAUOuZZuIRW91^U{R>pb(&{a86Y3oM!05lx z4Cj4w#-V*Gdm2Fq(Yis{Jt!_u<7>WZQCNqTr?azbxqB7sOLPW3j|I0q}~Ivtz7~HpoDM8cK~3h zE8B4jZpO*ZC|~yn>If@2rSNa?r=8f@rarjTwOPpzrm)AWnOaMCwh2oE@8CrJmUoIb z=GbKf>=1eog!Wcsi7N_}@O#9h2_|@fyqScYimW79P-&+7Ne`T8B|ze>0X6VZ2?6-L zj)IJ|r`Ix3NhCB8WK5D1==!RvOG~=wOuH(}*-vY|06-4~-p3p+S_g=B2;S*DL%3;~ zm?u(bHnIZQI4O}X7rlcl$0zcrZOO;p#<^vhK>-1a&rK_-e$IO0qs+heo^S{317 z$**nrZSd-DG}g3vMI5~bPI6~{18c+zR7$~GD3lzKh+ez_705~0V10D7%(n3&%IYNX z#4!AB)V!&F#%#E%iuRRdDV1}>0rUe1aV9DD>q5<=3p zV!}dSwWZYsNgFbXfwJduJ90ur;4!d9@9d^RsU1>Li6|>1kQ4RNVOMD?P46zf#K2sh zXi*HkanM;$Xj@b`y7G5>bKFPu=@5vxfU?IZW7p4m@av9bDSJ}_tRK@*OXxf#EGFeb zqBtVePRlxC4=S6zP4v+Mp(!*^gx_B=g(eV`gOV}9PfNoz(>`XxP;3}TyF&_+Nri#G Y7%gKI5Oh#c{y(>}e*v*BgI?+VA4!t&E&u=k delta 27997 zcmW)n-28=P z60cW(gXF`Ig~)YY2Jve3KgcmC_-3^u7AZmlp~Q7>7+jkrG@f;k5jHUC_;}(RoP23J z@|9%DC(v3;hl~M5>*q_u6PdN$F2g72Cqd^Do#nWH7PN(*#QrkX-lj#%H^#B4VXBs% z=q_k$QL{5>=XYK24rsZ{X?J0?0V2||_A$jo=Hs@g6^)Ig1YfUD_Dcw}R%!;s61-@Q ztp=5#%~wvbsn19M!Ny?#%6cHDgQMEQnvoj?e_Fe$@GVs`;(p5%X6F7GGsps05#a zIYxOPgy-XIcq6jze-Y=>3-rT`MHOhhzWP!p+m7#y*h(faia%HZrwlq_s#i8A{2nM| zc<3MRW_uk9r3!5mdQE#8V|?XFo8gK=c0z>m`I-7&0ma91%9|X)!FIH zPHur4e*E)d7Z8+1Gpqf?xfX{k&^xLUUEVQY)`Tk($E>#6&bgUvh%je%>(Q=Hdy{cG zU+|4l0+S=Xst4}JU0^%EHuO4^)uvqamDJ2n{E~meR;7Z&RD^6^SW#WRMoFQ|3MMM@R5dCOvwfi$DQOE?Njq zwv7(qPZZV2do<#2^vAT2m-dt;Z^kX&He|XHnZ})dk=Ky!>>Fp94XF8Tvj1k!Y_Mzp z+G^;&(5%cq;B{t95`FPb+V`gfcDD1l0e{2hP{jt@nC=1MK*sP>j7k1${!1nmuaIv+ z-#pz+Fky0hw(D4J1&f4UcqE}CQ_rXd|I3_S+VoQL)lI%IJ3<2Il(>HwtKP(-f7` zz;GS|u^qU!Muyu9<@-0PZbOs4jav@1J`Nji12+{BdTRo$&nus(XIdPan_3+gC|Qj* zjUQY3)On~eo&IG5Xn-?VZ!YR&ejPedKyj$JKo=F*h8&~W8Eu+m24tKZ!H=n7`W;i! zz`X>|?Y5gjlaD>aZt8TIb6t;Cy-qm(X)pap;`N#K-Rr70Q+S;d;1@!;0t3khj`e%| zB@06KEBT`jM#pvGukxmQZ2s5B~s-q)zxycqwqoZ{VrvzsY9Ix zgbaRCp&96?KCOg=(_XZSYsdxvZrcl*iKeakI=PVYSx6;%{n&DBlzCsUrN4)2j?A^G z$B3tXrZtA9G^z-`q5GJZ10d_dIS0PRM8bkwqHOc9`IIBv7qJus%jbmM) znGgkk%6yhlYy!%#Ws`!_Xe&%=H}+RC2HL48yVzm4isC$0O)|0;ZI$r)$XAaK7O0A> zG*;IU>-;km0|xQc?FUpzk%oRNim1}-o73HzRopiH;U7`Y>x@!yFT8jvrZJ-ZBMC)v z%!DEufjyTv$6atgAphxZX_n4erg*Fe>hhE2+Tg%+kE%wNgV7U|txOZ~DLN=9yF!a! z=60{R8@%4ID(Ng3N4T9wNCBMf5v}7r+9FKYs#u~7LEA2UeFSZl9)w;bxFRd~k&joR zrFGsm!-9;v0LkNCtj22jgsDM5n|^XhYHU#GZf$T3#AGGxpDRNn7?4oV zj33GoIPKTUx%{nOOZTJ5%<_ltS4%lC66xp;Bo!e5R!dlgand(v!@mgyvjq{ao!&t5I>(Qz}9*Jin^-@&5T`jn|i9THxPvf*V zRN467K67Da+4DN(N#Gku=#+jY$wPO8@RYu-HfIuh^6RaXDyDwpnHD>+9GSYQtETJV za*Uy4N=|?|z&-h;D@SbJ<>t36Pvi~!)8v)MI^NFe@&YF!1A*GQnhGfGrAhe%!AJO} zeGgyP`~tN|qIaLm+1#P!fAs|`^Dm{D`~dY1<$X%}*jTQS=lnKp+y2Qiam2SQj`^i^ z@Kc1O*5=$@_Br5Q=y@DmG5qXNcp|@F`n^yM%T}SI?zDCKwxKG;&`>a^TF!Wiv2VII zdTRFFhUu(L4^kEW5@wC0Q5s;0-ntr!ggZv1&!{s#3%8GCCUwD($VLg%Tixt*Z#@}@ zH$TNhJnnK@n}3z)1^hbpneW26rq6u&4|eH7>JFnL&5)`PdVLGG40-u`)v4$obr|Pi z>lykRX)ev2X|>)31@c=aY5sDLfY!cF#bsD87@S@^)PDxwnhxdAZfUf6E2AXgG(FQG z6Y@b$aL$2}zL-f+W&Ivw73A&ZC@F?H-KqKtm2z3*YWA*JDI!HfUTN=bc7K|MFL}Qa zbaSL09#SxNp7-Dtz!a}e_hlTdsbA2B0eUoz%yo5r>f+W#>$SH#aa&-_}NEPoVp zqH$W|C>o=R_NP(#A(sHUSl&Z_ZG)q@Bp!p{B;~na8bxV7l5>as0pz)mtpIFeI0Xje zB+P_CVr4TI7iXQGj6>$2^RY)9CpHyikfPNPySOpzLEPDL{TEUJjEXgG6d#@mJL)@~ zzbPtcdZ7y99-^rRREfPjVru{fREow=XDnMDm`g^YkTYy?zO$_q432$+7kiC28G~Ze zkXZoA-;v#QaD?bsXoMyoCtJEY&FohlM&8_Hr9jI+-0v6geGu7qM9|D$Id1)4y6uP9 zH{r8-a#E&|y|>;oCPg&(zk%K^rpY(?UvzxBw}Gr!yrFyjd>Ze`2TVVyIS~V{*5Yh{ zHr*YE>wzhD{@yUakk_xBbBgsheRz3~#u?+i5s)NoVrtX9Ktc;{mq;hWx3k;e3ODsY zIXf@vkvk#?F_J%_%b3jbMD2!?Cc={aA3Kxa16_lE6I)tywb?L>dR{@5iNo%zwRY&B6XW~^V*f( zC%O#K@aBXLQ!k?e7#1l+B?QT@QS5m}zTj@(Kdlwx4zf)1xGBV^8cb2M&0WVe_+HU{ zUbrm3A^l7DJ|JvycM+=g4Ef=?;K`z_Vs8T`QPC4kcm?C(Q7q3nlwoMs36>GJ*;c^g zs1E)^Cwr2rOKnw{^}1zb6^fQXg#;%;h|j|-Ak4F3=M0;?u@a8qEKv}|SHuco!q;%D z(H0@1mA*0P=9Nv;e^r zBHTe@gia7BK;}ILcCuCgzMYC`jPX$CpEUT#Eh_%(qqiQdbFP+_G>~c-NcB`Wx)Q_X z(%geK9F7fJUk|3l{F*hyxX#$e`lkj{W7wbLz`~udEHFGr`>gH>s)ccECR%mhF+4a? zMTr#`t{Q9zosNr71qq#0IF%H6;6d^dtU}3p^n5KMrQQCnoz56`9x<1A=IXXI zvb(tkE{Q^>SZmVmzWYQMzu77=0-j>@5yZ{KQLhslm+~E#OYFMK~W$D1G(ea9aDNLk6wZkm*aSHO+sFQQfJxNGXlb9ZX6R7i# zMR-Xa>3?!^U7Y2d`OJ^cpjm!0(;qvPdhd;Msv3n|wZ70ezvqM)GlPQB6_FiFpE%Vg zQWN+DE~61fo6SKEPP#b)-M+)47$#w1>6;3w3Hx5x`IY!g$&{@##Ov9Qe?NmiSfAVD z4>Rn5$t7pXIsK+IPumC-Oa*KjFEGTP1%Uj~6&T-bk{8AI=b4mQoNEA-qdsy4ao*zO zQd^`}+dFSUvqpes38z13{rLTDFMv&c0SzoXY2YpX_6=j>V~_jzDBc+AjHa!n({w!)^QQ z%^#uwidr2N&Uuzu3Bhy-$vroJC z;pILdYzZs(s~N}p0r^T)`y;^#;C)f3>LaeuoWr^^?(+O`q(}OQgIDY+NC&7TXE~MC z7cwj5>O0tGG^pY92~5s+p}%2pHE;KTPBzoPjelSE9rxE^$jy+x!2eB$UFwrpKain> zH3o@fhFhI8N)aY|_6T`9yo3pnmxJPp$aXsnPr3No<($~a+4kkpEFmS_f;}ITt(iBH zFZo!MZ7_4x=*@0TgLCdC8R(|2b4qbvpQNsGUYUF$dhWV0I=57KZ+Bw8)2zzKAp6Yo z-?(p((=V2Zl6$x~R-+GvR$f4R$x5^5t)?rKKar=;H2>T66%UqQ8+H54iL&k*X_^f8El=sD+h^)~yYrq7|ysN;=MZH(Rm5DlV?>zQ6Sfqkwn!lTB45kr!Z6uqKVMenl4v0isgnsvfph_{ zkiyVs4^>n7NtP`4DnfIP#%Sv0%*dmBblLA%>+UQf#^8Newv(Q+Hq@BK$8=A(B6zl$ zGW{`$hiGt*j6&vBA5XQZWe2&!!QVtHu=ObKmlMM&%Dk0Uxl3cc&-w|>LMzCn37hTZ z6QQeQPa(@}tLMZD8sWIrwXp{)pY6U*7b+HxPpZ7w^|o>ttJ2*FvuebN_P;`n!o>z; z;`?OIl<^2-5bsZFb^PHTg>!un77hsDmKgnzC;ZfkTjo7_^yB7|9QkXIurZUs*4sgi zI=rvc-M%`yjQbuqdeBaFJW76&ER+0iGU$yU#TRB?3pg`aG#f)0XgK+VCx&*N+OiV5 zz)VA2(kMsqX3KYt%VnD{TkXD#|J?}hZcj{?YlHdg^SH}}thT5Jqb_p(*HV;z3QfW72xaEek2d|4o+q)0&=3M!e`6-FaRlPzp3OAf<}VAxiedq{RW z-ve@k*)%Pa&G!iEd@Hn>4&l8Y8W827_S;w-WO=`s3wB@3U-Hti)P@eo3Ff5!u?7oPCct`6l2;TJLDc>d-2 zvJ=s8%U>P`vV1ek9{4IoEm_d;)`|i`MozAOwGY+LmAH|J(fzYuY-??a3Z&8SvfySM z}ZM*w*Q}(*6lpjv}VrV&FF#DK-7e{xde-}Y+%`ivWmHXdK4J3-Vw0>tL`;!a*o{KQ=iaFgZ2&Fs z=nW4ior)1Kjy$j3ZC{lTU4+XbHf^)g_en?8ISxx{xab7fUY!_kXQIB{^=Sd=?KA9f z$>ZQyA$V97rA;F^fUprS3aq0lg`qj>Mh(*na#gDMp8V6rM}GWzgJk|*JX6q8Bz)fH zh#3frGy|Kdr|o|XI4pE?kxJ}>9kr8f4Oiq^3N6kad`UFxnXynzD!dtT;BEZ*=1t?N zgjoUmFcULoy(C#zGTc!_iDMS6J`&F$s4APsb6Pk? z5ob<|oLP0#`+F>}!nL)-fh5A0ivH*22@+KwuezFyQJ#BushPpe*_h`DD0MTG_Qy`{ z{M!O%y8(>(*(@rPDhiQWmS3y3lw-kI|{2KVWih%W(zR+ z!JB#=$#fr$5|Mj1R7ZtzP?Lxg$!}nSqKbAfqjMBeI}Y_@`nKG4rflEtI>(fK!`4#&-WesEO4u;ql0fV+5 zv1YCU3eHUk=RH7T0ruS5>!vg)VR6!0+r!nrR^a(IbyAn54SD6!;9~}Dn}WgENuqag@gPbF{b>Q-;0~h`sIoh zfJFi|@fK<-IpU9$)zunoCxzD_GaHxd1?-@TotON%#_W>GtLT`e0grErP z%~J)WwLVmow1IqeaEbS(1rYSlR!tmF`n+p?%h4e=UOjtQ+g<1`R`D77WnB8^4uq=Q zDwq7==rHoxLuYNte9%qO#fO zGTG)p&ca#c{Ek6kTDe>kwy{$%XhV3k+ohy)+f(Hbo;^c@G_f^0{2!t8u`vSCA6JSy zJpUywU0lodd8J_8%lZ0`MN=8~Obd~Y<;?M9C*Ym?Ob}%lxE7C0d+IW%9CG?BtVcRIP+K$Ng(DOp)o02ZZ_biXgE-sb`3tj50RMHH7od>*en zQCgMfd?DfyfuGoC!T2wz)nvm;NKmeS>}KJ`N(lH}xH=@Mp`{qKY-%Fdsl3q5?6L=X z$gd`mSXvJLF&xWEDs|VG&0*dFxp|oWHt;Zx$&NQo)Xw@XC`0nZ+ zbW@@RFk?>6&$`ARE(fc1Fg|qYEl3xbD*;ooThPk}T-k;fXDNJXN~#_oCSSdv|4Z`* zIKu2JjUe52fvprA`K|muAu)nL2`^n+CNR@zcdWKSvWgm}C4g)3cH%u)LHeF!@qL`Y9~<1%TbKa1d#>!Pjt z83d!xXK>D%OD>{8yr*!3K4YcEdFt%L0FVKhf=XkM<;IoBDzb23!G;rTpzQkd<-Irq z%X(<%9ymS#$KB(5GzNgLXI6({OIKT8vscQGt|WM1vtBysZweR%zLLCU6mZ5?E9B|ZM@Kr)j^Qn%M>^d5 z9PgxjBZ45b+kM&?rx;_>#i4W-^9#n1dDE3gXv_C%UoU4uSx1C}T*bU^ot;F5#Hh=Pw> z5Z7U&gw5;v=?I}zkY74ai#(h&?k23@D-2-em5&e!SW#jkmP2vn`<_u(#>)p83xoNO zWl0CQTQUV|0QS{T0}Yb+20x=`p)6ALqba+FTfuFudsF&ZP?)@73J@u%Gt%S0I)Aw!s-rqjITR`QTm#x){}qoNcOEYRUqCx9UUf{VSR*lw+@JL;G34zM7gLEQw2ONI@P zYq6&n&B~T9&b1LPa%jWs%zQHL@;h+x^3&}COh=ue8Tpw03o<{&ILuo`RJZbOcak^F zQ|iwh#&2R2N#A#GdG4P>6?GUNS3yOL)n6TwsN=@j=kV9_q{8PYGmBJLpp@9!e%ROL z|CM8UngPpP6H%ZBGTMzQRs}*^kO8a0pN@j2mHKL#Qy9l1ns3T-3pTc+Gm6Ez>e16Bqj0_P6haa=M%^jXVLlbq21FH6G=SBH6C;I`fjar6}pogs;_paxM5^gL6OR`X%R z&Ztz3?~F^*xmmidD_&31*1Abj`FY=4N;N1{fEHpBIF)@UR48k}=*Yuvhn|5R(La#r zXLo5&+Q9D?=>t%k{w5Uo9C#h_^7N@OlohGW-fxqLj`{{y&4t{x0dwL=$VHAhCqK2b z#%QA_5{Io+dynBG(9<6)PjLi0(RL0d)KL?F;dtVE{X@4RE{d+F08M@wUf5x!;3ye%0oTJR=3$!(?`% ze6OIebP*Q;MZe>7bl*V~+)e<3LuJ7qiQYRjgL1QPi?lP^u4X}8BGK{MUfPVUXOky? z@V0O*cHkgJO%PCqIj2pPxa2|mb)}~3FEKhrnE2!Amq^YBlN-mWl0ijp^2ThB15Alr zY)Kv#s!bOYLtb}r8a|HT{$c5c9!UGfIF4mrgm}iEzYzH)$6c}iuCqs`j<=Zqe?M6e z)On|?=*wNL**Q*}L=|RiEnnCy^JH?pB;kW(OX1Xj(%*^*EFVnP_@WZ6gHoL-_c5|j z`*+U=z1)Okt}e$3< zXR#VH{f6#L$j5`DF4Z+I%>MRI|5K&viU%NJv440^88UYd05_> zc)s8&Rk}?XvGvPwQ@Gut={BJqa^XrXpALAN9d{XEhuDD{9=g+5JN1kA|5s`+Xegia z0{O#4_U)x-mRNK_M#meSNYmces0Cqcfp;lyfqBr~tk;qSGmKu4P&_wh!4+qoeRHG3 zE_>KFs;%3nb7%k-9RB!0`seD6ns4Iq!&_(;8QEmXlgui?qVLHMvIt;lmM_=Ld#aUb z^QG5-Q}Gur9xmEeBgPIi3!7PrqWF2#*vM-x{BOLW4RNN_eDLAc&;nRKFGo+@ty)nN z6rYGnLO3_P>HIXdppv@-w~a@=`mlsblfpujyp9N9-ed9A-FDF0Bciit<2=afr^xhe3d`IA zf9m$&7-{v((VgmtBeRoDiToB4D#lhia@qWKR}VVArZa7LyR7G6Hm{zrM3yV`jvk0trA zh#VPv0^ZW7*OwT$%$RR_WX%QJ{fkI~HU`pU_1cr|_Lv?hrvEL05o((Y!#@g7P?S&ds<`3o$K;GV$TX=r>Uy{Kb;r+&kTEA5g^hkU)+^o{~ydcP^fP=R_6jKX71DNwOKn+)-p@V3ilwR7Ic2DJ4I@x7=Trgalf z_ipo>CRbIgE8YprBmZIYi+y`G;QZDZ{Av4m6eSK?CRh@y-x)1yCki=N$MA2m&q#7a#|=JMAbO^W%O^C!k-%PrO0QCXL5%*x0ek zqW#KD-dYNeJvawsFW3aD3woh%$j|B7{bPyOUtsugc|h<_K0juQ0B>l+A^ht3DVau_ zzVnnPrnSu7OSPE(hoY9NHXm0W&ZeU@=60y7jKO;E^|q!QiH1b5$T=OmR)7ci+9qGJ zg;*cXW^!l7;iF0e+b*?ay7zXhO9z`8|3V79<4uHJA5#|b9_CZvz@XW2P3vZ%G znePri{b2gVo;C1K3ZT(9s(!qaq;Vy$2=qDK;NnTVsIAskB4<+j6l(M&lf%!8RkQVD zK$8O+KkEFLA(7BeOe1&co{hLJT1unOeHi|>NS{p4qKCBme}+=Z#w1|zP7kKEJ0_r7 zH7-9QXR!u@K`r4m(SFen?jl{ReS>ETMZh<<6sP==tzee|nhX1R?FDSnWBSpFGm)6C zVfiFMgAq`d;exo7n5a1dqsgzKt~b0jda|c?{bxsuRE;xg;L}V`wp7LtmpuBe{x*pA z2(EYJqlYP&Wz6rNXK3L|reLt&2x1@#jZ1^!!O=YEpS(gX{4k+oGjEWHaFH(f_l9|E z;`d*nYfPGCcoHYkbl7?Cfzz$&{umye=bw9FEC7h2tIto4`TT~eoYyr{{PUdu+EolT z9VbwEZTig9$G!Ced@VaOXWOJrNWGZs!-3uGwQ`;s`--}EhPUg&a9<6d7roN~7L zN1S`Y5gRXgMYJ%;D8t%tWa?DLkjl1D@0YwELG|ObZAenvMERq8=UTu?|I=!Vk4)>z zs|YBVp?*b;sV-E(2OQoUB^hu9E!_pCaIvwY-@{yMR6wdgMA^Cjh4g_DtLsAl3;4|M zz)gLz+Ppd?5k6b+o?|I8NRp^tRu*MPhg9f?c2W^>HJBJb3}|fFky5)2eb-aKVS1}p z>fmS@T+r&BpR8ne3~yH)p`EeI1O$8)h8viX{2h;@M0N$rA`{g{6GNpVMyZ}5YOgHuMcyWu*0s2%C{wp2JkX<;#nZT!DaVE8nrHubKnPKi z9y=5Ba1`s@w!j`fl)BB|Agx5b_Z3WaQ~vU2GS+}yqoui7h5F!c{5=*kL8uTkE}n?K z&+U(wvwBSzTIE-}%GJFvdEnh+)oBvJ=&(4j?#P>V&%AM~aZ*;`)Mf3lj{LI?G~H7$ zf1E=U@|H%x<^@acOC;@|ur(v~ueS`(kc_rOD2nRW)m;yJC)<9p#efx=HLc4cfo_ji z*?8dluxl=$q{kIo7Px>j zmkTlO-k&nQYFxJOES%8bdR>)En|Lo}ZexkXf2-BB)V)mSeHxQ5^I3a>=j$O|;iIg& z40IPh=Mq0rC|fN30ysBO3~l0?LBE_A|0(E7fSwFdP-(TsWglA9x9#C|(&$c0U~t3w zctg))A)KAbaHsgl9*+V8*(Oye8F3I>EtBrF{AIj{y|Hb@+h}f~ZH}WAwhdC+$1|^x zz~-mWx-oz#;<1BxH@Xg;~bjYn{Prq>^dk_8{< zm-6eJLM_t|fF2lx(;JmD696~|N!q?(xw)L7XCmv?lt0^jTI(Eb32AOgxe} zbsC%m-}f4dK*E>ng#6bzVM>UgAL><$opS1@uV&0au+Dijp@{QtK(kZe@Lf)~ArW#ivxJ zXIlHa>9KEIouj&igyOm^AYCR+K{HSRnefyZ_6xdS|q;#89WpppF)l%R5RjTbTk@z;EH5f2(hz2v>^eN3AM zjKZ2zwlrqmD1Td7BnLB(`4CXZ%aH;kLV?|O$y^*d+i(x33kR2$vniah=gyf8Cg}_Ecf-83W+tQuiR$`OAQCZt6pIWE?)^` zQ5Z84oZ%iT@`T0E(}!^lQh+Fdz5ev*IKRTvwA23ABTdCfph#OE(smt<@EmsQM);u*XP&zOoTq2nLI2Dv>4~HxCxoP`{Mq?=|b&oio8cZ zV0pvsl0}9S3}YQ}H_kt(hfii|G#YrNa(!C4ns?9zG5PaQq{UC0hcD)C6o1L?ePQ-B zJyy>&S778?tb&-mHBpqdy(hW9c>%V)P{7Kz$jr1*q;iPx^sgq$Sv2>xY6>K=o55Ao z9O0QPI$oRQCOiADwljapsmMS$d=ku3QF=w)hQ-$6Bz_{Tc@m$}&&M{vI-p!P+Vnaq zM1^b4xVUZ|0D8lTDyDXLVz(emOoEXS^WCHUwY*%khS~#BMVj~RA=S*}lS&<&(NVXj zROe$+BdTIr6kNEuouA*;UvO+wG5^NlRbsOkAp zqzvKlz8!j)}^JB5obAb4oV(9?amP)?6xSg4H9zOLbLnaP zrF3mEUx-Hz&Yo@}qj0B}vpExq8hrNhf0lR}6A@^f)2R;REO#&@YO*ckm+k3B zM|&`6qM?Da;KUpJCD=nwnaKvGzbMN(UEe&@k(+%E0xJax0~G`gKsHK&(zXStNEWm&QgZZYVK#a(wtpe zQ&8PuUdPq`j(2NCD9bO5lh4aOL%O`DLFy9rtO7+Y_11#qRE&2B!vU>%oqLdqWSL1R z>9NW^D5S96Pbldl++VXKy2c_wf@+hOc!dwjI}miA-ms94uL_~nyti&mzET$zx+n7`s`RkXftna4={M8b&WC6 zhzB*87W62-i-XXhjI7OD;)FqX#$LLQvu+5z_wU~U9$QN~*lb*^8`OJwalqtuzFwB4 zw8gme@Z!E(1?0v{Ppwr3O;Au|6Uy3V7zquOq0HaT`VJC73+Oi4<<6Ou_rx=ZGI!~h z&zpb&$Z2lvGBSii-+X^xFgzj^Sub~pgPMaBhu`c`O^wSnF43l}y6dL;Aa`dgb68p% zmgD(4EyN751=(*~Le8$2Wu6Imk92HA2VoXyU^Sq>FxSCJM0il(4ozJ8qz;^*lAt7a&H(&tFXttOoyquYUob!Lh8RcIPUfKg1q{iwY6IKGmJ9y;&DO zAvm6g{9gL%JI#3@jM%x^>$k;t5wLUWwZ^U4XWfF&T+;`y2-cV@rM#1mDp$JU?RuVc zJ%Ma+$3>S7%S?FJXE?E2*QVsN&UurZH@`NmCMksjC7l}0jt%K5s#?hG%L-lhs>(t> z6g_i09fMroBvBm3u7E4zV|G8GJISJI9yQcfzrm6Rk+hwP5sQd9Df-@naH-v=Vko{< z8$cy6X8NLceU)et;yM!Ms7NS*DOCKf?k#Y4)T>kZI?iyfqzl4M7(B~xvAdL8WL-TZ z2XC*{{6g+;_m)h>gHH2s1HFXHFXq6AOg?6cDf;iesbXQ>%Da+lr47eS6X0&9ri!!i z>_>+nTALC~^1jaBz7R_<_W?fiiO7Dg@N$0dk37&h8jk*+&gd{BoSFrf3`rpW7UBoG z|MRhv(oFw)b0Eqy?n#siEx}N%3uvNa>e~#8g8?W1S01Txj=LtAm`=Nhyjxt`FzNxLY4S4K`vbo*u-al9`Ci}x z*Ml~GMVSKUoWa5eTCJlX&lbUka?c_n%z=+PN~g=;;z2}U7w*pPMi27zhtMKVQ|ZX* zQF+*g%ftc#cgUA3sJbAXc_JtiWd@BO;6a+%N46wi7mW*Kvrx93uM;Xrv-P&9|640kYn~h zPG@!5C};JwgtOtT|NJksEmG^wqW-$xWLp_K{rH~_eg%d}FXf}uv=i#Gui&$;*29J0 z1*}_Y%N@NPrm=F%Bu;Tp6*I{5_n$w7KD^w1_+#Hx;g*+Da8s+ya~5N4W1nNi8_Gkm z^23`ASGWV@0nooZijbF0Ghq+>Y8j-Jr~R{sty~9m+P@mhh5GBpARYd4@oU zc58@`*aVt#jPd>k7iTQ^-wa}EdpC=>O;uP#O3iY$sJ)OsXcb+pH@lju)MfIqmA_>{ zDZ&}{?{88#l$W+l$1Z22m0veTwC5wStNRh;^NBUSz;O6CZG%}*hz)RT z{Y*?-;xX6M`oXj0F^wkXx2{df>tn5xFVaE!j!P|Qy$?L9SgF>tv zgL_%NnKLvVxHA|zII|KlmQ6HdF)x0!)N~lL^^p9ntBrbK(ZZkk{RldP(ubU*?nUjSk2`Z!lb=6f-7Q6H zWH84pg>o77NT)Ursdw)L;LGR0z?5KVw>|M$+o)T5H_SU>oBa>KAN2|lr%adS6~01f z-KK%9zkdc>g7U8uAh+|6IY;i?IVcTO@(5|wQ0TS#deUGOHj)K9El{AIE}I)IvD(VI zH>Yz?lI1&yOHxSxSAuS|f##xu2a)4jqV1@odoy3|O{wkaP>ePc@DHs3Vl?^~{#P`Y zHn?qIux0B2vHx}+euMylj)Rb>o9`eww3WY8_JNNj{@KVIZsZnt6?!T=f7AL-&?sW; z-E)TWI&D{$+W<|ShQLmgI(s)810Zg-L+K|U!I17hbMuAQL|wx#yjbvlvqa%DwO{9h zC#4Puz^fYn1j%x~&wX4jl&$+!T0c{&Q5vb*Xe zT3JeMTD(CP>f0oQ(53$;id~)Ga}Zvh8@3jfPHm!@GVW)#NOipoqB26pObtVUR4@H1 zL)zCY&KB!fA)!v<0^`s}l} zRq84`lP(YZN8Vw+KIVlGZ1#QhEdQeuV{P#pX1tJKSj zkp)wtPf!AK0e_Z*-=Vo29o}V_#|uaY9BV^v9e;NfDO+=@+;$l zvf8Gy&2RES0{^NggwU3kKQ(7PHKI1dI*Hjv0JFJNXoO5QQz~aUbUe|$#P#5y+aL#C zFr}-;gcBd*8O`dCscFXq`Ob&hGINl&?!v0O$V2uf(dh!+L}S%5f9wMLG`w6#Sg7~~ zrYk5Z8(EGq}L9`iu5K;(J1R`Zz|x#~f%Ya^D2P4k2?+4b=QO4C8{YVu zp1RoIq|g8BED{^Qv(DB95V@p#nQT?7l4D(uJf3s*hW{%RS|<4Byt%P@Io`tFkb9$G zz8Q?t`cE;8d5Jf{ycEr0xezsnlBObVPP1vYaV7y_xO@_4zKPhL_s~*`sD*o6yY$jw z1B^0ufYUy7%0fr#BpyQA^Z+^+sTBZ(yc{PR!SDHxiv?r?i(C}_IxrS!46z+1vbZnU zrTh`gB^=^1ZrZc*&d1)8p$IY7#*J4+D8dYU>Vk1nc47@3=L+6ngO27j*n5ZIyyKOg zBdeUjc)Y#IS6S>;g?+XbV5@hwfvpI@B55rR7I3;s>)YQAJd*LG*Kk1>&X91ow3Cd< z==f*@*n!AHQq4&i3bV|yPFib0kvld#=6IPBBlU%R5%gq=_ z%VMhlue+(sY$=|z61Xa;VwiAJswf5A3H`i2@};jMy)!CZzp#Aa4&!f7Z_e2K@#>^UnPDgGurf8k5* z(>16IBLEJ1n_An97YdXB;CF#qSzrYoV2GsPJG1A$b8f{2rBbgcloNQW_Q;rk;`$Kz zu7nghktD8^Y?27ASPAfnApI~+JtKdC?i=hD@ub(IBA&{pc#L>Yr871srKF`;7hA$5 z1Yd`9@-qCS0mXxo2yt*U3#e&>*v}Pq=>x#RZ5otn6qr2sYNIISta8_`vXV zK6M|wUq|QR5B1~6@!Q+Ep`ykC#U`~7;opReI>#X;pDbBF!Cs--O4y&n%& zYdZ2_))$-kU-BFl9?gNAKRiAlH_@^Xz!DBQ$`ZieH+mD^>8`a(2WP|bVZe!=;B8{Y z+gbucejG6ldD>ETQ_@1U0P& z^Q9j$wz^f+q4&X_O!>YL1i{Pw#GKw2r83>F$*`Xl75OaCPl~nNl^XS~1(Rtev$5#m z&+H==G4gzWasS{C{yw@kT<>jIpy37l$X?V3zl*jl-ZzWlz0d^%+-xLdFhZhOG4MJ+ z(Yr=03iYsum8ETsEUOpc>dC`xUgm#)VySyyQNGk$akAEzsS+m>d(G>#lX3E8Oiq#k zXb_{CwSm04$@Dl`Ri!Cwp1$V|qAVTQy~BQj-f%fHA{{8woo6lQ(xURs7QNC}wRq zsS*CbuM(OGy%gnekB}i}8OuDdV6j`=Nw#0Mm?ip~=f*oE@{FH?vOLb9K}>Pdvya(k zpOj?p8Aa4Xes4k~;=PulnJZeVncv;Oyx|n`UB4ZGA!g~y9BPjo>9o#H3FCogmMk5Z zZHEH(!eP9c4y8<(UBWe44m3^t@`s!NWpP=*s=X4^klk18u=3W}P@P{4Sxcq5AZ6|W z82BmiDzx|^?prEvO0w9J^`$ud1r7t7h8=?KoFBA%o{Lvqe{2hTI3%9P4&pNrWJSC0 zWPsOf>mB{9Jft|^F@L5M*fqph&i`59@E!Ms%3HH8mznWYR=k_3`ysXphdJd0Sx9mwx#B?fUdOjP# zjKB6fPcQ}~>P&1lD6uac61Bt?vS)K$7f6;uZr=sL>r<~EEuM5gOF(2C?| zW2_}yoMH7bYVzh)*dfOZT6JWjsn7CW1Hpe%s)^Q$k>O&CCwJGssW#B(Z!P?)KRlD- z+qC)j0DDpzrccs20OOo?L<(qiAyY3@dZR+cM5C-BUknp9q!0WBf63uvSD!G{SD*XA zAi4Bs@r0VM&$n2?{dd1zhy;A?MGv>a1 zn8G5!hj9(Jy}$+ELbaKSETEC>BLm4P%F)8dLI@2agCubsNo!pzC>Z!4;=3iOE|NfX zQkfL+xn^e_a<1p@f*C@$HHhPQd)!cP23#C9KK?ICVA ztwh_KlyNeOU$9y*^w8tc^@!&Xo&u>d{>Zg^vDHzS6P?l#sCAxRu-}ESpr!$AKy53< zp#bF1VFA0w+sS@M;)YqCv67H+PmCrrKIQNV2%&#E5k+vwFHU-Y!B%Mh0`_ST3t#`` zL;fJb{f?mej=@oH%;60!*F}bCYX*BeoSy^W$Rq2fI98ihNxb4csXf&0T+ z&kIAwG^T3tT9{(pzSL0puvXU42?)f?&Fkk2DfI*=S}8JTpjGxd-X%74*sUti+c5MUq_ zf{5}>tLzGU6>Rv2w;=J?@Vh;_sqhpl?#=(Dk4HIQAqx zEd&ns1&vy3j%G2l@B2K}ZPAVgLLqnT)PeW(slyBXo0Ye<|NJ_6+kZGg3@fy|s#U9X zm3~`-$GYVh`IV z7pz6ogiaP6IBw8+12ihQ^@wst|FGn1)XV?=?z&Z4I{C3zr|TaOM%R0%{=r|D9j?UI z2gz17%fpvyafOn$t&gmLg0`Igp)ubo$9pT*cF)w1+`*_>O<#4h5B`XYQ(hL&2W6#6*d5_{tUg9T}Ox1Dm0>N^3}&? zLNB-U#@|aR$N3iG&XEc4*Ksj)4V zxNL1XMce@jqGc$eTu_Al)2@)O?fL>K`=g`T$HAnMHSo>6qWQ3I4(kF7>cIBFsZ43^ zO6DedbD37p50j0NLCfacKwl43RpN1j`D$>I=IwS(yJ5i%ScC(JeSV4c_3WE916=84 zmj9u}<%JKQrGUjW$nRG@?PH-8l+rtn(I2;A>A%;5$6+L8DTZsJJVkqNDY{FDQATer&U;5VOqMKa)M-Pw&T zk%|y&;;Y0TF_n9NN1)eih6P(n9wHK8`?xlBA30BVLmWY%(EeroGC07r;JLC)dTSD#FHitfk<4#Gs^Q4Om;2GO8v zvbD5nI&@vOo<7ZhZpbz=rkT)9*=FXnUG&{-3rpG_`d+pbm1a%1VcXi#?CB0{M21K5E37qAP9XvOpr_L)*z8T~A~{2c8(oz1SOq+Ot2WM8^WyF$Op2Ch}nuG6d8H8*HC z>9^RoYiW1rciH#u)9UCC*bh0hdU^x<(PP>ZdL#R36Rnxv!ft&=drp7BZfmD?&^y^( z-LxKhFT3w0t)D)?9(+ankN%qdW{5USf6IRNp7w!0!u~i)8>5f2CnjlA^lA3YEbSA0 zj{SL__J#hH{S8>4ap{X}-V$w@zQX>#N?W73(EqZxwrM+beuY3K zc>;Px@(NQ<^)%WOz9$n3tIoJn6b3p|13DaJ@mCxKPugSh$os|KF5!1PWWj7Jh=6Mx z5*70xXv(oh-;UMJN7wp7S3U$EBR^nmO_MSn0LFi z>fCv&TTkM|ivM(15J}VQ!E6ryRCGo4u82tN+RQo-I-+z+1Ii=5MCmx>HgbBakwdIRf9E zB@BF#qz?Jz`;EM>3$VrPRa#KXY2EZ&f8WEKL}3&VV&tw!mf+O2QqO&P8~;QS2SQOcChh59MdnZ`(oq?`?;rfX}bA zYd@B|VK@AU2-e49E`GcTDRq_}Jg)}l{|8iF=B-R%@XW-UrPNj2C&i!J%>*I)3j1y` zE8%?Jv%?o~<`nQZ6STXOHHepNg6d7T5SkohA})*-6QXMb$;FOs@U z#rA?NOK>l5h}8Z>Il(;kQp7fOVt5t|O+YRv2;3tWgh#22wo;E7-Ts;a%c7Wx|J?1W zt1Bk+@l_WqsB)KC*c%V55nTLj;4gX=Pcj+xbPbJ*vq~H5AXoqN00FPZkMW zx54Pa<1v@Bq4Kjli*A^U#}Cj%MZQ_lIoxeX?s6@hEc_#{z~PJz;WF7olL>it%CdXn zK%>&IeZr+ZHKlXha(>|zPAIlCcT##>^kNL*McnmXrZ8n(LMA|KU|bE*G?T3^^~w4= zVOT?q;0b+d;E8^@7;QegU+gNm;9Ab%A=c;GsGEDlOy#&#iPCnDLO-{AeJ9#7`>tX& zIy~WX){e#Dq*$3y>B>Bv$yCW^FgQvJoDwKkW7Du!vx#uDe@2Ow>58z`b69rT!-p-{ z?%4_9A{P28{F*^Sd$s}0`GPttR*PwKrudtRG1NAFcBDk0iFKxm)YWl_@d?~Q=+#=p zEzi|#kerdXuH55;S(ol6Ls&+m`IH zIxC@vP~Zm!s~6Q)m@O!83T$n8+-Fa1VxIdv4x=02YF1xC0po7P!It7kSp1B`&OH5D z^_O6Iu)oifGc~+*t38=nNxxBhE@tTk=_r5_gu+4TddES4Hl^x*;pX+Pu%O&|ShQnJ zL3Czd&hlM*A%j<=n9@oe=@?OXfHH>9UT7Rwu}>}1YIk3p_&gR)@;fZ($sH7t+%@~ zt}-ATC{L-fBkObebqJi=l=DwOJi&vH!9={5dY2{iGlt_!eX{LfQbD51*%(*b6`(#9 zi3hc>Z^q_X-q1SH=uE z%v~!3!Z3OZS}ZvF9UN!Z4zft~=g1U^+AF5qP-mGL$zGtMGppFM?JSWKKj0Ur^WQ3k z;AEq>h?I!?=^W^0=FYR1*?%#@<>}cX*b%=1cB2`jFNrru#U{>>ew_lNl+24O6}M}6 zz&m1=YL<4Hm9%!aC0{BP2D8pXYC7M09IPkpkD530{FnX{P2QKXCEFYjScdnMF$5=s z_c}bt0qyE}Sfn2e8vlz!{O2(egC|X_zPumkS{r}yR{n#O)sVY|e@AO|3qw7-j3!JM zEl5c|4jfG9malO+^j2AAg-!%XpjbEt6u#kh=z?Zi#R}gQcElSP^{*N|jeTkKoA zA;W1Qv-W~3W`Psy@0dTUZNE=^5(uKYx>BqwO0^?!XKn0fO1PU)NsfmUnKc9qtQWm% zgGD-njz2*_oSj-{Zu;^gWk-|n{=<0rtLd@h~uCEYwE@Y|e?}%6y$b2^ye5oap93h%gf6&vNEc^lbKW`pLh?ixc)_f8%%Wn$dhC ze!ERtIpl+g`|GnNFrk#lSjZ4z&oOLe;2qb8L$1ra`ce$m)xjE@_lFI=V$5*mu%<#? z!f|}zm7^gfuWJ+f2S|(HjL3UF5$9`o2s3e%{-_=4%C!Og>%oCqFTsHS_u1R$@2Kp_ zFuX&d#J#cau*xJ$Gqa8;D^ef21a=hewRv8^7M$-5wHxhpxFfi&JbJ#AH0b${5wy43 zSKxE^d>>f1BV$yR@S!I}IDxUFYLRg~MY&FhI+?K~22#0Kb22S$ock$ANPsZIZt&X@ z-gDRZ-CPMBc39nQ&lw;?*;3hC(;YNYyt|`E#G?8}l61zh0q>mHKH(gQ2%~2e)RK|i zdA)K1@Z3Og)1chclB)%}X&QatF2Fy&@M>No$d)oPw{_y)xfUt&!@JvFy{R~-wm+71 zV4d*BG*csmN_yk2o~{v>Z4*l}Uhmd0^h@z3z44Vy*Raj@j|E6h>nIIFofKEnn?ta4 z4XNzJSQ2A>Tf-2Vl06kASVoKu1sI18(ax=R|mSJJh zib#>)6~_=~+9WRTLi*^qjm+HP-UoA^#6mbs$+YX{sML^cv#Ov&;F*(N0}bMb23=8B zs6w9|goSTBQ~o+8x2{_xR@SM(|BYlfQR^5y05b_F^}I+BvVbQucg5B#>~8d+pr*|g zMvxD)at}^9Sc2?b#@+P>j`{pKydINy!|NnSY0frpbJPwZ|5(&;L?NdZ?q| z9n~$?R%lfdAFSLOtsMe7tW-!b1W^J@f@2>>DwX3(4~J}yjKtREib0O&Y3#q7zWHvz z`=jkw>+cRqb2i(j#PJ^?rWwTrn_}YL!i@m;$4m^}t+k})&6-gWz2m>$0d%Lo6yvb=Zk0wW&uFTi`rxBq%eE?|~- z>tvz&^`i``b@9Ahi(?`FTA5|tR_XBH;Zf-D38uEt0YQQ7+a{F=82XapjZg&fo`3r@ z^l#8Z-^(1lqLRkj8$*s(Hp(MY{dWvPGycom=qq{}U z8}xgqR#9#om904wwD9m7=I#-M-xn^WawhL9I6Y^CV>EP7Ku0r;cQ7vwwvL1z>kB5h5W^ zh0XE7g}Plq#v?G}PlN97#mnxPS8WkBH+?}HZyVVGt`-kbEdDnn>&>XrFva^G>~0}u z^7?uwQ5V&mJK^bsrh^9;uI<%ajk_6Hmq-t_BXjHT zSb}q2LIP%UjL`^#|DGm5c;Pu;er3)Ppmi{4BeUOYLe8B4NP$_;t0+^W0Y=-x?VviS zyNz+7)rpNR6e+%{TBs-(lU*M1*b5Bn!fbWg5<^#ns3Lz0@W>W}(IFbh&L&za@F(8RW$k^CySNgD4 z<8Qd_(=SNRxBId7PZc+K6B|?0+K&Q+&adaG0U@iZQ)1Kps$*i%&-U`%`@o*j-`}4Pleq2rH$d@psCX=#r|ZRhW5< zHjs^^b4)ga(UwX{EAzE+^eHG+XjIkDVy! zUSZ;3{(!%x6*3_ow4kQtPOWdSCI1kR;p?6QAJ)K6UN`N{BMUas&#RjT#AKfZ_@H(L z8!R8Qs>Pz8@rPRQAh-^NDDr2NuNe*0h^ZoHHH3PGF=sn;v6qLiPvLV_Abs#1JBZWg zzj>`GbCr+@wAjye93`{MDSL0GZ}s8@{vA0uYwcz>+~y|}mQn1w`O1^}U)-K4-(Q%# zxv_V%TA2wB*eKC#qYUuNyo`@xokYUQB_W#UohQi)*r7*{&*tmhgRGtG z+0X4&9IY%-00M!*5Qr@-4kJKS1OH$gXbfSBD1u^5WM;`hGhxJ(Q#nWh0*T5!ohLX1 zDk>xCnOuaQh_&%gmvpxc$20-B2h|=W|CmjQ=)0{s#ZUX$7u|rWVkXvop#^>a)Q87- zFOD4tlzSIMQjVRax<`G@o{qb(S5=KOWpK&fO*}^7j16YJETPPK`yp6rxQT_iCN&?Cjm(Q zCJ5bk^sg2YV5JqO+}D-PTf)N6+eEN%$Ipq}?-VputHlq6{D8g86aJH+pS?nj@Ku<( zzt&_eBAlngTG)hBiF9w#%byE&KF_HuLJS;nsVX?a73># z1+r+Mm9b(+2a%&fs5p`xMF^>j$+RNhr@z1uZ^}XoaL8lP;fMAsWmSP^|TaN@zIbnEXy@BvX3P~puC?8a|?H5KVxR2G^Oqdj;ZVz*`NFocTz zN{X9CHH{P9QvyR4)=Jzbq*g3!6O(Wz5{br5vm*JQ)_YD;4P(x$jQ0D!e;|dbLYW-( zz{J$*FxNXROOuSY&Vwugcf`#aft6hm(WukxY zg2*h+fU9RRe_9SPmcH;_zV8lXr*30e+y9&g@Ay?NY+9Se6qlA2zI%%p7!2n5&Jy3( z^{MVG@+}jYq-#>t3*h*EqHnLnTmP^)vtxpp2jP~;)H}*6RWOlt4@-QC?9yGn*kulk z==6KGXdIxLzYTAQ&VUkoxvUS~aTo7xl_v9VS-a<1fvy_N681dSKDR}}y?WJ8E|@MU z)WxQz7C`jk$@)l{P>4Kcle?_T&%`dzK(Zgd06mde#ozyp-y_8TaSm#uNH-(3uELQ@ z5pQSkeZcuAuUPTX$ij}8Rwp?~$s%{t;YK=Re(oC2&}a_)Xz^ng;^QQx@}^{v*< z9dla?2e907C&)(8`2O7vdCsVJ>kgkm5=(`yc8!9GI6K$Was<~o8IC<_zjF<@kc^OY z46Ev(`%7eH(-F{wZ!P#F`Aua}bg!jDj^@ z$y`;Va+V2pqmK$xMCn(XR}ebG87uHA!pP6_2$PTF>h*96$1Y`70AFj;M=S4Y+D(;{ zZ8^HZ`!YU+7L7~ng@IW~P31;RV8EJ1G}hDyR=_(R{4o% zsz$Xl?#}Xj+EDrv{J}iG3Ha?N7hT#wtSw6FN^y&w_kC+&Ya7F*CKyJFrQ^R-j{%jL zbc#F|h2R2e@wPY@HWBuv8JuF1-%AiGes!61Vj&cEeIx1hQIkt$QTKpvxP2vQ?w+$~ zSoB)AztjdLdmO3XnokH&0wE&9F*bW|C2wvnpdtD!&Ws8C#pEQE*M}*3LO4|WI7&-_ zordKIV2nNbf{#PfZTdq`JU(?y!xSf~AFM(4h*Vz-SxA|Mwi3XD#*~W*m%pv&|NXhT z5DVrcP{9;l{n=BVK*-jDK2%|qA3&g7Qjo=|owl?I?y}7}m4*2+2}#p$ryh^9B+LtO z1n{y0vHNz;LU=89Xy+ob>C>=ILNLnuzS#{$K>XlH9V@Yb7ZJ46)}Pqg>IkoFZs9~rn|5BX3iR-Ps4As_5(yPUi5 zYpBro*V$4E;auehR%uo{%VAM9Gc-1i!fcL3T0zu5G%O^anxbabvk>k!hAg!T2dopu z6}4LWZ5Ui;`C>ncgJ>6-bQVkPgX@~W#f-j^cR?`ikQQtt3))gsglvZ00e#mZi2vwf zMS3UzXO#zDb882i4K)S*+d1P7&$QxhHn^@hKL>ReysX^|0~eK?GL7-%i`>vxa+P1z zEjUg2nEk8Z#3Ub#}*t>rX7fycc{No>Y zF*zZpG%r7|)TKXZTu?s(k8M|GiE*B7ns$IYmtc9r<}fE{CYyqj-@@p;QZqEMB&pXao ziA!1(Z=HeZB04NPZ+V9G&6+KM3|+2|M{0JH7m`s4FccFLr@IOi+f-RI{kl+H$cwqV z@4c_3x@St(2<>jwhkKrvwmB2w*Pft)R?y5J8#<+M=Nuyus#Ngi)^|PeViQh4;wP~% z?9S8gyh|V!NI*6)G%_|Z6_}at(%0F8Lf0Ps7awOFV;)IJjIOU}4^I{xiaZ<@9TRII zPzwVE9Zx%$B8ULuVc@4EaVtvc%;vS15yKb3XG%-4|@0Qz`7sMWc140IsVH~c6PoqIE4CL5RXo5R|TD0%HXdI3SE9TH-wxF#&^vAOffWk5opWz`X*Ji;~+C z#PWJi72G=fwrOX^OrzyY&B3X0JVNc z0zjfcBl*M%+`J)Om<`O(YKf;>%i!>rZO^gwT^Vr6nRJOyQ?M7STN+FEh#N~i@MMzS z(TG9u|7n4^Y2zH4|5lsoQ<^pjUC9(v#(p;c3uM1AFLJ|a2Km~kQ z9DGioB_}QA@Im@;G{8-wK5kk2oOJC-mk`nE1>j%sfnOkMm2JR+wik z6i~^09qIHZ0r?Re_>>5LAk9I0BV$epz)5-Hx(rqC9qnr+OP-o-#2zODVPOHuJU{_! zfivZ+08-MDLPYWm3?m~=*+EOif;VnF|9;=%!3$Nr41Z+_1MjmN;@Tt;$1ow&(3WUT zjS4|HSmIkV0ld0ygvOe!Oo*Yu6Nw&q;5M}wrLrBc1_~tuw4oPoKxM=Q)>vOH4ReC< zZ$(v#SX&su2xX>Mm>v!&D`{SlRC;oDC>mgW&lTNmAS3WmQzfgPunydCQ9f*BZ{~{= z7vQ99MDaLHscWiCzW{U(ANMxLhh`?Kmb5UKvs4VtU zrq<=B;qo_t{VuvV5&U{o|5D!=HD-tx5YN2{S|(Mx?sIh4>3E z8s?hmD2Y6GGR_gJuK4NWb6*V*T8im{2>a_Q2kM2QUP@08q~c)e)1Nb7C|En_?y@9g biva_FHO4f`AwUq*0_gvX)6nIxE1mxXm!awa diff --git a/vgmtest.map b/vgmtest.map index 3c0eec32..a5ee502e 100755 --- a/vgmtest.map +++ b/vgmtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 12:30:06 +Created on: 15/10/15 13:12:45 Executable Image: vgmtest.exe creating a DOS executable @@ -141,8 +141,8 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5aa0* CA_Startup_ 0000:5af0* CA_Shutdown_ 10af:0910* _finishcachebox -10af:0914* _drawcachebox -10af:0918* _updatecachebox +10af:0914* _updatecachebox +10af:0918* _drawcachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b5c KITTENGETS 0000:5bbc* kittenopen_ @@ -606,4 +606,4 @@ vgmsnd.lib Stack size: dac0 (56000.) Memory size: 0001f460 (128096.) Entry point address: 0000:7d06 -Link time: 00:00.69 +Link time: 00:00.13 -- 2.39.2