From a52df02a2a4e222f8570cfd7f801460247756770 Mon Sep 17 00:00:00 2001 From: sparky4 Date: Tue, 26 Apr 2016 19:26:53 -0500 Subject: [PATCH] added an accidental file ww --- makefile | 36 ++++++++++++++++++------------------ maptest.exe | Bin 89243 -> 0 bytes 2 files changed, 18 insertions(+), 18 deletions(-) delete mode 100755 maptest.exe diff --git a/makefile b/makefile index da078890..f83f835e 100755 --- a/makefile +++ b/makefile @@ -79,12 +79,12 @@ VGMSNDOBJ = vgmSnd.$(OBJ) 16_snd.$(OBJ) DOSLIBOBJ = adlib.$(OBJ) 8254.$(OBJ) 8259.$(OBJ) dos.$(OBJ) cpu.$(OBJ) 16LIBOBJS = 16_in.$(OBJ) 16_mm.$(OBJ) wcpu.$(OBJ) 16_head.$(OBJ) 16_ca.$(OBJ) kitten.$(OBJ) 16_hc.$(OBJ) 16_timer.$(OBJ) -GFXLIBOBJS = modex16.$(OBJ) bitmax.$(OBJ) planar.$(OBJ) 16text.$(OBJ) bakapee.$(OBJ) scroll16.$(OBJ) 16render.$(OBJ) 16planar.$(OBJ) $(DOSLIBLIBS) +GFXLIBOBJS = modex16.$(OBJ) bitmap.$(OBJ) planar.$(OBJ) 16text.$(OBJ) bakapee.$(OBJ) scroll16.$(OBJ) 16render.$(OBJ) 16planar.$(OBJ) $(DOSLIBLIBS) DOSLIBLIBS=$(DOSLIBDIR)$(DIRSEP)hw$(DIRSEP)cpu$(DIRSEP)dos86h$(DIRSEP)cpu.lib $(DOSLIBDIR)$(DIRSEP)hw$(DIRSEP)dos$(DIRSEP)dos86h$(DIRSEP)dos.lib $(DOSLIBDIR)$(DIRSEP)hw$(DIRSEP)vga$(DIRSEP)dos86h$(DIRSEP)vga.lib #$(DOSLIBDIR)$(DIRSEP)hw$(DIRSEP)vga$(DIRSEP)dos86h$(DIRSEP)vgatty.lib -TESTEXEC = exmmtest.exe test.exe pcxtest.exe pcxtest2.exe test2.exe palettec.exe maxtest.exe fmemtest.exe fonttest.exe fontgfx.exe scroll.exe vgmtest.exe inputest.exe palettel.exe planrpcx.exe +TESTEXEC = exmmtest.exe test.exe pcxtest.exe pcxtest2.exe test2.exe palettec.exe maptest.exe fmemtest.exe fonttest.exe fontgfx.exe scroll.exe vgmtest.exe inputest.exe palettel.exe planrpcx.exe # tsthimem.exe #testemm.exe testemm0.exe fonttes0.exe miditest.exe sega.exe sountest.exe EXEC = 16.exe bakapi.exe $(TESTEXEC) tesuto.exe @@ -95,8 +95,8 @@ all: $(EXEC) joytest.exe vrs # #game and bakapi executables # -16.exe: 16.$(OBJ) maxread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib - wcl $(FLAGS) $(16FLAGS) 16.$(OBJ) maxread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib -fm=16.mah +16.exe: 16.$(OBJ) mapread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib + wcl $(FLAGS) $(16FLAGS) 16.$(OBJ) mapread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib -fm=16.mah bakapi.exe: bakapi.$(OBJ) gfx.lib $(DOSLIBLIBS) wcl $(FLAGS) $(BAKAPIFLAGS) bakapi.$(OBJ) gfx.lib $(DOSLIBLIBS) -fm=bakapi.mah @@ -104,8 +104,8 @@ bakapi.exe: bakapi.$(OBJ) gfx.lib $(DOSLIBLIBS) # #Test Executables! # -scroll.exe: scroll.$(OBJ) maxread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib - wcl $(FLAGS) scroll.$(OBJ) maxread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib -fm=scroll.mah +scroll.exe: scroll.$(OBJ) mapread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib + wcl $(FLAGS) scroll.$(OBJ) mapread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib -fm=scroll.mah scroll.$(OBJ): $(SRC)scroll.c wcl $(FLAGS) -c $(SRC)scroll.c @@ -168,11 +168,11 @@ pcxtest2.exe: pcxtest2.$(OBJ) gfx.lib planrpcx.exe: planrpcx.$(OBJ) gfx.lib wcl $(FLAGS) planrpcx.$(OBJ) gfx.lib -fm=planrpcx.mah -maxtest.exe: maxtest.$(OBJ) maxread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib - wcl $(FLAGS) maxtest.$(OBJ) maxread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib -fm=maxtest.mah +maptest.exe: maptest.$(OBJ) mapread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib + wcl $(FLAGS) maptest.$(OBJ) mapread.$(OBJ) jsmn.$(OBJ) $(16LIBOBJS) gfx.lib -fm=maptest.mah -#maxtest0.exe: maxtest0.$(OBJ) fmaxread.$(OBJ) farjsmn.$(OBJ) -# wcl $(FLAGS) $(MFLAGS) maxtest0.$(OBJ) fmaxread.$(OBJ) farjsmn.$(OBJ) +#maptest0.exe: maptest0.$(OBJ) fmapread.$(OBJ) farjsmn.$(OBJ) +# wcl $(FLAGS) $(MFLAGS) maptest0.$(OBJ) fmapread.$(OBJ) farjsmn.$(OBJ) #emmtest.exe: emmtest.$(OBJ) memory.$(OBJ) # wcl $(FLAGS) $(MFLAGS) emmtest.$(OBJ) memory.$(OBJ) @@ -221,11 +221,11 @@ palettec.$(OBJ): $(SRC)palettec.c palettel.$(OBJ): $(SRC)palettel.c wcl $(FLAGS) -c $(SRC)palettel.c -maxtest.$(OBJ): $(SRC)maxtest.c $(SRCLIB)modex16.h - wcl $(FLAGS) -c $(SRC)maxtest.c +maptest.$(OBJ): $(SRC)maptest.c $(SRCLIB)modex16.h + wcl $(FLAGS) -c $(SRC)maptest.c -#maxtest0.$(OBJ): $(SRC)maxtest0.c# $(SRCLIB)modex16.h -# wcl $(FLAGS) $(MFLAGS) -c $(SRC)maxtest0.c +#maptest0.$(OBJ): $(SRC)maptest0.c# $(SRCLIB)modex16.h +# wcl $(FLAGS) $(MFLAGS) -c $(SRC)maptest0.c #emmtest.$(OBJ): $(SRC)emmtest.c # wcl $(FLAGS) $(MFLAGS) -c $(SRC)emmtest.c @@ -317,8 +317,8 @@ bakapee.$(OBJ): $(SRCLIB)bakapee.h $(SRCLIB)bakapee.c 16planar.$(OBJ): $(MODEXLIB)16planar.h $(MODEXLIB)16planar.c wcl $(FLAGS) -c $(MODEXLIB)16planar.c -bitmax.$(OBJ): $(SRCLIB)bitmax.h $(SRCLIB)bitmax.c - wcl $(FLAGS) -c $(SRCLIB)bitmax.c +bitmap.$(OBJ): $(SRCLIB)bitmap.h $(SRCLIB)bitmap.c + wcl $(FLAGS) -c $(SRCLIB)bitmap.c planar.$(OBJ): $(SRCLIB)planar.h $(SRCLIB)planar.c wcl $(FLAGS) -c $(SRCLIB)planar.c @@ -332,8 +332,8 @@ wcpu.$(OBJ): $(WCPULIB)wcpu.h $(WCPULIB)wcpu.c 16text.$(OBJ): $(SRCLIB)16text.c wcl $(FLAGS) -c $(SRCLIB)16text.c -maxread.$(OBJ): $(SRCLIB)maxread.h $(SRCLIB)maxread.c - wcl $(FLAGS) -c $(SRCLIB)maxread.c +mapread.$(OBJ): $(SRCLIB)mapread.h $(SRCLIB)mapread.c + wcl $(FLAGS) -c $(SRCLIB)mapread.c 16_timer.$(OBJ): $(SRCLIB)16_timer.h $(SRCLIB)16_timer.c wcl $(FLAGS) -c $(SRCLIB)16_timer.c diff --git a/maptest.exe b/maptest.exe deleted file mode 100755 index ecb3179690a1aa0be57fdf79b734550ef73db8ce..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 89243 zcmW)nXIRqh+sA)wM8u6-apq3VJ#wREDsFS*N^_=wmZ_K%QM3M)Wz%ez-B{+L9JuQ) zR~b3dY>6m0nUT48I-cvrd0elq<9cOG-#yIg&(@6uay#VP&+smvm^3WUK(h>@`fy z%ScI9Oe;VLx7~wiUkUA6LZBW7B#4Ci3xh+7SJ1SNG~sA<6t6u6s1lA>y~Hf#B5H*~ ziU7kD0|Hi=dGe4gd00Tbi!rmfA0?NtarU@c&HRA!T&1{*$*WaAAi*LB8yOy;V5Dt{ z_MKS=ucay~Q`1k?hLw5t$l3A1X*|Uz)(2ZO|pYbW~WR_bVU)C@~WPSqa3{ zR8~e@yU-Swkd>95@d@XEb7Q3&D&KL~QcRTnCtfNIZAitIoGVO-H_nX9WdmuJ5PjCs z>=&ty*U9M#xt}r<;)V<2aqhnO*Us%(0N~nDE92VEhZ6j|J8M1^+e5ZUV(miVSi*M3 z=u0TDMPt)mGT{ZLBlSY?oB>!x-85i&od^Ia? zT3)ELDwPC&kuuA~w6^p6MIX#m)H4IJ2BMgl5kz~Nyh;c-PLkF-I5#z8BJn%o*Bj%Z zH2l;&mgWv_mq^pIIIUX~H8nG!Vq5*1(%(J^fL8_ecWz)Es)PF=U{w>ew*!issNhqZHR17%4IDKf!cy!IbC&=tDh& zPFsq}n8z9yA?PwTX-ZQ6>!{3-u0U`FWlRPrRl2le!mqW_VuImcW%4S~mddQbW8!^E zk8N>mgv>XG^r{etDXKzW_hBT0GrQY<#pc>`BMj~5kyGb8w|(LB8%Pl4;D`1%D#|%O zqkiUucEO5Kg;IHUIYleGT?_-{*P!78U{7rJ^OX2W*Rq0tW86DaA#e0bdo`# zovXmmj3@xT%dXp?MU}2}mhw2ed|JV`s;FhG>+-b8x1(!zGkdd*iHvOu%+8>w(rJXu zs@rFYWRHbgB}NrWq|BE*unpL9}e{iYCA;VBIAo2Zc2wUfK`s8P;PM3>3jGuCYbEJl(D|r zwFVXZSzAo_c;U;!;iZJ7GYeaSECgBhKgwFp>1SqC`~vszw`w6y@Hpqg7a?VxAvwG_ z^3i8{^YD7&S_Yka%JfN3Vo&jB>msnDc+rYL5gg#x@^PhOxsN%X@kr2@SAR()xD~%N zFtyw~wfMN?RUu~ol8b12xy0hZQK*9Ld*}2vM z(4aXq_hGAop0fuyM*+z_BPzSm0-+kp3r?2wnUh_~BdpCJJU^zP$x8B>DfESE{WbCX z(q9=bfmN!KX!FKk)$}^1`r7ztdPn{EC-v9rrl=B&L!D~tArT#dU=!tUsmrA~t1Zyl z@1OF699je5d274PPz|?6;Md8`$gipfO zk3o5@3p+Y0JtCtMrivoxdNYth%P$?E83yf3?s&|47PR1^EmtTo6`9heD#DPmY z!b z24K0!8g12~tvE8FGGcA9P}%0Vv;5F#hy+p`4Oe|@nKEy5rg(F7$N-L+%GLzaXRh=v z1u2`oSU_ZukoJbzV>o-pg~KL4u%dn+VDNM=V^Jp|1AQb+u8wP;FC=}mk0edo+pj@QFOoH4zlEY)=Jwh5k@BGJyTT~#EQ0hg zUmZSYNnv;VhCH`a#!yG-byC{Lu*clWaOwK)Cr*G6q96sjioAc_$>nGXWQsFStI3`_ zJaA}J1QP9RufZl0gu{{oQPZBwpx2xE^*hoj;^lFhKQ3P0;*N8DF&jSX%&E3ZLgyvK zF88_pe-UH@8G*8^UDSy$IQl1=smN|(wt@ZLY4K}? z_VavuFlJwC(d;?e7k|5=1IaoITktI9CL-z{QMyG*EGTBJFD@O!IV<;rT{Xy}JuTi$ z`v$wP@FjftkjGw2)XHoBzS3k$O;m^}-i)^&t0tlP;(CStrzAzy*%#Rs6CNu$uBHMZ z;?&7*&WSW-Wdj+_&Tx`klss8;z&N}O0bTbz*dk^-!>Oqh6}6Nu1KItY1li}u=8?D| zXqPy4pulGeRvp-i_n}xsDwQoYm{Xea^=2)Fj&e0?#ZpVFq*@gfG79pvSVjD#5c1pL zpWZhDmC*5UgA4yQy*vD-2VNx8_Jre-dZah$h@Cs8VR)5W-X8n&UwPo4n!8-XIh;=V!|JC+W$kXo>r zM|Lglx*ndyla6Q%YqUx#WvtIC?CY71HL-L;V3)tKwH94pFL0o{W`%=sGhOD~5~jkV zgKA5}{Is~c!0ULIhA$MXBfXNqs#z|^D;QH-k*171L8q1#jT|8y^!do!`UvEA!Y&KF zn(6)wy00FK_P)}~=bLXz-*8=O4A(-q!>^h)WsGEZRtZr{Wb6PQ`)dd)j4hNkU`RXV zoaf{US?#-0;2~$)Cfjr*;ZXfP$9q?eStZ+h|9QNftBQZ~^W{+Uo;in%X40U8Xe8-Y zZ<GZa1rlZATzpLujRkLDy*A|hSRA(2wi9%b2_Dv()cn}KrL!5oW!A87BW`eO z(lq{l`tw`-W9bgK68=a<@QIQWA}8ngPxynk>iC=OJJbh7*>JQoIJGBuCGLvL*8$*M z9sStA*-M{v7e%bXqYl@G*8##q0ZEA@z;%_Bm5S<25b+-pCma#Fr33NFSq|F#I2_=f z(VdV52m>;SaS4E1LdG6K7HK9R&WtF?%s!MNv6_*ix09ZcmO;+$QVAr+0v}Da<8dq~ z4~5$~nsGcE$h__^0(hpF7=^jLCpi}cWde9pGY-VG+XRTqOh|~O{I^G&ED|m-$<@wv z=aD1P86H05G>WN9%2bt?DlUEiTKoXtY6G?ow|bhE-7tf<1X~!IKiStE1Ds6yc-K4S zBk4tED)D&t`|!;4NaGpTjKwToMryWeMqDHiE(F4W!$2qyvI-nXZ+RBn(8PX}ZVUL$ zSp&Z9#ejFTKHvo$Oi>x403IPNsk*RW%=u4%vwnL#;CP`Qm?|rMR?<{hkdl8gEN||F zb7~W1w(ppOR3WezFb0eOp&?)ZXaKUnhBP1vh~M^R5&ZHN1A`)cRpAFaWLL#xwuPNB zz>5BtPNK)F;@R|FQ6a4tP32V#^NK5%yBA0i_Mga`3GGp+&T|!_HXwO#WZBh#t9suv z8~pcP!4gn%I|OJqj3ipT|JL6I1SNc?Dn(ZnPg~dBDokPEI?n}l-(Cp~MX0G93*QP4 zI~+QSQyGFcnW(e5Pvf%yl_6G9UH3ieZ<2{t;bnt;9(e_W zvT^f|+=~U^l_t%Gmv%3Rj_TCt_Kf%uF4|FB*9#Yf&TI7p$FCA%l?jkfyC!!4*)lNn6 zOkJTB(6&L?wt`~Xw;#w5>cu1=f)g0d{7!G-S!Mjyag45$pAusxK5imd#3(X>HW8=paDKjD)((xOD^(!tro1Gx=in02gwdtM5ax(h_ z%lm|8^T%FPNUsRJNm7+;jadlqXXPt|a1OEsenno6d|O%5dSKFcwPph*{EybUBBXWk zZVREUSC6lVpq)q=JSAE9iGQ>%$GvQ8@8M7aAC6&5k+0eEU1})Wpuv1tt*Jn&oUh6U zwW`ziFGZ~_^##aYYe(`;wKvycLZ-a@!M+vyOePjoc+hmD{Zwy%O!}*KbY{C66LijsmV3=nypd^W-W~pTvY^puwy_n9{&8T z>)W=0>K)N>l2yI)o6Rv0 zc0sxKnaG!IU-`2+s2_VQSR9c$Hd;fP5;x6Ha3Wr6-K4*-UgPWG`Lx=@r28pn5F}0` zqZUHU^?7QZ#I7;B39Pw8Es|VBY~Vq-wj9(SJp9ysk=w7QbR2m|{*>owK83 z{%5@%Z#4pME!_7yi4=|Y)-F90|`Veh2^wv0;pLbniWqbP(e)vxBtxG+pX7|{> zReOeet+dX{TZIFJ7I83aTHJKj-$48$ z?Um`sn?M=QOK=@9e%dpY6|kZcSSICp38RB@!C;-_{09+EzJ1&7;7lpK{k^$)F0{d7 z))Y-{>jUjaZJAs9ds(>#UhvvchD=?Q_}fM=X`26)>a7_AAEk+H+VJn6;~f7B&z>4Z zy==cM&`Zk#O|o8E-rdyuWAxfq;y*chu7bKZsFL!-zvPy5c8KwdV8Err<1m_w>~5qql@MNYNhNh}bKx3Gpc$vV$jLvVEzv6HDVN-$wP}&RxAf8)7ikGptw) zJ9is&VzMJ9C|x8FOebeb`6!#b^)cRS()0bGRk(+_UE6-2tmdAjT$AUZx1CG;E$RK@ z<&BCaHv3R|nwj=1G~GIdgR6^$pO-%EUinZ?SG-oN+V)eEugy^PNUJFB#ALm!wF!R% zdIW4iL0fJ)!Vk4Qe2LN8n^3m==*&~5Rl^KCZNqYo>}TY)RlEr%%bmSXb6Wc8GGDm2 zco#xec-tOTdVrzwRq_**j0u7@Y5J^yO$7$ZDA3sjweY9xEO{%nLBua*rYNZBdb4pF z*4n@f3w-u$3dJJ4hMD3B?_s8xq7JQXQw9F{$%ala`pBn zm50zgk;-&dG$01JWhx{O#>cS0&}FMQtp`A~Y~=Y!~alW47dU4(X2 zgEI(m^l{ympbtbgf#S7hEr$>(^vyp#vrZs4B+}If3W`5Wt-3NTsXf^+&~E^+&ACq` z5@K*q()>(r${k^%sXsI5y;^BE}`Zm7*Js1`~3trA#>>co4C|>XiqcB!~+H%kKkEPnRePH4F)d>5F zp56K;bfMn87&P8}`GZWqLgSZPE3>(@G_|*$$A;b>YGZon9))SsQxS$1)B2qb!GkU% zY5kU{W{_6yTc)u|lpH?*VFe#PXj@%Q^3qCQ^uR4(R7$nF!Tazmvad$bzIm3%dWxFK zWi>4xl&STggLSx6!yd`hDawiZl)3EclwJs8>oMY10x9_CZ984#iO`9tmn)9 zU8mBNfL?mRKQ(>a2Rip-O~%iKl8O|<17>ohD?Fq`9?3}}w^gZ*b#-3U?Sc(3>2PHd zOk_1R$evyz!AWH~e(F7`%Btxp8+%bnlONTzj1^!Xcs-g_>*LI!XdG+2bI{EL{{qHO zQL-X$5pd2#(avtL`7_%vWi@@1cQyRS`1ZX~C~s?Im%7z7R&HM~AD$(JOdDiE&J$Kl zzIa7=mPIFevTsg175LAnbu*y}4v=FbOqdgE->hVeoL|mvf|(LIaBD4?by`G%5n6Dn z*ivCdq3piY^SpoYwF=4&G8g*+rTc93&VYIoUi0d`&PWy^*<8YCG2k25tHY_zQYt@) zvTACZfgO>-h{_SrY7(2dC>r0B4`kkWs?ME!knSdP2yA43i%Vu;Tv?*B*Z3`Y*9|Sl~k2<4_6h%h8jwZ6t)Aa*?QN7g|*^ zLGiEgtb=|{wFl)eqMA2*j@j)E;)HLMI_L^1Fw!z-&qlS-r{8pj#tJCzUj}z?_F!pa zeo|Z2s})DmV61c9+Mh^#5<_{{nHazUXj??GG8cU z!p?|CzoZ4&NC@${emWHA60R z?3CVF<^=te3n2VFiHa76{VXn6j;`uzT}RH&oBZZ%t|vrx*dBOg7zxdamL~;AR!8_J zJ2Pg2o)z^v5)qs${p;IW)woNsk~gVhXZxAvXE*d}2Vw^Ahl|3-#t@<1ifBVvrEhin zRu>i29kX6^^53DomvpH6QzXBnnTrOsez#RyB8!VRfzHn1LS?3lU@Q1!yP+oxs(p+2 zzl;b0_?YK0ac@N=9Xtc-VD*;-tDKg*C;ttXYBd`7xvW#qx zVqC5}0Y34KCt=qd5I8w_em^@_vt_S~X@$^rxN`kqW?HfFG|2+jrWyaewY0|Ik4RWE zSs<^5)KM0=d?r0ZTHAb{_vC)!Vqm-Ow+1Num1CqDZ8_s`)amY%UqR_2gHXLbkYv$i zLnpmUx897o#!Rk|L@Kfvixp0gSb+&siN6*%^lU1-|9c#B%Z<2yq1eIVEF>V~*texs zeDUs3+o)L4u4L(pm3C}{4&24$@LBbY#c+uWaK8LSt8pkAP$Oa7 zCCcPg@6!rRLB}W36?J>=d3XF_9hA3~)jP4P7cOJJdye7{VPAHOJAj-@kSnG=NwW z6V_Hbxj;G%1qY|8DBoXF>ig6rHT^=x!{My}*P)9LJ0oBt0XcnGH=%7~#NR^gv|@kM zngJ%(vGuSA^3c}6`!n~<;1u0VQ*ujBE^vv9 z<0b(bX-8z+h|FA(RUnp#PHW6!ikg^9Z6^#b;-|7!KG(}D<(4e z>cFXsQ!is?j}Okk$%`{sa$H!VsE1)0PAgpvmpP_X@igXp@Aw!Rw^llw&WlY@Ov%8) zv#?6ZO8V&l_|R(diL97|mOyVSIuVPG8)G&Z{7M<}56hO-YO1qOQJ6*mfk~Ic6@mtc z@pO|0YGfMaLxr)`IFYhJOim@nj+vZp2uR9c=Xkq3dv_U86gt}~60c+#M~-<}zAhr2 zhL%rqF5i>ZocU=}x?BS>o#qkR;4U5h7&m8Zyq84IO`Y+{0!Da7f#sR^P?9rcIS^Of zXi`dc((~N-gkX=TJ{$@r`keGVJ4%QR7$jeYn;s*7In?|0WNf_AUWKZvinK)c7YW2S zQZsy|aFev(7`$v4fiCrl@!4hCFiZc)Kcdwt%D3K^G{DD+&uWI!9_9AhCNmP^FVhcy zuy0E-xB6|j&ngZ1%EH1pBURzf>w|lrW@*Ta8%V>58Z6U>K9_A+HzzeYejVdJ1KXp3 z*U^j9$H)h>rS69rU@KF< zQ{_uTa_*3`5)>-L^wqznvo4sL5*iLF`TL6cX=`Pp4)`(vtW(jgm^@Wni*}69(4lxk zZxE@{{E7K&qMPlX*qNAQ$B61N23O8{HM6nlNJ=;>Csi@kq>=bsFt0A&0cf&alWM2D z4;#gF_>5+^-B^Rvxd0HoDdvrx4pxmwM(=$H``d!3Cc6Cb0KRa$++0xTIlV zsqL9h^#Si&u82jZVFKab3ubaM`fdU~kMx5}!UuG{Ud2)MFvqi~BT_ACRQ1i8ua^^0 z*%@Q$M*Cx1YGoA@T!Vp#qO*PLL`rQ7#Q}gCc^>d8I>GkiX5l1JECHH)K=zP6GQuZ0 zUGngw)L!f;uD?$EWXTH=kt3po#Ke<>8r3G5SHl92c-4mb=VvFMpx;%7JOZY#4F>a|5EmxBYG|;i^!oP;wPJjZy6Gj z$!~2FSc~qZmQ2fpTxBl>b@h9X2o~jbCQ^YDzJ{%$wib~96P1=0?Edh~Xgv?@shAjZ z*yK?REmzwlvJPZ^RJ6%K`SKVFy7{?Xit+OrxU=VWm6F5jE%hutIvbhj#>W*cQZw!; zwj&DA(x|2*Jn@$OA{!z@W$VJ*4fr43NB{_K$KLXif2sAlyJ&?0^bq{TW_i$)cUB}H zi2s$zU`xPKhFm|tMd18Chx?sa`zHNiBkF4Heu;;CV)FJvJ6 zG3^85F1VCgq=snC9(tJ&FQi{^6EZQdlC6(?8K7f#8E0};;$Klk9Vw?TOFA-6UsiOm zPG8n_)_S_%oSpxUaw zj*a{%r<`lA{sEez+=X|PpH;sH02Z18YAR);#MpNOns3_T7W^f0wX!em%!Ujj3^n;~ zGgLs@6o5z`_iwl1*G+gQM@6ZZ_FB4SrBS)yDXyn$2-VSIvd*VUfnJ7f`nEW+_t zKtx6CgCb(kv5N~ zsam6}mf*JN%LBn*2AF8|(iXCW{fTwy8`{_1FRE(q4-`ag$V#d2slZ~GwAxpuGI0v0xvx|{g=$#qt`lSsEz{*} z4^V9617xqp@vao`-Kc%1=0B{oNYeAh7Mg?x)B&9j8v;9M){qO?&c8~DaL&n09={JVUeaI`V2f-A$VlfvHJrb4RD9(;`L11_ov$kjXc8 zkk(GPJbSt?Skl6s)?@)`JrrT3eyhIVd-0`8xzR!_DDub}HhTRw5^Y6!(EjE2 zHMxvaRzq$pa4PT-nt3nkk6s^5HqYd zn7%PKYOQrk;$HNz&8lfU*s2Vz^wb5KSBbqubS&BxLQYoBd{pI6E?j?)7v%bZQ?`GX zswO?7sP6N);XoAKfYz!@Ym8;s&#Taw@%LQNh z+7U5Iek~9_e0--qNjgD<-;JJ$`KxxEl1k;tygG5IMCl)svTvRn)J1W<$>==2c?H3C z(Gaj(JS7^k#iFep+MVQ1zBHYz?wk>d!(c_?e+%0DB;Mf%WgQmKC1%CS+`2N(i@fhh z%FTKDA0(Z@L^_>K>-pJRvqjrXUHV!B(R=}yswiLR!s4+>NHF6RQ( z^n=@Y)c-aY>3F{3j&}B2nu}cP1xmG&)J0AeYwXln9^HJW2V%7r;?8cotN5cU)f=wx3`Ab0!_aM;E-}@qyVRQk=2u( zGIM=Dr5)vu=uX{`=>MyN7maSL3n?y^u^lnBZL>2{R$5zs(8nyNc;B@{;FD#1)C3cK z&A&GNk~vgGWN@&C#gf8VXkXbU)HMaB{sj*OdRmueA1Gxq0SN=kl*LDYt29wk=(ELP zZ*RLpk%@}@XCb$ApQN6P`E1QZ0-j(OePi+Qav7xF@&*ms*oCNH|Ax)@bau_;AEZ)> zsMKKuPw}_()7zp`{u@IcX1IOwP1Y(0X`f#fPxzJ{s);!>c4zE6qW3OCN?Qt(_*hON zO1@3T2@H=0u?90U^rjViBc|kZC_(-9m#&c{-9<0SFlllh1`u0ML=qOIO$ZBZ z!xRxGR0rdcttsfmS?0w`H9F}Z2VydxHQUM>p-0fGR4gX0D zwd<#Izb$mqbI|f*QWqwMCQLohwx)gxUOwWn#X8n)GRY9f7~yoy0lFM(O~ zZ?|inrF0g;?5re7Ay^9#?Op7W??Mm;e23?aJTy53(1*Ue1oiFRk9T3+@i-wc(Rr!~_pIfew24%Y!gA(`OHoX(8&%KVBjM;{9!z4bIaY z`K0aJyPTfg(93%7!xa{aA^cNE#rd4GKX!F0-R$OdGk*Y1A0_Q+lnt?^5^$LNQc=t; z=eaJMm!xj>nq3<?9D0=;LU_#QQVKWBGG0_&%MQNg#m zpyYpiG?0Ubvy|{dgtJG_IgC6^N1HpEU3Y(T-CY#)_|%pheVN}PD;Y8Qu@ouf++K@` zdIv9k_6Y1;u|L40{J?q>R2z8~AX3t>Z1m5#`jde2aQ|fm_$yg_tV*_Vz<#Z74fT^AkYE z5b(9u4Q3zrVNK9CPX03osF40hvYUdxu~@(9h!5?lDvx_0ZKYC@3+^%wqR&W-K(pXK zSnZdG57^RyjVq8Cb@SsC6OB+s3ie&kSNF{EWC$tQ2ST9;0`?6)`*WsjfoVUokt;7E zi`K9f|C#y5W@mETl4y?hdK~?R7Jg%|P&MN_2PZuES=}Qn_L4~7@!5!cxpOt{MW~C3 zP^uN#-yBC)LhOP#<~3mtYZ_c|U7wzXMhWbun zg;=%TDRTovKgI&|;bI4)*~#EL)(ITfK9LZQ*L2c`SuLbJ~`$;ro?yFcg` ztpBsi?Q1Wy{g3%au2!^s(@;r*Qbd;hEu(S6>iDpn%Xu#u1oH`4+w;tXWJknRdj)$Z z)__?wj8rB_daRZ^39NQWIoOjd{&Ux&cn>`GGb^G3my>VQ?$7(Lre~jS*bkAF=E4-2 zS${qyUeZ{90-{~M!6X2`e^v;t^bh$i^iT9`ylW4*b89qCfX^>PkcpP(aw1kT>s;!D zN0kG;Qisg^jGpE)_%Lejt0y7N(**aQ*eV-xmeMjUP} z&PhJlAeK-zo1cLAxAh1}*>2d;YEMnLtO!;!^9St>wXZFlwnmP)_F_-{5&7p*oRFQE zyg11ZLkJOt)Ks0~;b+c7d0n?9!YVcdeW2T1Iq6j01=?hPO@zs#wu$1tB=Mm0*(l}w zlW_7UQTwcpxh86f&!9_>&R^mN2X8?W8j}V1#oWGu4jXSDQX~2U1=AtRqeF+nwt>2E z=Td0`?;mMJ5YdiUmek&f}q*Gbd zE-IakPeOHL{EI^JJ&-07MM;`Azk`x=|t6dBv|S$TgUZ34MY;P zAU7xfoCDae*gdwi=*M%;pVFD|2u|;_Kk9olMysU=y|nj4KmEI&r>cCfJ$0UGzclbt z=0JAua&zp8r)BOIVEr6%h_Ja{DmZks;?QS5^UFMNRK=&`%I4FUAKJPyC{xkyqiUb3 zEaUOtN{;2ukepA&_}+^;3R6GlEw-Wr`sj=;9XZKHN$fWeogZGSidsjgd=%&#ti!6E z`lhP7EgR4?QKVLT;hOlUfRw}_pkhAWCAJ*;4^_bu=Inq?1QiSZ(0gA+BAM-fxvMjo zo;Ti*B<{RSpYRs$c#?n1UEWx!|E*+1TWTM1kP2wU3UAwRzcY-uGZ$JQSDkQl&)RiK zU|6|BU+FKK$-OO1Wug6!)Eh)xZMsN@e64?H+zk>E&wL^57>ymDpIS^yIZ4IK_6W}EpI*D+v=G>`4?{LanfXNL zf`%l68G;M&_j-p8Ul8l>b3I217M#0S+D`Nb>Wb6Jl=bd~z3=<8bQs>^XF=0@;l3FIc*Nh(5XC|T1XPKU`r z9_=?;+W=iC)mL~|ZqV~T`&u-7&1cpMVk#^+tm_JkfkpKW{m9Lc)ye`L!pzV%$yE58|> z^l2)zNpXhr8TNDzN~ymwlkE<@%FD8%cwN5k=1jZ_@mqy)^1yYsM`49tH?!<#C=T#j zGbB4`BZV_(%kqME%(#CB7mC3-R`4!%lD)NTS8kn8{fUcF&0UN8S-Ef+7PWUCd>Gm_ z#Be0jSF5sJpd~b0A)S1z>zf0S40$ce{+$6<2UAoOYIs?;l#a4mGxonWu1J%BM~Qm|FU!d9`rstLU-n^k=nnv9(%%S)acL z+~mwC0g__#K&Jft6u7L30oNuP0Uo$oiIi6XiUE;VA~+x$d^S%6*uE<2_H45^M`}!D zILWKBS8cVPt*jsb^-4}69c?Ca;N-0@HocUE=Mk8)_lzaoh3yjBE!nJEyT*F#O_g2^ zS(VG}E#MtV{eR>#w^b!nqfcu&A3V~dz0!k&0`IH@T35*g973O6cpf1t38TnwmdD?F86 z(CJ7`>C17}J`;L+l7T!?yfWMs{_Y`3JNVxM@E4TE`r*`b=%c1tEI1&RS2Y}@e}Xf( z%lIkgaa}LO9lZRO$2P81yP44@D8B@x*;`6F6fb+`-Z+UWok7U6rR6Ls;Aci&c~p>5 zpyprHvG)}|$Ce3#?w<3~TAATq*~^p3VFxeK9?V~vklYnn{+l0rwV}-3r5dqN>$jB$ zj{)a=!EmJJ9nv~gIg4&_{tZMkWnP`1*DrrNkc#9(o*p(@zk}wW% zU=dj($=Gnk7D6MO&M4vz4ENJU;jhO1T*ix!5D95}kPb>_wAFYUVrB)d&yYG5*;N&zAmca>pB*h@FzUVP^aT3do)pxw*%+jad}HGz_RUL#m*I z&!|uP1*j3m147e-H_t%t6*oRhpA&ym6E%2u<84XcRHn+EVWb=qhdiL^L@ZAsyCa8( zh62)H4$bef6Aw98n(cQ|`ZE|f6G(?DKg-e#s81{6iO3{2Bb$e1_gc{@f>`550fh;5 zL<^lyMX!Vx6?nfpS#kBrF}A0RhW8J%Ef+t9UXj{f48y66;VCmG)Q&gq1_Ke=*~Z2| z+~o~os-H^5@xX!|6i_i(8$oRoITRoyI0t$Hhn#)7Jd7iVaa`rZqZ%#2Chy`ucSCNF z2-s?{*l+*(KSyuWt@fkcz4JFKVWE1GNw362Kd8`FV47GY@kWe}cFD>saWjxxjHtB) z2x7Uvc>kB1RxSsdHzVk+-*!vO0WYHM?Ox|%e16w21A)QBa;hUtif`9GMq+#EY60Fw zA*zwfN_bL*3o^2D)p+zemOsSUy_meW!L4QN?Fspc z+ddq5=Euy^;WzK@oLm9RpFhM$e*Z0~L^L>|A2sGaZ?+5j^RkN|8brCd_D@xB@cI=@ zCdKwI;N`y1=vkRp-L-TvUq(AYWGbX;?_h6#PYCjnL$t89sReTG+d<2skA;}dcO?Jn z)*54MHS&{R)kK|)=yLU3+0yIpXMowN$<$#{@Td+(WQS6Rl?rV~0d31!X*PrJoZ~r&FajwC z^mt^F+da-|9y=vTE2zJ}S1CR0;x71qL%kqC1ZKa)DGEB3Y~p?HVSV=$6Ll;k*i~>c zxl?+x`r5|s?di6SW8>hQ=2&W1hPWo_+jz6>)SgyULwUo@6TnZZQkC=^<#7K``5@Ht zz-JJsX!`Y+!}FLT2)EAkQI7$97>n5Z`=JD!ecL8&1tj9(skieE-1|>C{4B0? z<0d>eMaRJWdFe=jTX@S>2XJA$4Ho<6<1spA6KuAUziV>RvKwksXj;tMHnF3u=X+SK z{!U*n0+ok(Rg#_>OBFt^yvRZ8-jIrOURAPKbv}uLu7(xDY*($geIi{%%!$GfZi{Jg zkGm$6h%iB66)Ui#ypmjhM^vd;DKF9^AT7NjZPPx26`qZfB-u^ zoz9J_X{qjC+-^|C$A*&_P(|$v6B*uV%N_glYzcd!Y({JZSuZDvdx^t;1LVNF_Xtqj z8v9LBHp)KhJwq&Cj7!Zyk0V6fyM4L7911XKpWEK_sgoasRbBV*J#o8(?|kEbMe6Ch zhul;Sle?Uo!8o(i^N%s*D%Fz+#FcE*(!P-=$6Ul`rG)Kxk+=I-NLLoUU zgb-=$v&h-rE%M3ifY|LN-Sq!Ql)bf+^lFW54>|K`c-LHhIcTpLxX#Mm+r(~^3RerK2han9P*2SQdlZQ$ zm7MBZer=QcR_nYvOjAgpip^Oz_`ib*oGK`KV=*?SR+8!wnvYMc^*b&}+=)Ez>l0u1 z%izz?ZZnv|Nb!f8Lae+5BvZX~0OTKrs^ECeh+pH<-%T0>U%#htAf4^AE*Ei7h7xm3aAK>n!}-o4(N&)WB`TnO zumukXnJqm$ejV%n+uz^UA8+95BqjMS!)FR?Be?9NEVeARn;uV0yEl^kOE{Rsv8xu3t@Lqw`RS9eqI{@6_XzfQ-Zk?knMQ{sMRRzbL-T zl&wfO3V5d^5NUN7i~q z>qb5a#3xyXSJM;9j3gW!(lc)4f#OctQnI&8aY1=rmWse54#dqm(W;k9yE!HazB?E| zA75Koh0W9;teq&Kw8>M~rd7pG3qT)e!+Jg>#2b~D`Q$|mqw>=-BStpno?$3_aUT7f ztv)tk%3AE-j`@Ss@Vj@EB^#%kyZ?vpIfC2VVQ{wcdmG8@cz zk_j$TqSnb1Dzp!%1EBL4sE>PU_|^cV&$P$1;tB%FSXp~&%NthR;z6@@@pn}2DL6Wi12glAoLP8C zx&6W(*P)wkvLX^K)}Wv%&ieA4$X*y=?PY3FCK^_P(8=Lm=!J#5r&GEAsf3GhtybuT z;O|N_JGXlh^#7?#G0|NHG5R;}`@W;~y)vc@tl%w;e_f{VltVpg( z^)y<%0L4lUnsQ4=sJ!m?A;J$F(nIMdeKh`6E0sa+yIb2ub0t?5&^HCAPv$n@uPx_) z-hKV7+trO&u-uqvRc3glOShGOqxMbDO%SolQHgF(XGef9PSdRBq`2&>x5lBXb!L4- z?oV+o(LrQ5Xex#8K+zvd^?4!I59+*+=YgWFL~^R4*3N5GzfTRW8Xwj8iIebRVn+>g zQ}4rq1V^eZ@25W=FD13AYer(1+b#0vJ}@g7(2?tkGZ0F8z*PHNiKd(U^%4w?FIgPt z&3JWK^X$;=^9O9_b;Y0gDRG1`ha%W(llso;Z)2fFKL$KsQ@RIqFzLU#^Kl-37+aDQ zh~YGo-AtNvhctVx33MsfsKJm*Ccnr3x0kv3<#8qBssjTaeDAn=483Wi-Fp&+K-Da=Hs(-1=;L>pUL!Ci=^r zycO$$$1Uewvg#=AGPeMA^dGBz3YbdsW9F zBxKxu{Rf}lKJWMQexBE}zS1<6#P?&Xjh(=fGiHE(sC>KDgh~I) z6W>4K9!xsT0elsB_U$7-tqU6CA^zK^FR00Fh_@%1yd2GO$gRtU?nprvelzpc!cj0OF>&Mz=eNqS9DBhC&}(_SI+cw=t@624~1bhYCk;%8ILZa)4! zr+A)IK(bQWO1XDWUCPRh>rRv#n0Fwo;}6aXX#I_ML4y`(<=Q)JablFGchq`VVcBr{ ze($(n_^w&hur7JsO0E}0@2fERra1R0MzGiH+Z)Q~tdK7t56m{>g`;g z_^i9l`Ry1g^XE7Afhpw+MgDflEM<>^wB`i*AJB_W=O$7UPn>B#z*1VmlQD>=@bF9U zv{vb;PB{;AKdasB_SZpe4Qag8s{dT%p43hyQ3H=NpU_))axg@Q1HEO-4YRsrq0)OI z1;Z9(U%j1n`*q!I$o<;@&`TVTE`>AJ={9aL%=3`P!LweK4_EM>uQua7pE~F%WN|tf zQpg2c>IaxuehG7GqUj^RCHro+Eepe0mIZ5b^iu;p zT%!UUJuC$gCabGd=|lM<0HmqYF<47}D-Q~SfS*t|zlgi2Rrl}PH}zU0V?(8x-}i)G z)T=_o@?c$tfMBh?;ik9liEZo9Vsp^+H9^BNod!B(r0KKu6?GXwqCAr*$1R=y@ogUp zn_@|JA>Qd4=5ucU-r$5h6WjFhK_s?I=49UPcNLh3yDuMuV?-#zELwT?IW~@*`{!V< zU?zI2Kq@c2a)9zQ8AEiwe$yy#X39^gMR_fQ~iz0R_>f4h>+<{Fm3ML2~-|JsYZ-o8YycWA#`Ers| z-+D1A~YB^(Zs$f!U3fWkIt^D;2+k$=sXrjU~U<|+XRKq>f6Ebh0h12>XvlF0s zqj!_>0I|QDu_;shL{{Uulu1CC$HH$%lRM~wdF?5Q1r|~VlBlaVG{Wb*^&QA* z!{FXD{;Vp;v{^f~0$QJ>v?eF4KmJuPDrgu{JB z@b(Ow&4oXF%>zh68Uk)lWbxJib!r^vaBSf&g%kdDaE{>rnInlZCQ|Gi92nAX&>6oY zs?sSwoOUeLV~1`4ZJdQB!bhLO>O}FNa*6&@FU={pU#z7eMH1DStW_mx&)*6Tjf_c@ z;z24o#a(%sI+yE)P0mYN8@?-Ye>Lf3rmvz#gE( z=^lt@2ggK7njbe;$yUjPu$>6eYAzR}&hwwSx^Ya>!6kPg9&p?w_DUSUd?!^>(OgN= z`3lxIR&`tQOmwgeTSHG*SM7xQw)%-<$^e$6o$J}Nk`towDnZdC^H3Ew#6MvW^`~97owk$#AkNobHuAxpd6Dt%X!`s3;eAg zq2!^#0BrfdB`In2 zdyY3P3B=abAt(@&z(yvmR|bGgQ6sGFj4;6VGb&z^F9_q!0p?6Kj3&U+esr=~^;{6* zw8dM(UV6dD#eR z{8=x{Fz%S)6s+F{mBhev&|PcLk{;-TzW$g2=*iW6SMo~zU(x8V=-okRJsYqH3lpFD z=&4L`a9Bu^3ou@hZErx*>e)cxpLcjY@A@0PR_u>qQqB%eIbXd$H#Y7F8O`jF=@MQW z+;`nfYHxZ zy+h|va_<};N71|Fd#6?!)pWop zXGPk)Wo;Ur_hnI=HG*qu@2Z|4kid9PE1oIZt_B50SoUH+nm*)l=cbTvV8dHfEZ(vfc zX4YN4)UXS*vucgFX6TX}yZk+M#2p-~a*wEp(6buJXhm%jkFsN?Dk*Y|1g_Loz)sTT-_Od%tUW z=}P&{um-=Loov|8=x}Jsy;wUIt@#sOEagCXrWrxOziS|exi&>79Aw@ItImuKpYeMx zvE7+zA{?1^09J8_Jo=JpOE)^)Af_R#63&5m3>O8oUDdaLcZ|w!U*}a~g9yG^39PKY zG~%9G`S#?({yz{j)| zXnA?0C2HGPzpre!gNH3hl{YXR-G$QAD>ySxd5;<PvnALwp+n?n}g1%PDaoWgXc2r5nqQ#aOYa&oHd)91%C+2&Gy$rN3aZeOBVK~j4f&g(3%fGZbG)d!{Da483Lv?sJ$enGt*7-JzkuL!P*7$fn6Ri7!f)4qtJPBD%#t5!~rGO)j1EhEQ2!0)R=ohO{9Tk*Mm zd({6PRaMJMRpzy63L@a9lB*gTRC-3E2B&P{f$Z8}F{esJR>zf7=4s~nSEYU*?lLee zvaGF>6O+!QIpq}@TxQ&157*tLgJUm&?!NDKL6g-da&OX+FZ{ckz)w9i^K|t!^GyQ5 zP0iI1Z%-&d$U9qm4-gp(E*vF-AOmlpXzsap zw$!;T?>FY;?g!|l-Am^%6lJ^u)6S2&2Iv!kViT&X)E&z1Ooy8v*1a3{`vUO-(4@A@ z#Q_4YCi`l>-Lf?P#|Wl{g1j!gt$8y{4Lnw49tzKE`r6b&7VeDsy|Jxc3=ytxu5ZH;ru{u*?q&nKy zGh*94QX(324d}rM`m?M#L~RH{s2!1&+1{P99j2LAEb%t?flfP$3;xS8@tht4%h^!R z<51Vw&L1VStuRR=hh>MBVKeV$DE%$iQ)++(!5^#^10>5nmq!B4_^7eY_U+5Td<*G+ z)8M!pS+}yn;;S=E?4K+~@=lxBiAxJR4bK#^wylA=V4spBAsCbZPWuH&XQ@=rek*o3 z!J|H1{8QBS$F4euX}A2Dj?TFWMVa|1p-(w_*JT^#suVe!xR!oBTj5c%@JfF8>f26k z!fGe&@U$=aN|2Icw^mMq&Lh*m6rrfOrE1OFle%9>J$&keqbif9f<{#|-g5gsa-Mys z#H84|bJcf^>3Y;6I zD}u8F*hIP}IFPGSwxz96+5%ktq`p*xG1Sj1#ν8bHPR8igmgHXVoLkvhkucu-zicLiRRYqfxEf;wpn;u;~dTXZRE#U)c`6`tb*sbheS?{Ob?R| ze(*{2O2qo90QB8Y>-B;VCu&5&C&uYM5lXw$6)s^#uwb$>swl=K%#JfF;AdgfQWMD7 z^66$F55ocR6S?SePL~0Xp=S!%?AtF32t{#b!GE3-IN{0*3$0ogcw%IcOySM2-b9*X zVqVH^QqHv0^!i%yir$BVyCZ(0ac?@@*w=K9QOIw+#n2YIp39Ap)@J4gC`K?n;6X%E zjex+Jp}6HZ=!qtul_&6=l85UG2pO_`y5UA_9*Bo)7A*@*zG8K-DRoiofFm^h)%D13 z62mgP<3pmD*QcVebh9*Nr1+&=D?sYqL^Vn#u5xhK$wsd*gny9avG!6mrt7Z5otH=v zr<}F<+fXnlW{0EK18h0i5>Tx*AceTmj{0^_EJpHswVXrDS+9O1>)T~PWZxBQP8Ic; zCoQX#^j~i?`>GO3(EH35rm?5)*)dP&K)ruC1k#^XzjNK8 zVjrmOqvuXY8W^C}5)u(A(alpgNZicd>r8$ns=n$xLH-i3{RUvdj>Je#cXo8k7#rmx z3r?i%>Ela;JXh)Np^nJeO9b>cMj7ybhv<@xb7q$gIrQaK>nM@H(z za!)|4hlU}u(OJbZO_UB$@Yn7L=N3;g*6U7$+cJT>n|keuUT;KrUKgnO3ZW#mO-=-- zcnWYSrrStdBuECJuK$Y4m$M=B_L{axnl6O^sJf9S!j&k3A{7EJ$~F>;x%&PjtbP<# z?rIooHMr~)?>c9J*jP`*7WK+{8hx-?A6QCe?@@pCm)SjUR4YGVi$8|eV+^%2z3Fr? z4i$;@gwH*L=3icNTeY3lXjCN|sMah3F4?5?Y&c7C=fr=_Vf6fl{eC5F4Ct@Dc1TyikEL|6{8ntbl| z8I%gYjum!zTs|Webv+D8)cJ%UaJeO=3CN6ChoprEY~tqG3PU5i%-KUf$je9O6qGxvIR!m9dAL<0)mmJ%UqG?AJRQU1NUVI5-SY z`s6SXLsQy?WbD@kkLq=grj8v7>CGhY10(c{yr`16a@6sZ^2DpT)Upw#n{8h1TnpS%tjZTZ()JarnO_Y1aI=mXDMex|70xb7+F0(D8l%#isx(T!l3sIk- zDHwAoOA(~At?^C5S}FM!<)DGQWDatZQhA)Ktu~0Ahw{-nbb^Gwbz!~q__x*8AV}ZT zI?$3vyKN|Z$v}rN5!Wd==wox8)@_^Bqy zlP+@+$4#_OVlF2>+E!#%F5vW_$wNz_K)O%|caw!trAc9vj@(a*e;mm5c#%3NKB}eg zoZdm2NoKgpIB#c>L3DRT*4V~?Yda6gE;il;lI4+kgg>3Vxg^<1j?9d47lzySU0UMZ zG3thK39qKTirUqkKOlsMd{(|*JZPl$sC|hP3Q{jAU%A3WS!v|t3j%-51Ca_~^@}B=PJm@5Z5W&^@cAf#;;1FX(5`?q5a#9Rr20RbJDNClOAZa1>Z6^ALeM;d(rsYAOzw0k%` z?2d0c;P1h31^V^!x9_irHla>y^R3MNIz8ds%u=9mTCtiC{UW!!Slci--n|LhcWvd} zFUrNvfF()ebNAb9<1i8;|MK4WEocah=*9oy42X(zk6Mk4=0cxa6e!I1FOK9UTe)Qj zW(o0w6~0=Al}9ElEuT2UMJOE2P2lPCG>+~)L$woc2KagQLsh*#ZdS&hoL0hwM^0|GHRi5gaX!d(6m*Zy=*2 zl9x2@<^2_yVO-SmuM~V3Q;iIdzjsScoQe>qOPw(s{2~9RHjP{3at^1xsJLQ))|EFu zxJ49+6wB?a2-&)XbTaH{f;tU~k1Y1&YV^SmWk!rz7qg0AEUumf)rl%mp|up-RNT*o-?oX>O$Z^= zgxhM;by%W8-tPmj)3O2MnrAr}jgY0Ix^6s!ZCj*a(xvYHtMt4!;;zLnBV54 zt1V(r!>v7CKv(syCN7!>iECiQ!m+}pEEV0NuS?v&7J%zzn}VKHR?5Yp1^AC@j%&G~ z3TVdL?`#{!&uewd)aI9*0pX{|3oB3>^`iQMU$a&yJhf`a&#wlF@tgF zb)1?Ba8k{oq#N$tv=Sw*|o|&TL^#scN z>qvInK%3vv&|F^gF2hen>YQTDb6IlLnr028%u&NVC)tb~>%m=QyWe({aja}6wP-;j z1r5bWLa%oz04GX0@UxcvE_@Yw3eTd|kIk2Zy$GU`<}KteA|hTvtnmDtX9o1^IfGFb zzH!Z>vVq=yY^Az*z=>%B4_JO{SCD@+d%ZgM@dBhv>_Rk)(;<#GgS+ccr=AG(lwskF zVLRWX#=R#%bK|D!1I_2qng(YMWdNh)WgpEylmV`41Sy7^)UmWAWerK~Uyx;|JUNJm zUnGg4yQydUZ%i%|KXF(N55a-U@3em!TL5q38vK=_znZhVsQtpmn z868F2(QqVKBog2UCL*vb!DO^9+o5 z@&swj@FedJ*IZlsO;F>1pqgN%pHwl*yz53@P3J#>Vr{-}fj)uS@4g^RYGDjjx-8|k zYEC8ic_>*Nur5>t64KXJum@;W+Jp3{P;L%O$6k4N2ht%~lbj3^G}S%EEOmL*_t?Qz z#u**vzkEy>eAYDMQ3PpP3lcrWjOMPw>-F)woipV2r^dBJQR2EUCTgiV{GgmzBwE3ut4<~Af_+f-F5z|RBv znY<%RS<2YRPYUf|lqtXz1&vdu->RoIb~Ejo0#mj{D9qZ7N&Wqvxrs?Y5K~gZ(oh(S zYu)1_$XiPfe*bb;no3of{%f}>)JCjB@U+GMGd~~6|FLu_nr*ObgRvYN+qSj2CI8g5 zbcfVqX;IVD;5jZ;cF*imwFu^JjOpo)g{n!E#)u~`PwyK_B9Cp+L>s9G`X2|gW7f%B z>S0p5O(qTe@g~))!>4}~d|t4u`e91o_OiS$#(R|h4G*(oViJo3kU5(L{X9WHJ}s{~`-E0c1s2~{C;i%LdpRMrP>Rkp zrC#FDwTj<|$3v@@gF41-NI3EiBIo3FzE79nalFQawCG<5OI!bL8nazx+*f|r%Gwet z8O8!FnXsqqs?WT>SNh<6{) zVQ%ebB!NX7{4Vf8hGkhT=k<0IF3=QVI^N(L?QU%RxLu^^V}3uL*KuZV=Eo@~{mL^Q zl@lzcEWq9;OGN;kQP!mXR8V&&NvD=2&hCWDR#9JJmR9}?;K0QZb0r=b2bCU6O6my!s{$7vqh~lam zQwaJESowhG!f)?Mo9k^0$Gp^@cc>4Dr0+SL&=802m0Z&W<$F6n^gj3?6JQ^A(}1*Y zHO~7~zgguLjza8TIX_xX*oLV>APJmoHdQ3#%-Fv`PFNDkl6#EVv1REPzY*FOu4Z-KZEv|U(*Fa&)Y*Fuygpua*iIg*_^A_eQ;;&?I~#Fu6O zlHp z>?$eHuhLz=hT{9rsj422cu7>(ozV*P6afDAJ-}_3acLO&ReVch0%3bx~m9jBy(RcfY_5kJ_27X)rHM&ob-K}XVcr005c?VMmVxB z0aw^Vlvh`0md1%RVwg|O-C79RGF$VJ*K^Q4u>51mQ7yfGf^8Q2(Rv=-(1*5@#@$ty z-;|^fDR1)&XJI+ea)xxMJ4?Y!)VJ?t3y0?cLgqait|kxNEebey?c&hAy`^-PDqEwN zqlQg?(~*gsdl*d~@z9Sn_<%`I>h4#<=7BF!_=Gqe8!fU{Yr@mf_9nG_} z#?k{|Mr*KKgWwZxlCby^&FU*brI+A!M(f%*$>zYNR5Xjj@m69gAOYlswH*j>ZNb`Sx)@=w?#3D=Qal6 z8tEv8mRG?Cd-sj|d8I!q9{rpWva^iD!a0H*v2&~rr+b!S|CR82Rlq%Tc0Ei3vBiAMfu z^=Nr!-uM1*z%syAJ-yAa0)BVbA%`yUT6-NIR%pP5-qP!h^Vj5=F;in(BQpL$<2fc8 zpxCzcL>sbe>os4f-e5wmqvLEwH^%)h_WU{0gi`Mk3V=W5$#c0_kl%JR6=6v4O!CgR z4v(?`K~N_~!1bQoK&~nGNnqkL!mV_(ktlZ}*59yHkCp(QY4-=~{UM|kKG|_aD7=Xe zU;})o6F~!B9^p1R0nGOncYk&R%AdTcqID75u*N2~&jDK*YoP6DEdFm{^))XV$N^LT z95_TWPbEZi2#~Nf1|4r@ZK6RJ$4S1RIruwvP;5=PM;iyfZMWX;D8YyWBG2&~V&JYm zm@Xc(rI>PYyG;VYC65!+-DDnAE z0y=--#1&*ez1}3j?;5|6kI6&Modl87?OS^Ecv+n%O7y|nc|?K^UKD6+44Wzijs|40 z!jnHZ*pLS`C$nu@^&AY!HXnd0Fl;NrfOr2ybSsqgB>ih z`|qqJ`r$>A50@(l{c7svk}&Wt3X44w6Ecj0)DVP^uf|Bbf~YXnlzZT-+>uJZzkoS+ zUp*U2SlM=kF=izRNb?gQ6(K8|@zzx7?)OD5L-L{n1Idr4EiFt1(cKMyuHhbjdtdEWl9(=mJ2YUtQA1>iYKM{OzLhx2~yrEH6F98?&WH9A>TCPb0zLe^A^zIA<#g zj0S39b~t$jXRu&F=N@kuRQMR=C**ODHEu&Em@E--p7}VAgC4kNZ}jW)oye>((sXG< zT2}b`w+^F`e>gh(14@iYZY;sptN(pI5iY^F|Cs{7Njc@}o2E_CFKLm7i%b39qDrA< z_bUc=AhOGlmIWEHhDrQs zssz7Wf7$P-u#r-!EKrbpMvM$I`yecPd+Rg=5@WiQ1PC~i69RL!WGUwZq~ z_0!t^_JNUzj&*|FL9y1J2W_8m14>DRIJ+6k@O|w#wKU_#7D5N!{s?d8xW=a3Uv_)o(Qh}!@H~lvZ-y!Us?hSZtf4|=(IqzCPk``K z=cK-L$U@qA5iY%;Fc@){OR|;CZg(gA>AMhXVroX-Wpfb>Olr;8^u9CIIBo7E8}YxQ zl3=2FIwvlGe(UIumf^c*G}3%Zm>`r!ntXWbm}f#95%q9+cc~D-G1a)Vcc{7&R>_q` zXZFX4UP$Ye6)>0EUeaQpi4P?#DY7}g?CnB<0Hl#Bc3E0|4iD*bPOa10ws;AQ%7N## z95kVjZLqms&fvO;VI*Yi<##3Mr9jY~ z9l>8hoq>^hooeuVI5A=Q_!?e0HU1q4O|;d2Z4dJ-!n?Q7zKWYe%-rWp4-Mk4;j2^R zfsVEi_e%e%!TD;oDF;dNsRRvD=kMO-iBsaYYZBF%h-!T?jF;*Xk5y0adhDe$Cu3&R z{@s~AVLgFXU=n*x9#{aVJQ_z_!6Is4AWm0!*>fJw^#1qnBNUP|?Y!g=4T}RE`DBOV zIsdzjDHIb3<1pcN?s57ZaE3#K+Em5+YcRgY`1@$XAX;Z6uUq{F6~IrFI7-1jgq`WZ z26$vU?)ia~@`>Y0Jrw(SjXtc9MDguwRYJ$PT~c@+oH*uobW_VJ`l(?~7ZA|c6wqEJ z84KPkf!y@*Zs^CkmqBIS+s!9FcjB&oRy}8-$?gW^SB90~Z)DYPes&pQcS8yYhe0Se z@5)jK=Ur(8fK$yNSpsX7`Wr7GK;d0K-+c?URKddL3B2y+)v?68!u9Q^NZ(jI5BVXQ z=REfah-~w{xdZBY5(C*yfj`zKNSl;w{OQWSV4MmP&R=e{zhQY(Z!lge!3Ae18G7MA zU7qfnfxLOtv73Ew^11}Ua%_#R3q{)k_ljG-9kfd%61uX8Gz(}fni0HyD=ZGqla$Rb zOIi+78>4c=g?xfu-X(QK$W$ryRgGf+K|UZe$dNFb)B^(mq^8?SYXe}b?IsSh-0bsn zAMD{pX0O)?mSHpbuI9yvTjZ{R&dUbyyItp7XKOl@&+q`<6)bjHJWH-@H=*mQYlE@T zz$cDD;Ux7-AV2etuxyTsNdQ8s)bZvhyR!!IKwM4jqTOZL>;xu=2Vp`A0IgpWxs-d; zjh&0@d*Kvy=|2UUkWexY!hJ7xZoBRHE?R>cxL7y9+!76*x>yfP9r#J?n*}nPUr1Yu z#Sp47#b6{K+ja1_K$&ACo78T6(@RwvFXVOZ)pX-09xn#cA>qGu zrrtv%qEL==;uSruvj%cXR=;36SdbW!mVt;nCQ z!|#OUA~cRY6Vd-OK-Tv?sYGmtcscneI4EBV;+S{gEDyoYvj~W{ZTwe9L5>)k^UIc!z!9Ru=71v#F*W2z$Xi+M!Q_i&pxB=%A-@mvQ zYsJb4Kmu~jQq2UWPNV&yoGGx}B-YH1UJt=OJHwU3VxSc5)eC8|F#}4A&@q)LVA+6| z9s)ircH{~FUcKgBuGi^DUa1d76;i(DANyp3UD#O2z@`$;~VH*zb ze?4+9r`PGU+(A}{Iy)=jv4Cb7Zyky~R zfj?gTrc%7b78lsyb?InOj(|~-evB{95XPUfSZ4K z&l@IdI_du8{G+P@&}V@juk|YK9=?4U?2vWqvU>|q3q5;hFi5cQoI$^-;f7^h$6Q~-% z5r;gguH?WW{fuX;p`ak#f2`9lDrU4&AO~_4Svre^2>`ScHoJYc^gi(=biFga`nqdY zKmck+w!b{6qSu=|qJ%+Bg8s^#n1nyMZ7SqeietA3o&rvUk12U2GT$d!&0L8(#w~?v z0O(V{)#i47s*3%u1%7$Ty$Z(rp3Ad6AJUY#DM>hIDqvF=ImEf#fftd#R-4c|NIL;_ z9NFm=$cYk_J%o(?kovDp6#E#a-W+4?Up1Z_RM#y6wO45wZh}khy@@vzYJtK65LwAqfp$n*iVtvgH8G}<`r)OT}>>yJ_ zPx)8nYqg3drq%WJfPm*^Q|Y1`(~8yi9#bYBC+b2=c2Rka>!GAdQB@wiLlx(3}yN3wPLnc}qn3K11E zv)OIcN|u)-;*f7(ZI3^C|MVUA{PZUu2Pk}38to(0w+)HKfr$C4yc7Dn^&S08bOQVy~l|MvwESTun^i z%Qi^J&Cw!`^^!foJ+Ye~Da~r{&U^2zkNEJfs1?D$|qu(?EG zw!&G;4UMO_ET%X(~$Um3c^5L2cE@r$XY#jfjRi1KNt`hGM;{Fp*2Z+P%jeVRLUn1E z>rDt>_oeLNzWe~-aC56ephJ$t{i1iK+HxC`%tDz8vbxH(tGg7hjI?8D><>4M?2Z?( z@jB;s^+3-3l77NBGRM;!QQ`7$R87R3ZzqYhTXY=PO48fK%kncF6AS0w_6EFBw{H$WoE;Y8mIyZJIs zA2a-xG#m!)MCOfk_DCZA0)njU0=wznxnF8A5C`~wzv`AR4C9bSIeeksqkh9aEV#TF zM61ioG&j{1D4|DqXXj-a?PbnJn0~Q3HPx3e!!waIe;E0C3xDvVGvR>8TRmg-CyN7K zX8=mzo+a&&U9irZVfa_I-NlH2A2no4sJ`^wA0zR#r;o?*TkwK|_}TdaAd5JlD(#zT za^O)1lB~3wH#RJ5!@G(w4k#u{nh4o(~RKy`YAmSnjdcppbPJx6sN?svB zN7+a7E%cZtuzws@iF8ke7fdV^d|x_N31dZ9p!q^p**MNX<$-dp0BwU${UOQkVOr;E zAxE^_TA0}ovi>6Td-5^yhYxdToekV(fere$JM-4{0Vc5FiNgQjGbeUsxeeDj6F}fH zc{zEcFZ(@!n{fz=IX}OIn!u%gcZ$D;mwGKm;LG^?bqZP@H+UbK(sN!x&AH;|;wt05 z0;9ILqIg21Sj}6&IGz3KQe(T0aCaA8Md6yB0_A9^Xk&hG*FIJw7N=L`7cJ-q)4dN9 zKQhwvb6!8aYf)cmXZR`C)DX2mn?)bO#FonF=;hz zNN$*AuN(z1p+ZjokaV;Vm{GVHS3WQ(P3X#RVrZYP7 z0oiYW1n_Wg_;?1e<_pQ%NU>t8x(i}g_bQP*jxju9BYsCazX$4sZ!Ok2M$X8Y(5rXz zvlfeZ`LmQb3ar@cerRShw)s`A-M~JiVVJ;ATPb7Ai$~Z~$M45~=uNMc9 zz-0sWeD3%xE5>P;y0+CSK)GQ4ZC~~cn-gn zV(=2BP#4bQjh5`v6%c-2%t77KCpnL<%Hi)LRni*+ABdEeZZ^TAX-7Q8Wj^3N0#g{b z`F);et%{p3eW+qH1_WK&Zf11@hkaJS2~0AWhAfnw@Wc(IHC9`#rFPt4z~DfiJHV}X zEB%dYpU7Oss~lF-pa-+_o{K?0YyVS%4AySHffoy8rQ8TRTPl*5V#Xgm0?Fsq?wU<~ zlQF}toM+ojX8mqs4ii&0mLv&%jxz-*9Ziye1eKg`Kh-3*Mw!cwIsz$}rb=~wp=ooS zYliUz$Cx`D6H?N%s>gwsKYFXdqUGLJsa>6A&Je3NPP~z2xLvkRF`iZR~<6$>}Uk878{~X^;O^O+_pvS zvvb8=ih*oZa1wE#KaVcOc7)IL1u{ePQotS@G9Q(D3~Bzoo?VQb8lB;u)GJf zp5ytfSj%b3Ih?R|U1ciG9!O&jwmKQQlpNE#F1mcMUkMQHVs+J=Cc zB{C>5(*Aj}7zgok?UT$>55FwMhgotK(8ut#^KyP?;Mc#k85rzmWQ|`|PqC_?uTFYR`7GJUdXnAKFN2 zlYj+xEdZ8Bdj&M}%)0)(@vGNe`v+fAINk-=aTG=>Kp94*vA@9c^MHg{qgmuzUgeVADk5F$C32`;-Ab}LDFTp9kr9WmAI-sptL%G1Ck zdzKVV6s}8K?xwqKgV)6vskKpg!`bAXx5un6Gv{|`rI692ao8#=0|D^820rfohH^oo z(6q!h;cao~ut}K@oT3E z1>X#^R#A9c=C{@&SKaN8;Hx&`;u8dfJuep{?lpLu9`@AX73Z6e-3M~rZ7VFZ3Upg* zJBGLJ>C+=r$C#*zcc(|M{>T}x!)O?J-VLr~i5Rnk%HXCcep!-(3C&BBOvi+JRrw#5 zgIJiv%xlSKi!&Ugv??IS;UaTsv4B>}M;Q)^CS{)NqzQbn%ulv>XI>U`W$PeuU z4mSWiJTE?Ih!E2WGYt6~uWIOE+PZBSuWV>ucvRJixN7kQ(HJjXS(^ppl`Ovv zbaUEex-X$?*fAzcIuP0tf9t0he1;*x!8~l)@G{)5$^-v*%8uat@Rp4vt~l4-JitMM z&_7dp%ok&n2N-L&RP^43CeN~#?4|{DnI@gKmQ-c9?@|siaj{HsI-bJ~v$vgx_8i zF}-65fJ{d?_>ELtC|eVFfsaMQrPU-wVjg9i3vV!Rbv~eTc!bJ|1pI3 zKI^6LGM0CrzEC!NyLP^=lk`1JXpQER?4^KpSpfX;O8+%9v(1I z+TR4R7eUA2s*{I4xQj=vI{w_d9Seg?nhQabd0&PjA9P}b!QpVIVJ#90#EAcw{p-3lx$b zksc`rW9KbVj6Dx1KBuLJt#o{>*@lAv>u-^0pm(mMHv0*5P2eBH1& zU6{d6$k^uDjz8USP%o{YEw#=%S4piPC|_cGJvvaqK_Bv~gUH-xrj|C-waxu2nZ6rt zreqQ71^3HKfV+zbb7_F@PfkEeOG?l~0sksosc+i&msHwKNhJElu1@Uodohd$b9aC$ z_~woN_NEwRWx2fsJ$j=ePF;1qnAEB8te71&mUwPTBa$9}qHgzoZXN7i(;^}k#-la6 zwJUVZnR2O@;-LE!n6*m(Opc#kCp zo!CG=;Q#tCS>k^!nz8O!--?h=0a?aoj~V`0dkQ}2b23+4P)cEPQ{dJhB`%R}f$pnw zyhDA!_kd+ac`@BRTa0=Qkcc27FUER&F!w=PCwvfJ``f@Yb|KpD~F^?K??Uin=b ze>}_KJQu*0)5LdM!QkHhcS1y1NHez_ZzGq8C@-!wC(5!qCyM>jk4)XUtDOW@(vdtd zt`q5}_?{lMIy>BvHwd~+J@KRRnvm-}fNE3g&Q>TzT`FL9-MZthJF<`nt|Vzvmss38 z_YM2Wnkq3MV3VAmOpn0J&h{)^mt&8x}tD<;$TSogV69`$0Q+1l91r zg__k?q9^oYgy|Mq{cV{Q?{nahoP{9ZT=SS(nIfP9#CH3;m zznnUkD|*`jPgk(ME`8#~{}}3GO%awug*o7*VRoRzF$VaZCWmC#7~9G1k4OI#E9lLH zY8ZCp#2;8S2tme6`_n3|{B7@ZWUh^l35wc ziu*+##ch?YnLc|7{@tqN5VcbHl)6^)+vs{tXP4B&F-f+3zAp^Yrp99>da_+}4R_X) zhCXpp)ol4)Tz*dhTHw^J#^M6(VeTEAL-ftU-RjAedb0y(Kai35El>wW8x#oIi4v`8 z3y0cG$xd(KIuC>fr*}a>57s>t3hC1kG;nDxQk^RYmFL`*-0lds_j%VgK&proWr!4a zAlx25oP`vLzgcH4JrhOV$Hd@O%`7&17BrPevNs+IT__+y3PJ6hW3SFKY zzSPRcg-4>d4qn2+bN#=bX^VE5;cj#|rYRnsSLD+w+?EMH(vPjSQS*kU@l-WwmI3~F z5wM|Nh?Qh=7OlrL-p))Ay_(noVHe$Q&(~6GZtazF_l&wdNdqjJ@n(a&KX0CWZxq&k zg!AUT$;3^p`0=wRIx+A2yVaVBSW2?0n)nkFbL?5Y&OWs=QnNGGHCaqeJjcWxd)B5C zsdjy30hN_8snnYpG#&+(hS(BQhv5CpsYT~-b;){9!QW)hCS9sMxwek$q#e~Nc zesltRY~`a`{O=psL;hVJ$SzaX*Bh9l>D~mx2tvAtrq;)Lr2lF<;$P%l>itE0^poHh zTpTDwx-2w6?2P*P_Xgrq2)72PaMv;VR?$)6NtV;JiW|5A7FKZDIK+t&k{dM=@A1h% zTHg?{ouxW0ZDOJYkC&Y?jur7Tk4iR1SbA!X#n-SOl|F772iGr)B^vohC)_vGc-;W{ z%5i!v!VI)0Ah}3}3*3a`8WAUp%FS)+6Ka&UVY-W%_F?KAVdqf_7y3J2@R`73#*D+{ z29Eq=>>`bl$x_o)|scP za!>IW_4_%%J-YeY&qk;jyn2>7Bf<9P!h<}OHCk{dL$V5YSg1hFjT}T|w4ASdg?dUj z!Tc`a=cd>7YfnPLDFo#wZ25(Ng{Lwm*Nd6*^f2CA^41Mtg;FUgvMBL;=xr&ePgo&& zlY*aP|Gu^bgVnZ;JvGy;YWGqMT_tz}1q$yohJcKo2(PftU&5lSXtIKm_*VOgvhJuyqIPrnBOG=VvPZO3S_;QaH^BZI5z<&T$+Oj zQ)M(Vb{Ub#B6)|elCsRVK=0IB5E#7L`=%Jy3C-YnvZZ*r)AB(I-HN>~FC*Dk!EaT<(W;GLHKw`- zfzYVQ)Y2yCH0m-B9sZ}d*Jm0S5{w#+nMX_rM;lF<$IJ-CMiSH9f?(Nb#Uxu3Y#MEu z$L$Cw8c#CqPZ21M4opWUf^(w_)76dO-guhn;Ym2t=*9H*A^0}>G5rGwfsH}T;Io8~ z#&gWj^MtU*aArg#A*%5LGdhM4+Ze};Paq^VUSuXE6H*#enQ7?+YGVd7GmDVjn8VD? zBhVV@%zOr+ps|oybcs;hSi-z~h45eFRc7fm!u7@*%$sF||25uX-o8U9Z>(TeRuS$t z-ecZ>K&Wo4Vb(q*JZh|CK7K-|Z){*TJ|#SBY+^RI5Lz4CnC%^e=Z!Czoi7Ppjor+i zUP51EKXYJ^Fx2>p`Il3-T0TevrE`(+-Dwe z2}+((x5CA4jmaqU$T&HGiV!9ubVd-juE9*9?57AAfb+YPU)N$zZhK-}eeL)Jq@s6;lt*YM{W z0dUB?Ofd4B1UZNN@#QgEMB;eIlm}t8X57ogOMUTc<-MBE7&svDZ)KcctmBe(0N`9k z(r+NYfX3}|o2AJm&w2qNdm0}bZ1zygms`F0#xlAlBbh%ezs#06(mV@-%ph2DT%h3s z_N-}a$IIfc3^;1Csz?63lE_a@(?}Oq|#^_mr1A-IW$!zxGbpo*RND~>QI#tDb!cBcrpFXH)-lO zP^Avm(Pi9#P4q7Aa&SYh1PtDKnK3vot=9dk9z?QsyqI6A=L3^>0Ee}Uwbh*q)u`a} zl&N}n7jxl0!pZA7K2++$v2qKOmo}y?2T%*sPy5`~OxEDFe_n}U(>;mD)tY&=?IN!- zMBZPUK<)+2(uy#TJncZ}csSM|kIg`PRKs)6{>lPm4hw3Xc@|J9865*IKm2JivV^fE zi`A6d0b~KrcB~KUEZ3Q3rO$(=+R`sk%Ks}%#^m?Afg}tvVdoE6id+keWwr{*;R(zo z!Z)a6yta+927Pv=Q0u7(qP(Ssyq^%%#rWRsB`e4{eaU0_Fo$cJkr|CNy_vb20MV2K z_%8YZDHoG~bW3t}K3`cg=xsboRyLvuZD|Fvt?X0vy#IQ>KOWvh6)lXNRP=$iCYxGo z31yDUWs`fqwEeF0YllL|@ZOdYlVCQ16M}z8E)8zr1)<1)c`;(JqR9sxF}-i#f01LY ztvgFu2-I|5zoBy^BbIY78PIxx&-%+9_l5)XyE>DC_8(IlzJT{l`-=*X!{qPvP$`mK zOZ?~}F-Ea#4&^ls6@0#yWsOz1Uuj*F8qR_-#F`@}vR4PJC0(EqMk(>D>iO29Zfd&8 z;J9Ev9ZSVeEK@7o8|f zHIFVu3yOVIud4LKk~@-?%BDmgc(KiSc9*r6UCO&Go~ai~5Lp{u7rgj^;1^V-Hy}H1 zA?{X!ra3=jgL0mzHXLK27I@N7#pYFt2HWD1L+1c}#IE_bN`=Kbo-N6}IilKn4SV** zVxe4YWR+BL8B|!4&2)TdQeK%NavN?6^}L8&&)6Z|IAOY?;j7?#qe-Gp7#5;Pzj@8j zzx}hr$k!r`F3Qxm@(GvidYMC!<`AP`bQ`HE!!A9YTQ(7KfCkOAmr0hD(zetoyA7um zMK9&Z>`tte&HROhcY#}GkrQPvr{%%&Kvs{X8Ty;d?GR?l& z>L;-t`KtX$seE;hGn_mAw4;DRL)A(FeL&$T7;x}o6poynFPx2^plb<%p*uQ51s^6q zje}XX!&<#0@XZ$R;ZdUZk>{Nh&(JQSx5{^S)h6}XO>3EFs^(TKQUO|5k32WrC8FW2 zR$wEAF38CD#Rao6?NS7jQg69>+@f}(FH;(G&mGLCoD(>wd$bs2ZtvkK0~2ht z!@PRxR^gwF-)R*;-~TgL&z))MxR75=(04R7%|{fiv$vBs9YELZPTuDryM|vX zJ7l3)aJdz&udDZ556YyY{rLO+`BRcoq$N%wwV+^Qntl{0mg}hhhJra*$~{t zt?3X*|5)cgDeAk{Xeh{p$gfvp8Lvr)&Fa45N!O`nyW`q2Z^=V--Wi%?{Dn5ZLW;7= z%kIAwRJTV>zmRDAgLZ;nRDHmAmM^4nn|}oS!RB(Epp`<@zp^s|3D`PyGlYt_p!A(Q zBtLiQYHS3R1)D0n`Km(1@0p>8@7I{uSBqowiG)AlGS+N+S$(zgX&ptVbIc`tMTHtN3h!zO~ z=l#0b3UhL5^YbavJi-cTVb zbJ`IHOjI=gE6^eb9(oQ48UhDr=C#AXIY`kH0DdP`z4JNZ0iR@28b@Jk5VYAXD_w*N zV2wCLFn{KuPMw{38KMQ$1$G9@ATfwPBYBRG_&C_J%uSf7Xj{H$^-&)eqjxCdJg7H= zuZVmzU!>HV|G#HzGqP8vN+LpFxafNF^4b3-!(8>Gd#^WYxKwsS5~6ZF3DaZQv*Q6S<9`mIpkeb{%W)Qd)YG<9q%W@t z_bhzpp%2MnKD#F9hLZjF9NT)W&`^H+AIyGnqW9s||F*^_@2BzHpNi-8hIeaR;VrpC z6dAv;^4S9+3#eFk=j6QCFzcEDi8`8@8JdLuP90l@7Kkz&wl!UHa7(yw5DVyfSeHn- zEF!MjHC_7WOt8u@K>SBbgjC24IeSGeQzC? z-={}r3r(ZXbinC|OiX>B$?k(Rho=gSB-@!X$(jzK6e~4aPzUl_LrV$vsempZ$WZ)X zeP*Ec7LD&)(DU4y(3FCIhOXz=X@zO!(EDoLB6?&feC42LG_F8tX<+ zuq%3}9tC~IzX?rT&nmr~!{3mZZ&h>Tc5V9}9j2a}IsFslTB(SZ(=60lD{2$Z zq)*JQf$m7Va>kO>ZnUP#ax*A2ZSj$3VX0bDJsQ7c6Mf8Y?0?E&*uM=9|5C3*sP00a zN$6(UO3psako&;5Ek+kTi6_0`p0^(ERfc!4e}Vlb{p+~Frt;WEe-cJP$|>WrB(Vn! z6K+^di)#=I40p1sKcqv|Fc#0a54AL5{dK$!e$~;yA29xt+5t9o18J6gxGuTsMmNmV z15U}cQ7Po(e6q#wD!4Z#)o=d7#@iQ`XOJs7DWomyxU*jL_V_K0Zf`2Ggc~P5@M%lW zfp9p%)95!yxM&_irA-ZF*haA+U(pzFClv5IY@l+I&(PV6c+8{Gtp z3fhJQfB*4D$*;&$XEY4KJ_)aI#wY5;>^2SAZGT17f3Z2c0lDk})jg0?SwY(H9Ub<^ zz0)Q8h<&!)zS4xevl-~cxASSmilJ!Z8;8YAoD9>%P17~mFZVlJAo;8N(&td{n_Hb= z0C^&C1e>Qj5}C%_*Kf%MS>&xd=fd-B%6?_6&SR}@@#IxF#UC@)+3ld zDs1pY>Peo3uA&}>){*jcWXX#>q{?VT>IvyZG3`U6#`IMFcUD&6SB7P^UlX08H{Wo` z)uD9;)7}fmx!K&JZi~N3)Zh>4FSu7+)^WDy7^imiQ;S6ukPj!MHEpi*=m){BYG)ev zPlzw4NEQ}_7UYFS3NGM^K~5-JrJuXmS8(n>5<60aH)m%6V31-1!c?4<{p2uQ8i9Pu zx|Tvjgd;_2{nFGjQi^*-rZgc(&(T`*d5r7NhB@IJEK&vP73zg*RZ#4sy}IDraOhm}_vD)j&Kz!XgGDgbgBKb3_gb9hL6zAD z&dd!ZZfSmTM|o~rfOUI&zObh3?o2H3j{%h;q`7S>PK-fV>nux2zK4*_tM7NW?#YES zXJ9Cn_{HNiq=@2X*W|zG>tdIyg3&TWf%zDAnWFJiIthjXfGCjHZOyD?B_&WtGkMzr z@>EdLvdRHMvVAwVBpL_-bH|f{^oX5RBf(2qBzRY{Z>0HTMQkH!rh<@Dmd;DXO*bk{XY3qU;I9k1Z5WmHtzV9;f3?jvQCW@A zeUt9X(U8kof(-|#Bi*^P(a^6D&M%k_O~>btg+=e_KZm*uXy+Bk0TY+6Ry%>Q|_^MSf!7o%x^}-Wc zs8zdCYmQY2fAu&wk^Hj&kPR;y_p34amKXv9SN3b#eYfO=)3c&dkY7(Jo4``U{WK3X zg(k{LmdnuB&;N!d&J;`lWqRAJ-JM@->YfCcoBf9!v=>L})Ezc`-ih+;o#*vM_|(1w z@X@?N*R=GAwCEe4X9JyeM$o2q5qJ`f0gG~NevAj-Z`BURJuf#p)KDz*9qIN}TSqF< zkwOt1y9T&Zz#pb~kAc0>Qxe{Yfx>ln4=*3U<)x#_6ggbbBaiIe(fp5Cmidu*qFHw6 zbvt7QwjZdd!?syA(3tqDx+qyzq{&rydG*y4CQbm2ssF%;1pm{xXGKS+2hZ%W*LH1| zKEa%LAbb8g=e?JZTQPg*bUswLHu3p(9P#e@3QWK33UX;|HyG<3N`2q=+UOrQG~m}j z_w!D_Y5rfk##qtVb1(NCaA>ErQ3bBi1#q5e0wdmGbp}6ARMrRl?dNNQx~!OQ26}^$TUt2Qw1s>Bx|G_VWLe7xXIX z`3MDUAE@7dL3EP#&6k4Y)za#eKo;bz!`*^&(s)5v?x&c!(HCK_?aEm zIEPI8IQ=(PJdIrfl<|SpqN&06T{5$AJkX)Iqdv~hNaOBtW{{ZWk)x|>aTvj3orGV} z=9O}8nZ#=67EB(f*SF62ZL}t|xgWTJXsC~t2o4@E2lRQbb-g(OJ@Z9evtG6sIy%)8 zpCD@k_6)xuMj$qGqs9Kg(}H|;4_W!d#yR4nHS90O$zvV$tH&?XQLr@^jms$kHeIpT z4=jjNr+JE0UE-P^PrClQ3bD`Oa|XHBmfs$Aj;&oj7(9A9_Qi5{*Ei1F3tlpbu~QKD zq55|Rmbo=QzhKx+2V&9sLe2#`+jepB6)Q*1713(Z`~&3HwOc@)%9FQ0Ef2z~&)UeTojqK88Nuhymr3r-Nu#@gfqS8i=;i1psNC zIkHKtql9=PPz@&i=nK7s?tlO*909cJ?By&K;ibcU?-LgSB5UbvosSO^w3Ap96e-kI zJNXOy%Xlz7j7X>r4OP}e*RG?XA3aZ)g~5;k`g@8WdpeSq$wQx<*UnX!BMWoknH6;r zJfFEx_Wr@LQ5JU{pDIO1zE!0KLQis|VBd7pOJ0Jkmp?3@o?iB=*NmT~aF>k1g%$~k zro)KtR6%6h)H)ROWW2qHyk2AHKW!(gdKBhSf)STNM->lZ30#~y6!_{p$kyhz6gtt# z^_;xt-y+4%8R{gFFrR$ZnL@K8n1`&~DE2||;;Vz`osd>Ieo<$yD7ElkAAZlGWasiu z@d<^(vr)1a7h_9fd!rV;C?YuIA`)!CjTBtWT-RpsEDmm;T33oJ?hacF^j_GxA255S zaB+hc6tcLU8T=36>pZ7M-dHjYxa$|V@nDDDD|9e7wDW~ig`10LOFIypyS4-7%JI`9 z?DuS2gC8E9a~a)vdwk=esh;_q)W($!{NbHB(}*`>c;eiKT7+M=J2Urt&PMwjmVJ29 zWU~OW&e{(zrY>@@+${e}g_3$UJnshxq}(RLIs&jnw)3uhD)i=c20sh%>|^!c`rG~b zg#|~glPakG;m#ipRiMMy^1TT%7t>c8s_?hfNu;p!jKeB))1d>hCPMb@0Zhh?VY)mbegwwI! z*H%)6Or&_B(93$L|HQERgKOF6XY0c7LWJ(Hm+9DJz1PBOb*8RD4}E)lzE=597;a~o z{8DxG1cX}HE1K;zbUM@h9o0tBl*5Cb_Uq!ljEsEVA&fj{5h(feO8tT7t^M=aPZj_m z`p0<-Z}b+_;bRO3Q}pxifk^T~u-Y$wSTRxlNG+uYP`xQ{GRykhwNPAt^MIu(KVEV0 z>)4B<@(xaQ={e+^9M+S4k9F#|beOj0RGdg0M8NW7s5j_{yShPL?Z-1(%&c7;_}Ssz z`rETZ^c-idDc2%3(DbdzL8_nW8*YkE%^k{Xf4iuU)86H0(cbms0~x)?3WFQ;!rE!{ z_7+AGpDwgOL-r^)jq^2NgVS40R$I`~$vVAbj;r zu<=}VE*ZT>ULh}$SreOUf|dAE})Q$P`Yo~ z7Xct0oV;6>)dxN-tyNTa(j3hTjWzP>aA#_~kqo&O`O0m=savXBODb-_QZB1^@ph2`|)mt5s|N% zJm_<64&^;9DQ&sojy8=vpzo|ZrN_X_!kIildf2gr%<~r zVNIf@&sNwuuoj_>v2v{~pY-y4taO z=Vr$4&ICJ>79NQj+nyL~hW#1GfJ^ro<%L}w3?yR*G8OmADO`q9fC2U1O~X%*Pps_; zbOCh`5Uf*36|~h$RWfM;i*0>Wl>DUw%2L0Kj4X!czoByGjE32u{SU9R=3!Mh?OW@; zy-sdL=BT{&iLR;l9ar!9P}o};KaArtenvWPea+e*B%--#=m@isDX`{w&g7}(U;NC} z`IZsj!^rfoH9zOxMaS8Zt;xzsz$ddg-*Y?@xatUtIDZn{ThQG5c0bwdIfx_0(giw% z!|DMo&a$YO`Hp6-^>- z?x}T62g_W*Uok(!&^T98)X*CYS8(un+U~8QOzg@?e=bIi6BGVQiYr(1R6=JZpaS`|O&w%PYA+qDRif9sV0R?_0xL@~TGd4hvw=a0 zl`?;AC*>k)4RX%UXe8i6Rw1#G4#*iZBmbxh~@LSXUgF z{8JHFwJWxhDcwX9r?R+#sPCh~Xe3WfEfX09_7M86W>q~Rn|Ce{s_Zw6!x}`;5#LQ* zGmf1K?0^qYdTb|ICPD8glb*^BumTD^QV<5Rt2uZM3r zg>-AQ)kYx`PLaajr%Xt)*z4W#^sZZc$~%RFzBCNhg0HBPoHe)CHee}vYCc}NJbe*i z^Kmu+tq8bfXZ$9D>VJwr1wJo0xSyU*%^*Kt`yU8g*SCf(bYIx5vIgJnN*g&HP*91h zee8WYZG{(^alPjpFGi5TwIV^+HJ12Sku|t(TbCv20Pu-s*y|ekofAvtvQO!M>-bNG z>G$EjJX$Q8#3F0_i~dbO`a3MeFILpREOl@d{)sv%&q;DCjI^~0tVe=;5J&n{Q@j5l zi+0z&>*UW-dWKsvB=wD&f$W^(^>ZCMKZ>-IM|SCuvI6fAKX4EhZb>g$kMoBW=q0C7D^q7smtp1nmcY#li0i-?~UF=C~G0su0P8 zOSdRFe6D5X8Y9*xzQ@LLmerTF#a?gY3+(j;QHx8DX7R_nxy5+jO%zq>Y*a|cDtC6_cal?84lTLNLq#!y8W~U!tKHJhee$N*u zcZfcriog3AZeGoTc~JsB_~{gBP(+4$X3h$nJ6nu%wHK>?Zb0$4&^s~I9iDtMrp{7$ zB2(>ERw}6zLQqwftz2|@8+zBZ7M*`BVJkk=?JHzxYo0DGPp%ldCowMAAmsLGc9?hM zS$RBk-1>+1tj5mCDTr)%9>9jsvG6(3z+`qua$1GiI|_C|Y4z-316!W$SN z)Q84wdw1guvL;doxcxre2P#LR=!iheNjL)u#!;FPSCG|RGb~6^U66xEf#}k){~)TC z_9qtZqAe)INl`VpVdjiTdV+z-t;Y{3<`H6#>%sOz)Q!oT7=z8wNUD?5-Wt`?&99&C?^+ED7#^tmB{Z3(1zl z8U0?QO~A7vzdMJUj0J}--jh7@rFs}dI`QNsSFq!&7?AQA>Uca+tz%YHP#W7(!YFN<(|BAEX+ghUbTXMRI8Nmnfys7Z=fVk&_57A&h>&I z_`>=(gdAptfWfvWUht6k1)+O?KQBV^G$gEgr_@6iH6qJ@DkX>0Aw_7}gz!x>Uf8wCiYZYj4dN;0BfPh-1 z()HQjG^ln26{t1evbwbV#LdZHS^m)<*^cUuwZWjbSs~R`urRl*ZgoR7;g)*gjLe5G zn$-B)<>ZSsT&UPqyD0S>ulzS48e}4{I-juJ=bpT&?@{a$43*D)R}Escez<0d31Ew6 zdLSHYIXo>ejpN4IptlL;I3LX|>S@TQW3B7J{*i5EQWJk3*H$Ff9*uBwtDV ze0f^X84?cUO7H6HoJ6-FZ_N)+u>Q5R1{DEcN4J+?j8}30iCC|K~ z+P~xNe0UmJ5vcRfV$Q+}-;rmd>j2-~ehrE|!znnt3c*E*^(*%P;3xi1-Hd?$h)2j^0;^4&)TtENtqpl5X%i97g$ zQ|8uuS2px}#+429Mb0$lUy98emcEqEUyNJoHVy7tk3 zjjG>1_gkx|Vt7I6e_O{U(9ST__u5<^-(TxLU5ihxi5&9PuJ8|DFPO{=dQWv#T{ofK zqqSEn^OaaS@+WL7n#t9<0zyRhtj{G~O%?TZy2YaPRnW&Dj{pum<~MK8hz%XZ&f>v6 z^H{A99R-seiadz-uU%qptaH+a#2S9wUVEJ|KQdG@`0|^I6M4{3Z;ny!KkKDBqP>a7}Jj($}m$WOL+Z*g73ZSPtx zt*Kk>qK?pgb9|C<87?_QU{iHNNK1yyS+U!91{y zqBY-Is`H`778QkCGo3i2(>7Mr>CgW$!Q*;ltIu@L^IK+)hw@o4wm z);lpb}=>;6}r>o_R@D&xh>?HuZ3RnWYY_;`t7*lB1#{(%PIb z=$84(^>qlj-lYe{kq&AGY3TIZK;~3q&DBj-Jt~%FU<(y1aHCe~#EPXgc-Al@;bOk| zyi3VTJtiepc?{fit3Gc3>}!{6A*1LFS7g6`DKOs{p-@2d46so zDq**1iJL#plfJ`U%kQmivem5Q{j7)7N>MyIe7f zt|CinWSLJY61w$GxAT=OROjWYzqLN{wA$@3TH*Pn zso21dw)nHdx*V`+HN&dn_o_6>9(Jcqv^8-}K;2a&T$6(kW~tZ{xLgiRl)95Xm0$=p z+lz+`Ak4^aS0kd^wF6Yfnh^51@~fHN8{5nO>+=AFUiBfwkIJiktbem-t6=o$IOgO> zNafsS`+u&lrq)W^pS*gA>ACzAmG9aR)PLt>ApGUUlreCXh_oU=fE|Z=G>%B6I-Q(1 zeR`G3%kPB~iWlH-z4q||Ws}cC;);gQbA4ZWm!J?^LJ4;FxX&M6%JPz_=ZB)o3En|T zD@r*Da7l8AW{i&)pgJTwZqV+}{y1uPRrPB1k3t1YStl1&Sbg(a7~o6bJMB2aKjfqF zFf!=a{T&AYGz&ct-eHjUzqg&R*{rTeAJ*GZw@PC3cBB$Ai@b*pLqajuT+#_*Pk-h@ zBj49CERXPdWKgkOB(;u4bMtw*>Q&6{x8q*>?fKO9YX;KIc=K2OeXlE@x*dzbEeLfi zn9|dBlE!#Dzll61!jYjrL<-h9{W^4>pRq*J;JF4Dk>d8@_Y`ndDRD!kK?_xo?sU&DN%1&D^P*~Rcc*I zBOwMf9L7@aY4@!cQSwI_iN4@GurnbJENed>Bc}5r!;A_7I#hoEQobx6f0BzbsQNt} zXJ>f|1}BAv;sphQ59i}dTlHd?s{9W^$*{`V!L8q*ej>E~1o+5Br5Y0YYp=`={l8K3 z?Nhszaimk+y>r|Tl_f_>BKge zRKlXJH=}0hi0b2=mta56-L~S3WUyGA#Bl~ngd?NlWCLd$GoRj?Jy<1whg~0da9EQzzrT^N__oXcXoMkrEAuirb zRBb9~*etun9B!`QdCm}W*7x7&O0mla1d+$YA@)je03L01;mrZ))LlQ{*gP2EcGyY- zG{yJA<__jCFdnw1d+X)_ApuaMUqo`O?qz8`aQxmkyL71p46yC*(c7!g!xGS?I1D~$Qh zV^h4rGqma~wQfMo-G7xpdk*$Ev5{OXCuD#sq)du1-r+SKW(h#~o?qEhFeBh)Qmu(5 zejYt2K)#MI+ZiRGwihN^Sa5g<_Bdx=6r-Sp!YH=fl@*6d?UO0?Zy|<;VzusE^4k~( zO}a|c`7!U~_!`#>1tZw-(+j{ZXWo&=?Z3<$@9I#4xfH*+Ba}}h-GoO$Ad^37GYKlV z%xsC&#ghn3dJr#^)dRxeGwiaf+J0a7ZK7Eg zEqOYghOFs0UH;K6{`=z{jHrVq6~PSOZjs_%_p-jaT$!Qq3g*SNAYK1tsLvWGSo%lz zu)Qx_4-u^YYgL-1w|)FU%gL0PCDx6l&Mx^Lbz(TzDqHL{ACh_pP4>|jPrBM4>p@dFTNdZs1(T7>D{~eG zKYR2M^V9K9|Cx#)djgT!T(ST3+9*ms7v&xpz_WcoZ*3kFUZFH4@D^Q2rOX=p2RyrI z3GTBoR}19);0WaznOM*SYj~7HvbcU0%5vjx0L^UWzVqCPiVbC`iozed`CH}n-KDqM ziYjuSs?AkW{(XV=T7+T`NrQzqoV6uKf>&loT-W66r}*sw$($>}+B|2*NBAHkyeK^? zA{dX88Iz<)$?A?mcn;_4Ji72X%JY;?e$Cx*2;}27#PT{5H6lu`t>yY%-)DQ=J=lMt zWTqkthn0fx1>Er$y7pf#Qs*FsZqGoY)P?m8345Mt5tZ(>vyq=ONtW#hq~q3FIV*HW zvB4BH4l)WbG~x93$t;);P38Zl!Lp9Mo&W=d7q1=T#Uj@Ug~t*|#qWLF-=*faw%~sH zfl({+_;v77d&y7CMA%6>9TwmZLAUoe!0E8!{%NBeLHs90ArQXG-bK>9qXR4ci)iI- ztRx8CMY_)W`0iXkV*Y(#B(ZzI@cP(4-Rh)z%hVvzGEW=?gek$X5MNZrLfM_0<)^Yn zSw`2%psp4WH-nAk%A` z=#T}h-MxHJyBaDkbYMfQmT0|=7tp4$x?j(Jm<6dGRSyf@A(i9#MghxbIs5=VXSKyl zh{{U_@uMW{>bpv}w{?7%tWTEg zhG(FCEW ztT+$5#H&|+M8sI;C<6U0ikdb?w2H(Vo&n|d%8dc^)hpm&XZR12PRKnML;?Lju!U)CQ5rptZ03f~` z*Y0o4^ShwSxI*)f9~N!I3)^tR7qhB`G#Wj`^NTd9k?=dyr3;vmzKC^B6IV4;W0#w| zxSKVAj96A(p&fI3-Qk6_ZZSC@T`oxOF=DH9oI@>xSSr=uyA5DRiYp0{2o8YwP0M#q zl^p5}gXbUnxvpan`gbeH&x4D}Y`b0%*y~w$Kr6oYGAS_gnsVG5in*KPV_1|AA^!6b zBCU0;6@34;w>CFkwH%O>k?S`-MKe*qU@&pf&WwXB>+tw&EqfG z7m{!zSGXj~AqofxatKPep@N{GqNpK+0FjVH2$vUlwTRZ@Rj(e@tJZ3Zt+%$~RkfE| zt+i?ukJhtFTkBD+`Om)hlDq_d-}n7r3np{y&d$!x&d%;z+Q}^)pR|#p$k#Rw)!mMB zEX@!M+9vF@lp(?s$ zO!YMHcm1kX1YaB>4j20k%$b{zG;r>~b-dxPPVnoFP5jeJ{K2v5Wq(G@P5h!>k(U19 z#6jbxN$f=L$ZXb0;=0Qn_HwnhP9V+Da{W(6Q_K)L01@vx^K7X zecc@IwD7lP?a)`B+~OvJBVQ}F1z)^hQWTpwqe6O zI6n7_=Et2BqWc~FRz+-kzG~07t)cgSS^UE&o4Qrs#94;~cr_R8PUXh%KaJv!Zuw)* zaLE??4{{sGMN!S}FJ^Jy@-P19;knZhuh94^2cGxA=DlUo$&KxCU58!cUu3V1Y~IBw zh|GgN(KUi;a_j?s1F zo?Pui20C-!wB7Yp%!1~#Z+4~3(eI5tAR4soqWi3UGk5D_Ph9lYcP<)rX;2k!@eivX zv|iBrbRHYqjn1ZtC!Ra}E`ioa*N<)RdzrN%meV!z(?Oi1XZ*3;xhW@q{_tDQ$(y_R zFFH9ZSIH)~4CNlJ)IH_+RYhO=%E~7A`TE$yktOxw!7F|529&yn=cU}f=-@i)1piE8 z-jX#>V~)5f^7ao1y&iSK(SF7HaYf#_%>n)qMe_MOqR#4LtR`4*x$2g_|64L7 z)oFWc_LDQ{KFK@Vv9r)>=#_`|-<>b=4SC@HTNLT|!KSN{cLz*cyx>V%pVOCX!#I83 znL96LR(PjBhf_2_up8{p{=;3*I2nI(o)=sg^|Jql_TBrB=T6LCFWIKg*hhZKO|9EE z;9tRS!LM!0J^nyHV0>Jb^KTKSY={4rGw{n*k5A|R9R0(A0k*cSvzuDT)9#0(Il{m8 z+zvSKI;8*QcOKOHe3kzDEZO^A{hH(YIOeqYwZE`Yj2+{2MiplDrJQiF`-$xK?@l-~ zR&%JM*2=!WcTmvah}6Fm`qWEPWetz&zW#jUfI|sc(cfdl3TAuIiL z_}2gYUj5KENl@)S&%eI!H&qs57uaIg-e*vkj;ze`+$CI^n8cq@C%Qk%!#N=E{I_8% zx;gc8LVq3lhJI+KXHL)n^w*ZCh(C`85kBXgY_2%jFW+*;<(HghI}YLX!9T_6{V(s0 z`dt}73LS%=r#LyiAl$&oKGA7#pCmYr&Yl9 zW#-ZLOR09P!0W*X+uc)|J;MUmdCV=-^Se zkxz0W+ipzk|Gv;szkkfH_U?z0+8RQ$em&zCS$OGRy^go!+P7Ou`FHqbX{|eoj`io* zJU>5q?T^x(EiaCCY~6Rq&NFNL8prL8-3eQ3|LU`%Ut-mtHlKa>+L5h?zp`;0lGO3n zgXEQ}HL|Y`rmwgxdHXBDm)+I;F>?=Eea9&ZA)4m|9ID#>WP?y%)$j9#U#$t#4B?EK zAZzUmo%LXM8n@)=UhWb*d3G~rTTPDJuuFS$R`|L`>gOa8OH|`-`Tw}5Z-2OdefR5o z$)j%TBKF=Cg;ltIwNEnWY&7TQ-rsgV;nf{+58z)v64BNk^pQvM2Yt>+3yS@ZrpKl1 z3{Kt^=e)~*$ga#xeQCt4=FpbOLHBNFTOayo|NglMttgZ}9i>aKORx@#yS%PtSk(=3 zWM1sQ7it4qj)ZR=5^%5EsrfHXU7fZ5Y}~jjuL`*}Hv5|oZN7iZDQ~OK|X%PmY$=75_E!_wY7Jd)wRRZg}d>_0P*qnk@HsO5of}J0rLCQl4rL zAGmVZJ?`3N_qd@gb9EoQv8NWUSxn$oUwIb)P0Yqy>;7IIzK%FJoqrz=LjT?O*GGv_}$LCA>wnL;=JoeHlWTEVRO$AUM&~-eI6FKsD>@P zn7hGWkDC2o?ywS&RrcrLe&tF(`>Ge@#T|Ud`W?RYPk-oIFw|-NxAjLyygJr=i_xhtA6^w)_FqIEc)jnEUlw0V;8l5CTc031RXFf^d|1c&6M>TI z3BiHu1Jt(z1-ySF`w<%!Ki_sXcCyE-ZzE3iQ|{!2o%}pB{^NU-g@+#vuDG<&-TSw| zetCNZk%?c7y)!yMzT}dt_2ceQm6Nhl2Q3WVtGW`h#47FIrBNLJ3tu1V*!9U$|5Ln( zB^f7%z5n9Gz9s33nbByF)q|j?Kg>}Drp#U7EE{y{kz3!qsO~{;imxpQQ3oxvx^>9j z<>c7%b0a(bJDUEk3-1&QK9Bv7yHpZXv?#O9R@eODE|;%Q?Iw7uvI7?i3hX%Z<4%#M z?wr5-Kp)q2=YwIZW4yWZPg$M1`rS2N_@J(T$NJ8=p@?6`x{jw9RK#0h(X=XKAlMsE+fnN~5k*$b}CLQdDZs^)1Ut?R6=|I+el zcj>l}xP1AtNS)5hz69@Kp5Nwu@!v{p=%RSX&xyvok=n)>39 z=3jp0j1K?oRLldby^+EG(PEp}#=d0ntP5(R^xKQ_+?Gw?Ynd#m0oC1b8 z*mf^=S+?FyKX6ET>76r$#FCLaT_Y+phb0YuH||VC`W(k5;bF}{*Y~z`IFZ>L=ZoT1 zFK+Q9`aQQ0alnn7uqt|J+@L?|qw51(IJd|m{Xo&0m9iI?;H!3D?Ald$WKp@t z!Y|IgXm#0u(yNfQXYS>5D127jB^`N6J!OVV%Q?p%O7H3YFLHh!cH{al;VoyrpbC)!cW~wlWpdP{1qQDha`psz1_um zJCM8SUd5vii*nbTYrmbQpPM7zm^*sU28XF?;R$8Zpbv-X1KPr(?k}jEH)l>&^J2+E zkMDjtKHzBPxtpy6s`ocP;C6m{e*v~pL+h1E4*=h)N>!i4AU*;Vsw zXY6k{C!YB0ZDXhD4>)7YU8(;qYtILR{q6R|J^d-@k8DZe?Dxr zF8@;X;i6IJru=?MH)?gX-ap(f{raV|MTd`cxK8n}B#R$h`Y^6*;*|HUpSkSv?3fkr zsQ2eLj;+1neOHkfu6%JRf z1}!~kPSuRy1QkA}Fn*ka;$4^~+|5 zYg-&V-cEY2(x$)TtkE}if1sY!_f51_SQ;h!sOxS&+jp;b#LsK*3fIqCa?|&U%4W*d zQr`y$`u!fiCnBaG>^XWZ{b*6g2a*LBANo7?onvJk+CKARd9Cnbc!PWNnR`Dv=I4^(f-f*YGtzV{vRyazE z{`zI^KCT&iq;}8ycgj=(u8TJ>=;IaJC)%t;_q1CsI4iULmPDL7?f4a+ zBTc^Zz)sxeHdwHDquic^9+2%>{rer?}eZ>RAsfwx}Y@;LN5 znU&goXN@nR2wTwA{;Y5OZ{iR2WgbNIQ^YM+SdE?Q_|4%X?pxxU(l5BW-jOYID+(Pw zXmL=Cb8O$#_Tl^VXAUfKoC_`2HM<#e4mMhYi%Tv(W# zmE7uor6^Uena)YSQrz4**Lg+sPp`zGDWe+}4zg3!O4pW1Jp7;U?Ld}3^(R=cGzlvthS;(R$^wTsK)noaXIEaAG^cz<)Yt#y*m z-khND!k{DKXE~SJ-wJP8640^a;l{kdXX@_#NY>3H2&b>l|F)#>lZ2A&j=5n+&(`I% z2Z)QjYCVb;{pG^_bks_3YhlDNxMOVB0~BtvaNO2&U6Y+Y5YHXAb*@Wz-Eb6Ro!&g} zaPNZ*^adfT06xvm}A|NOaQXy!P9&iT%&g?vM~CGP;uc3GKYTL93VD1nXz1tG1^0>qX0|>QYnxi1rhjrnutD7Qso$orChc0V zoimGY^NjlKr=2dxr(X8-KerNDZQC-{KJG;3(~Adu_b&{d`|I}fad)1PpFYSd8L|0X z+RT%ST+?sQ6BpmxbaBNk&iDf}Z|FD9yF2pkSHGhhPraYq(W7U)uOHZr*t5jH_WJC| zGhLh3?Qh}h?Z^NAYRNO#^`C$CNRocwdanL-*l>6MDej(<1diwYDL=VoCVcL(v~jtI z^+Bme+oXeSaW)?P|GY0soxW|=f&BZ=l_troiCm5`U_gt zM;j*+SM~K1IsUTf)N>d9kIUS{cDeWKbK-+J?wQ+N8$#VBzwC45+qq|LAKUPjbLQ!T zO1I|5d^f?tfzEA>Jh%RT{_5&FeTS=L`^QHnyPi(FJKS|UG0&lX$6P1d$t&GkkDnAt zht2luusJx#g%HHY*1NRyc{eFU+Pwqic@Jxzh&m%yw%j`*%ylWT8`Sjf z^e(OMak~0%oO9#G<{QVSJkEXcn`_V?+$AquQa(;|$$j)^#s}nlC&`vjUg`cPUZUm! zU!1Qi8~yk4KQoG7+_~=D_O_2hYwF0llW8Z3{ujq;gFZp7t`|Rke7ygjW$<25t(?Ey zXT0Bynm2tCS~AdL>(=g-j}N75+T-gUKC51PM*VEx(H*=evyayD-&mE*y==|BN4VVV zb}E_Fc`hSYbAJhW?L&g_(nk!5j2app6ZQ(Uriit_n8}#zJ5_MI`;IJ3OAVN$KsCGo?Pieu~b>U3};n?KWPvYmV510}- zC}^NGSkp9me42ht!Gy6{xp^~I%}UDGr>19QzBV#@*hl@ZHqD$^m@+Q;#i*Qw5s6J) zUKnc9lTv1AYl!gWuVmdN@>0c_-<200RwX{sPOA=l zRa;lz&^Y~ae){lKNy7S2PX6E)@!@ZIeRHpSkk;oqS8EWVSUtnqmathCTWWi&ur!lX z?z>t}w1{ndo^S|a?4)L0V`uTKi{1R_XAXG}RAfqMn?r5g7atR2UEZ@Pas3|EDRrBx z*J{;D)#j;dE6S8JH@Ez}*fpj;0p)}gL=8I^of8xlm3>Z@J$T*=?<3oHA?kKWm>pR{pX1;oAGRl-D<3UHk4D<;u;A)<%kz zDIYYv^$VXDH6{Ll%_oETYZJe`i6n;a=<|Lwn7}T-gE$ySRo<7}Z{+ei8 zsZ(ORgT1Xlos9U;`3|e|4hwgs+l;PECZ=*11V7{ZTM0OKW^*#GA>uRcgg5%|;g7za z8Z6FEMblEXNln6_@bCiz0~`sfEJrP8fp6>^HRFZoRa2jqT7|H#e{MThQY^f6lGj)A zj%}{^;OQ?tNd2KxUQ41R@9olSgSTuy{;pE;^hE+Sy?cZ7?l%;`QKE<&Mll4{g0K6CP#m9z$jk4#b0c zqAqZ-q-fs^E5745+nnvB{=!nZ+T}Ar*sEZ|+SB7jp3TthPNN_22wRSO_CDu5j@Cos zqdW=K`z?6|`1EB^GcpAoB_M}=E`Et=qTF(l31UM75CPaGVEI;Oql1NNevoBJJ$aoTnSzuk~ABu+;5gh)bU# z$L*pIIZPciaFtBYalf&r&+xAV4Xz8ap|4zCo5O@vU z%`Zv*CBS~okN0LCn(gm5=v~oezh!phtBmc)+bzjvk9J_}OGk(PfnwL9F^;dIV#ad( zi2+{k4vWxxj~x)`6*MF1MNy7_dE|(8pKBR?gKgcO*m}e{3ttWL;2h@!ZhJq>cd0&w z^MU7*0?yihIs07(J098VG=+23W3;2!9+y52n(Tg&OEn+Pap|Wy>g$oOe=R49^RI0m z``>SKHl6kv6ZLrJkW`zkH@|+Y->KvD>*VY{leOqFx68h%FnEv~Cv5*#k?Zyydf1Zj zjPi-vxM0AD@{rg5eLk#l4yXV7wnsV2-#HtC_?JTmp|&Rr-r|g%oOrXfRWi}RKIz$z zeo2x3w*24=B~wpaba!xQ^iOEAdW#fvki?(^Vs7%_XXHC-a@B)vR>j0jPL36UpFaAH zguWKtsUWJKBrWdb=xcb*#{^3{&px`7N!*-J^E@j{XM6ZMx$wJI@AN0kE+26 z_}~MtH6h(me{`~y@0+_mf4;qF>GoyYS8eAUU2-&{lXD`F6S^%^=PIdvoRJaOJbZ2K z^T4>VsQ#}GbpOVj6R(Ksb2qN>t$Ys>&G)Jag^*~TFZ66m%TDKTz}GVmmq3K+8$m=d z@F+Y15K06D#^jv}%+>?y(vY^ueoxgie!T}a^tIG(& z+oLC4G=LBbyc4E%3G2JzqZ~ePsV^iatW;AIHa#pNI;^Hz9#$pO>J&A)!E$0;#Ncr8 z_@siA+#HG$ErWkJ2rkK}k}1_vf;@vYfvjhUq;i!;URh6&odN@-LLn<9h{f==_i9wi z5?oa^kXckU9v6`fTvSz4Ycy)bG%10)4Up2>DlL2uhpH$Fl}1(yC4oF$J>-!H&E>i9 zALW@^Q>6~Wzbqu00_7qtv>O^r5STzJQ$dTRI!&bl6oJGxY+RXAr81(L%}`odb&W!* ztyWekb;>#gu+Fz-v(oq)UA0nO4#;GCqZXh}8cCqt&TObst<*^g;ydy`X*wcWsL^T_q0mwARE0_>1LP6|b=D}1dri}rR8j-*QFtuj-es5oVPLWH z?tx0K)#)^9%ohtt$_kC;F}T!Zz|Ll~=IdnDx{)QN06%F4uc&3fgb%AGirmnqXfq5Y zcfMSv!p;4%uStS@g|1c$q664dY*`i!Zw9zQV5*8*JeXeZXM(0G%4D@Fuqm{@zX_TT zQkH7!v9%0i^56tZeS)uhr)p8?LO;H1*2wKckw+UgojHSqcSn+hBQ z4#9{>2(UoVwgN0JktPhZI)z3QfUN+sgoT7o22H6)$*M5s9t$&Grqrctu#A8Z!=zwP z3xi0?Psz#x)HoI@NnV*OlUD+C#849hKmpRlf@IZuU+#F(fJT7*JcS>S0U0Jy~hU?-qe$r=@)PVyy;Ay738x;$BRRX*iRYO+QVqyR=d zjWDRB27ur=Xkc1{QB?q6ZG@*Ps|#c$Dg{AKfdK*yfs&QbG+1Zg2U!=3q?EF-l7X`s zfHX9}LRqF`pwzTU(dKIva-~dVpr)mTGMM^PG^J1qaTkQe@`97Hn4$300S>LI%EC>L zfCz@{p#3d~NXrP(WvAs77A=AUn`@$pLiq-PFYJb zo{FJ$8%-FgX`o+#_<}OUoE)j41|U}DnZPh&@^pAuPAV_~)2kH<8d@;c1O?giYOBlf z$QnG(44h31VFi=9u{uq)E=N%Xw2uo}&fk5Oo$Y78s|Yr;+*8V{YMsK%}AtTa(3YpP0e)oIn$ z*e#I|Co?0%5Z>%GLRce9(NI-Ikl`?o(?g>~QKqR@fM?Jgr5fX2!j%?O501^JM;lfYz>BpE zyi{2&uaIH&o-r!Zpk8=?gHbpgJ=TM3_`kIRt&qzs+7M?0E}+xA81%iN?vx~Hu2!K= zRg~1?=&J8DMkau#s5CVS10tJ+NS9UPDGA8GHHVI`R_btzv!VN_7DFkRG945J;FBzH zHbkfl81h;M>TD232Z7*p#VG9YfJdw|B3VOL%Z3_fVX~`-`XsREpiQt0=7X9FxlCT6 zDA6nWz+Hcrcd1VvaI31B0xZ&Ewt7prvK9Y8Knq1~|i(SzE4P^X;Ew;Hv-(i?MYs zgMcXkGvw3b{}vlei@;z8&oeQMg7}ZcG}C~Bp{-?>aDCetaty1{$#5GdEwzA!1Mo`& zFf+w$1lz-klu`)5*&~?j?lCwDOx*@%MiAGa7yqMgfuX6Ww&=D_2k5qJL!}@w7z;%Q zGBzw{WKpP~F^W?It4Mk|3$dA$>P84n z6|yRb7z@s4`53Gy9F7{t*-ShAE+6H}RmJSZ7RsJ1eAm?s@g zBbO_u`qNB3*oq8IP}izdGLV-b)evVg?b6h#%2YD&3K|m(=0vrIAhjk4P}M2q1cE7n z7C=hZ1!_$L0cib?(&(gVYE5lV| zTvREZ@{B+n!RKJc9A!F@1X*SR zU=o0+EG#1`iXhip!eE^?oF$G{DeKuly|IDPysRWv+obS4-`w0_I#SE4v=%KwZZKx3 z&SUUkZeOsfEvuq(NS0jr1}-T>0E#ujXcmARrTrTowo*0rp5@h=dTNc=Bra-$KdgBp8Ij*Z>W-7Q*6@=`>19j<0F& ztPo-((8CO4Pz$#VM`xA{)gUJ0jHuuZJ3c&Ny~_tqdI~AkFkxLJq!nq}vKj`o#sH!U z2fht->QN!2BRsso0O&zF#h?&^a%fH}Z_acW7oa^BE+`eEeyTPK3vGeLvav0&%2R7B z+j4;R9C-ZT@Q|XBYO&tP3Yg=eFfbqrN)VZ>!f*vR^J`h&5o37<3TTQE$S{Fmp{%mB zI(bjO^B!G07A&tIr58V=ZM3ZWtbv8{Gg??j6Ks3weJ-?vQAw$cMgvbWOEj3m8gRH^ zz6XF33`~HJjmBf~5DW~e7zzTVupO&1s;XxL9~d~K(yXW)0|RLYkK8%Fyp0ZFt54br9B1rHZp?XsRXJteIENe%c7Ti8gu~Tmv;UH`o+r#tT7}xz@fqV04jNEK+*+5Z>m_m zIi{+hN{jO=>1u-5!K$8$0Ki393Q$6CzhEb;EWz_P?L}b4Ca=UZTAc}xX9o-h=tY21 zEv1SDz?5cz{_bihFYs$e@%$*k`n?6M52hQOE}%o4h?q@t(w=?!&LSQr3o z4#+I3diVi1A4XaV3mkG*9c48NMWv-yt^x-$kJH&89O0DV9R>6@>@hJY$ZUd2jF^{z zp_D=u3Drchs!_s<3ib^wDk4_{3GiUYl2t?RESN3dbQ+bWzQ@`dJr*-nF?gsfj8$2! zTBYvMCT>552e7c329`V)3yv==ghNii!8FwnxeW1R3SlA{rQth6VAdIwJzEy~wt<7f zf)qnpQsBTx)l-ml1|9}q4y!zic%b*G{keg$#yGdL;{mW}h1zoYg@XKQEDiB7T zoXYfAgl>-voD_kqPNszPDUreAEK}+5&WeTUl3R`Q5JLdYceaHOi;5$NT2@ig*znkx z-qKCvHe+cN4MA=<0dSNY8$*zvm_XpWV`CImwXoH#g8di^1ZE)2dcFbDAQlZkuM91h zTBJ(|ga#Va2^mvJ?@Fs{)l@pjA7*=udas_xy(F2^qEN{d2Mw`H5`@L zZvy2PB&Cdm^cg`Ov;Y?5<`LwVCZN2eQ3w00oh(R&QdLTjM@$g425aZE2|(p?n7Du- zcbX8|8d&!qHGRjE7*6j{eNT`lOc?OyI>;}xvEP`mw70^X*as2hITI>dn^IMp4SPNW z`4bzOS6+qpbqR8}395l4&{Pei9QK&OlxhsyYX*a)5kc-VfikHbWP*ULZevH_Nfpau z+0lJXy_p3h=|BRLx!Js@)Zp;Rl5y@JC>@7(Of&+<27@;@m@y7I=AeP&3_z*0jEeP` z92`NUu_T22qOM2wu^3pXTCnU=I2eF^%}iE#mDJfkvoV#8Ax)yeb4*~|N(M~oj3J;@Y8WVH zi3C`jSqjr(Dhd+7Nq9Db)J!#jrh`c^RRaRAS?Dv22G4|7jL>My@_vF)jA6?FihNLu z`CI{3ppo~&m;=!X!$?Wdld}xOS1Wo<7AOIxB8JmI0(BO#dZG+8+GB1`WU=A1bH?a0 zI@Zt;*aHC=I${aHs5+>kpm-^yz8F>XlF!2l?1l)-RK`9h$ZmImsX;fJQiW*ehfIBS zPH6E<@087<8E+YvSTL`e%wlFZ@$iQdKs7$!p0HtbAQ^VFj&|ZNx zCdE%dvlTMx0N@idD0BEK57MK+@$h3d$0$Vum?(w#VOwvAbQQQa2)LQuxUJjSL@6?r zd>r)K7)Tr7)0=40Cv0@4vRtMvf!rsq0nT+$$HFdr$|lK&qZ)?#JJ^UknVNz3-@%6G zLtHD@lhO(|&qUF>oIo=usNUaWS0jMTAs2&f%Q;wn2mq|Z$R8JLr|*|An!cN zCa0iyQwdHC95+KSo5t^$LFFp!u={+)ro?-s$(rg?YS0Zcpr}Xo?gF%%YjN(}|KXf667!N|u@03UnZI<2=gW$_3j7lj9&6zDpG zjAd0du%%^LRkM+aLPKNM86h+W6a$l|u^6?YK?~_O%W}3ExflXqOJ}i__0ER#pR}Pc z1R#J3M)N>PI9M~Z0}_Khc6^2!ior;rhaka750iRt+R8GpFnHj4oyCRY2pkhyw)0b9 zqr)L7MEsRwwczn`@TwF9kCGS~Bh8l4M_2$_1W<;9KI#keB`mD~^NEQ*jZ$iA#+cl( zc_0bI07m)M|3`drD9Koxfy9DSrqWi(N)(ncK8e#lpmZvkoy5?X>r5(D^_CSoVycqD zLwze)l0rCI-J>@2(!@j)$QlU5Nj3^k1H<7&q6vnb2E7jjSkRRA|3X21KnITHB{&E= zerZt2bOf=SC6`jui%UT>Ol3l_l9ii7hbtW>FwFc6SgoOVB1lKRNp+*}lm!Pi`mkUS z_UfdRgCd8q!5SK9Hi%(Uwx-8;{MA&wAwR|*_+_fvnhHys^nzi|cv}h&-D1YmD^qD2 z!&GMAVGpw2vZ##)4vd64*lE{Z&85}AU@(?kR;N&Dh2HWa=%9g3inp|w^aJ|3Cln@f zGcSx&lE7i9o(o1!Y)s5PY8XotWsOW{IY`h;0~dwG8;SWW7Fw{Ld#NJuc}!QpT+hg_ zhCKsnJN22!Xg!7k%*T3dm8_<+u17|V9Ko_B0}Vm2)hP!b2k#{GXuPjcAl!J03dU1P zPpDb}XC{bMtTxu@dLKBYMrJ8BDrhPwb!3LI@ii529JUfpI^nSZsKq9fX;cy9KcEnL z)Y2!Z3`1&*MN%ye9AYL*v^pl8YuVM2mNr6O)dj<}gc^G<-VIxS=2$I$tfvpw|h#CU9JWCiX-e8$)bo5mv;LCcfgqIk^ zm(qBU9+spmrW%-VdoCldv)E_~V2m+il-FvtJ$DuoAQeqpa8-#MPL^UCU^N%CMyc+x zaFwzcF&>ykvFf8tp_eFahmj4=NLLmVOfY(4-`5P1NDVNv9ScKp+0qEYfR8c)h})HA zjd*g-hTRvi!aqzUVkn(RHd7}|Jc_a&;jDX(Q4%0XOV22)!rSDqhBNj9M3^|yYbnc6 z;G|@cN^9_X3DbZe$tEz)IvT+E-9lRTkTs+BmLTC_gwaEUDPg;*gi_`mr5a^<8NBji z*c>I$a}z5g9te#me=hvs6Kx8-7%#OvtB91)Z;TV8mh0okWu>O&!X!I|<%Y(mO7pOc zYM5-FOj7igAS?kf84>7IAQEHui-$J&Rcc*S18>gY*kH?8 z2B84QX*h@DI*tM21RiXRz@8G2hO?m>T?JUS5%-=ESEY-pP~Za{apRdn(qS=Inx}!_ zQVK83z$rdJzAR*r;Lj$)ws0P`D;zw5fzms`^-A!5PzC)4;}HWA5-C*%s9CZUPO#y# zdlu>=1tu3GrLt-SX=?$Jo4W;BRf(z+;(g1KicLLeAR!1f$ZRl)nsP8Fn3m0wiexdk zF6a&%G3HYTeG9Yblcx+YO<0?k5+ z=tpCrQUeJXgtCOG$^&q7m8+|$m%?@o{c_=`lMI&G)P_wE$f00Tm@x5cNBG@gNJT@Y3R?8e z*S%3w$NVr0fttT(2;hx_61p6MbTsxGB?7L2AmD$aTK|Vu;i#dZN7Fzxluy9r(j6up zGBlb_!B8BazA68I70Ii0@*ZnE$8)TT@Y)gLCfK2%Lm2`p`TuDOX6+G`g>||ajM2btQD?Mg7^ki4&|uFXsXiTZPX-quM4VN3mB>cF)Q9Ofsq(%v=%r$ifLg% zmDdA?-+d#91Exu%7l|kffcN$zqN`yU&?B(HhmVltCL;kwoC@#%$kaIJ|f z3x)0H-m*xw2BVZpae$TsDfn`jq>Xu?NLCRgy%#aNk`YkK5WsjH&0;IX(|EPn^oA}P zc~Ui5qYB1=Hoa7g6$8DFK*J4RftfnTV}9(c26nqG#sV6xF$f|BEEKuV0Kn8B6+5J! zhKI3b38xGUQYnSU)5Bm^Q_8S^w`3ZqWvZpHz){WOz~QaBu1C&uFz`^Z6_t&|Qv$r% zV<-nASDFie7pEE9gp;GVEa-aXuoR9Cs8b>eV2xtcT2-Q~DJ|*Y1<8$IGE4vgho4fD zQy|1^w%~&|=X>NDqoGNZACbZ<6(ullQ=!*L+)!$x5>`ssyX2~=7zA(LkT<{<4OQhp zMTWChWE%u0wAW)^sewhAg$z)XrIN=O>H^hBanq!fQGkZj28W-t4hls+Cd;F-s{bAq1lJP*p-xP)oHTEEO^fI7`k(1E*<$SH+F{CtVU}=b7CB|(^J=D4~g4|{S$9pL-&e^Nj-m6<> zkWz|^Geq8I$z8d+u4YIN15wr*6eFbxEJhn%L&@tcT1R%6g*%Z3k?;DtE z8Gu=MUV>ehW#1+?8Vrd-L$%qgYGE5*(M!s$)W}4o+?ZE(tIeUXBZlK2Ge1eW4DhLz zQp_>18VG=ICQA;8FkwpTu}t}le(4uZ_QDc1J2g6rKIHd>IXE_)2A?#7vs0CDQAsVm zQrZs&Yw(XS*qIAz2;wxWepRWwu7|I<4CPV70`IlM6&r9OSqA2W{|7!>43rP-+z zG9nzWxI>{?v_~@om*Li8*Pg0LH@E?0(`*E$8G*b7h61D@lL`mA*B2W>*{J{oPj%4P zsO;1{O+9n$7Iv_VRt$rqMps+X^PuOSrqbx4L=7a^a)84rL_4blFjSqUhiAgG2+{V2!aPJdd)E)Ns7ia?v)E|l zluo3(6;58f%d~G4qnZSV|e#*I z;70P#SYR+iQ}O%F5e5dcSOC9w-^&)r5fdwZXMdbbRSP{0X)mKv!I~l9uymfw4ZT1O zS2$n;Ige`UL=QOyVn2ftuoVM0ywhV55Jp5oD9dr;AVGk@(GhB+KjKkLIB^nf)2$J3 zkWs8J`IJz>@SDTYlh*K?xq#0+^8vXQ=5jfpg!1sHL?rxpbC+>Ah@2OpLPF^ZZG%eH zSPX?A&;Xa3v;JeTI6nkMGke}l(QqpRE}Hv{cvp)^UlBswc~GKL4dO+je(@oQ)HLi= z4lO|B=Q?;|kk#SXf=2kE zT}{Ew@O?3|3NApr;MIti(HwvTmEIw7QIcjfRWP8r8Ok3|sR1vZ{;L|;ci1tCfSc~p^AD17UgnLTF(BFmBX{ec4$&`ft^cL4QqM^=LI5@dA^ z&4?E)Ktb+H5faGJ?096GBI}!_g;M4qY+<4Qi)!H_59$&UC<0ae;4E&2}aQ_h!v>dzdR(2LA;t> zsi_Ixdq1csPB5?HSCYHcowIihNDf@`G{mb!R?cWfm`5gZ2fHW&d-4~BLXSBhpA?Ag6m{<9P$6eN! zla+u30}wed$GY$Xu~{P4-r-(4nq{>{5^8)VTM9!`iNa&2nRRJE9%JIK~ zNYHOQw99oVYHoZ3w`3L!fsAJCqyFz{zS7q8q9Xi zgg+77Th3s>MQF$)5wZb~T?rlSE<4PL*gW;Wl~UqI1dRD5#M)L zZGm_aLUP1=5r>53NcdMmXx19@Iv!hbZ-sDBU-`bh$X>2Mw&PK4qz6obMcK$<9+bC6 z@J>|H8HCOu^f|H_nU)Ij!(c}Ik-GU6Et6wA{KKyxS@}>2HV|K!Ia2x{o?kYy=XOD> z9G06`w@1L87sJtx1|`9Ok44j+L4gyFAv-YXML#3nj2xJwC1_R+5;%*ILy-wu#6Y8P zANDPWZdy2bGUBD6L6PBw!_R`G=wMSMavFem147Izy)NWl8o?2zLU)G_bVFof!e~#A zprzq)FiPA}pA_U+qfH7&uZVb1G-_^IelP){4`Vx!eLfye=&}TrtU|m)vA1^Sb##>O zJc}HC5uf`H+;2!ZYlES{mHnTcAUIpLKu>=oLF8Mg&!@=lXh&&BM@2{6>xzwAV7C9T z0QFBn!bq+pNsDpD9Iy>s4 zp*h8&$PDGqeVF9B^w^ab6(D zj0UW3VX!}f*;ZJOoGOuBJaT#qhIlZNCPpFK;L+L09Y*6wWF3rR7on7RWK)xhculE| zGa?L<0GPuO-wP)6b;w4ZjhvyQAS5`1X8SQsV`~46%l+MolZwin zkq^uvK$C*Vc&N`0A~iG{J2ZsCWZ0wfd_#tNgdj~h5cEY(@k%O`&hhW@3!0iSAr$d$=HYQ|?+)z>g4Nak zKC4#XZ55_7I%YDK&)o)*tqrW0+>^knys*~z!AOvcX83|nc7ZPPK+{u_kX6iN7Z(?p za6N*~&K=lJ+{iFH~6;p*qx}=H`4~i^@rQLEImxA*|d-_1xBIuI;T%)*MLya;y|VDQd8!0jVL-wUeU4VV#HU2cU0>3<%0y{Y63EFqVCW z8@~v^15ofFBv_94l>wbsLJF98+R&7hi2KN%6VBbnZPRL_+E>H8OHl+Z00lmw*r2O(Q)E3tcN1e7_dn0cU%rG5!t+N-l8uM_gVmray>d0 z@p6&vr^k>s29~VO9Ukx>A``PZHGUZOe%!Pi#s1;4*on?hzqn3 zVnFy%gk}!N4naH|G8YscL{{?_3gU*Ny2_c7gUBWk2{hTr3S7M}Bm-i=(!ru*qC#Sk zm0zj^jg@C2*LYP33{G!kK(ho* zuzte>q$3-!kpie0nl6Pf*#!iNi3KTsqSn7*|BIrT(*`C@7jLG-;GXAlP{2*R`gZq1 zYCj)OWGh9E!D6IxMo#0C#MmQE(;zoLv3MwW0D=GWX5=nMD)0ebV*Eg~1HVIpkYl6= z4$Pfs|Livj#FjEci>VAI2<`#_=l4l4rh?IWSomEh{IFl*X;z1bDzg1W60sz^A{#-D zVpbRujt6rSyyMzGB3u#$zC;cS1Mr!Gogp4>0pdcix)d2ikDv(1;TWp8&Ec+v)i8K@ zNnDj6;ruZ{M66(vWGLcmnqNX=a-yI|gMviBjjN7T!6ehHgDS)F!ol?nXfDhwXqV)P zilMWyj#)+T<8nW9=O8};SOa8Ungp2wag-z*XtU4|0mOkZsyrw`l?qEIRVMxf#Rks; zCy1;f{ZB$($s=f}TL9AfA}2pstH4wN%i|`IOIx^kMfVVQZC_3rc8gZQkp7V19bPSkxE{12Q-juRq}zFIZU$W(-w?i4eaC+4w?c zfO{3jmB?KrGp|uka?b`rELtfTh^=l-ZBPU|LkK#Y>1 z95axaq6~HY$>)AQoZ}Du00XO^zkgnkBpiEBvVOP#3@<(#9OXc8Y1v4y4xzVZMnRdu zNSlH`*a+}S3!m2HQl}^Ws}D6EZDJe@9B85(j5qXW4RWa8HUXMcAP!H6&8w)Wg0jP@ za1Ra+z~}#v@1+v6_+Xp)Atk03IGRM&;tRDjH5Vf5ax~q&0`Z|ef_gL?+8T3M@N=q2 z1i8^l85#tOaxehCU|zzc72+2E?^C+7=a7CM%-Lj>aUg%ON9jC2H#hUtW9L_X&C%)iL+2H$%P~%JYD|yH$fDOHUd-( zpH_=HA&zu}Z+wp~_-%S<+17&?Bo|h_@VtU^7+pZUTI31e@Lz1V<{ zM~VkC!^;dkv_YmTCLoa}DonhJ2wCtaN6_H$gm#0F=H5+R*{sx7| zH+L$8Tg=)<+|x=aPEs*Ona5C}h9;wpv5mt!h~_`JXt zN>ZkS8FIR$V0T>85sLB(gQ5SS@%0oQ-)5;0;-rA-q48TNJifY8AxtnK;S-I&O5yP> zl?q{4FZezpTtB{$QX!=MqJfC!FQoAJa!G{{KC&JfzlOr&izF37PzURw@jp^{d|9MI z2*aH9(0C^gTtB`OQXxFY!W!fsL*en&jtXI8FZewa9$(g|5T=>o>7IB%;qkSM3gIQd zAET@Xx`Xx5lFS5GOLFlAiSP;-3v1}pLlhq0hX{5EczmG~-^095={lXfa7p+&LxnI3 zD8Hua?9D$MqA2ktg9@Q-FLsJMk>uhl1mRMhUhHflg~#^*DukzjA72Z__b@Y9W^VeK zqQn>WDTFZm2yE4>MOY@>tMq8a_d;260|VIe5+5uFzC2GM1YuYYjqjxJ_^LdG@FWXs z=*2%NJU;8G5MqyFdT9O$;DAUjmS(=FxAEFW;U9}JKMWPtL-T({;qfJP3Ly*y)knrx1cuU_CUxiNfQX$hDTHyo*vUK!k8g8R2*;S1;SYjYxZwt5aE`p*07#nGr-_!z6-y zQ4k@~(|RKmMuZSW4O+`0B%}sHX%a*)DvJ7kzh{5%y?=lI1JkRY^Eo~&!!@cT z!TZoMxOMcBW7w7?_+D`aQ**OK`dM+tMr-V!Sod4IY5!kwCO@gs$Bsb9T(DQ5m>6@L zl;#I%Vqn(Lco%3!>AsLAREd3KY?Dy=?uftt+$d@ z-9EWFV0vB zZZK7n$bXwSgC@A)5K)rgABi&vLVCUWL!99b+?b+}XsKQtfAWlh4dNU;)WLaJsp#VD zn3Cp>mS*eO2jYxK(0I;ck7u=uFeLMg;RWI(#91G=v+JAYc|>XG_wROJQFJ_o&ELhj zW5oGr)p?sdFSm7Zy(lDFY%jVw&**sXc6R~s6#RX0de}GS8T=PwYLsci@<1hniYiI!sIS@pU_#D9ILaI_@^kw`-uC)m{*%AFkU! zOYr_fIdKH?>mO}h*x*VMwR_+O=6P_QX~s*mUi(>b`laIR-d?40;o)T~8%Z zqNHbNE{nC71n%5?BIwnr{DFlj5@QZI}PIQQJyCcCz z#OeOzb~eHHi_^I&{pRPWI6ax%-b=L9U*hyqa=R*Fzi$J}M~5UgT--|%E%}r<{flsC zt=Ny2&P>Yw!!^P^HVG9$%yJ^Nc34UYchE;_Ve4bvhf1Vy%DoBXsh?HyB6xQLaVFpRU#Z2RqOB^8f$< -- 2.39.2