From c5ae4553d9d0d94ac69f8e70d1f0727ed58d2dbb Mon Sep 17 00:00:00 2001 From: sparky4 Date: Thu, 15 Oct 2015 15:28:54 -0500 Subject: [PATCH] what? --- 16.exe | Bin 45158 -> 45139 bytes 16.map | 316 +++++++++++++++++++++---------------------- bakapi.exe | Bin 46844 -> 46833 bytes bakapi.map | 334 +++++++++++++++++++++++----------------------- fontgfx.exe | Bin 42393 -> 42387 bytes fontgfx.map | 294 ++++++++++++++++++++-------------------- fonttest.map | 4 +- maptest.exe | Bin 44925 -> 44914 bytes maptest.map | 296 ++++++++++++++++++++-------------------- palettec.exe | Bin 41835 -> 41828 bytes palettec.map | 300 ++++++++++++++++++++--------------------- palettel.exe | Bin 41946 -> 41942 bytes palettel.map | 304 ++++++++++++++++++++--------------------- pcxtest.exe | Bin 30297 -> 30286 bytes pcxtest.map | 280 +++++++++++++++++++------------------- pcxtest2.exe | Bin 30353 -> 30340 bytes pcxtest2.map | 280 +++++++++++++++++++------------------- scroll.exe | Bin 57914 -> 57903 bytes scroll.map | 328 ++++++++++++++++++++++----------------------- src/lib/modex16.c | 8 +- test.exe | Bin 21853 -> 21850 bytes test.map | 242 ++++++++++++++++----------------- test2.exe | Bin 23401 -> 23391 bytes test2.map | 240 ++++++++++++++++----------------- 24 files changed, 1613 insertions(+), 1613 deletions(-) diff --git a/16.exe b/16.exe index 9303b54cc39581007bea2cd6efcf229dad13c35d..32513faff74639989657941a9015abbb1ce6b493 100755 GIT binary patch delta 34819 zcmW)ncRU;Z^T(6O5<%=u>^)n1t5K!IR(n;|E)rTQF)M)yW>K;ITX%BKUo0A~O|00{6-jKe{Q{LlIS zC;dN*1b}#2{_|^}xu&eHzy)a2CHM^cVEpBIxwyD($|dYPCS;#~cK|B90WiIR0CGbz z6sTD&v{6QF10VE5qqsrBDMe&X8LcXen<&bP;J$CbYuyY6kYp1DNPO|o8x_E6;N&|e z5D^IMdpE}Qj~kfuZkU&!8(92~Ch7$IU!*ABg2XT3b|BCeDdXgVgNNmtX1A>A8R^j* zu*9%7I9I81&Y!#kY2yB{Z~k0yx8Cnode(C0bO-8LV9vO9dti#h+sVrXYTniwzMDye z|Mp2ej!Zc5)UWTv0Mj_W{Nym@4a*TOsF#^2D_ar{^2qYM5v=U|E zFYuJk$c|q7GRa~g{YC-BH%1`pEv>bA9II;%Qxz2=_Jo2(gYKg4*rQ>NSNIGqKqsAd@E!k#@mpOJ;{mp zj)SGSig|+mC!)oMQR0($;RPEI zCDf$vbn=3@{Gqbqbf?RtSRBYMt;b^cu|T;)@I7H7Rcxx)^56#dlG=T}g@S=r95(_| zKlti+uJUuckq>B2S`z{UpB3#il)N!2k0CfrhaCGJV{~-Qq+2glTrboxs|hYCk|<-2 z*}WsvQd#CfGFJ1)hJF;0F44l*3Ur}M!uk{?o%xD&$mp%7R&MhY|3K5&T1vPIX*aTp%7& zWiD_b%`ePQ{Px7E(gM?mPv_Zb_=amg#8{c1gby;9v&#NOT10COS6y)XWip;;5uEBs zTCHv}_w_sKG-YBx<@wr30M$LU!hmLF)6S>96aB8N4fVnSS89csd-QilkulXvsHSE?KkGtCsd!2dn!}k6rOC6) z*rrtMKj0g$C!hk=@0a6Po$7x&*K_4Yx$Pd#tRP=t(yT>IyRg$dB$TMZugMTYgkR(N zh-A*I7s(-qvD5b6=)amJ6tVeH)|V&PCR~uWzkOiMWMC`fb59j}B2ZbSpv05L_{6uz zJ*c>0zfAe>aqe@6*vHo$?u-4pZt)%??e@%$QsNZuL_ORMXsB@!&aQ%U^y+)oznaby z_6-KQ`FWo~Qi0vRCB%F09e1uD0HIbePddOa1=XQcp)Xq!^ z8=MxNR`^Q$-aB=6JMlZ8sU2BuC+w->8son^4Y0`;{P&uv$@^4M4a!v+K8#3NVa4_x zW4tJ{9Py-j768k(|A+hF`%ACu6A89C6%T8+p)7U^+Gn{#ZCLW&25=NtgU0tkuOB49 zvu-YVU|vCIiex!1aGv6DtCPl6omTC}Ma8kaM*1fI6*{#MEkLTPBw;0JSCqj+B zdXf#1%e^hR4Zy7c(MsPl)TJH7z_qPOlti6A1!3^^c7t1uz_*-z_(#%hlmFauH@geX z{)5OY`iqgToZTl#sZ`EkMTB=09K%=3#GIdm2`{wWcUBghQ812vWTa@JCjQm&yv^&v zQr2s(jH7RWc0uFU7KXY1y?TfzzVG!IH6R=VoKGn?;s39Ua`qU{maP#BABSkmWa0=> z+AclgTpiciFo4}Zz>q)G9{0V4@n(=@S9#jQlCP{JM?4JsEd1tddQkG6>lPav=~FJH zV?_qq51QOFU(he|7$fl5%}_=cLwmhl({voLMb~I`vjg7aca^=fGk~|)!L;8nB<)&A zaZ*Ov#gx*JnSl#d?Y_p{dR}G*>PX>FQzc`V)03ra+{}GV(VuYC>u_qlQKaokq?z6; z&4>!*%)wb$)7^6*Q**L)lB#r|g5aT3@-lIx8<-eK+-3wlR?WPhBrcdi_dB9w_6xl2 z=i@erpHhLnC!2SP<1s|c*fiP?d3`WOdaOkEHt}0oQBZhO;!IxmDF2IFzl5dtv!K{T zF~^kI)Vh4Yqdp6QircKQ4N}15`xxu-Qg^S8sh59D8gh1-ujQr4SWy4_LrjMUcz4UR zyE|RSGm2!SoKq|Lxcqfg!Oe3fcoV~}xvNf~s2HJAvc*R0 zTM7RYo&cBAPU~>J@fdD#Q{WD#jCwOJOe9S?t_YKos9!Qh4o2O6-lZb(AIc&on=7a1 za=E2&pp-)a>a-Mn>0beDE{OjAAGbcYXlb?}hWLXgklP`PRU%lE{m#7k9<_HUcjVDp zk;nK+o7mCU_~eg#zCL<3&y;QDRVpp|K`}*(dD2$Xd|UE_vEWy7ez&=!8XbQrUZBy^ zY~_C;Lkc0a@~3S^*QI1!L^lcsvk-YG%?+Lb=5|TQ&Se?al14q--)6SEq$Acy2H6&p zX2A*D=6p?ysmDYkc%N^EH@YG?MO~b9kRGduvfzn#Oe~jkH8M+q>3E`?AAd)E85*BI z%{hs!+~riS-{(jP2d@p58=nfMiB@xtF@D!;Gj2B~ay>e%xB}=Q?Bw#bI}9AB%Io_u zG&x-nNckQ!&7Y6r?{WqG9Ggh#sExu>fQi=x`s+dkhAwOvknDFgrq&%MV%9Nnf!~)n z-@5Vo(>cpbP9lUz)w*1IEl)cuhCmfz32J!kY}-hOh#uoB(|Lw)bIAa*`UQMhw*kFB zG<|Q&H}{-Kyy?s8x#{w4e>Ug$&HX{*Uh*OLOIM_m1^?q-xBceziiLicQh@jOcYE@R z`pQ89tkYe$W^H@E`}tgzR2%6arT+7Z#*r#*_3+pp?R$HG z+g}w-Iaf3(H;l+g=(gL=iNT-822p{RjTHm)Dv2PmMdG%KcJn~kk;<(pAq!FDmlFIw z@NsI{R1PMW)f*~$G|Q6b`U@5c0!dc%!b-tjRP97VrD;RY(~=#ZIYzX71lQgNggo3x zX^-B^K72XEBhq17L?s+tqosO-uMDT!>HGGOwC3y zqMJj%4Wwg0^p1E?LHln2&UVfb{~-0`9QanMS;);alh7MjqxM~U#d6B$SHszKIq~>XTa<2{iaxj zgOXG`a5&M-ghZHa{AHYvMSjty?tTQxgK+5*WhrK@xE8XM!RKS)C%8~jLT2%xsP-~Y z`#kDH4hQy{nL~wo8leL)SY#HPpGhd~zpxoq7hnp1FYD z+Bu&8iZ8&TOAD4_F3+V!HqIH~H?f%v2mY_zNEeph>NtGUmTIbHvl3~HPEoc%8NuG6 zaJgD2wO4s6o(jS*{24DV*!usL)J&`lsA|x{5HzhHKJ>>cb2j-gkDwa>rX^?z3-2pL zY|y0u0{`&>lEvTGLhp)*Y`rmsErA{t=d1Bv3FW47qXB?a(A`XKz+n}S!+Styy7(yf zKoJ%xWp#g1dR_w9Dex^4Zp!(V4#lpRcH;II?!#q%wx_OktK}XF-Xq%%lx)`Cmy;o> zfgSWd;R)XbQyi?!S3-^o#?QqXXq(+k$gsx^!aZ-x!VQz0a?%&|H5-uv>T z>y5f$(LA3@dqO^*4L(`7#~d%keUzwc4pI8+&l|?f{#Ztl2(rlg2Nu!o;{&!I#6^>` zTdfIkgXy-)cl@tT)x$64tq-)vO}tD~j{ckt!ml6{cFsrN6pYo+=z;=xGfM`1G%nHm z*!BOA-ez>|FW*BpsmF`uijo>wSMC84ER4!UAbPcH*~0v&0s`$oR!9trn*?ds=7bTH zqly)kh%>p>D)x+3H{4RpPvtLaw#MS_w5|N7-O)W3`Mo(xe!_ z1Sy&38MQ$pbB&vJ2(|+mE#^VozZB;imAzvf1F!9=_drv&`W&}xa&H5yFib9C$Di$k zHZ`F+)cZ&1I7!bZ%OUmqD^y7-mjg4${m7;a&SHlCAdj3lt;Y5=;6=vd$ePf$jkD}2 zp7~1=*mJ;%RY&Df2drK=xPz94j=+b}cx zBd?sgDG$W(*6}R^Xp82G^OO`Mb@#qPmqXaT9?kF$zODGaUh$LrPZWQ?f`{kZqg&IU zFQ41qwk*=c?Md=AUy9X=wa};77M_@wk|jOfUgeO=@-i?y_scksS)4}eFL-&m@>HXs zJ;J;fT?Am>R4A3!%{K=Hz}4aw_f7!A@D77E0_Di&hs3V}3DzFqm`)>Aj9@}C+_H5W zi$plvfjb{DvK9D%3?}MrrBs<_Z>Fm)rsa0JnO} zb&q{$%kgT{@**3+WstsjKly2lAD_dRmr0`U{xxvBv(;+K>^gs0$olhyy?oo?P?`8= z@SGGlAYbt&)K9e05L0ruALj+JoM}jn1{(+@NsFQD0clJlxII3&XMXJ_&HOb#uzn z3F_*5Dg8OtCPpx9VsM!&J2?>}TKeIO=lvOwQfzMUrye8(m#_~}{4ZQ!xSUt($pzxN zcj+FypqyaFSjVG=Ee(Ju0&M{W1Rw6@Sv?KS!=7pg`b%p1#YUV47+)~!bg^}WSzi9D z$nlCn&C%U}WFGjgNu3dS(Ce`X#WCxaUh$1gsPE_PK5`ES|W2^{V)d;Fz zVWX~O7RJR6WWEQs5}Kx~@CS^}=DWd4S+rH(o7iWe__rXaj}Q~|+>%uco2@|Vt=!3I zAepzEX+H&2PQz{6>}C1x2#w?>t3Zl<1?po?&J~xoug=|-R{r_GaJ3{}w==%S50IA< zI?ZWnoaA~{?){kODc@0Rb)si!S)-1q?tn+hIQ&j|NPTJH>iU=8fU=TrzrVJ4=u2QS zvRSvE;a9cBnyO|=%fnIMX1DLtr_OUC>n~6|&la-Jo;ApckL(o{=dgRTHwl*7RIH_C zNRjeaYvMt;ZPN^M*Y+f?52o!jV9JMWhOhW1>w5?sv#PaUqjBE~V=Ml!00JO4hF5#( zIsT@Kr@2qv|I`uI_S{b-Nhs@lYm!ju(Bh4e8{11^Hl&VQts_N&2+_jgk) zLehzbdJ6$YJ?;D`hVHo12UeMJ1_Cp4z670lz?Z}ImvKj*LeQMt`w{>?K>n{Ai;(rA z`wPT%9zS)6Rd<5o z06fHO!{|q- zSr-?={kSokWfzJd3iWk3Ai!K*1>d??GA)STVRYCRja0bu0SWa_^aM7a7#&$8rlKsu zQM#T%SoQQ6#y$GP2%us?70*I2$}H0UrXnm-Uf1&;@G=PLNLVGeA`hP7PR9W(N;8@R z7nx~3qLw?Jd6!yFG4syYO>aiAU2RV*V%u#SK;_qy=U>r}^f?wdtUi7o!$Vi$q_@G~ zF!~1>p=c}~fusxC^B7~>1QBq07mNefaa*DvvbnmFTe!@1(<(im)2IhWF~i$b3$AO6 z1MK7CYu>skpS6C=3(CjxxD^VF{qQ?|$X+KI6O6EqRDyFN(ku%&HKafUtl?5$d}NluJUJ+U2$rv~-H|`E}U!&Okzq#=}MK+m-7t@P#VD<%7VXZTX4SJ#exT zcx1z+6}5~kHi!=>H}(cJmm~FfS-$&N!BywY^4{j$px2g_KXm;)(2HvS14OWq8nKaU z*haG*#u5=*EOU8g&8swWDB_uXC4+Eop)QDvK?7`V4rQCN72 z{EOJLR1iM8N!s#OYYxwb+gT|BKv}ZU5ehVDe(C%~)M3}t)7{fi^Mnm_aU#j>8OXHn zftxh%8ZSFBDmxqPBBBEQm zeSoJeF5>0d==D zJZwZ}=rVSRufBM(wLVNFtVYWpx-^g6rOJvt{n9EP>P(PPcAT_TZc8CF~FH!=3J za-dY{AEpAK0mc^?lbD(Q^dosd9>L=59UnLu8K@j3|)`YlB?x357dy2}f;A=@>>-q;lXogKfw z5%qNEfar_4@}1BCNFXK%N}W?n9$QshOM7ia5c;}14rCsuZdwsL#B8JVX=Z7SdLDW| z3a)S5A6MmD97|+1Np<18C-L+8A4b=Z7mA{nC}W0%PYnW*`V6~4%4X;zzjY2h?Im&X zEs^=?flS{T4g?)YY+Pf;_6Iu^L)tZV!NEYj)Akf_eqH&@igF5nbEEjjqV6orf9XUX^*!b@t5F5vQgtydqFzTku+hdb> z(Q%V-T6vbcBxHNwmgcoU-;(2BPyWe$6{sUR{8ctyZDRQHxzZO#afwp}kDBD+!TX}k zkn|5zZT|7#K~+f_jSJ^B`Mw#YS;@@G=OZ$G!sOOZ51)ar^w*wscKfZChwU0#zr=Cn zc})H0a8lkZ0!-dAIf-w3MZa>~kwnFu?Ubgb`HBzv4?uWNZ4!N5l2&7jXfZRZdE;fD6 zr(3msPajY>6qRUvD@xfYE!6T3wi!%{4Ejm7(Z$2s>Tu_Tc62y6e&sEzRlA>o<84zj z>Ed61ZNsdlHT2gfg3}3aAM#h=PI3XCg}c7G7h-r$e>y6Zb@H6H@|Ns%oK5v)38wTz zF?3fRvhpEa154k_x$M_Iw~($>``@ejVstX-U%rUgTQaVetW97(O%Ka)LO2PUTmhIr zDZ&dZ_KJe8N@vKQxX;{G`w1tD`3pFTL{Y?1jxV9tn|#5PfoIF&LG$23jGw|8oU_@YG z#Obr@w#Cw|ft3)`UAu(OCi$%KJ4m}<<6Zc}HqPT>R&i?a5TRI0ObLSjOg(A20|ulU zh&(_9Wwe{6!;d?oCiLN;0{iJ6<@yt!9QQ=>lX^Q-a+E0%&ud&F*4qiVaL^IsVf$i^ z1{@HKZtuy_l(ugHWq_o`Il9+8NEbhlAZJP5CwcuHO}K5x6i9;gF}_Fd%HL*F@j?bY zfcJUVgb1idy06pG+PnbeM=1}1DG%+J006xyB}j~6&ei?4r+}wdyg{%139gAYW(9=g zS>e{VH2{LmUy5e~jFP0FQbAb*x4n}8DW_5f?J5Ur0cm`l$sK^XE*Nq6$i1z;z^OS} z0Q+cvT%ug`*`>rN3xKA&?0y^mX1SFr6K)VK3n~_3S_m%hPm$Xii+`yrauL9z^U46` z%u}D7(%h@uqa<(WV1UA2+tjJLVit#DAA+&V-Vo>BszG zfzoMxAi0CFfPio6Vm`A~Q)*tYvk_=_MR0a>d{VkR`nI~~&wD&?O8b0Kf`o!y8lNck zFbR3sEV=>lZ1vbI!TYj}C*1TBZUxj_R{pnC1aPnA?(hpwi%d#5M4!+-p{ePi3-QDz z?rD3UPdHoSen|&ijLBb)NUgQGlzcIzCaDjtc0zp#?R7CPxm^qGoESN;sBdaw!qzs> z(=*V~mj2(O#6b&_)7iEy zme_Va+2%$j7Xkcy&b|My*^OM7dSdQZ%go2${*nP;{Ve2bLM+WREub0i`Euv8! zv?>10dCm-}xAHC&y{pm$CEl}Z(K_rXhiQIwvH8P%k!N*po?E>65LA@}FX4Nz39Whr zz5S#jsS0{*ziqo&1<0?zMyQEcx1oXx){nv;3DNE*C&r*gkxf^z(o;qS-U#j6|=?9smGu ze0UoCJ$N3jf>tDB?1*xu4MVrHq3 z_x-T<(9#C3wpo{P{lV{5sWuBGw(sI4R)shhLOEp5jOsyee+fKv8;rxpEs%_u>as6V z@3n$hmEN~r{}u#`y$n2N!%j=};hP_OgO(|H`^Gku7mVkniuUXWj68pR$?fmLLk< zpeu9UCQ|&sRpEq+E<^$#ogh{lbLT6UgpK%qLu>LKkeRK5a&$f=MVt?gdSmw`S8@m1 z*FTI%P8E|ymm-?j54!Kg&B>&>v~Xyr9JRxD`;(X9p9b+*usaWTZ2{?PUUr*Z{B*V* znodd=lQc74cI;`LBK-L0aJ3+rFnK#<< zseiW^_Bk<@S9EC6js2-&NTwK~8%^`WfRGyNNq2kc=5C=#CA+Kapeg*rYW< za}EKwyzrkPBV8`*mPcDYzWXJ(`yh0NhFF4+9&5gPQiYX&?*IV8dKS566ZSQ+0f-Zj z#3at43~v)JODE{Z+k(I7G&7#kg~b1zc+6;w{R;Xps{@=YH!cOn8xB5Z3{jjD-V4zf zS3{|f#(@Q>-S=^+4%G%Osx@ghyRTs;<|q^rz4$q2Wo7ZexR6}E6l;Of6FrjJjC zF-*n25bED`pAY+XP$J_ok!|I9aA;-`nOcErDx-K=g7rC?d)Cc1jDGK>I=>}{kdsDd z`Dx{CC~l@IoAE+mJ!>_anq$=pm3#o+G3!?UlB!cf_%H_)D9-2lIsD&rKjNg}`FW z2?vA&qU9}5Hj+zbAzJELZ)Fc`fx5AOM&so%2V~u}=?=k}gwy=8|Kivy0oRvTa@zT9 zKMaWchR#&%`_+V>3xCp(&`#3q66iz4HAwQ(99J)7N_KHAd&jj(0q?`{K40#v8umUM znzAZ%D#jp!YSKc%@Sp_#F2jH3=+RQ|LXI441rlKJ zV&?W71CU^|?~sYqT;a}|X2G~HqZjsb)owrQHr*ugo7QLIQB}ydnTOAW!4YhrXe6$y z;_zzu@v6Zy`E81Ykg(hY{;7t&T=&%oNX&B|!!N^!GmMrKPoH=Sbh8&h{L@W-ND56$ zbQ;s9@Kl&DWcfQ(vxvu5kh4G!FYn3G@J;>>w0z+$FZWEk7eVqjPVj?Y`?iAu-waGI zd3In1zafr?3%F5MpYf6>;nyvU+YsCi3%k3?-)Ta=q|=#xeX!V%gmXX}!v@U2yE`w6 zeRc zSUgv;f7Xvjqu?xKk_8dnZ3jNIGDOa3X7{K)HFm+RB*MR!R853sGjG1Gu}I)u!gE+{ z(3D@fz9OD3S_z})X>F{FA>{+@dz*ve6Crb6z(m}-G4%mUc6jD9z!6cz`^nz2dbP8Q zfRBh{WnK z-Zfb+@hEahpse{&-H?Hm<;3GIQQ@P@@zKC2?#a{$tk5Bf^guR-vIm97(5!>-{)v{+a;YP0Uixp=V*zyq-WM)4<9)lh|CUCN zz{L(9lhtu-aN}Rx-UH{qUlZt!$m3Abx^VE(Ipj@>1h^sXJ*u99i)E3?6j<{c$llZ(dByLe}?CT7L=*{7+nky@We4*c?! zMvLGLtN-C*%R02xjwDu1@1~K=^3@&60^I|!qe%7n@LclIWo$K_kMsB!DFk_T=iH9R z!+s7}N(zkRHBxGqZ2hf_uEWa;p3*NHA`A~_@zjLy=(`aFzhu>GBacV(!Llx{j?0tv zl-($vwR~)7vQAQKY(h5Vc~56f-3{*_*mLaHC&X@=jHMiGbY3!HRmIJkDDEW3e#DLv zv$-cpu!yi~4O~kZWkpM`cCSuA?Ke$3P9AbqPc>wChQYHvFEam!f!~Zn_$6~eE(IEy zE&evKQQc}W$?Oa<4QF>I^gs#@vFk*}$9un#gduq^@3sU+t-hhACXD;G@x~+?uDeo=JI~50?dDe-ZAeE4Y4C<6Z?syua@hzoA>;e zkIzM>`jx-(7hedtil@}jvjsB!-4a1Q?dY^8TlKqkQd{V%k{G1v8( z>gU_yrMVT%u<4&jF6Ew8mfBS@G3fEN?Q}8t@%8OcQCM_4Q_RWU_Hv$Jp3^puFp8I7 z`{{OjAL^xLmEbLcP3fCo5q#4xeJ@$-wO;%+EyKrM*x%{{!Iw?^*;2$qSIw-5z3;ch zXs&Ayp%1%ntgFIRUn&hU2N44|%5^ur;m|BTB_RJr$A&|B@`Z@Qhfjo$rT3j9+LfX; z7B>z~1`Ng6<&w%^eWet~JvrOtN+Yo|d!F_h(du@23QqpGW-Xg`#tqDM9>Wb6@>26P zD46FA*X)2l9z5n^*SKc*MLxE>%UiZyc>2@!RHL#;$EAQ_p7D%5ah}18PD+Zi!R8#m z?({A-ZyHcP3=5VOmOogP0g!pXS=aal19=R1KWSnmU3ijDd^ex&C?yS$x-=@RnuEg(^Pvrg~_$bkDRRG=WulkjiqieKFz}#+(;d>eaFT_ zf+@qpB6;N%oFXU$1yEu(NHuhwQr7Sn;JY%xN#kh-piD>8-^gnw@)tw37m8ePudX#C z@jkH;w`&h((74&eBlS_9#2zS>CVI+dOON$!!DA5MWILbv!;lOd&C_<+I}I=*ta!yc z)r)+7sb_2C91rDgir415Q0m%I5hQFnoYo-BH$f7E^1eTrcp(%#W+%E9_MqfzNH*Ea zgPghmZu3lY-m_feq}yw`|2(9m*u5OZAMb0&y(85W;EI)u`x=ldFsmzPV-{wT!`Y4OK~ejCRI5t23pp0XQ$ye_|!XKOuUv zr)w0nh+VvL29ap<4}99`h-l4?Pld!eeg=~va;SdHkk1Ky?#U(fWS=G?arFS7>*eA5 z13D>163_XtZ#b+K0 z>qY2Dp>{O-^*XiGWf`qrA`WFaRDe+EqR|Us*>)?_zI3?q`XO-Jv@1QpqsaODgV)*; zWTcdhf&Qy(u|l=ZQ~c+#^=LfO?zhohPi|Nz(_3P%x3#05Bbx$k zzJfCD>62bAa0)i^1d0OUrO&`??hr=lJ#OprK@(c8k)j{J1Lj#1MEraS;oIzuG8&(H zsc^v1O<>@5X%gtzpb^JhECqJ`%9zpSuJJW4f{`XAYpktU2s)_NXFXbs;f17}(e_*| zeu62*brwlypSZA|pJ{6$TO)LRzp{hM|52mi zv(xtu<$hsb4CTMDLE}k9wxYF|=E`~TBuCy4!3ablHjI)bwxIKZnXHCYn7Jd&aeuQ% zV1yxb`FH1br7(GUbIOf<5Z{QUF-Ab<=n2yM988Tz0S{oK3_o%H*uHq)zUaC@C3~fr z!y%tp>PF0akO~iv!qh3&Sdq~~bx7Vpp!FojbP^B6N|@s3M{y!hyZ064l-idO$o+tH zYCdJQk>QJJ?<;L*ABiRDjUtQyC47+YYTO?(a<&z7(=|Jk(;u^sVyXx>M!-@4{P_Sa zgX5r{q#)6U?HbLKR`_KFTW5Szvw_qr>t|cOG&s0QZrLNzPk&5f(E^SPs8=i_2UahEqPj#bU1_08N@~lii4d{Ou9sMCol11x5pRV^B31Hq4({8j&N*fy= zD;m=FFN!vi_U)_1;T#WC3B)HopF#=0@bB>nv-p3^)#w(sbm^i@yTZOrntQQ_u&&=qsW+PzOd^%=`KqQlT{OY~@9#uk5@yJfvi z( z06(#z&QT)om{?@+S_WUn3Q@9oD{NjLf6XBP=>8mr1byZzz+^$>(+)E2qgRr`JsRBZ zZROGG@+x`no+AsP_b~YV*XOHEc<Ka-gAWQIss7^!(hPj6S~5$ zV5*d?aLs_c!f?ht{Xsl*@7~Y1{V;;ZbMOF}M=D=Y^LefA1zG7fWcNwD*UK}%Pv(Y% z>XEtOSF)m{2D&*c7(C6d4H}ins{2hoAECD^2|uW~;Q|>!@&1MUD+`I<kxs%^lT zDF*`Vgq#_c(23m|mx#c-s3$-BQ)z{ommd4&^*G1Y!SN#RL5F|tA99+2KygI(wonaV z!OPr9F5&Wx&NVN<#8~`i?zL=l7ssL!e_rD!hU>kq6V=)JsO-v8UL1n2x z7!zK`s;jp-2$h1aqk3T}(YL}su%1F+a;xG6iPHgrUqN|RRX4#k;G;{8Jh5pvA1KR> zB2JJ?a<;zy3~p_11;-%IAjkf1lxsc932b0EW5OghnsvBQfV?2NW3bKm0;!qWr z|D;R&z#D=s{X3R!Enoj4owppVOfbD6{S406e))p^-5PD)`sJGWlL(c%Glb>tf5|rj z;0zUSN6tGDgdd{RAI@pBexf6nV91p@u6JK<2m}ZlUK7+hfKn_U6-TzB*`w17Xi{VzjB4!xsTe9HBQefp+673@_epX6|TdlS& zl6#Z`4CQazGYTy(dn)CXD30w_@%*GIh9tHWP%5_dv-zQ;Xq_v)sz6#TSK5NZu#ZrU zDX)B_s-D=gFF2r88eQ?FxAd!2Ljay_c(26Wf@)V9)08$|xY&yXYR7VD>Zt&O|F8le zl}n?-aK;U0qvQQ8NUzL?b8K#f78jAFlWdb_0`Qd%N0bfS9hXlCqydtricDA`ZdVND zoawDvnlA=EV&4JWZ6fU5ot2K%$x0T)t`eTRk!QHrgb_3$dJq5X^NCq3o-o9vFhPd{ zp95P!ua23)y#vX}W}9to?$}ufC=GP?B=`G7ZP+5?1f$gBL$(#OBu0WKTnBMiNHGWK zqRDtGB5pgozAB;S(!eEt81w7NNjb)QM!g!(*pHyeO+LAdt#kD|M7?lL;$aV6jsup} zuYHc1kBs8bLpeEKp8EKJh@YVN9lrmm1~3eIlqxbX*rtoftL#?hG{bpB-gg3A1E_?+ zS8r9_1W}c zHGry6RdGqIj-qJWRs4QE`w@4q&*pdx|+{O_fKI;yu|l^25anr)oribJ4c)|G;E$_ zBw98!7=J(T!P*^zN&rhUleNiH3qxj|++#cjU?*$!E-Ln&veAW>yg%`M)|+Rw3&yso zjAALPA6k}{c%!StPF{+W_8F#{==JR!570F!{Ju@Dd!3RaU7NB zl}xFW8sK4CWQ`3roYz6WW`vsDd-i-{MWeNTowwS1T2YKjy@`JPXIzD}-FfqgYg=~ztDvF7}P(&VWb=s$F=<(7q{fbMTztV*R5@1peebp?th?~dE* zwnQfvJYAskpV5gxkHB~I?nDl~;S~5ZKP%0pv@-gk%S+Qr6~Z*5<<)QUP%Zbx_zOY?-jTfoTwc;B#D3p-E=Ko)=Lz}SSzV_JM!_F%Q3ASyh9<#h zCH)`I$-P@&6ViqAj?k%TFb6Cuy}p9Sap&}Kg8&fNFwEQZ8Rtl2W^>Zqmu)MAcPd&= z*-OD`T}%5kCcE+EgX0hLntdn%gNir!cCxDQG=cj+HC9TcvtEoPx2M2Up$#)@-QP~l z6cp#~%FoM#XNKS@g6?TKEs2P6hv1z)Mi=7eVDPoQYYw*eHLZbkn-3hfM(5a@XP+0n z-PDua1Wz-Lz7P6yBqg)wUihrn4<#D#$^PqKTS#ypHHt@-9pq&p0!bl4RuCn2brcJ4 zx`#WbMliK+6))*}$Elo7PG7iR{8#w&d+Du1`4dnV+PgvrnVf%qGx zT309_<%DmY$8|PaD=5T)Y}MqcRUQDUz<2{7P@c${BIl3iV_#0$D{embD$;I=Cy zPAH*3c=Q9F< zTrFwcl2M+i%K5=(zCbB|S^aVsMK|FzLv|6 zFs?lgf7v|Wj_+5#=RY)?um65=P8=1#dPkMwLy;aGcfJ_uriHiv$obKRl;1AaeE+~O zTu-C-?&(Ax0jsE20O~`ao%OEy52dSr<7J;fa(vkYUUUbCqvvPNf5kB7qj5n z+`I{x=)5(F6D{QL&N}tCQyN%=jLGfK19qO~Ok%}}8xz*+l2{3%O2epis%lCH0f{2+ z(Ypa`eJp(+f22B657x3_g0|C3lmzp-&Map_m*=N*(exK7t$0a2(Uuz@X|(M%BXV-!zkT=_hy?i5Liu0XtiD!;g^s_t?vWTB^SFFN2_>7lglooj#5wj_psqRI=x980%s-2 z2;pz2k`RK*=kvH5JN9>RBlK7&<4)3JXvmK24J4Cl3{-y~$hd+Hr06)hYI zqgLJPEX$8g%J?u3z33WYUx$d;0&#(0*muJTnnUQfPt$8^fhHsoAJMo@HBF#y#d>6% z9@!QlU8=agkL@PuXr79aps7rvyb2Ph0n=nhy9a!`X3d=LN6LVx_)g4}o~5o8;}2YewNin-x(kUv&?6EwzNA*g;; z($ks>+dK4_9drH}GB{R`H0SM~$a7M8%j@40!0A=>tIC^`h%fdwDx7PlMTfy z)xaqx4;-`<5XvXZ5tB=>^C}Ykbj4mRTx~CrJ4On^x0@ud!jZeA@YHm)BTjZTZM4Jl zXtB-nLN>c-Am?BXk4P0IAXKtFqX|YjW}=!Yb)1Shg*L{2IdY!2mc&ZGL&cuq;j08r z9~d;w=vQQ|mT@o?Iq|kkFOM_)MPK$;#YcQL{-Z z#Je#|eOE;h)zz-0Zn`2~-aFf?Tv*SwF8(kTpBOSa2)ynOu5kP`sb8^DqMxMc`V% zB>MqO7Dd9~okNrlQrBd@+LnD`wAKduwrs(BvIVO{h7lMwCIe>67_>ro}HqVmINm(s`4FYWTe+-?6KNNl#$8X;`oWt4c>~;1IIa?{6 zz4y$HJCt!}<{Z+}`c+0sN+DZiudXtay(%4tR6^GM`X|1x@AG-y&-+6T*iqU7T1qEV zDSIZroj-O``}kYjs%0}APQ{y+e3lScT_^$Y-}eNqPa8>b+m5jkSRsX53ua!-Tz+v zL>b3F2c|18KlvbEy1Y%+n91jU=r&zM?DD56mE1VTea3f~&r&Mn=FZuv#JJ$g9+r_* zE*{$^=s6H%Q~G0>I)QSA0!qjA@#4a&+k6jHQ}|#8in6pA z*59)n1S@ERkc|c;Z(A1deJ>)x$ZI!?3;yH1^@Xl3d7Vfc(wMAbVR`#){<)(YQ$&gK zE|&n&rYx(&4(gr_CD7f=Kst2tEUNT9x8dSy#<5JFcIDL^R{i^RrC%Tql_|*_Ps;sI ztNuKd-OyTZWZ@MqUs4Tq#@PLRffcdZ;)C78*=9+W9{1|zv7H*y?(@ex-b!KrJ+TP)UR^K`*+@b{ZtgM7OzRZuz8^H);V980O*P}d~WEIz3%tCyn!M_-A;jb^m;5R9~ zq-56_DZj;>U_n&H6G1B;ZcV3CARWv)HgPP#)#jPoaPL-U_RfM(A|P`UWED|RTKT7< z)(nu*;GlXEIHt6aLy86qY)~uL2Zq`Ar^-?m!Jh5K=?p?Wo~u|D#$30;m|~N*Gfzr2CX*g-eWvdDvsdyo6M$c0 z0&5xuF>?B_lbZ?a)F$o$K?Et(wG5Pb$Lci2StvBQl*esH&Y&M%QL@or3n7agaE<-Q zW+`532cS!$RS(A)DrJ1pF6e{i$`fQoU z%CS<(T?GBJ_vU`loJFhih7HY@ssWYY$dF8?8qXy06nE9B2EFwbycC z?mBX-K$q?f6bS=wZUZ=x?diaNSXFg!6|15T9keupOe#7PuVyp?Fs@v@dqPy(+^Ybi zp>8m|J*w5$zxkuh?9FI$qQd&z3&uH24VON|Km+i@7#pyVFM!r0;pRY%@G z5(4=P<9~@@Yv+KVfI8@1HXgwR3`7v%xeSkl3AaL5X6e@a@jn9-XcECK%(A#f^gi#o zsp|enB~*9be7gJXaN09j&e-4KV682)fc<6|5FojZ<6G;g`5ooYLC{qD^gNct{|6y? zR_+k+ClbU`C-=KaQ9Oota}qPAomF+7VCOX9qz?mZeyZ-VCkYPiWx19kt`~q~4ZS+< zQG2ap#0zXpVCT2K`$hZcocHBy)i-3>$-5Rh^mcI0r~OQmrpmtS&OKaU9LUQmM;mIs z_`$n$<}t=XysT1av5ZakVg4pN)eF=;f;v)urKboaH+YEV9{9>dB}?{-t7q{OrCfQW z^&;q>*NX~gtfNr!!_0wn)^_GP!mp=MtaQfg+**;TewvPdKo8dP7S!@&+M)I|K(ONotp!O4Ep&&Hv?^@STY4;8&_q6mpJE zqzZHjLp9?{regU2z=!+=rrdjg(M$0^K@@Pd#~xG z@0KFYjV>`pk0154KugZr1_3_zx@0aN92UJ44efDpN0FqY+kt)OdP2j5PlAMRuPLHJ zbNmhFR3xpS`YDJLEO`Hus2;%T&|bjj+FYnItEJ@dQR$VR-5_?-&e}0oM$Mtbf8>#F zOT_bzE;sH=?cJ)@l#z5fLB2A(z_7~MWG)0G{b>1Cx2C;lb~o%!63vzENS*&NXi6hk zq8|e zDc$95GSIUldyoM(CBo#Ha84Mxa3mV2WSq}oA3X~4QHqZFjPy~Wr+L0m$b-`Kp&-^| zSNCYU4Swg0#~L?4D4RbgqU^_<3(dCxTBowx0`Q`XG_M|) zFH1v3%!Y1&o3x#I*M|>SRn)hN+26tJ> z_CcyQMRAuc-{d?g% zb7%^T-_7G?H8fW2dMSxe4Wa?U-XWaPr)Qq9@;mm2Yd)xykex5QDU@P@I=SsLpQn$k zH@CjuNMT7{Dj8kTfY&xh24hULjB0iF~l+pyhmV{n4T zvI20ps)kDw@*$C9^E!m4Y6djq0P6Z-*oYhIXLd2bv=EcNVMq|@7eFidzW-U$9b$dwX^Z9lQ=RMbGCr`vaoKW`Fwdm@w;vKh_ z;d(}`ZO0Tl_{B!ejW#KgoB{Sc4zaswlX7o!l}qvbL&tK4X_pdYCOGk%Y3qC#k&mfX z^IOxaOU;}tSTy_Yar?sf>OTh!MPNqM?}?{F+FP=Wk<9o(TalsFiLl;9RY+!9ngkbT zz=HNe97r!r0@PHR*|i8_S?k>&lou&TY6GtpK4{BRRqPi|lyHltZ9K>Yn6G20CI<;a zZ-)}}TZc}(4;*T#eTykReI^+_v|gbog2#jsY84c(UuiVMXeak5eoYo}!i2ii3MyU? zZX~;5Y?2X*U+qQgFrh)U5XI|;jjlWA_7IVLTRQ726e1XS03uvZKk_9;%JwhyV%lIIR}WQ|vP< zG&;^b3)C48^QMOf3VNw5HX(F{n&7Swav<+XAn(+I5icXcQQktN)g?dDJIksau zHsN+#q?k@Ld@s|!Rm{-P8?QuWNy(#hmp`!weys_O^YM}vj>zDf4Vrd zVwa^|ok0Rd%vGQkT(HCe&IVNoe?sdJmHG6A?$+mHVbl zq1(&6h|>+4kV`V{=PR%fxg>g+*~i~+vF~c&`wOyj0(|MI1y8KSahR#sS&OZI6G#1T zGW?DvHQ6vmw{9H=y&9rA1?6H?f0f#+N(^{gG-2%Y{`(aRAtOATW91pJxQt;e zSo`N0azf={;tyGKGpvWNah30dE`#ob>9>m2vq}ZlP?5dFvbx%WE~{fd4tC2^G8wus z8wSc3;*tt4NI5Rs^>HMf%S=~xio0H6%K)bx4_|ScDh=uxcY>&>ATc--3nBO2+;>Go z*jq;izaiMIocgSLrV<8Q@ef5pG9%4J&)!oe2U$`uKQZhJ3<^N5I-^hPa_s%4LXD0L zdYyVi!4NTbII!MKf&UEj6R0vSUu}`&xm*MPO{wX@Uv0M$bbxi2fh9*2IPN&NTb-4w zyDP5Xr0mpwY)d(r58DIB85=~^)7Uu(J7(~#c^@4_ z7V1Rl_-b_PAq-*Y8QKI0HD^+0-)4WN>#jq6eYDx_YgkRbYDTHr4*LI`Vfq>fVJ7JA z=77T8&1yuWn`hj zEw9qUiveQ#w|POG7dq}V@?N2^w-j-x0$LLQFEl7WZk9~5YXI+(3Y+!OY}<{e&J#F- zfzE6jpL={_8YcwuL09&uG^wmJFe%UAUtVa<$B#6^Ubc@s?Tr3 zJ}CmXdO3Ij5x*dGd*E1aXB0VO(Nilu+|+Oi zI_Fvo2i|A758UQ_n)nM#Ivai^cOpIVaEz^+C;}vr5*ph_G;@pbyNFNH4%U}skAUzW zl20;3OOMqN=OBcI;mRDYe3rjY9>j^_!no;CtbEysWYCO$oJ)Ue-|W+8s9*` z6@+F;Mh2JnW44I3)kjA^0al%MhKGpP7|fz~_4&2K>_J&@E~c1#0j;z^=AgW%z^JHM zAkt)j!9I3a)OR7CjR5}}e(2`8t?U#XPg*xPEMgD0zkR4=qafUZq(r~Z>#YA5El5;I zLRx$8)&a)?yos(|e4O{u0uHf4gFC7*M7Y$Fu+7!2z2;%)y0guddZS>gNa@F(W=mV`Pw<0;gQ9&&-TG; z3T~{r^uU1q%6CcLqUEtw0+jN@1n6G*tifs|^d5YMoy@JH)vi14$m&L$k=J{Q|7O;YhDoXjiYgm)uee5)S7_fJTZTy1+gsqSpF&Z6=CM@IWu99uyD`RJ< z_xk)yGe~3xfUws9S;`ns9(#ym@+JyB$<|0nUW!s<8EVhSPl3z_5KtxIud06dxp_IPKez(l=;F$W;{?bpk{9WuQ z4Y+hO>Ym)M3>y5&+fuQvj3v>xItF`084Af{K#m&Q1K4{`6r~tGv4Aug>X4uob1wh1 zWirf>?x1%W1+1x;MQ5}iPUO!#ooZ*U?iKH#Gvv%7!q0qdcAd1SJ0*uLZ!Ft+@|P#B z?Clc?Fyi-uNtM_-_%ePEpOUTmHnRYD(PZMu1_lr5-Uo?AYO+&)MAw%C(!Smi61xpEASLKwaUC2&!9!8Oj_ zi^QtOlPP7-#xiI$N?tlm>x17NnQolAsCRVe_Kp&q(*?SSgS*H;cU9rS>}S=PkEVQE#eFX354Ti2_RwRT zC~*3fa0dVR5a>mSz}X~#&nsA@EMj=>$%%ZJ>idHMCgoi zf~X5{xs&g+Dt5QL!T3Mf99{aZ9?Ma#l1>?-z;7M>Ow*DHZa< zsr9?nKJES*;{xKOn)r6LklC{ERTdxaK?o?$sPqO*iT^1m5Kx=3vQ`g#0{1}aUuyyaH5C@c&TS8 zDgFlMe@V}8sM}YQLxEcNlb_3_bbg-U3_a72oRChqqPKoX#6=k&|K#gPlWrk%N)L6! zp}=iqX5W<@quZ;+0Bois4hM& zc@xJ_TH~j2(zHAmf(A$hcLY`nq>XG78n$IUl4P~2a)U-|*FSQrzcJ)w`7EQDuPZjT z3w%EEd;T4P2bnq!9~Fpl0?5riVH_viIgo{EZCmA32-?Lm3>Co0wPJAiiXJ_I2{yJe zmOQ{b%NJP6B2iB0sxi-KVej@#2&C7jcgFjWd$gkRbfW}pI=8^~$bwY~A};5N75cKL z6=qLDN_{1-vX3E>KS~8lZsLh3_Pjgo$*bH};bX%3?#tYpwOqX9yfM^rIOrDJpW{n? zv{RMq$c=0oq?BFOqRE~A16#cl?~2}b4xIED1qW=Qqz8In&TSt7cDE=yJ zCiHcErKWIY*nLUxOJnxYH_ctEtW_Py-Mq_!5YeTo1@fPR{7$*!K1&fSBOLS-wfq~q z^GUX#V^fKEhL>CImU>(~0R-xdaT-9epU*km_yd48=UYN*alo=&|l8JHFV#)H0Ha_++ziV!C9;wu$!g_S`F&)WVHjvu|_`au{g&>?y zGdk)UFl}d3d}_fhS8XvqH387t5g(zkueL8$v<_zD8bZ`E{X@hduA4BGx zV4r#KH~x_Y4kRQ75(GIqJq6IML1q7lGKVP7^UoIR{5yZ+RNu7_`FE{G$zDO{(wdwL z7x>%v42Fpt#eG)58?V3NnLl|Rk zLHq*rkXQhm({}InS3D3k_)At{yWVnlVP;w+ZTNRh?Ry(Xg)p^Nw&>Wj!|Ci)OtJ4? z_) zXy%cw|AH46lrnK~*#Tzk-()?re^UgVfU8;?F_(A4Y805nX?VhMCNiTieg>i$vo zBr#H=;KWQT3(-M`r(}@ty0KP-1bFfNH9P($)O3mE_?fIkM0RW z%G7m(Ad{D^PqIor`n+Yz_W9zUN!pn5dO077)|C|I&<=$p{;MV`B)xgsm+nXl?=R_% zqU$F#60HY~4M;Jic=5=-Xn}80`P1kUjSyB~ace8rNAskp~>VJvbCyt z=;(&6z#WjJC}a_5KhZKbIb|0Vh>qsLVe0rRm35QTz(5|ni>zSAH8u-D&M%!0&tk%M z((hZFfONl-$pR-n9RR@Yem*-~#0{E6<~Om`p~vV_3FfB|rEIkw^2ve(?C!|9OpIxV zYh=9(#GRw;?%~1lU;l?RR0anAyJY-*w44v(qcKajq(2Y7-*f)JAx}I30l2;*);|Tq zoUjlSjmDpL9YK(G`NzPRvpi@t?<#`5&tI53+oh8{e^E6mY{PtOzb``%4mwR zeYo+Ghs|tdWG9zSWvj;AZae=lk~O@F+!+=Q;9h5{dB3>R=@t8q&qG*f!zvFDP(us& zPXu~CHHzXS3Coa8sX|^xxRUUj+PSg%WJF25badPua}Xnh;nn1YvMBsOr2{I~0QIc< zZiy5{ppWvQ9nlD=RD@mhbTD26h-CUb-$Xb~@NFTaWVb7SRaaD2MqN%~&9B~GjbK3u ztdwiad?x$uf_F}4#sb*X|2UgNL`RGJWCYvs0PXdw|1M+KSLcqDZ|ZoA+)^gfT749$ zr*f640~Zo~CnYjdL<}H(u&X`TFXcFVMyk|NGsC?@jYyQ!%M$GwS$Et#Eu+uDP0vr<@?`^af5*L^lWGc^?*|afh3j@V z$9p#EXMeRK_KoiR8(RYQh%d<{Mwx^+Ta1naXSorImhV_1icJr;sw*P9{Rg+8Ys1(0_SB^)Sd>6#@eID0G9jw*RknrM?$mEvkRr z%akv&hym7S%Yeiu1MN{r)L>w68aP85Y!LJ+4f z+X(Lc@CIG|L_XAiMHt3?O5^kL9y;$x%2G>?#QFD0>>wGrL9slH5ynD^fPe+kRQT@* z1f$xzD$9Sm_n-gkl*id51+l$u#eyZEW@{XvGDW8b7zpN(=8$?}PhA%daLgU?h)%s@ zs;~sEjXaZcN&gXFE$eP5R$V+){Ui6)4}ZShDUw`!(dW@EyoC04ItqMo_RrC(z`-*? z`9!18dr2Gztb~L%6Jon%>+X~b#@bX3!xGp00$-&s63kM`je2f?YVB4>!#8tNGy9)- zRA0LXlH~Lbcz^(j8~6!Qv>A;5RGwQeAyOoY8fL`*=*}tyL>@a#xMpNMLM;5?Y}TZf zQ?ze$Z~fA-Ar6*blETP3wp=tX=vrF6c$}!JEQ@%Pt?^M+8}@W^MLYAwUER6A(n!a_ zCL``6(m(r0rD)aJOr8(Q-Kjn+>MWiQUXy;8N}gZ&Q+*p4nD!mCqrsZNzdM{0O#i%^ zp18-h=N?geECzNHwKWZjFe~LBklSDNKJJuvI&E5`#I4P`O|V(a;B2p4*LUJmZWywn zTyVR-#;7Op2Csbq{mIstNl6KQb~#{#uckCDayFGfJ|m8m-G92xo~%w}4hfCr>=SnkO6^>Eema zX7&(KJYNKry`KJ2?KBJiL4U7Y_7z@u+zN0OcOYmkEYnB6fRCrryWd3S4_0^Dt4=$L z=jrg8vZwqveZ~5(5~l`q@-H>fJCV%Mh(?e>?@&4vWJJ1hnuIqU=9o#TWhD|W@lSXt z&}lSPT1++|TnY+0mY{zCbbkQOfk55Wm!ORh5Y!zO3@=8oxg&$wi#a&mQNdirXl{3& zVBTU3pF4lBK(U~ZyKt~bv8b54c(6nP^rG`6zaUI*=lnuB42^ z)v3@`)o|){8gxx9oOYcKT~`mMUuQr+VTd!TGp3(3!Qtyn>1O6Qi#kiXl{L<$?iBsB zE$&R+S-Rah9HGvh?%;@Xs&l5hxZ>RE-02>kIIlWyx{oi;ug;$y5Qqz^3#NyJ;==0A z)59Zhk#$k@=onmV-32;1E*?j$BheEQaY=O->6emmDRrszG%}7-mrl>f#AVfG({nE4 za_gw{JQ^;)u7F;61y@vem459y?nd2BdhspX?YcYkyZ3Pa)!nB*c!(>hE2Wo};~v#j z&>ug+Rn|SFS3Sc$udAlNc!{g2tEJby!qwL`&>Nd@&2=sGR&pEeb=@2K+jd+>T_?S( z8`o3UOYiH)4b%psvw4&jFDM(CqsxbeDA^oh^7$+{`}^bBscZjL^`fLp9v zqA!2JeXaXOU-^z>)UDFjn7H-24f^H}+*aLB`mf)(?Yckoon72s-Cz3t0q(HwhMdRpwCrt!}O{W|DAO!(IN5 z;oz|vbPK6r*ffk)HNIZu0)9R&7BBL+<8ua2z9Ae6fcooCmxeaPyah8iSCwyl>~I7q z=|8OqD{q(?)S3;LmbJ_nzUO$28WsDK|L83LeM9mzq_$!b?g4pK}fRCqr|M9U483pT2{aYa9P-5n6vCJ&0<^FFgZUfwlHTt=G_H==iv-VR#ndy zn*1P?*uGY@zLYvOC18OLVHRt~y^KFER~tdtf@xe%bF*_41XPr7@zW zPggB#pZ+?1rphn>)F+1g)r{Ur*CW%JE^;h}=HSe_T2{1r{n#NvA{WY&BO*MVaW@Jb z^|tgyA6FYzK-9z8&`REvhs-D|%If6ToGIsK0#&3p zVabYqHLLj7MIH98XW9D2D$@7+*D;En0_~>Okg#%Tp9tSBC%_AJ!HpImP}w4aY%d;A zJ|9^w2S@B)#ukX?cbi)r0c?zq-$2ZbkDP7KGoJRxrL+Ky5Aju>r6#YpVkeK&J%?@~ zf)9%q3jyE`XpJqfCIKc;izS$kbif3Pk}?6}TDbyo^?~-B*Wx=sS~xJtHe#0|(P+D` z^b&ixL-b{YvXmIu*7NKgr^pt~YK8OIm@ssnD-fdO2f9UL$n3Gq&6%r4OZ>8LoNN7p ziGD3go8|Fg1#_ePaMS31tD_&m9kg%W84~PI`LEP7LwAgq(=+0{XYOW*@T<&70yzEY zfRs!B!X2#A^ACHaNid(B1eh_PdSDNczW*l)>8I5~-ht@eZV;{}^FphV`X?F0^yL`u zeC7QP!pxT`ecmWhO|@aLen|9$X}atxi_E$=6@7efW^U=8$UXL)U5JA8q`VC9(*^^y zvw3g+FLb5@v>1b!x;J-8N+-Yl!~-Iz227H^J>1!C6(n3O4E0Ov;g2x#b3j&>r{v^P zuBriXzPX4K4~KmfZ$)Uq(E4FU63$Eb=8*z{Zt>wAFCgm1a|w9 z@h;6JlFgnVt&&kLg7uZ$XK7&_VBQ`zM%mz_ji{Mt3Y`ulr5` zJqX<5>PiLWvNFX>JDm+StZ?SCJE4Oe`fAd{^J=Wa zqGqNY{yp41D#>E&c!uONur{8*+J4#b%6ShBmA zFWrW*54~+kVUa$oCGU`apUwTjHN(2h3gaYqpPkAt!c|^<)0qe7=}eut@?{{3z#` z`HD^|O|LWp`jx!(84&u|ya=(1$&K~2jZkT#LNx|Ma~WL^X@koxj*l)|{L3wm*U9x^ zdvuiPscEduHA_fg1Pf3}3Uqi8OM||3hEVsZtbD}nB4TBYhiPPgegW??I(#5zy71?OXW~eam=O6z}tcj_V{Zg@%CiZGs$skxv z17ZkL3y$LDW{`~m27R<%3Ij=(#nx-_`d764U~n!IToIC=lSD0vV5qL~?(EN2P+U zXsH&8hs%1(@>_fAd#k$9e<-8gl)$IckHEv45ht}B3OAWxNcz1KA)qEMeUx{FDm-2r z;;Bu~B7E|=3JahfIGMNrc1H^uyUXnKkO<20cQ|EeQhEgN={~TkN6AZKr;A(lMN7_% zgAH3SP*`W`UxGsh@iu0#Y5O3E2mt|LOJf#?yeFE!I-9Ln401j*m=_Rzez&Vk$Et~o zc1x0OifY`~_ zIq25Es-4LS*wc+GFjQ-yBrW7^=kjY6Kpaa~7%st`L0)jXw>m^^2~JHv*q<7W$(@No z?v+mc^o0J2L4%n7o{H&<%V_XK8F+>?=olqV$VUT5fYJ7IlbqgZTpa)xa3!_QPhl>l z8_|dW3BDbdITTR`vU{nc#oQssdG9Gp`opUWz_E9k%Z*Sz=uNJ!tx1GyP+`rh^~kar ztv2$_pT6E|P3hlRd*t*V$0hPbt`pwBnB`xGTdg3 z+PSy|-yPYF!A`M!@m42iJTm}R0=e1RlV?Hs3Edxtj!gVspA=ml?q5XH#G0@C``GF9CYwIWo)w&V>A$f}MdcApvUvAkTpA6n$lW1L%bL zw|%(7X?7PYse@hS?%aF=&Vw^QW6V*u>3t` z)ir~>L$n7(r+IU;#g_tOBJIpnjRV6Xayb-)#nU=a_Ry`Qv~;^NY9d6^VNn%{sCkC$ zP*Z29WaJjHlLbYE>xW?E;#^!~GNU5Zb9uqOB(T7TM^1)hH|>-GEp&aFvOOgWZGVw;d==39 zS?jp6BQk7D<$n6%pQOvVsZzd`KQa%bMo7QjlyJ57IJNR^|3He|>o|nhcH(I@QWnsE zBxKxX8#pJfU(IBmlC5K4qkK#m_jU-AvHbc(y= zI(40R4cva|mbqLNVf-ulvy|XISotNV_XxXdo@rAe%VExzK&QgR{n&q2kC^94-Ot*U z74t?u&3HYHr^034zuAj;x+hl@x@%Kqy0r>rIs0W+wvv6xCc)E3P!}>tVg2SqvRZF5 zFCfvD@#bi|83OyECJX#g^XE%z7g*#5IG&#)nmhAgvxeOI??lU3RCCOq(@+d7^Vfy? znc63BH7yD(d8jw5apj*3*i&T@koH6^qw>+|W>g;OR05Y!M#-78PTL&IP!r9swGeKu z%gfzk4DuZVu2y(0G*9ejKKHNOXTsrl5A%tPb<5!vg%`44KTY;@^l)dO#lA*j)Y!Fwa`)myWlQp$`* z%^}V{T8+a2@=|C2Ih`egF9#1tesF(R`6P#1MfouIYv{8u1KW~bRqa`;mDP8{_m6$? zLaXHStp7eBIqr;rhbAF|G)-rlU2J;p^_nX7r(?AN-^f{^OWQAvsK2@t+g@&p)%NSP zbzR;KsoIoIUIL;lJm0!J--=p)B2lcfQ40nqwgIiSQW|nC=dY-DaBvuM=Y^2jI9sPH zz8Vii*wC&VFc1uMgV!>8VotCep@NzESpJcF86#M)KUi8dLE^{X;9}HC?b&E7Z8v8i8 zno3FvZN1*kuCu-^tZka*#wBtiV+T zaUHBe_T^D-t67_C54Q>~JrI!U&28wmIBT}aF1pe~{b-t_li|TiE%g>$#wNbytGvl7 z=e=8<*KNy|doE~fcCpqt@2f4$a&F9WJ88muq8@0g0iGKDTAOu;U{P`aAnSVrk)g7| zXN&~ZOntx0Ih*fy-1fY(CU!reVI2}PIsXr{&YYOGR5rfc2-EACm5W(VaHa_KgFOFB zlMeV@{$SjO)tU0sd$w$~AT2sz5xjBIZnGv(?sPG-+0yy*wViZNmS<)(7EN1#$$|BH zW_*5e%)Z5^KN~?jzah0jBeO+wtU;K3@j=O9tm8UQ&>jHLR0~1*%d$?Xq^G_&%^Nbl zwb~cTD&`$Qj_ts=7EF1lLugDma_)d%y;BrMzcS^ zb`}S!u3!B6eKVbLJ0nnM-n7#(@av)hN_ogLdC_P-U^~x^f5j}2yg8fB9=OSlpXUtR zlpI)$|7s*93Kxx)4dg^-r7q1~3IwbOf9y`o+jAKLyj(No_Xtq1e}XAsUGn#67i8&~ zJxeIyGo=3IiqiPB=(6Wnx&CqA(PBgqi3xHf%89aigGE28!g_e0 zCNWaUq*gUEcfY8U!w4PhDNiIWAufjni93DL-MQvF%}K3_9z*3%!xzuxO@@{-DHqwZ zAR>)4Pb@e>NU3)ijSRR3YeudEzzvt7pBJqg_@G>VFP-6QsKJPAphqf#`=ssEUR;$h z>mmnN!_TKH1rQWO{Y>AL#9oOHRAGS!uIp(wko=&V1#x5=pNIyvIw#%p#@9%2qotS5 zE%!&6$E)DXKJfDHu&C+>@ZJ=3owUW8c}!Z;Iv!q>;mX~BvvS_39vAM_^1Z@eqf>9j zo@3oQ|N9z=>r;}9PeYQ~%k(6y^H-H5+8}3=eRv8Vm8g84CjoDKeI(^fenwVB{a@Dz zqSPQul@wSi`NsdEw8b0CJB~bB@%LfKDRS%4ue~JZvj_J zQ}+W5SIaB^Wwk~w;A!I&j+n2Xk8KkVv zXykVGnq|W##O_2@L&UNTkYp@Ra@*<_eLIMFD?x_jx1WTQin#OnQ*%z_0B>A|nV6mN zKk38%Qa20Ygwb~S1#$ge$Hn++7qXb+w>ew74DFmREekHM-(M_U;SDKWb`F>gwZRa< z2`H%`u}=tR^{s)hDEE(GF~Sc@^K|&Icz`%12h5(jQp&OwdvuD^^LN&xvZO~6Gy-|T zhfMoE(+~fuED>9XeqHjhbx3(Y%q-eN{g0UR1<{IWBp%3_x|?ul&y)Bmukls+SlK)1uqa9L|jNthj(PJO15npE5MJbs^_VE?ba|jj-CF0SD?@g#&fdk4uIZ+SdpveOF!A-2vk9Ps!xK=d{d13Ut)Hcg*+|wzl_P;z2 zCBg+aHHN#l${%Rr!uvx@|B?z;Z%mOzasT9rR_7a0gPX0zt%Gl#p zip%8VQwV5yv3D_LB2PWOh#~XzWmpV2%R>}3AsBVp3D4Z%gyUrP0WdRpxlugUcsdV|?lP`cH zwJjurJpgWPy&0oGFUYBMV= z6>wPvZ(Ve_14omTOxJ)X`HrM!Jbko^Y_c1t&_JgKE-+W3R>?1 z1D=8e{!)wlBR5=K81&_2MuWI_2BYlONG3Du&C|F2QI3G`#b>PvX0v#hKpx{H06I+e z$R3-#ujt_-tEh?Lxi3g!Mct>1^Vw;DABy?L5-ykOS@2e5!UHCemo%3D3ozfcUtvFu zq7*7`qtOa8phgmm{KTxI4Mg%?{wS#NC*6bs)TMq0Op7wAU0rMReoeR4a`=xQ#Vr2u z2RM}-Vtak=YL$n2YR6OPh>$v~yn@n${@vf%qi!|TAmh9PN8!NC?y5w_8|jVNTb92Jxfh3vs(~2oyhkMN5~<&F|~_BfLwo_-^S=ppQ?g- zEu<|%lv(F)fC*mE^BE`RK-KUj245U!@ZYDijbQL!zyg@M=Kr^MJ^tZejtKd`fVhVM zf$quZqH7|5MPEh}q#o?<_qav<6~7*hH%g7ZI;fBGjPBB%{F`Wg&bM0^8n6ApUt(V@ zAtt8>q_{?|4uC9f#(TFoTXrv#O&g}ZTc*`h4}Q3UqkGb-)~b_-J@+cplQfUD;S<$JzMHp z?BjxH!BO0^j;^O3uN+PY5@w$QQ>G)sBWjV6aw`1~65hXSgNx6Ual*)J;-eBAkist!?9wBa_Z|q2*!D*_hyH|EbvH&# z0RHPngB~pAk6@irtkq6@arnfxTnsxCf$Vqv6$*>uFy2)}{XD6N zUNly65Yz{_e9X}9ajt#8huz|v9lrV_bILJ@Y9omj z1besk!t$uNrX11LY;I1|Buz?Z_osv4KQH&n{sIvRE_;v>n)+?3TvEcnk`hFe5486B zX-s{Sjt50$p+pAeh_Cm%0^c!Zu#gnuts+^QMf@UMJ2I8y&wv8HI1K(y)glX+(D}S0@{ZT zDe(ro>Msnl=)Mke$4gLOhVmVr!NiNcFJ89f|NM@I=Km_>6VhRejxJ;OepD3SHHigA zM@g!6wap);c7NPfi(&!h=lK>$1!3Q?R38!v3B-Gwxgk1`?z4mU0)Ngkz34=t-l=jelb@>UeZHLTO}08SZ4@hf!l8 zONW$OfOWC|mg?zk|2VfSZ|c(MOzwa(?)z)81B+^rYT>H104r(@wpQ{wg+yHPlv^%R z)8?X#VRZr4U9p3Q=zDG%bUqM#VU~>e(QfdZ*)oDE@S(Kh`?d}U>+101Cz`IxqlO5C zdqA?skQVl_o3y#7^UX>_U% zV+8=vATCe{9RVUTt8lwr1z3Ne(6Lge@wtEi$gYlx5Tb*P2Z`CETn7RH)&z9_U;#*1 z#DDq~>Hs6N^z$83qUF1;}8K0|Ol^>nN!c5TLxlM1sSD+$Et?LNPiD zU4ory+(Er~kVgadq;ilZ3NVz^0{_%pM1O)b3i!MNToeEN1ZcqEv{5!miF@D&0xrh8 zEjsUqCMLV=3H0m(&Er<&eLG`^w?^)wsoh{+Ch`D8�1M*^xU{pUYlQk{JTbqQ`g z>UMG;O;AeOxHGuIGUyE+43f)mUWMku3f8tR~((($T<6zl{p{h^}>?JP{OaESV$LJIZ zZi;FN(y=?U%qg}G|ArfHFz2?8FVPA0S_9jRUSU-XF@QEc1quJ6Ua>o><~UIVE?MYC zDI~1Bau7jC^B{uQUt1}AI2tQ0{eQd5p^Vu7tYm`4eH4@0jT9FB#o$DUG9uiBHvKSF zwoc|MhFO60Ia8o*2t3$QHg;dZvnmy9^QU5nsib?rfciyyWF$WmkaOJ}RBLk?nv{Zu z*}!y7EDfL_1D%fOA71+u(~*{*F#H=#5>F5(<3l%j+IfZEAp_Y=053T2gIK zP;lw}S^w)T>oT$%&VnMr&U}VGXt@o)u?Tlt?vOzJ0U~gaRBG=n+<*b7*2;h=?+-`+ zbp>{Va`wRO=3ICRSg78<`E?3uG~leI01y(Qg0c%5LZayZSt|=MRC*E{y@}okUpP!- z0!^C&Ct+$tlx&_s=A-ULW>$KcWH{aYy!P=P(_>02Ep3Jf^* zpxD+Bh&N%~T~!y8dx@U#|6GI_mnmC`_k8 zK40@!D4Ng$1E@q5qJN5^szgoEYHSDsL~wf>i0EEy3j?okQi|FCX*j)FiWAmj5XQs@ z4i}g9r&^E}767R%kW>FzS{5A^(k*#y4uB9ML)Q}^{~IwfGc+|e|2H@}FfBa@34n0| zUruy+b!ieUw;&Aw|6y}vW@l(>Yrt$fzv}>8dU#|1c4BUAER$lYI{`$Ko~lA2ApJ#1 zP)kjPFR}oJ03w8pq+Zi3u914o0Wo-Tbz=Z7Ym))1CJ>S>0z6It0=x$v~A3ZAH1CoW00pC?C6T|n4=>et(34eYXG#xam y+j-Cnbuen793{R1oCF92zyPZ47y|nN_ymM73bq~w00I`h1poj5000S-q_4s)^HJsi delta 34828 zcmW)nc{~%2|HpT4W@B^A&D^(;`@Sh9M~>VgNv^O_%H}FFM0dIzDMyNuYvsOu$d%>_ zQEi4KjU3zW`}n>8ct76nf8Kw--|xrs@p?@xf_^N56i@nw1I_?|01)7<2$#z%{J-M= zL-K!_9{}cU{^#AgS*WNg2LsT8$eu%_;{gf9KQ7?r&z1%AP;l(CJVg#@%O(hbDjkER@d_CuhElw>5!f-k-Gcubu!gg06zM9& zD^drnO|QpEe3>d(j}>@hbj^XnQ42C_h9=AS=F^mJwqx+Hyl;sf3V)wmZJ`Nu{Shp) zJP#EwrepXXhyqBzwz))v!HMP*1-QA{9|8(X`~NOopPJiHq@w^46PwXU8cOl0Y79?- zo^?OZO*-Np0udg0R1;CL`ChCJbb7>zlqGp=BZ~&W468R?d<7^Fq+>}g`%Ldi{5R+=f!sf z%g^(=zuN7~*R?t?>T6_roHE##F@6etLMnJve)Pmo{tlN~1w{p^a1npZN6{FPkQE3O z1kUV@`zi_&IB=iQ>u8t~0X5omo1J*tg0+V}oEM^qOlS1$PxI)0qi9zw`t=ieijn@c zuk;?soqnl4f=}TlB0Lv2X5b}hFJt^kZ?z5ZU?DS60gz|a(zHy&6S*8LGzTM2>z4xd1Oiz{PB8`K}|x1 zj7~jB6@9O+5>bhdbJ=UwF#cLk1lDEkjk>Br-Y2jP0`-Aw`qUsFm9;>IwT^u8Dk46# z$kq(1kKBY=Cx>~N2K9JPonGYa`u0t6hB8!gAfuEYaO&+)FfvDMgw#p>QJ(+)Vhags zYKTqr#_0nopU*N*Eqd~SUTmC1oS5i?DiZjqq+wl)jnp4hyueUnnadBs@oarFdV&Lk zR&MGQ>)m0zNhf^t(y_V)yye~t1m{1WIsSy=?X-Gz5CQP1Bnj;@-yOmtEXz5}b`I_l z((8-Z;wpG?Gb56;dBD8IQOdD%S(sDV2LO_E%qYZ+T}BAV7ked5^f}P*tMOoBtZY8V zc#%%{C;UeHnM#22wTq`ZRHOc^iOOj5Kr|n(45G>t!MH=?P698r1fv`MBQRLMyqc?a*L8$REB+N5W!`^SnKJ(!6tuJvs0Dw*bq|uaPzipYZ?6XaP9hh0OB{&Gjv=J zeVvM~A-N=q*o~j&SI=#CySBhaJ)0#E{m-4wva6s?`LX`1>Ne+iHYqCQ2Dr06*7EJ% z8qiyJX*d40nohKTT4}HPTIAM6Ggr4kmnfTDN0_73LDo)ng;0x7D&i=Yw|fm`yt}Xm z?$^-fAAR8s)bEPOee}>_`^*7ex{#{$b&F%L7v*O@HUzY$dk)7+&yZ$fidNR%I7U&X#Y6CmbDQCog7&r0| zJ~75VxlL#Z8u3%8`pA|3_>+m}sw|VT+#=7O=edlYi@O$hC*K|kEiHl=0{tgP)d*2o z4&0(VKcb4k$=`!)r(h}4vxdwaH!d^lOV+E6)x@M@@pBUHt4a}hCWC3!er=?8EnYYI z6)5E+Qh>X$=OY1%E+q*65|Xov3CS19e0EQWk5&XxER>s*508Ihplg=)DKqQ>l5w3q z`oH@!0cf2OFf?Q6|5EFJOnTJg7NAQ80}L+|8{yf%^4pKP*8dh{2a_&Vr!;L6!$5P+ z<6!4P+NLXD=a2CEpYEHd7OCT)w5m?{W2aBOY==D(oDdRyC&_<0?!K88cT%##nLehQ zKKtlYN=((|+q_9g!bT8>)ydLqRX1)Q=Vz)(xY$$z`R@!!ZA;=};3}r261l z%%{0?&F}{Dd3n=^mayN-oqn`zN|JfG0{3<)6Z!tv8*p>$8D0FyyRU^}C9lE=B})!T z@$)s>nLPAfGbPB%ydj`Na59fA_U&6&&v#=3pF~vN@(f?I@cXf$z5`EQH^{-xZ{w~c zEdzI|nRBk~Z%WHs&0ecB8Zd!s>>c9e7Cn#{M&-q$q1_IP_lA=y}ln@>M>(E*s~e$gi@9;U<09SZ>Ryo^$kE&K(JXiqguyLQ|h z=x1GLp9T3U!TgsstLeYUeE+XNr|^89z@jVVORe7>Z+1tna>cuK)24?#*@GHS7^_+1 zLf5ToUc*Tr0N=eltdL=f0!rfYeeEg{`5D+@3%=6dQe@%JuVmuxJqmO9VROlRHqp9P z_D6qUBvkg!N%Wco@T)T}Yv3v!iLp@UUFhG+4cvxHePyeubD()vT~oPj1|_K3z{CbK z?iT+ic49=WD>C@&%aHmLzmVCT+@u4LHamOK9YTtX0iM_~FoDZ0xkH{j#he(NA3w?6 zb0X{@m$|fwLx2zYh>GPq*7hQD*w9nY#o|53)eZwX%13u*@8U|3Z0xiU^yf+II0Ata& zpHG{)ik&r$Dp_%VWFid=@gA|XnZ0bX>s7m#X+l?!g#M>+fhcdNWJ*IsqHG?H?(%#( zE6;tPe!1Pi7zGI1TG=-He)KWs?z?LQ`Hb39ik1jvOF(J1KREp``mUf^0j__zowO&G zz{sB#b=6=8xkVqc7i7T@L4T0js}i^mWZpwFCSBil##8oW^?!$4gFPe-yo;2LM;M%9 z_Hc3zY6t85jI$5v{)zBc$uS{w(UoINAT7HK__zN7(1f8|) zV%`HX*{&dF8)zu&=Q@CB?XXUIl;8yUemBA7`<+B1?rnlW>!xn*P@>x`+2tk#V2EKZ z$3ovhh)G4o3HGs&C-H_YMCWJGcBYw6_}!q)h7?eFz$YlzxisF4XdVlDo@g2kDVt@^ z>p3GNn(d>PL0mM9X$WhLFbFpC`j>p)xQO|nE~WLGQ8Gdl>W4f6BBh>zwD$e)aX6Bj z&|m|h2%2P5(e?Bnh6Jn5VfyS2NVX3|6idmEHz78c6zDemchnZ6gJ}>n0S$%aFM(PY z8WB>&@V2PT_)ubKH0-+HwbtHg6ANhMRKj_TB}<E0He66IvovZNH<4&Kg)>>Py_z9eni8GkhtXi~QSq zqOYvhe9biQ@$se@V+})uB)?H6IwS-LZp|Gb;5RMwGB>1*Gd1`^4Q=3xX0l*-$UAs? zhz2O9GvlngY?XZutNxO3+RxIK7+*Erx*mi&_%Y|P4@r^B@A_l|2G}(J3L+qSRbr4# z3BXq@8W6WHS_wNNoc7z-m;(nr$x*RVp$A>#;lVco03gE$Jb>DRvU)#2hqq|*C{I6u z+$FJIv?M9U26kX45SGRhNiYI;#khmGScH(O{+_VLP%%1?eW(hN%+0T46v;@{s@Qpa zM3B$|j`s2{S-$IYG~CKH-CZ$-k?+u?`o1WZr(SL| zIQ+xMyuc3*0jF~ocE|IGAApZ)0@MH6lNW+hKjgOqZ~JF-{DZjna`}L*7sIKkO)ZwN zf%+tY`<~hR(}*hwi~iP&gP$7}PlUIpYLSpOM=pdP6L2U?mV^P6(YbH?Jzp|=t2zGw zJ+{o!`QgBglX^MXGS}>CdOW-|uD0AKCZ!c?nWOx7&FbgiIBG!Yc3c`uC&@(GhK zJw_o9y;4u40mUFH_tn-|4Udm(sQhAhF(?b3Mso6EhpYcvlo^VvT8#WDw2?&)37R{D zuO9`W5b_O)+hoaPs_ESk9{Gz4iuMi;<%J)1Rjp#QQK$jSg@goobhNs6Dw11SA z7VkkD@BkxZKcmi)yoG0=iF{+821Z_ci-pd|EH)VN^ZXUvYRVJzH9W~P&zLI-Jrrr;)9Q24MAu<`&A_8{#f zS8+B>3k2BmS4_}i0z!A|L!wT9PQL6o%s>KigQNWsT$d9buGXiW06QnFT^zOk^Vg`3 zl8o$z30(h-TD}jCi($Fq@`kUu7l9P|BNac6cB8zb&+b7DeqqIj(4f9&lr>?eT{@!J z2h1v2BMpJabb*LjJ`50W>L9}+>v;y3aJ)1QFFZF%Z$TlR+MDt(+4DK@64bQzN^)|Y>HFq8 zt;HgW#4S7it6pi_BSvv@5m2v^TAWi}&U#)e;{j7r@w6Sa5b01o}k$0Uj-2W4>kvs8;(5!>K@0vI$bIy6BDg77f7Awl>Nj=;kn`;uxv&`4B6)oA6 zeQSxi*iGvsr(U>bl%p}ZmjLe$3_wtjSS5>y$|tkd8h1I6^Iw2uz}ul@1~aYN7!q<) zwaB~e6;0@yca7ls)e9|xfAgO1a!Ag_yD^u>KpS;u$*l|g@`*p{N=$y%G$3sqZD!vB ziZz};_#n4~zp>H_nLP%)2~4{&B;K<3XD49sE@FlMD8Q1=ag zf@;Jb>mhRlP``LYnT=#|^34(xkY@4kYZuUH7C8x(2{w4y5MEBGCv-^h;Vq53wx4>ro9C^J} z@$DLos_kXbD6<@QH#_2keRB~5^&As<=!T&=F7=2=$-CVf#Ijr1X*#S8M^o| zi%Xj6X+n{DA!UE~&5)gm8y8NVx_x7>n>Cqv7yrB`4#UgKE@=^fvWs=jLN)FggLdQ; zG!$ZAZ*^M+U|#UvJ@v}v{xkJ|e_n7Bp<)9W)7*4A1B24O-Xjcj5?84-dOmCMl=WF- zqQ*C<_?%c1-DTU~|2X%|>)czSe%cjKkEOJGdI43W>r|!U;sN6=JM+)GfXq1Z!}TxD zm}NJVLzHu|!|8266AFfw_S)+t1RUEC5^bvW_nb8UV!!I6umlB8YQaB`h=>(-7W+t3 zaY9~@POPe3CbB}#Ql4TEEmHOo$8_KpmDdWjopQ!&o{p{ya~s=;h(zQ>WrxH=G^@_} zfk@#v$#L_RT3&OPbF~rxNQ1ZojI7k;nQ#opv3GYr<^J6v!WJ;I6kGYC-LdzmONiu0 zbWlv#=a6U#gRlru#0j^6bil5shrJyD;d1^oz!-ZS0Qe~X0Eq~HFU*^=hHR#L2CJIA zzU=WiHr(k$^?a(ky*)s)AK+^;#1o7ZCzbUQdCW&=!!|LBNO#T7awbDilkIXYBwoWKruLj>r#U>PJ~joQebmJyVf3I1|3)Iz z0N_b}6LG@;*0D{djN-=idVhP2UENv-)Rn;djrdeXDHGs(9n!5gfzy=!0o7?zB3$az zAfe=2?U;XyL9j5Y0!sFo{f64vqsxx!bozWd$ZZBf#G5obZ9>bF;lIaExn@6-0VT9Z z8e>^OhL`QmmDFACW-+oCGCoy;GyUafK6R<~J^IY5YZi0YUuON87p2S&i44M7@37<) zZP-7aEm{-3=uBA^dUPB~#gZ1keb+#=@D3Zvk5fvfkGX=pD}Sbb1&&ZQJI$9Mia5oi ze>Mm+PHf@)z%9#Uij~;vQGOY9r!T$-rFsl$;M@A znGHySN}_|*XE8W>gK#fdXuI8Khnp_NA%HpJ@3v=GNjyi2p>QlrUz>N- z7oM-gy0_R-;aB6@#row}!un`PC4n-2QX)+6Vjy9sur@cVhr}@!clL{GHn9ITr@H8-01yVS`7J z#*-V^ymc3PvCu0#m-6Wk#xu)r8uuwQsz-e2&H49v1}9^t6S5jgWCLVyP0%E->2;b9 zBy&J~e-=#iAWk)5%1dK6Gx;bvo3CV~P7bA=k?gyxajbImr%Ey*VDJhz?L-2-f1*+r zeiq{X8}39BAsV(R@d-D*znPTQCRw|0M<02$PyL1uQlw=+E*LR-J!9}G$2KNH>M4yb zckZ)8dB`x4ORR5fvy8BQ_yRhQy&;&5=c?qNExvzJeIOY)Zotx%&yf<(})i5ghMNvE)hw!pc)Aic7D5=>sqVJ?K`3okm>HT zenE2aYRM8x_%8Y1gs|pxar8M+Nz+6xQ86E5DDdNP%79mFG$Y$DVlIvmG7eJ(FA4}Q zQuPe5n<|d4%s7qBKFriqD68m)nbFP$s}$A$VrPx@+Nuxd_1lBewXBgCheeM4_*0Mw zA{n9`#(kdktOkOO6P@QA@@5jBk6INN+!==smi&(8u?6au0HXUr+ zD>EhiLmDw9VEFMr!w59QNex=@^@6K*J2EfgnMkwEFppcdIKS^ZU-uzr|E z%5q`u)?QQFZ(U4M_^kh{HZzA0^baGPzV((UtgDHUex8I%Lokp(1#SS~&(4yv&3f=S zw-w1`mDHjgmH)wQ5nhmk+^hBskb`jW)GeY-azsTJwL1>mxSCBZ!s%es10R z*1W>oZ@hEzzgi>qt#JnGhtD|aAc*!fhn=&TkTaMA%@iTO`Hw?)GKTEb$wVyCP}-HKkeA`YdywXqL6UZ>f;YJcg;bM{&*nMe)^Vm)rHT~d<;@Zwu* zyVd3I+kuktwxU_wLbeWZOysC?Cu@<1lkae;ek4Iyw-qkqt)MpO z33TOk60{f%dGh+PmDXdY#{huCG=yBEkFmT-dk#3AbA#;s8p9Kl4bO7rn+M&0TLExI z{1ru7)vdS79V(TyLujw;>-iy_>&kyL>RYXh9JmJ@*`0o2Tuz z$3s007#gq(qD}MExMZwJ;uV6knh{5L^QYC}pulh%1H3ABp+rSE?l$OQtiw;v-lK(> z?MImO4xcn9mur}I51Sj(`3oB^>JW*kJ02$(e^()FzFFvbO-h?_6Bl@Q`VDg58wZVD@aCBb|y!X=XvK{7M@ zzUmY9Y9h3pF`B0@M4uDp zx$;{J@9LVnoZxxHD(-T;C%PAZpkk|v_qgnu6sLhdHX9QvufsJqGSbx5*4CZXQUl=k zT|7^mz)MCasOQAMc_9KK`~a8Oizo~+mSCGr${p%)%Le!d>v*&??9Wafz7=5ME&w{iKr92nX zCo^!oPkxnjUi5GFM*y((7aET@|FtBLb```mG9)OIuR_d)+A4>EEJ<@A-UV?0Zzn1N zFBpvRI||_;nZ*#`Y2VwqoECEulpL7#im*qf9kjffcW{6|k3`2>{iYbyG{B*0dijS^kypC9H{SX~{j}JbagNq`>v@t7t_FVsK}(rV+OZ2qgV^3(gIk3pin>Z{wzTo5d_A)6Q-1;@`opMeXo0#jy)(-02*?n=qLP()KcVVyJAGUg{pz>VmY=mbs^A&NuDg zQy8tiFRIlM$}+6AaSt~OcaaWBZ>N$ZQVqcT2REsFw`LWfz{#*mH(@70```_*yaq7M z)OlQ|WuPn}TQV2%PE#zM5yK7Q7O0(0t{R|<@x!(bASB{Ns=+2yUpm2~1%H}-{O;YK z?E>kn>Z4XMoP;zFv)7ya^E&z{dT~!QXRT#a(@?1MlqMY_af2Q!a6ok=BU=Rbw!LaU z^%-dnAZXD!X-BY3gpQd2Z$j|*RVi%uiBTJ zFGRwUPVpC?${f29_3+^L~smQH)+dkhj@EW^wc+g<@q9qg8-(ky?Y0lBuVct10kk5G zZ9sA!O>|u}l}dD;ZRQ?#h|=8A>RU#L43G$rl2bf!mDJi#sSz~4s8k!PR$G_tW^Sgw zj=)g*?eh&Tx_{(ERAmZI>zz`t)MDMIM#bkqP<;s7{eClm3G;GUhHtY--%d_5JSHu& zbZ*dvqxW&pKq~cM!9x#OkT~~-8c{JHNj&Jvitx=cii{0>Cc|cTTP4EM+7ARgNX^g( zBLU9lhdIBi#`bO#L3aFVB4|%-8oO&siObG>2mle>vtv(?_tZNGzE05S*e>n?x&h4| z(z^F0D-fS(Qj!s*O>9G(OS-)=wE?Yk>N<2k5N9W9auZLDr4HRL#T^R-NKA zE=Q99+WAV^6m^JtD2<}@B1j_{2O|1yK&1v&mYuA|=AJOj0eXg7!_!LKD(UaQW?h9uS>!?rxH$6{`>V?XGvlw`!-}bF=)#v=EGiGKWGHm4~XO{&{%M;ni8y>Vlm#KI`d5VM*A2$)5LJ z)On3(2GNF8_0G;-Idol!IL(1^DKw?iDsnlmC0ODpf^^37{zrY$$1PK2QwANrx)KF&(KNEmhN-=c*VFBa`l@O zhSTqnzf|3R*jp-;tF;j=kL0aLBnPGWqnr5k|Jj*}=OGKYU2xV4RM*q*-d8dUZB?=N z26a`P=jIF>o&y%Yw1-h~f6>>qp~Fu!P9b50P_b_hUI;}&I93`sVq)ik-p&6(=7CH@ z`KasAbTo~>ZZ}GQtcK~+VDX_<1G$ir6`0&PFdiAQ(0yl2TP*lG}g9u-j-W0V<>GLk{)eB*BXZ}<<_r!lP%RR$R6dDnJJL!`x5__zn32RsXvs1iyN?3Vglp0}o z^uCbI?O9b{V9cH>eC4csUg>)P-ddw~4&ZkX2jsU|MTE+%|1AJ+I9$gBW{o98Txm+Q z`L99XE0W(3bMn+ADYlrycI*X00>{$YWo{B~zY?u_Y;fJWA~0z&yR?B#wZnqXv7=)v(j2_F$Y>S_O+U(aD_9%7;WcEx<9 z2EHxcOHjE3o0Gb^eYKs51X#$^a~fWX|9V0wXY#${{^+0vK0SASJLhO0nVTUI0zqF_ z=xS}T`}rPElCBicaT^^R61y5w5fZ2q=OQ3>wLudF3n%may2==rEl`5EG`S1&;W<5V zUt%Mptx{WFcBDyM5+uAi&+)g_x)V3{eSfy&ic#6x#rZh-?WIUU@+c)$a0&A(7s1eNzi5-C5hJTpzl{=7 z0@IBbJ> z9mwgxO#A@%BJMp5{00A-@dYqy`la>0X;)BSX2Bt)k1~2@IoP*pw{|(=YhQx*E2~=3 z#rHQ!?QY|#ShkN$uKaEvi|%fHyj&atCh5=HRruGZ0BYa=u+lyHaILBQ<(5t!)Mm3| zW)KBeq%c(0Zi;BZF08I3X(2AGtpsWChi_gLam-HN&k%5gZQ+D468xH5$6E6+-sX-1 zcL8Z{#DDbg)mMA{wbUkD{!uT*CwM)-r5Z~5EcxfR0|~_-SWu|%MOJ1Xt{TIPyOh>k z5H8inZg1X37T&fB+^E37cKH;5GM60=LS--Edk&1Ase~u>j!-i5tUSBco!GvE5n1WD zeE!}%2lB3^b)12n)|p-R?9<^MHZZxHKEybUG}hJIuD5t2*Y6j6D~y97M9zTwTYN~6 zvHLdl`jf-5lU<#a(n29KTUVy*71ghhe1~|)lSMaq2QE7vlcxok0=PRfJM@ehz)&!} zMOw&~&5!~V@FI2#`4#+dx)SPF2;$Bnaki$FGkK}herl(BGK zZ^{>=nS>lxpZ$29!vB%A$r9dGJ*qG1Xf^WeihEo&C7QxulhQrhyuP5O45*@MhFgM( zWn%sx8RGH<58O2AeFVP2rc!+mlhRV?X8OUfd*{2p3BwI&wwpo90aEm=hy>z_TAyeK zPL-e4;(@g9OQQpLf;J7RgchVNjC+$10&5)LmT_m2uS)l^$Vc9qVooTu)dStBR1sU1 z;Nv$g&IHSTh`35T7Z#+ZX_~H6!w}aQe{Rg1@if~m;}VKKT}8x?YR>%xASLjs5%JM3?2t+ZZ+nC zjt+1QOhw}1w{DE>80;7pf~^dMTieHIR6+27P^jgoy$TxCdN5Spt{`fOzz;Cv)u^RprEbmk6U7 zlGp?bIFU7>f(OwRbu7)Rb+6qlIB2FaZ9$>3>W}hy&r1d3tmbl#6cn2s9~7CJ_?FX%_oaM#u^N0e>sbh1`V>|4-tQZI2fb>CR?1;rt* zR!=T%k#+5#wHBuUDnI~9EXMDu_&U2Af3mW6q-OIzuV#ItP2$*i_t+rLr#oCyQoZ*y zjp(qiY-RWDSzr+P2Wf^BJ>T|klQ9?0k?dWPT9DhbN<3w+B2;|%at+rn{R_LwQ0Cf9 z7;=7eYo3(>yT|QI)IEzb$EI zhBnu_Mi5*~g_RV0>%AtC0Zbn*OKgLA6JQi49Jq~2{7@NNcw#ow=1gfSW0_HK@X&z` z6@9Gre&Q3OfmC&Rud3<(ZZ`aUIX89bAaVM-;PYMn_lIHCjZ$vhbA0i8>0a5RxS<$^nEA~PjLidF4w|=uChmRyx_{g79r}I8MYyW zhdKipq}>zWr~BYmDlgN+3ZR`5SoO)5M{Zt{q@hBbNR`#EemZp=3DPd$f!JPsD-qU3 zV#5)M-n6%{l$4sE1s8NgchHDyCAZU^e`3&Ap#Sm%-)#VIr(DYZ*}3c!E$R7a-4*#2 zellLf^}&(SS3=ZkhaeOHwL-rArCzVbfXG}GI|fUdn`)I0zhyDse{3^N25=?t%n(ZJ zee!tjSyFkE>We>a7KjDDu^*~W+e@v>F2nX0eA531$Fs3LXG69q734S%DaQtxYqu9y zJ;;$`Q9pQAEluHs9ly|2TBhMt(gN2n#rH4m8>LZapvDkA^p~#MSD1#mRB|;owl`jp zOMsbLT!F<)*>qn^g;6@uUjL(_K)VAR8HU{=IsT7>!BS}y|1?ai5|s7XLqeHxFN>>W z)5)n7lEA$cViDX0f222*2~eVa{mz3j#w_kkLLjME>UrNM9)Bbt!$8Uw^rj_$`kwiD zD!rHgvNq4NShP-om_aI|@_T`G%m6|MI3dj9eP@;b*PQEfZ0L22{N?ZX%#RUl;GYuG zk-y;4pzo&Yk>U!K3pc8h4;})$BDS#);s66*J({Rqd$!48@}E@2Y(jcw&N-yRVOU%h zZ$J$&>2asgr$?p_jlX@lilN$gZtw~=7r~K#C;f4ypu5z4i+BPw zFR9wf`|e8?=_jq(IjO#fh72iRxw%4;CM*9kI)pkc7$lvUf$#|{)S-G%ReU??Y1B>_ za-`a`BLynb+H3|3F|aHIu+>)zgaiPEx4xbR8H-o0+zNHn$&|v@^7ei!GqC%FVP5*a ze9F>+yw!8ASOVuhHYzCcPwsJjGzdn>oDLz4RY>$BDL}RzF#DqGHQFDsFC_hRbB(r3 zcbAV1^JOd_RkV`4o&N#?Z?(8&_g@7LO<%5vb-Io8I@7)!@~`Q(CW0k+TAq7GILjOA z;FHM>uzYq?D>_X-g{fV{KM1M?3=sr0u0hM&-}{_cYP@)D-u0fD<_evekw`~ zPm^%jtg>YM)hsYWh$*ePb4wE$hnm{$HvE-_*6mp?86UnV2Zd5PYL?bQ{osMW*2E@) zSu?8rRDb04Sr4~Z25)q2XC#~T@RXK407S3n6Y#1ASbr?E23&26CHVqKU}!mhYexE3 zcd5vdtju0fyUTY(ZjBzKOHrgKs5&>!g^f)~GqsoT7)hFU?Bmd3t_{Y2fo2FzwDg+_i-Ylyx zN{04G&7SA*6#6^o{B|N4HIac{S|l6?wlm%!>o-d_nzoZPiLv{MzH*+Hg3q6{XSZ|-Ce7#&Y^TOWw;=IozvMbV?)EZ%%FCz z#{+j#&DnTR!!{rITpipM6G2C=3`t z4IUk;*P1g;YD?O~GP8y0XD%imD!m}S{BrcE0D5<+Qya9{0`{C%U7S$5=VH@mDd&$Z$_-hHoQlOqMC)gxf%fFXVe3dG+n4{sdf0vbYmxrkJS#@Jj&3-4=t;22 zI4TDCm=~v6usJ;_)xi_N`w${;sk+ulc&8|FsoA>WPp@UtIZd0ftuep7kj=bPpwcL{%Xm&!J+Y`;I#(* z;sulw=hFTsjI|HA(#z_Eeh&-i-|e?A9#)qDGH0#0F7@w^-O+v7{WeKkdIJ*8I*c69 z;G)r~Up>{<=z}q0eEk3V2#e9mqXyYgj{6x`p_7nnMR=kShjD&?L6F#cA%oc#G;D;`Bp7ZZ9%hm{;Hi{E`e!jdT zP6tsZNl#T1k*a)pj0%5s_s;nQM2}Dbu;m2vs`jWh?m{~~d|GoW{lt60&gh(oMu>79 zKrQVx$+z{u++)-eCRSIPzGwZ8ZYP|CH#VA*Khni-$@FUFit%+6z7wfrQ_We$DT3S^ zUrgiLU8~)z_c2T(F02B7RgS4?_09D~-wB(Xrf4DU16f6kJD=!1=P%met6cv41^Bl5 zC-}=R!UIh|J&%fF8W{JLgVh!#BgPdj*WGg=$pv!1&`_AI9^v8l`VT^c&pvn≶}q z>=hvDF;Lbr#q^6p-`@u5XP|a3E*Xt)<8np4rTB|()PIo33T)5d*7lf^8yb)Oo-)PR z@`qeK{&!K5+>O#p@3US6zTmb@iBi~~E!pA(F^XVagr%|aLOdCTq3kX(0UVtc<{s(r z_DFiINHS|EB=&zED+O6pz-{KVKKIygICE5md+yF6<&(xfzv@)*_mbLZ!5h0f;Uknj z*~Uhg+MQyOO2R$oP;nQF(8)6&lOhZD9qUHvOKQs^L z>Y>}5Z>Zcr#@glB&+x>aZ$iZ}nWWH1TOQ}UrH7RN*0M!^=LQDUn5Tb!Wh2Uda1kRK zyx8?Wq1Hw|bP^taq+Gj`O!;9pIqZci|7P=Y)U0vb1F!bHU~y9IRtUj)dK<-STp%!_ zO_jWr7I1cw5jzevSscCYAk^@aSEFZ6xC9*N)lR4UbE%ueB>9O#At}*PJfz#Vv#0_B z7dm(r>i2etBh0c$!$s;RSl~AQZB#N9aXL53d?UzAk4RTHBrr7rZOr|Kw1 zR}h;XnCB~*r;lnYDx$ARrBCW8LgOOr<>-SzIO3Oh;1>B59f%RaGom&Ev=aFrh{_gs z;+Fn$mibpC{hEJzI2p{6?3!ORiLn5kI$MnsaFjv$1WKxw-t91jHEVYm{hwhm#r%Vx zB1v22LHKE;mt0+>jcE33c+uqNb^D-+^^dDUnnu(rVd}+TmAk;vBWv$NwTla~Bpu}0 zdxS2kh`OS#ShQ01lxkMv3}D8|LG}@RXI$)EqaZnK9=In$et&}WbHRB{f+@*K7VsOH zdFn5u(qP90*d4bvc-{0?EkDe@>cyt?X<|&Yi%4H{@2qu+2(=qmzYv$XQ~)(D(KfCr z!#<=S9gg7#=+dD166IR*CyjM$X+hBIu$tBXP8{?J$n!-gb$T z-JiA}OF|~#$WXyl)o?2bEfZe#crWhg*LgYCue3^;yO%`rB4NBQ+7Jvfw->?$vHIly z=Y-(82Fsvm<{oZ0&SW1BGek$T$Dp)IT6Vy9#;s!GB&}k9X_J_#1n9o^@OcVsgX2?Yhfmo);qv zSMGkI*X2k~<>|dG2H3=Xb%|eFNv*yBqeXD}jhp><|8z#jXArbSX>92(Zxa~UAx27X zO|`ZmFH!cZ`}GQV%NHcil)Kz7-gZd_hFJkC7LB|Cl1s>1 zMDXBZBf3zk_*3sNtNb|G1$>x{=uV}SAEE3zoZ~AS$$W6y8{#+9HW1f?7C-(E?m09OCU(0MpQ{eWTo_QKhF?>)0e&aQ;BoxKia#vRJ|9wKK& z_0!NWQYd9aMrE&5X0lx>9fwpx*8Tb`-uHdp=lMKv2w`P(^8&}u8cU%nE1KfZL7qR+@`NikB}$QP6L@?jT3S_E?1gCMA4I~j!nsRS7z)__Nu2YX0 zEx3B%N2bm}rwQ+@XGxZm?>8Q_^hh!}NvoU3H1&s{RzLV$3#)$IYj?{N?prX$$=hxU z++H}drY*u3cDBos)@^eWpEo)!?w3r;51WOn;|&I5DSor3m)L@T+F&yN;?+*IA{KeN z63$c>GEuoc2!pYB$LQ{ieh$drb{;#by5b5c)nJNj0);CBhV5`8ca1Fo zaW-U$o$0`8l$pS@jWV)9>E_s=R=6-D7!2lQU*@fGG}bd*Onos!G5!MR#4aS^!HOaF z){54}UhKI&I-R5`XPblO^*hbxgiH*l2DEq{Z%$H2X4p0pT zLT&kQf<9L6=Jtalp0lmM@j&7SRd6nIZZ^3=0%N){@qI7Xn~Q2Jtm?bPqZJd%1U_~{ z?WhY)zj7T1Yio^QN}p#z(5#T|3A@ZH7uSS0h*f{xg~P z%3-%M!UomdflOB^d~<+H;^?O`szDM=agVoD)uTv`E^E01gXQ4iwJ*Hm!teBHaW2A3 z1`9ca1h?^^X-o&0W8i$vERk8`*pK*Zhv6^09M^5UKL#?PQ4K3ZEUdcnH7yL-w%Jbf zt86C!c%v+dw=&6@)9-hbRO_+c;kpyJbI>XbV2=SegB&#Lo27{rstzPR05$?c+~GqV zpjlzRv0y(AG*^lM&lXGo-n@)N3=6?)SB3{{_Ke z7OMma+2}Vi9Q|I2a#qGz z6swY?-9bw~h{|JGr+P!iG?tGV8)E=P-li&%t9~!#A|?A;#5BwTNwQpV(&4GYp9%}+ z`faca@{BEij^2+-%V4qrMyj>qlu zw#YzAzCv;A&i#nDfrZ^4TTI%y&`hDe2e+wHO|95?(}I2pc?le)>ofJ&O(am+$LkL- zb-9aum}Z!%!tApyOVk`HJ^L4&KSa(}zvPlCMrxtoHBB>g>+I&MEB{Z>En%I?&oA(+ zY~q%q zxn7oDx9EO9t_E5AQ|7{3t))&sekwQywbCgx(&CI169HJS-`4RH_3yW@6``wG zlH^g{wam$`LXSrQUYAi5^i zhqam&XAbc8%($|cGydFWDCXKN?r_Q*ThY7Gm! z7U*okBI|Wn?pHNwFl#edmj`s8q_K3C2b>xbZ@SS@^==4aNb$YMlQ;eb86wd{saGBZ zf<}hZI=XZvzNKny3}%d2^_ZshhLO*W@D?nldgY$1h^c*`9OP#i(QOUZnUvu;ctY*q z5p)XmM=Z>ZE0SYe;at4xF{#sXiEq+hl!2p1dC4IJ^3p zg^ihoVA?=?Mol4bA-C<{2l73(g z>DLpdD3_&XbVHan(0dn#0|z5-LPzu? z+6;HzjTp7Q;SoF&diD*+NM)B|GmZzJq@XBx)ySMDRQpY@VvEMsBqr@C3UV68$G30~(!L(Oj?`74cwZ)) ztiHZyV%*4ca(Ys6Xkspq$J1o~mtqHnZs+Tv7v%wXdZ|sK0s?`9$y_9tpl`tZ_&v^f z9QUMa*wpZO0WI%28cH`w5E&1+!?-n0a+=H-?{L$dU&x!aw7Es}6AW~m-8-^{cxiEO`!ZPyr>6qY5WF|5?R?^?2 zOer~1#r&blk8P7zz|H4jk$4rk=c`g*gT6M33BM{FmMV_;8fgQ`lNM>;qyu6yh?;GNILjA7G%x(2z=Jb>3cC|~GB8Em*QpXToWn>&)0cO; zz+)ur>G3r8YqTxKcCPgB%XYBB3T0~u%y@%fC_D;VCjS#}&fQ_5k;wcC_o07Ey9Z7z zOT%MaC5>YktK3LK0Vhxl+Ua~wa;}IB+m~V2%>KQ+!FCAv3j5ELQAe-@C$hYjcB$Cn3H_&IfPd51V8@5wwc**i6m*p|SEYq&na;u#Q{te*b zZj;SkyrQ}@3GHsx4-*+p&Ut~}mw2pf6M7;@Tx@{f^h@{;BgAYrB65_K_2ctQ8&=B6 zy>7UBHq4SM3=DAv|0b>`bRw(TV}DCdhrUR$;Z30&UCUv2CuTsbtPY0XzFqPRd~*fNU)s?%v^O0V{Y1I`GAo9wlH=C zeH3Hx+k6WZ_M*hh?5Dw2+=J$j42xcoDWF8z>J<2=8LnlC4uXW$_Df&UyT?-SFHbkD ztRa{GawL1y`h}Kx+Sy1r#4|SggE}i_Sk5ucpzi!o`hGB8tR)w9Brm@*QUo@E6 zyMbbv%2u|=|IWRWc?f8%iE$==irIi=AdIDA74sXCGhcnrpJ-&2{;15xdao=Z=5J7{o!F&NDu1GhljS)KSl>;X0N+c5`IpWnY?3 zwgtAfY}LArc8JLEstbLIut;Rgvt+J+(tQK>^X=4b5@x=H@2VSXPdKe)H-4sQrZK7^ zo8^Pl&C=Rj=kr@Jy3~h7vl>i(QlOs(D%n0wJO}C| za=70A)ayGJJ;m>zr?9t|drQi)8zD=q|K$yRq-3r6Ja=rjx{n{#x~&1^MmT7*AbHh4 zQWQnD@SWUj>t@^!${!uL6ukb~WHK(S^y#IdC!>Lsumrw)>o{X4X1vz2p|{@TWfSZk z+TPTtUz`#*`72qt)%+T# zQ`rJKl`gUGgN_PceR%X7#1q^_Gdj%W>9Y61ES@{e94hyK@&MEefgH8K7Axf)#h*%> z2UiRp(OC`)_4?z`c#inLhaQ#N&B`uun`DE-!hROV>cjL?l_-QG$Ed&!=; zk5*U1*S$_{2gVV3Glfr_n%nx$=!ml*fBSQ`v-@^{P9I!w{xWm|f9l!=IKf1x>=mS9 zoaZF>%|@teCQ3*;=1b2rBdk>9o2b6A&nP~T$K1&D(NI(0yLyMZrgUnwuK@{>qK4VaQpaR`sxt1#$XjUXWFfVq0^6V4rz5zb5wZ8e~`uupEv zu&lM|LoL60Do%r`yo2Vzi7Cxi|69F^;5K8(=1MnJ z_XrTlcLx`6G~MSp;{#dC8BW7NGvEBBx;c7|fr`@N&HkV!^<1R9OKs5C>&h#@*3GF7X z8m+ZF%0&caYUC$k#&=GUy# zPOmC1gD*!s?W7!X?;(@~@qFNADjAQU+cbd%c&@1W$0Qs4Q;XIjgRhv96xzW&8T>$Y z9W3u8S34vlMLx6+A8N&TxPI0IZ=wcIMqfjQ-!|&rjQf~)oAwE6qe z&)+G!vW}qxk@S)sFM|Gf100GGv8`GZ}+7D=>%u7Dpdz^roMgbr3ve% zPHyGK#+E&dUgYabUB~vLrHansTipI6XEes*r9p8@->_dsJDGhZZKF6&B3KIK zdRhh~ynF&7_PPPn+Fp_GIqKkemz0IIme5H)50kt*#Hm@|N!l?!hWkllsV6!?$QANH zm~8LsS>=7og6W$6Djzu6HWa@kwQ*(nXfk5^nDnhT{t#0sx0A7Q3`NZuLE>rb)LorA z*I63rQEhTgdCP=Ku9amrq3~r)MlDKOh1U^Qa*aPYyo)bfN;WHJT>g3uOp}Yl)Al_N zr`Ua6@~pytM1EWpT}KCIA_1mZm5x1fQK%VJf|dUla_HAA4)FH5BIXCao-kf_9MynX zI7oRE%JAigwXaW?94+XThtUXS3$yMqTy;tArgzmEMvyjuTt#dTHa`@>!W9S zhMD=lY;OAlAmrrhQmTRd5$U z_!l#|Y;UdEYL2ZWoA%XONFHDZa6jRRqPS_UA8x3t`NoNU!C=#|p4eYxGCN(Q!mN~P z_00CWb=Cfxd-TUBZuK>>?MXq&DaRF8wkFdVhBjTUTx(CxP}BVzwIeK z42-g23_E`pay{PQsSBq3mMK$L>a$7-{%v-zq<@}^-(U}M`n&^X4Vu^-?Bt$I@d^@dK>EEND-e`*Q1bGQ$0+B7v??rG_2ahL5|V!(~`d>||oeeYh9Tu3r@u8l2pz~4>!MLeK)NKy%iq#yr$mI{CcTF;FL zcVY_#?F|{<9)=^ks!+&h5xlB~ZJpV=KYBSP{4YIGuw>QZml+4e!zKsAW+73VAE<6M z+pTWLFW|n-&&Xx7?8g5%rzv7VRiHPi+c}xrHL_!gswc9Y$7R>EKTuz%EwM3uv5Z%| z>KpmKAIkZr|Be|3J7bS1`2dX#-s8(^HcDW1A*XIlS5RT;jaImXz-((e&fkxA^jJsW zWgFcSCC+t$$>}BR63H>)6ty7alW`1#^%?j194>H^Fy3Dk!C1zaqs|p9iVX${A(c0UXtr_5Z6_55t0{e^s?LEzh0Mc0=bZ!)Mo>N#l0GH022K zuMI*RBVSj7>*7}kR|MF;WY!;jySA$>xmt5~*F|4I;v4YU?GN)HuS>4qN_ba-ejb9o z`kNE^@r7)3rxNLG3^(=WC5_lP3LhjI^9YOcKBss12NVg<>$H+p$pg(S}XQmq81I#GROIkI1tyvjgULdL6*!gp<}KMKk%mW}A{G;-r!j7l%!r4No?-kL26DR`kaznO zI~c0Qjs5~&RcSY!>{~BdG-zA?^9FuMCbQGQB5Cnqp^VgZQ_!i(*--Ae(>daRaaf#; z@!6v|XXDdkM-TZeU9ysEoD3>an`Exr4jS2K0CzeQ_;es)nAMsw8lPZGG27L>j|^+` znjj5sBB>o;=zaGBs+JaoL}tw3KCRP3{QxJPC&}D!Ksa zG?-KL1JOEYBH!KBOsbE6c6#VYlGP&rFT7><<4nnYRg$Q&wA*=^(X-VneIj|Ydj=Jn ze-;@F0=gF86q?6a4=^y;rC`_*eg^!a4y*jb;v8(zm+y0N4SZB9TEkM9@v7AVrm%83 z*Q&*}VCiLt!DugOqpshY?Z!76jsg6<_AqSeE}ggK*8$Tp>r%vnNCE2ep3>68$^sBV ztCu?gPWl2i7av`MXeSYR6xl@#rvCz}XIt+sP0a~UrT)&WPk(3TY@v>8vyDp4I?S9* z;c4>Qv*tT<^TtSKBt^!yG9`y#)(H>HlVhfr%b0drzfN+XinH8ePb5#V^8pA2K4uwJ zI}P!1j-AiGJDdIbI(17={!>|yyM45W2IC6MzfJmumBk(9+jYq}d<|wU0P`Wnz0Ju# z=b18ZOv``LSB{hC=aR10FqsJK6x+&b>uqD%C3~~rlcDdy{ysHP&lAN>Oz;|-CFkc z&puobf@yFz2g9y(+3YY&eG}fudiMLlB|T4}?sy+neQSV`vJO225AN2I6khk8?Z=el z4wSk@s_Fa0lj?1THVnwoI2N&f|ETtFd9#rmno#D6Apc|Clg!>y0H2=v>bgsX?$1l} z49ATg6}e$6k#eL(S)-RpV9=}r+v!s~j4zB6;rtq49cF3zt<86hrND9&#LXR*yZej}dWVNAjDvD(73bA2#L5XMHFsUyR^u!eVchrcXChI( z{Qn3+17)Y~BGBNdEre*-W(PSC?|ROVJ=yI z5N?Z;s%y?ha!F;nz}#&28SblCUe;*aRXzCVD(~y*Xi|omXXqn8bMj;&$Lj+R(w}yF zvh!87GXPEnT=ngIq`2It<|Q>)=@w5MO`&!Vv6XVXY9B47&%g!RD$zE0)P7h&wjIh% z6F$g*MGDWpujIOf=3Cjx8@9AV^F-b{xA-7}xr(_mS|=2Em7z}biNEd5@|IHN`AN_^ zJO@6~|T=vGwypN#{ z!xh%x%<_A^3E(r}U1fV3$rxSei1dQoGyw^_i282^q8{>sc8~9KvSDs7(K9ofFjX9rSUo)z`+mrV^_QN5RU~o=<@> z<=45sQ5`j1$4BJQzHj{? zh%mRrTRr~o?45& zx>611F|)lhVJjFT>hz=WkkkW5m`8KLSR*@7FCTMozlR_m!D=>9Fpyx(jr;X~O>E3M zy=$>o2fY2wo)r3%;Tmqn3vDWX?T~8wLlI(0D1E4L?=h#e5WQEiB!KV=;8kb)_k!j$ zVV2m$r59XWq8E=*_L7D0WR?nXc0abjpU1QGjopEt|56YvObu4d6q%k7o3hrA{8B5@ z0s7=O*!hE!1C z8fmWHmQ}cKQ*!Azz{p=G4@zIYe5`H(i|$^%c#KZCpsb3@+|*Qy(blbA>D3l_Hl_P_ zO&W7=sNI@kf0@1nhlgIRt-8gMD)60ir+|r@etWpk zpSIebwuf%tBh(*zhzfO!c$tMuag;U7*{n|a9LrpuH~1;t$aNS3ij+m?18i+7eCl4vRomp1;*JfO67w(#x!Q%3I)G8O=3y= zi@^gcoKgO;YDf!|>-XFoOXoj&DmyE0Cz~&Z5^{{0_BvGdaMDlj@JyQG)h-fpj!3Wf zf~he`Sc$?fT|VA_?A0**#Nl@-%3nE53)(=gJ7P|Ft!3u$*ca$bTF;-?5qU%ByQs4y zXEDbbZj^8G-K#cZfAL|OYJa<_2?-kjE5Ol=f?yr1C@$eF%HrET!w6?l3Nt4mgKx^S zSs|B@OUjK_21()7A>zaDcR)Sw!H^9|&!tE>tN{WaMj%yC4d`JEiwbK4+c1`0g`r`>G%hwdNiZeiip|Uk7DUTpD{F!c@l^5Y zGXz`W*?C3;rCb|^6x)I!o9>oMtf)~-d*vFUPNAxca2qXmk`^6AL2%*HV z;&bN-;lzmI$S6WIF$O5U5KD+7l8VXkgal$@@x@DoBw})LN-6;$Qi{{k2^qx8#hF=z zE5z(#Y7QZnm{*)%K)6aQEH1i6xK6xL{9iHQCh=DB?K^}L;@#qV_X!V(rNw3CgbL!r z;>t&a$Hc1Q>Keim;?v^VXN2d(y5jl1-aZ@wlC9$O#Xl*036JHg-enaRWb{2Pa z6MBff#eMyR0peis&|AVV@m=xz4}=loXz|!MVS+eW{Ber#i8x(6GfS8w&KEB%5|)Ux z;?K*3FT}6K-&P3UiS**tH3EaUUc9kM_(9w%{`rgWo48&4XNRy$+$;XOPdFeR79SlG zm?^SxP~hH6fqP;ea_AT*=2f1zU|zH5kBlS&J`x_%G)LkV(hV>6v~(j*xKsNR7mvS@J{+X1k1hKcS{n`BW^Ar1w|wYy z2J5@pR#sR&n>%4UKg+3NHOe#Re2p3t_OszA0^l>E&&pQjOhW}>plcsNwUZ#p0NSRC zB6H5PCq-+vyogt{`*s$Mn$O}bC&vk1`fPNqus6PD6MP4oJIRYI$dSKTv+lT-NWr*d z;&eEz>tST&r=pS8MV@bJ*z!5ffL<=qEz+5}^JDNq^uwPz3R~sDA6%wDP~;!FB-0Jp zlDHhYOX?`ZFGUtrly+ksn)u#xp4pKu4y0D#T)2MI)_BUU@$gk+Zx>v$(xuzoI1_$Xgw$v3Ukb_C2}V>rrlZ@Lzh9~7=$KXwwY%Z3+b%?r(P-wEe< z6Is?zW|x@d7xApNo|Q-41j-97CAylmDyBIZXz2)3?pC^|zCMXZo|%*TK=K0ROPEw{ zl9`?VY9_95xzo`-3_b9pGHqa;XH>DPrNhidy24D@*Uz)N6t0mV&W#2 z{$;J3uEKHo*5hk@NS1*F>my=vXYMy2aSo5_rmGc<9aEFE^jNMr`knMeK2=H3B3l3` z+4m9%AV|1|zAx)eL}YEnBTV4YgQ&Fq&OeFHC*Z))4y@@GP%Fe82~TvJHl~x7G0{Kr zlsoZrV_#-^xJAxsjV3|$L#8g6rKzt1%#!1osN<5k&n0x>5|6B1Noi~OP8s%(^k~Rt z$ztPlD7zrJvpEO7GDK{um~toQmL+Hum)Y4`U^gtep+Z z)@V_HH(dsHQuDHnB}c?_3#k18(#sG~Mk%`jo{g5j96v*C)mMjT4CdueOq5Pz{e%EW zQwtc=vH&?T4!VRlzG~%_+KCf0p?io(83Owmma_^OjwHnb@GYBMR9Gj}Or5hp2Kzf7 zH)d8I3FY8`AnXR*9!YI)tNLL4J|~xPL8eTA^x{Q5RQb5ZJyd8x10Az*DMcWwK4kT1N^)2pZGijx*h zSiJwU^)rych39#!M@qWRtjdu$bOf=@JX?cPPqpW&?mTi0SLBST$>qNmpqA?d^qc_Z zbd7k*V%?m!db-^?X_xwnk1n9xtvNtbrWzr(VBB+oIKfPPq1k6m8jdlk!q*EvX3QK#>5r(=jboK>0g zU3$mmQy)`;5MUy9VX4xs@mMq>7OOIbWxt$UE9wq*_jSqSl6aDW=aTGknzqC{h-Gaa zGaAX>Wo*VcHvcz}!Ck=*Eij07w1ro?Pq-?z9j-Z)eUknlmw%|$nOEwH)V2uVzP|G! zY!B;+IXw%xX09L;PG*8Ef@-cmqvWc(?!u`1)Vw3qtwK_inGbvp3M34bP3l3dtelrF z+$7=5@_&lGw#miLGP%`i$M(lwO+zg_>QwgV%$y7ptSl{%H&}qukg_=^Z8^BB*b>G- zxN_R{*)-q%H1gs36#dL(AuzPdS{4gc6_%BZX@P$N{|tC4A!Lenq$PJZ?yyHl5y^kJG3HsyUIlQ{72ox>_g`5LGB{*DZgc~RFNlFCd zM3P#8n5>iRET7GczE6V3uyQK)bty9KXbP&8ane)!W&s0Af|alsLUh<)>tlV?l#$wZ zVDs9;c&U%0McRP}r(F)+K>q@Pkv;aD9T*hlJCd?BGA%uZxL3v2>*yp-+v%o0i*L3?V8@t+>2M$RU!9;PsHJU#0wQ^% z2Zd^a2<%SE2-7sc9^M+19|Ty=JIc;y{n1tM(Zt(~W%vtT_%rq`K2(o;fox;OdU*ga z*Z$x?KdR45AniL7Nc+)wY}%2WF@R%X9;LF3(Z}XEqa~ z&PC+zSjMizr5UClME9iEY&uAr-%?H64$_m?-1Gh@$@CR?M})2kYUhrq1F7vW_ys(C z!`>JoM?cM7p@W}XnE9%M!#tM^{MWyk~ATAjb2|Ixx%mok@ zgW+wi!j^6WxJwfXCKN9%2}=uhsWko~Sh*9#r^CyKgXLe*gv5myM3e+OP_N3;fH5$Q z35XzCL2P>^V4a&7&47jk5C>d1P9hlOr=WBRWV!xJ5%l$(u% z3n5)+Yz$niNmQhFa=Kn6J-PuTh{xX~YRTfELV1y*7eMh{aPkzyd9pJNdgQZ7F( z%eIL6xZ0)9e#TLe?_?Q!)r7qhg@(H|=IpERbmo%`0B$wr2ka4NkCf^FPVRt!2S8tn za|<_U=`8)#Q44Go@uNcy{G;wm+hjL1@;~T%KGt^5%bRT6%(Es^SLs9?|E6?J?5|`yTLDz?!uqy2j*>_fpD& zx+UeRZ3g$Y<#uJ&?c#;=X8(#>UFM29lMdV#;Z*Y)EvR0o8b=T*JCQ8b)9(q~E!)2> zn;+IQScbo@IC4%M!bUgW;RZR?Zs(28O@A0&8h$4sHj?5#WUnC+FFIo1MCq#?e1i#* z8gCjZ8GEPA*~Fw+Caz=&)cA(H9qpC3EIB!bXHzzR zMWRM0E9(YlPRJ3Ot!-8n-KE8u{{vY4n``}e{|9x@Q<>Y0KTb?!tV7^$-HEo&HfYFZ z9o^E2*#$t}vw`M?#%P;h!f8?L%mF;v(k1H(=kWSoJBqoLS_l)kc_w?LV}3RFLEz%tYkMccJfA{PSmlU z_II#;ws7`FQfa|!?>FlJ^ZmEAtxfZsEGNcM!5TAXx&yt>+|22jtvRi_z*@&XhxuK@ z`*rU;v}!(!Spcfv8RrS!qBg2uyoBbbOZDY`aTf`WU6$|@2d@{|7cH=_b$wjty*7u8AyZ1pS0hc4w93tfqN5_7>{<+YMEXyGbA{2 zqY-jYy^UVqU#!5=JTC1?7;5TfD%p3PQIE;B*+W?{LfxP-)*g9Ee@#)T# z6;-QH$D3bPLz!g=C1E1>MR^Ihf^zw!xy|+Nl_2Qv>q(puSLP*yzAhzwMaV6i2d_z9 z-?@*NPy9+Nz8SP`{Pp){C%x-dkkDc&E%a9qO$7VjcN-w-K5bER+e$@gMKUO1J`Em} zxrxhK3@Y6GN;CL+UkZ!F#&88?VY*XdhAvTp!jhYwCm04PpQnvLULV5Ub81v(f$Gk{ne_oxp0t>@R`e$9$4H8$Q0%1aJ_t)D}VV1$cPh-P!s}`)NS?AN{ zZhVCXHY4z@wiX70H&^`riaus|7>_Ig@lQiVJ5ldp%hZ zC@sVnw?1%pC&~EgCE$!D6E6GyjN{s1pNN<7ZHza64#dmwzmmZ!$Dew|5?>_t)Lua^ z8EM0^1%9!bBDp`bc6KsN>k+p(;x_VPVJyx}GUhT#jP6IyyRhL#Y~kG<7ED$J7_O&K)= zkKRDgP0yZHKFRWNOBa^zlI2GEm9ule?(-ELGD=(UpD$aUhA)+V?07?8BC0@Y6U;3dfb7U|~)Hcfc50t&)LS+dChf|l_jXx}R^vum^ zc4+&z2u@qvaw;7IU9Rs?Uy6~5M8#>UJPgtGfz}7BDtVl`Tp{FdI3eHzEviGMTA z!=mK2!l&B1gG^Xvi31<-VVT+dlzkvUFB)bV4`ucvO|8?8LD2cwHuV8{Ix<>nn`wXE zOG&ryZl5QwLZ*rZiQr?7PH+GH?y%0Pbey>yU07~A7h%|@bfXy<+FU4Z8}fZ}#obKa z+3m@;gGffKS&rh3K+k7~7n7%~1g@Nlk>O&FMk8*$5OLLUj-{J4o~dCC2oTUQ#kPc} z;NvBuqA`;vJ!Nv(G^aBQC4_1;+9!pX(Wk>1tp9o&+g>ge%s7UCvWVq1P~|zA{`xj9-R%-)=RmfM&8Q@i3yA?Z-(sF25->-oNe3 zV}AlFal^S%nVFSBL6OX0z{4FRM=x1PjJHB@c~v#K)%OG}`*uF_ymC5?!Fys(v7R)A zFvZ&BfRmBbQ5S*J`|atgb{rWd%29Veqaef++vL%tym`Q`G@%flHqfc$SO;z`^}_6d zwlV58R(55ND0k{#U((my11iqU)u{v_>GO%^a+j zoddJOfZdgUF@9_TE*pj7`2qH#BAm@>?GQu1fL&TTNfO7vMy!>}gcZxO;&=g-LN0Y?V${xeuxM3A+MDIr(> zE}2E^&dPj*tNl);fj%)E6cE9!@N+QoPly+i9>p8WHvHFlJ^~8;3tspUMO_Q{+qWLq z_?I zzN(IM{EEcU7}H`G#F{xCW%y2|J%SEd3NLg;KEkoZJCd%C1Tm4c)py4VVtWByBrIIX zP+l35Y3atCj(m{DRWiL9thsszIL`D(d}_Gt)lpE8-VIAcwhclpw^z>`>FSTS3*1mh zb-%=;%cZB}b#Lv_<*=x(@sKBoYOcmHrzrt^^$Iw7!sC2B0TZFCdS5?27RUXQXwemiYrh_U- zhr$S~|CT2O!!jIm_FNifL*bBrWFkph2j=)bU)e)t5>qTzC~g__cqBc1TiP+CVOs!K zR~rtx2ZssuOovZFVm{$m8uBP(X@!m=7K|@WX!qi5LH}CJfX^Q+*$_W!rkS8&1vc7B zT}+TSOYas+S+W1k&iu!p%{JTLhT1SbH4UO5b6uUHGs#s#4?}@?u8eLlFW9Vm)^WJy z_iv+{wXvJ-QlN}qgwjrT_%zGYeKUSA&j-y&u-T^<%zu?$|1omXpsH|fX6WgjPCWNo zkQq8fGnPQbVs{`BM?>djGxPVk+G`!ElILx>&<=embyCW$z&**gAgDrK^ksKbU-CsM zM4x}${G4XmiKLr_7TQq<{_Zc5>QQM2{!cjsgD)=F{|50C15XFG6hlLGIKtkx74MdE z_H?`MuJ?OZKFPd+)N>8(T0V_eSen!+b2zvnd8Ps-nd_yX5N;w8%!5Fjf1i9B8#;wx zT{KZ#vZf!00EH(^C{<4W`e4sx)Y!CJLovlg)w+>J%m3DEa~x{|($j+JdyZ9tlj?%9-7-5@DC60=mAy9F zi9=VV`3OsjduU1j)3m&Fez5SSZTUbO2DNebeO7_6;d2l#sey|VVWGO+i8?2H;x`*J zkkGBeWCx~?n^2pYNg>^d>i_EFG<`z=HR0Snrvi&tVKpst(<(kauRKp78*583t&E*20FatyH#z@I=OD}&9Ewp&{W^3*JkUCm&VL)?R zQmYBDI4{CfoYh~Ky>>VjvOeav$$j6;7i*upS$bQ&Jl=i`3MH{(?EH~}5}9ITVf+;l z&F*pNi2#i!yCWjW!EEO<%t|Xj&8kp}%E&Bwo^>1QJaTmFXw~yrc43t>nBNc~^SkN( zr+?It*Y^4sxx%yD`(A)UK0t9+fn&4sn<_xS)=<1-v~To05+$&vy5W>19>zu{|o;VCH*!GT=uwaJELF8x0JbJR7vcL z9lVKe+PDY14<2K=FUMp^UCa{U4AAZ}^RtX$v^-QBKs%}s|3KY>@lpg8FPe_>p5noaz87A$ zF88W_3U5h?1x82JNp)J`kEQN*R*PZ*0!tu(f5`H_00Hm=hTi)HXF}}4ii0qsw~g?0 z!#0bHX-@WK-M;@+H^$NKPa}gqghGV4M_}=rrt;2_WGTpFA0V?ydy}38Q&}atp$ZV~!l?B%|bcW0~qabU7hu zGDQsTvfx8KkYlCFhb@%Yb^n(2>23cUw-#^eY3L^IKpF0RYq0~1$ZECWRaz7kYTFG~ z@;X99uJTG-7gE#aQATw-0oJau!-MF1w;6Ok2z)S>{EX3V@J`tof)#kRTI2hFTMh{8 zb@1a8uGh+=LkNU&?sA|ECyl@*ne<~{kOUy5B8jz{Gt|fwyCC$w20>~!E%;R}r1|b; zVYUAdK+NxCaf{<^XpjM~`D7JVu;O*hsLJ6`692hh1X+qOh;B5v0;$%QU4Rfv{NG`_ z77>I;;LTy(Uv~Bh@HNEM7{OA1Lx3tmrBnfdql@0aF8^Lq0710Cb@>UtA`|A~00004 ztqVXyatIc!E*8#7+81uBwrgwNA$K5X?E`dgQAor;IAU;cAO!%THV2=?b0G-(SSxZ3 zQAy0dRbpwXbc1t&Kr}Ee6ap;(NQ|ePt6c$Bk0>;)6uh{(fBsQE&5zgQ+d08L9KX*kVpS=kYFaGkPQVb|6D{!L?Exsg#r`6|NKVKj;HQPfD0hu%i+qNUk@UYPCy6SzOAM*@AS0s1>H zU!8@PZx9d$|1CuXQ(lNA%#8BW#1_jA^Io8Tgxti+LMX<3>Zm8< zN?`&w|6Y)S#5+>vj)K~u-VGN=TE7>T4dws@(G>y8cJ7)me7q0B5^#`IYX2?RfB~r1 z+JGqU3rGKT1$KjS_Q37tTzCptsNN`=`E?3uFyO4E01y(Mg0cq~LTp>dSrwQEsy!kN zxrv0{UmQGtV*w_sfg}V`8_8E-(d5oqwV;`!4b@D5;D-drQCMx#E0^%n_cC6)9!Atq z9uq316{zC)4AzgCh}aRKP%xP)HL3zr#9WA92{*wD9pKUT=E(A;QG<+Tf`}UR3J@y*;|Jq7ymFcEJ3aRAru}h z)g{;q@JA|Dn+9dOc5;?r<#6r=m^Uk+K?l`CbZyAqSSzFi5k{X46h4_*SOOt#28g0Q zRaH0N5sIq5gXUroAl-4@T~uA8iSC~8|6CAHKA8bUvF~bOb$AzBiW2+5M4qDqTd?s^ zXy}lCAH@l`-wbu*idQD!fCAB^1!$V6QMwc1TWSab5gdyKh}-C13j-@~)|%P>X*jJ~ ziWBBz5XQs@4i~5Pms*e(767R{l{Nobkrs(=RLuV^+YS%_M26=lApaXNGBY$aHaGt` zIWR3f2nlfj1ioH$d39+eEpH$V0Ac@gWM*dwXliS0|2rpOlSHaU0YHW+IrWO^0j58^4;nP>9W<(W xx6lhPYFZo{B>|l51PBBG3a%L60s;60gu5^b9tL0lA-!M)000000FaZBufp<`DO&&l diff --git a/16.map b/16.map index 865bf6f1..0b547c55 100755 --- a/16.map +++ b/16.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:41 +Created on: 15/10/15 15:28:50 Executable Image: 16.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 138b:0000 0000f2c0 +DGROUP 138a:0000 0000f2c0 @@ -36,28 +36,28 @@ kitten_TEXT CODE AUTO 0000:6e70 0000080a 16_hc_TEXT CODE AUTO 0000:7680 0000158b timer_TEXT CODE AUTO 0000:8c10 00000235 _TEXT CODE AUTO 08e5:0000 00007bc9 -modex16_TEXT CODE AUTO 08e5:7bd0 000025bc -bitmap_TEXT CODE AUTO 08e5:a190 0000060d -16text_TEXT CODE AUTO 08e5:a7a0 0000010d -16_in13_DATA FAR_DATA AUTO 1370:0000 000001a4 -FAR_DATA FAR_DATA AUTO 138a:0004 00000000 -_NULL BEGDATA DGROUP 138b:0000 00000020 -_AFTERNULL BEGDATA DGROUP 138d:0000 00000002 -CONST DATA DGROUP 138d:0002 00000076 -CONST2 DATA DGROUP 1394:0008 00000102 -_DATA DATA DGROUP 13a5:0000 000005b1 -XIB DATA DGROUP 1400:0002 00000000 -XI DATA DGROUP 1400:0002 00000036 -XIE DATA DGROUP 1403:0008 00000000 -YIB DATA DGROUP 1403:0008 00000000 -YI DATA DGROUP 1403:0008 00000018 -YIE DATA DGROUP 1405:0000 00000000 -STRINGS DATA DGROUP 1405:0000 00000000 -DATA DATA DGROUP 1405:0000 00000000 -_emu_init_start EMU DGROUP 1405:0000 00000000 -_emu_init_end EMU DGROUP 1405:0000 00000000 -_BSS BSS DGROUP 1405:0000 00001052 -STACK STACK DGROUP 150b:0000 0000dac0 +modex16_TEXT CODE AUTO 08e5:7bd0 000025a8 +bitmap_TEXT CODE AUTO 08e5:a180 0000060d +16text_TEXT CODE AUTO 08e5:a790 0000010d +16_in13_DATA FAR_DATA AUTO 136f:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1389:0004 00000000 +_NULL BEGDATA DGROUP 138a:0000 00000020 +_AFTERNULL BEGDATA DGROUP 138c:0000 00000002 +CONST DATA DGROUP 138c:0002 00000076 +CONST2 DATA DGROUP 1393:0008 00000102 +_DATA DATA DGROUP 13a4:0000 000005b1 +XIB DATA DGROUP 13ff:0002 00000000 +XI DATA DGROUP 13ff:0002 00000036 +XIE DATA DGROUP 1402:0008 00000000 +YIB DATA DGROUP 1402:0008 00000000 +YI DATA DGROUP 1402:0008 00000018 +YIE DATA DGROUP 1404:0000 00000000 +STRINGS DATA DGROUP 1404:0000 00000000 +DATA DATA DGROUP 1404:0000 00000000 +_emu_init_start EMU DGROUP 1404:0000 00000000 +_emu_init_end EMU DGROUP 1404:0000 00000000 +_BSS BSS DGROUP 1404:0000 00001052 +STACK STACK DGROUP 150a:0000 0000dac0 +----------------+ @@ -71,12 +71,12 @@ Address Symbol ======= ====== Module: 16.o(/dos/z/16/src/16.c) -138b:07a0+ _player -138b:08a0+ _gvar -138b:0958+ _cpus -138b:095c* _gpal -138b:0960+ _dpal -138b:0964+ _engi_stat +138a:07a0+ _player +138a:08a0+ _gvar +138a:0958+ _cpus +138a:095c* _gpal +138a:0960+ _dpal +138a:0964+ _engi_stat 0000:0110 main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) 0000:0370+ jsoneq_ @@ -86,7 +86,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:0f22 jsmn_parse_ 0000:141e jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -138b:098e+ _inpu +138a:098e+ _inpu 0000:15b0+ INL_KeyService_ 0000:184a+ Mouse_ 0000:18a2+ IN_GetJoyAbs_ @@ -134,9 +134,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:5b7c+ MM_TotalFree_ 0000:5c12* MM_Report_ 0000:65ca* MM_BombOnError_ -138b:0af0+ _beforesort -138b:0af4+ _aftersort -138b:0af8+ _XMSaddr +138a:0af0+ _beforesort +138a:0af4+ _aftersort +138a:0af8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:6600 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -154,9 +154,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6d40* CAL_OptimizeNodes_ 0000:6df0* CA_Startup_ 0000:6e40* CA_Shutdown_ -138b:0b00* _finishcachebox -138b:0b04* _drawcachebox -138b:0b08* _updatecachebox +138a:0b00* _finishcachebox +138a:0b04* _drawcachebox +138a:0b08* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6eac KITTENGETS 0000:6f0c* kittenopen_ @@ -167,7 +167,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:7464+ get_line_ 0000:74d6+ db_fetch_ 0000:756c+ db_insert_ -138b:04a4+ __kitten_catalog +138a:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:782c+ LargestFreeBlock_ 0000:78ba+ _coreleft_ @@ -197,52 +197,52 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 08e5:7e94+ modexEnter_ 08e5:8042* modexLeave_ 08e5:805a+ modexsetBaseXMode_ -08e5:80ac* modexDefaultPage_ -08e5:815e* modexNextPage_ -08e5:822a* modexNextPageFlexibleSize_ -08e5:82f8* modexShowPage_ -08e5:83f4* modexPanPage_ -08e5:8444* modexSelectPlane_ -08e5:8468+ modexClearRegion_ -08e5:8580* oldDrawBmp_ -08e5:86e6* CDrawBmp_ -08e5:884a* modexDrawBmp_ -08e5:88b0+ modexDrawBmpRegion_ -08e5:8a1a* modex_sparky4_DrawBmpRegion_ -08e5:8b84* modexDrawPlanarBuf_ -08e5:8ba2* modexDrawSprite_ -08e5:8c08+ modexDrawSpriteRegion_ -08e5:8d80* modexCopyPageRegion_ -08e5:8eea modexFadeOn_ -08e5:8f1a modexFadeOff_ -08e5:8f48* modexFlashOn_ -08e5:8f76* modexFlashOff_ -08e5:9046 modexPalSave_ -08e5:909c modexNewPal_ -08e5:90ec* modexLoadPalFile_ -08e5:91ce* modexSavePalFile_ -08e5:9246 modexPalBlack_ -08e5:9270* modexPalWhite_ -08e5:929a+ modexPalUpdate_ -08e5:980a+ modexPalUpdate1_ -08e5:9884* modexPalUpdate0_ -08e5:98d0+ chkcolor_ -08e5:9be8+ modexputPixel_ -08e5:9c76* modexgetPixel_ -08e5:9cfc* modexhlin_ -08e5:9d80* modexprint_ -08e5:9f1a* modexprintbig_ -08e5:a096* pdump_ -08e5:a0f6* cls_ -08e5:a164+ modexWaitBorder_ -138b:04d4+ _VGA +08e5:8098* modexDefaultPage_ +08e5:814a* modexNextPage_ +08e5:8216* modexNextPageFlexibleSize_ +08e5:82e4* modexShowPage_ +08e5:83e0* modexPanPage_ +08e5:8430* modexSelectPlane_ +08e5:8454+ modexClearRegion_ +08e5:856c* oldDrawBmp_ +08e5:86d2* CDrawBmp_ +08e5:8836* modexDrawBmp_ +08e5:889c+ modexDrawBmpRegion_ +08e5:8a06* modex_sparky4_DrawBmpRegion_ +08e5:8b70* modexDrawPlanarBuf_ +08e5:8b8e* modexDrawSprite_ +08e5:8bf4+ modexDrawSpriteRegion_ +08e5:8d6c* modexCopyPageRegion_ +08e5:8ed6 modexFadeOn_ +08e5:8f06 modexFadeOff_ +08e5:8f34* modexFlashOn_ +08e5:8f62* modexFlashOff_ +08e5:9032 modexPalSave_ +08e5:9088 modexNewPal_ +08e5:90d8* modexLoadPalFile_ +08e5:91ba* modexSavePalFile_ +08e5:9232 modexPalBlack_ +08e5:925c* modexPalWhite_ +08e5:9286+ modexPalUpdate_ +08e5:97f6+ modexPalUpdate1_ +08e5:9870* modexPalUpdate0_ +08e5:98bc+ chkcolor_ +08e5:9bd4+ modexputPixel_ +08e5:9c62* modexgetPixel_ +08e5:9ce8* modexhlin_ +08e5:9d6c* modexprint_ +08e5:9f06* modexprintbig_ +08e5:a082* pdump_ +08e5:a0e2* cls_ +08e5:a150+ modexWaitBorder_ +138a:04d4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 08e5:0042 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -138b:0000* __nullarea -138b:04e8* __ovlflag -138b:04e9* __intno -138b:04ea* __ovlvec +138a:0000* __nullarea +138a:04e8* __ovlflag +138a:04e9* __intno +138a:04ea* __ovlvec 08e5:0072 _cstart_ 08e5:0145* _Not_Enough_Memory_ 08e5:0277 __exit_ @@ -252,10 +252,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 08e5:02fe _big_code_ 08e5:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -138b:1414 __argv -138b:1418 ___argv -138b:141c __argc -138b:141e ___argc +138a:1414 __argv +138a:1418 ___argv +138a:141c __argc +138a:141e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 08e5:0305 __PIA 08e5:02fe* __PIS @@ -264,12 +264,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 08e5:0359 _fmalloc_ 08e5:0359 malloc_ -138b:04ee ___fheap -138b:04f0 ___fheapRover -138b:04f2 ___LargestSizeB4Rover +138a:04ee ___fheap +138a:04f0 ___fheapRover +138a:04f2 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -08e5:a4d6 bitmapLoadPcx_ -08e5:a632* bitmapLoadPcxTiles_ +08e5:a4c6 bitmapLoadPcx_ +08e5:a622* bitmapLoadPcxTiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) 08e5:04ce strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) @@ -283,11 +283,11 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 08e5:0b41 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 08e5:0eb8 __get_errno_ptr_ -138b:14a0 _errno +138a:14a0 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -138b:0504 ___iob -138b:14a2 ___OpenStreams -138b:14a6 ___ClosedStreams +138a:0504 ___iob +138a:14a2 ___OpenStreams +138a:14a6 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 08e5:0ebf fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) @@ -318,22 +318,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 08e5:1366 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 08e5:13ab _nmalloc_ -138b:066c ___nheapbeg -138b:066e ___MiniHeapRover -138b:0670 ___LargestSizeB4MiniHeapRover +138a:066c ___nheapbeg +138a:066e ___MiniHeapRover +138a:0670 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 08e5:1485 _ffree_ 08e5:1485 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 08e5:14f2 _nfree_ -138b:14aa+ ___MiniHeapFreeRover +138a:14aa+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 08e5:15ed+ _null_exit_rtn_ 08e5:15ed+ __null_int23_exit_ 08e5:15ee exit_ 08e5:160f+ _exit_ -138b:0672+ ___int23_exit -138b:0676 ___FPE_handler_exit +138a:0672+ ___int23_exit +138a:0676 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) 08e5:162b ultoa_ 08e5:16e9* ltoa_ @@ -343,7 +343,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 08e5:1a9b __doclose_ 08e5:1be5 __shutdown_stream_ 08e5:1bff fclose_ -138b:14ac+ ___RmTmpFileFn +138a:14ac+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 08e5:1c6a+ __ibm_bios_get_ticks_ 08e5:1ce1 clock_ @@ -354,7 +354,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 08e5:1f05 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -138b:0098 __IsTable +138a:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 08e5:20fd tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -389,31 +389,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 08e5:28f7 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -138b:0682 __8087 -138b:0683 __real87 -138b:0684 __dos87emucall -138b:0686 __dos87real +138a:0682 __8087 +138a:0683 __real87 +138a:0684 __dos87emucall +138a:0686 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 08e5:2906* __exit_with_msg_ 08e5:290b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -138b:0688 __curbrk -138b:0690 __STACKLOW -138b:0692 __STACKTOP -138b:0694 __cbyte -138b:0696 __child -138b:0698 __no87 -138b:06a5 ___FPE_handler -138b:068a __psp -138b:0699 __get_ovl_stack -138b:069d __restore_ovl_stack -138b:06a1 __close_ovl_file -138b:06a9 __LpCmdLine -138b:06ad __LpPgmName -138b:068c __osmajor -138b:068d __osminor -138b:068e __osmode -138b:068f __HShift +138a:0688 __curbrk +138a:0690 __STACKLOW +138a:0692 __STACKTOP +138a:0694 __cbyte +138a:0696 __child +138a:0698 __no87 +138a:06a5 ___FPE_handler +138a:068a __psp +138a:0699 __get_ovl_stack +138a:069d __restore_ovl_stack +138a:06a1 __close_ovl_file +138a:06a9 __LpCmdLine +138a:06ad __LpPgmName +138a:068c __osmajor +138a:068d __osminor +138a:068e __osmode +138a:068f __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 08e5:292a __fill_buffer_ 08e5:2b79 fgetc_ @@ -423,8 +423,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 08e5:3039 rand_ 08e5:307b* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08e5:a816* textInit_ -138b:14b4 _romFonts +08e5:a806* textInit_ +138a:14b4 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 08e5:30b8 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -435,7 +435,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 08e5:32cc __FiniRtns 08e5:32cc* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -138b:06b6 ___uselfn +138a:06b6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 08e5:3330 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -453,9 +453,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 08e5:3b7c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 08e5:3b7f* __set_commode_ -138b:06b8 __commode +138a:06b8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -138b:06ba __fmode +138a:06ba __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 08e5:3b8b __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -491,7 +491,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 08e5:4ab6 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -138b:0050 ___Alphabet +138a:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 08e5:4ab9 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -502,9 +502,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 08e5:4b72 __GetIOMode_ 08e5:4ba7 __SetIOMode_nogrow_ -138b:06d0 ___NFiles -138b:06d2 ___init_mode -138b:06fa ___io_mode +138a:06d0 ___NFiles +138a:06d2 ___init_mode +138a:06fa ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 08e5:4bdc __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -524,9 +524,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 08e5:4ef2 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 08e5:4f0e* __get_doserrno_ptr_ -138b:14d0 __doserrno +138a:14d0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -138b:06fe ___umaskval +138a:06fe ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 08e5:4f15 _dos_creat_ 08e5:4f39* _dos_creatnew_ @@ -537,9 +537,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 08e5:5142 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -138b:14d4 ___env_mask -138b:14d8 _environ -138b:14dc* __wenviron +138a:14d4 ___env_mask +138a:14d8 _environ +138a:14dc* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 08e5:5184 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -560,7 +560,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 08e5:56da __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 08e5:574a __EnterWVIDEO_ -138b:071e+ ___WD_Present +138a:071e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 08e5:576e __flushall_ 08e5:5802 flushall_ @@ -573,20 +573,20 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 08e5:5a57 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -138b:14e0 ____Argv -138b:14e4 ____Argc +138a:14e0 ____Argv +138a:14e4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -138b:0720 __amblksiz +138a:0720 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -138b:0752 __Start_XI -138b:0788 __End_XI -138b:0788 __Start_YI -138b:07a0 __End_YI +138a:0752 __Start_XI +138a:0788 __End_XI +138a:0788 __Start_YI +138a:07a0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -138b:14e6 ___historical_splitparms +138a:14e6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 08e5:6bc8* _heapenable_ -138b:0722 ___heap_enabled +138a:0722 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) 08e5:6bd9 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) @@ -602,22 +602,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 08e5:706c __setenvp_ 08e5:71f8 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -138b:0724 ___IsDBCS +138a:0724 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 08e5:7256* _ismbblead_ -138b:14ec ___MBCSIsTable +138a:14ec ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 08e5:72b0 __mbinit_ -138b:0726 ___MBCodePage +138a:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 08e5:738b _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 08e5:7399 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -138b:0728 __8087cw +138a:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -138b:072a ___Save8087 -138b:072e ___Rest8087 +138a:072a ___Save8087 +138a:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 08e5:73a8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -631,8 +631,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 08e5:7573 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -138b:0732 ___EFG_printf -138b:0736* ___EFG_scanf +138a:0732 ___EFG_printf +138a:0736* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 08e5:75bb ulltoa_ 08e5:76f8* lltoa_ @@ -646,7 +646,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) 08e5:78f5 __Fini_FPE_handler_ 08e5:792c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -138b:0750 ___FPE_int +138a:0750 ___FPE_int +--------------------+ @@ -662,6 +662,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022b70 (142192.) +Memory size: 00022b60 (142176.) Entry point address: 08e5:0072 -Link time: 00:00.10 +Link time: 00:00.00 diff --git a/bakapi.exe b/bakapi.exe index 1edaf66d910ed7c26fe22351072a0aa5033b0fbc..7692efd7a41e79dbf8fe8d82412d5184c12f3252 100755 GIT binary patch delta 43575 zcmW)ndpy&R_s8G6&o(o+xy)tm_iMS|Z=*ymh1>}#hS*Tb=9(GOy>u1zNyV3PUoqrT zy110)7D;7>kVbC%_4u7X&g1-l&g1ns=XuWAUIec!f@vOsD?l&+0>Hqult54}`7ii? zll$jUKs>s|HmL1%BV%2ms)1hA2ZI469GE?-guV0o!L~j)U6Z{1}G-@bmpf+zzXK?VQnU~*1;YIxW%V0~u2Pf4I0X6})b z$wy}dNz-iYTe5CBbf~0FuuIr`SRuiYj4k+=tV`h$&)cTExO7gEXG2JPcBgO7JQ$v~ zJ%(otc*~v^_RU@lK4G#ftvWc?W_89n)3wqfg8$$)*0wLxVQY=c-`ShWDOSlD-*S4P2q#;qxN8nnQI+7vERk_#K9VSB6U+@*fz46kRdXZ>Ic24R+w zQ2Ek3S83M&UGF}&TPwqVX71+!e9(R|#@1t5XXdh~B+nIvNXs&s^u4YIjJ%tdVW z^wIrGj>>p7gzH%t*>Sgx6A8McL3Je2p6IHtLFBZWa`Y)CCy2qR%?oh&l#Wo1DV6^; zN7(M_)j3LC15!{tM_uQA~w5qd8iETI%J zF|gr*I=3|UG|=Ho&Y3Od&ZHBETgd`!I$H&LNwzSe?HSFyQXgXj5^53R7_l)T@zDu_ zW0Y88Vhk~5BaxbXG+OC-dsK7^<#<9<1SBzGotPYb489nhF3XRON+Ie8Nh2cS7vmF; zYR3|kt~N#=CoDuK?^EK(MkGYpP5_3yy%hCe{1+8+L{j&@8g;WYLuU_%Xc2x&H09*j zQTHhvErAk$D*A)~@bCz8rjo~#oG}rLmP9A=R(w=~2E~?~q|ro_bw0f9;iYe6s-#Dt zvXY`>GJ|gpXeKrtkEEt1QBF;%0RbMq0&+CjMlGI-I_vJynCl|iuvW7M4#&chTT=IF@;=T2&ZT+j-b1@x39 z%9k#MIcT0K)M^?F2x`ckKA-WcGeC&=HS`(Zd`qXXym~8R_+@ zPtj4m7HB;pGlm5Y4cu&$Io#bD#f+dkhK#3<>Vpz@B2pt(j>kttmK&BU`o<=v)pHUr^#CJ9eVVqg~0z{V*sznzHiqZKxo~mnwZzy8Gnk z*#qBUXR@Otp2rj!6~I!KBPPUtjT3?l$s$-1Rnn`R`Bew&Xgt=dt^Pk+I(kt4U1e@k zdCXvg^pD=B6X}+OyW%Xhxb|`>O~CVHdqG zrodDWasWKhzOvRvfq1dL*7N9rsH+}8YvJA_dlO=u<^M*K!;jb4701?6rTFGa6(h&u zsyiFgWaFhP3}0+bDTxFF7dZ7!rEwld@Zl4lA6lBZm6PTvKRz(Jq7?J>4%QvTM2=mH zcY8WUHDvvl#jZ1D>j6jbZF1daei9jeK{ff$@7Nk zYA=H{)sDUZX%TJgc`agUwbc0hP0R1mWK_z_fdoC|(0W)s3rcI`MCzITxl=2_ddW~4g z8M+@jxL=opwxkV)z<)22$$t0?H6LP^4Bd{$9HDnSWn@jOb%q3k22N;JGj;Ozi$2{G zC8hyxt)Rap+3dbkO4ed>Mv=}hh*>jq!Xw$KW&}pO3iV%xNWHC4n^155nxNR>7Z(m7 zKLSABMpX#>jgYWG*1}3H;N>F>Upl;UalvVXcxa43BajN`CHWzgdV&4BW2jkbSbZG{ zA@FD9uL`VvQuEi1;=Wq}B7UVt8_SdZtlY1l6%3tM5AGDVoM zC*04OIa|<72zs#b&cdEPr#FbHDy}e4u=tQKsDHWivb`xMa|uM<2`cJq`>wVBtY%nk z8_i8n;?>57su#c@OEl3!XHZU0BN*c{3GW5Jz)^pMiRbJV4Kcck^QW}uHWcyW#a9tN zK6$ghw5^cJS*q^^%zTo7dDVGZz{1vA-dvXGKJa;$$ie|^*{FGSG5gs$bqV{~1$DfR zFC;?NSQ;+HKo~w_S9dlg;RCwmpfvEyJk5_RypoJ5W418je@_ovzpQsDKNjc|_l*Kq zFp^!whkTKe3s93(J6#*s+?Go-VktcWPLg~5!{g;Qn7Ierpl6Nhoh4^kA%$J!s14`% z{iwa4Hb?jrTRw>5%KIY#hZ&w}{eZrKjNl1R=CAk&>afT!<^a3+?!r|snjjv*FcWQF zqJeWqL`P)?l`Rfwu7qw@i>nd{h6JhUGWkRMBR388u5KZoM&2R5T2@LDS!GF!mac5& z*x9G-9H-`z4xW?0yX?j6D_MTMD(!T!m$HurlYg;nXf3~dQR0lMoVnkIBcZ((##<9s z47sFU>IJ#v^^Ps)r6yfT^UyseD~rU-*1Qk=@7k1s^;bFtzH8P6%#C5#BOOSyqBM1N zz`ZRSXYsd&OcF2@HiU}cn?hvgA8nhnEDS*J?~pX9ToZ3myF2PPCpjROrpoZ!h>%nk zwdL32S_MF>Cwgj2guYcfMimqNfTbVq{@zs(cq~(!IC2lJ2wW@L6dP1 zR$3!aTGXj*kO|M9i3)n)iH|SS#l90de$9& z%K`qm;m+Y#3SI6RI9LOz`4+ywF~rib%w;I?e}+)AclDyojDhKV|1ExZ>Z;KTD`;}B z5>?)(xQOOeeOaRk?tx^z+G`&$<1UuLv}10hv#jkwFW_+YQjnqTao!5HT9AuQv|#1-BQMBaaFNUQUp(xp4PBgWUZs8LL3wbWp&NYg$S zOlmwMWEwr$-5reVI(}%AWo~buah7=yT*AtwsWVK1AP307W+T}}nJqcfHy*IoXm~2T z?!an%3fBAD1eY@bOd6ZR7e<&OK7GsByw^I`M8n3vZQ8!9PJ8<@f~++&6JRb)-R93TmHeaXbjzo?rzL}RX( zbgFM#YGC`Ox>O=wnOWXMLt9_XuYQ$k&o=9IhSO_-FQOff{-$enF~Tyqo4YQsh}DQM z>0XQx$4`shw7ihG#YDZ|rSpGkc0-oALx^}KVg_Tl+Gj&~g;K5KTy8XNpj=TCCI`FM`ex>AS2H4p(7jd`kMPNxn1yb%V-my5w2;HO2r(@kirKYl1}A?>TY1j$@>IjX12jbe7=H#+o42 z(KNCpyp+~7F)n7Ny7d=x(sX3$hZ?2}7~>CeE$1HqJV-TgORN? ze7UO0rF%-yk7Y=|GkY3hg?CQYY8XyPe>OB(JcE?IDY>Kd((Bri{QaZe%tA9fHOxQ~ zQG~97CJ`$l@UNvWEI1ZGx)J|HDl($}?g_98gx$_cWH8e(P6OEMO_|a#$o^REV4~-y zzOKa=awU&|6Y9dTpim`1*<_{(@x4OULmFJI{Az|y(6w;jjgK;ZA{|*}8Vww8V z4`Uw%s`RO&gSaJQ`!yK;KJ$uikO00)QDTGJ@qFie?1NoyH+whx=9SOQGV>7gW#S3J zGWX)HdZDxURFmcwa6)=&$(oV60iWvx2P&^+8CP0`ZCZ@Kp(vGX>{@WBe_{XgxNGwV z0-TMr>CmeEy%4TgFNABlMVrN#!0vrX#9MSy(e+H6p7D>Tp(YYF^~bxD1Obn~h6?_~ zFBckK{}Z6N5dM3x=~WYgk&{PvvQ6Ud?quV&-6R&h9G&ijf6-ZBP7w5jeC%7_P}HA2 z3BpJeY2Lk%a@U1UU~EARcx7MFox=#TfR@SH^Sdlev~j0)X95mk$>D(P54`0kRPa{o!SKY`!FJV zA4@>eWfZz`DAFfSO z!`=spJq{HpeEG>G3NC+h^lpgUBXlZ%qWP@B+_VfeHIhKKD7CGAqn|6S)leXkyM=)fWABj8bIIi zZdy$c3sksEAFX&mt5l?uRzw!)JtEpkX`ccQ2=xT&4ro`m=|c752eNd!UYXEizP0Gq z;ZQ4|@M1?AIQN(OF072;WU7tWDC1{W>pUNc4rgs5Uofwl|MJXU>dLqL1(W|8AXR!U znzq?UfNcaxN_ade4+Cwh z4QkdSCckW+8DqOYdmG!+-vP(_=o&V^O4yh8=&e9Gd|KR%f1_V&RD(;95Jc?4F3Ss8 z|J^&j4!o*3I6X5o4L;GCb!+H@5xdOrfYtVZ6>Qo?aVTa|p2JYA1L)f*y+aDyXgPJks%MOHox`@39uFCEFFUi$kAH zoD^v@tyMSt_|{S@2c|z-G^i$lMi%d;PLz3Lh7q`$2u`i(QHNP4EyJfek>xbZ#8xz- z@%k~ag;l9tqJ~@$S7}f4`ry9pB*y#jF1;Y1^R2;mzG#$P!GfjE`|n6+Nb^N|9eTL& zLF&ZSE85cgr9~~htcd;?pVuC8vPEiFR~W-3!I(z9iLsn65y>mT5>s+#;MA{8SNMtvF9U(|btN)y)xlf_#%|U8a(S!ClrpR^B76`b8qc6&y>vMb#Uj$ za4%@WB~K6%%>Dj1Z+x2D@VC_H!m(npX)ng-+Mvt+{Ei}xN0%10n@@EwzbWI2n_nb; z?R2w0F;J8A0_%6!(Eg#CgOd7v>t1kd!Pgu)+cE5l5`8e_siOZ?p{Og5F1Vf?r!+b! z{g4S2hAH`YWG&nySh>^idE>9opl}GCadhA6bW!NqmONj(JAAj!zO}2U{iCTBCNz~| zE$CR?{XmJW^?HjU{1-7n9<%w`q>&K#VnzldbaF-gqS= zZQd0@Qzxcn@9v=|H*R04yADbD{>kM{`-7-YY*6b3(cbzn*ONNNO-yI)$UAkEF zwDJZ{<+@spXvn@{g6(ReLx?ICNR;(5dJW1xqSdWS8r?B#HdVt;X#5*~y|QQf{#B=r zu^6ac!C)X)$17TFqgCYzQ!$0?PQQZ+ixfvBr5u#hK= z(AyjKY9H8LaTFbqdukdOB4+j0+PUsa$`^5sXA@K!kKSj%q&gX^>Op-;;X58zem~R3 z%e<2wgQVD$j6%F%{JgN=vd4ZYL7?KnkH9142wiA`uU?0zs?ylwQjbr&Zw02CLX(gU zp=U~SVKi>{ZsB(Ao4O#2f*lTh>q5$*7tnJl_AjziZ0obCSy1<*}W8(MCk2gv({AiyJS^uTJCKTZy2{R1NwM97xFi-Kp4(7gSWYHS97(Z2xaDw0n;xx;6 za8z3fxUC)6lr^3j6Q9dZKAL(I{we>(*|rv~a9h9Xl!#*x-i8S;oLe{*Uk|it)@qsR z47IH(;mq4K9I1#l18Hgdg|<)g#MCzJ=eX26`M6YuE-v-ja~uVk8-s02!gb`-HXq5U z4VupB`Ka;~XJx6QiW=VVj#!6UP*zV{4HcKJpyIfM)$G(oIH@Z^V5K@) zeLt1$Ig!)$_iJ%Qj$Y zH?l_<#T_RAqQX-^l5|xZT+&cy#Ucy=?!H}!)Fgz72njV30pJG>e4Q@@Y**l!z=@6} z=>eg>L3dCNp==y2EdyHU5FJL+EQZtI)Y%n_j?`(1JK~hNF3s$1u^XiheML)EWyaD> zO@z_7x9%;lCIortsD7%nEb?WLqBfJ`$ybwS(}{FhSAJzhiC3jC!rm*}M=fKsgw)LV zBAInB@nXFJ&Hga@W$~-f7ttYZ)f>x0#i=i3s+&Vq{{#q!FK50eVao(t=lq3A8uVa6 zZCmb#nVGG2^tjh)4r>V)l-KqhzASdaD7_O72xk=a z9o9b0?b)sUEA09}E5ups$Hyhk-a^HK#5(@D8vxnbly*-NW>__!DItQ(qmOSXh)cm! zN5FbjS>bfGsB9H4s@Ov})S?ax1c&Zd(_UQQ-7+X#vYPXo`^1>?R7MJ9=Ko1%xLW`G zTtkWQdGkV8QNftr0FaGAPByO55$Vd`tx|+k%BDutl!i7heA&<G{+)~p0Fy?F#%{|)jr(Nkdx)K9~#hnF#3gy@^>@ex`mt4y=AFthBL!Z6}l zgeWenbqM}njYFUfu{~85Tiv_>J9omUfNkhBPEgop-dPneOUQI4&|X$@=O1F+w0ozd zm;woo-4OQO;z|Nm`Gj~1)&>sDAoIW0ZaCp-&|v!u(oSbVwL%|U8tuFx9bj6mhSw!B zGS6mpjfyd1=cfpTBs9rR3sR8}$gwcI<`hi7UawqiW4`gADbXL}PU)DumIMV4c=9RzB7>e|IV(@|xGx&ngzO%M-$&;fZC83=E#x`eIokP3>-%8b8q=C;dKhfX(9v5w=ci{7}t zlLt=HldnUKuiHNq%&A4`gK!zaYANv3DZo+kMnt@Qt9{g|ig#-?6hI0$4Mqw)5BPdH z9CF(N4mfza>i-DFKQWa%5eX4<`UL;x4)OXE;)O46d*@RosW^K=?B&KQOwmwq?xM*&myP~K z20b0G*#5|5n=nCRbv@+7Q`jB*_DXDPUWm_lafv?Ov2V;`oShcg=_$u4A%s z^Piw%GE+A}Lff{xhG$HtpcXWvoZTfutJJl#u7t()1oj%J`kcFqc}~+1?M2EVe4b}6 z4MS)&;X9l`LHU6+m?htg*CjL+fjw>K&#Uh+Vqb8{#=X0a5}I~K-(7Mv*Rq4*hdY-- zD#RNT3dlRw!4$hfC!~(hqb1jq1u)ToYAX<6CBasYDpsS-@!HXEB8PGh)aDn1jqgsH zTJI*L;H)E3bS5LHT2ryS8{GGRA8k5ML%>JzN~|d+-;1Jb%sSv~Y{|vhWe~SX9gI0nv)pl0=8PLU7UEBd1tYuF;mjI+beV z)sE(>3(^p2NCa0tK|F@Ki^6cl4(VMIXr=gQpB>-Y zDT-Zv&Up<{=-UTOZ`c}E~r>MG8_F%96TgKG;iq=QK8XjE`544sPAkIFuB> zTrBNhkH&^+T(tlSXzL7JAwtdS=T~+($A?#V2R*N8==>G@en^4Iyv`ZDCup3*K@64h zxIV9Cf?89Lll~X%X;MOsNppCL5I9*w@7wKzbfK1XzgX z1Hem_>nLPQgCsg*0oB4e9Ij{S=vKbhU zT8fyY#;@44pnMwL$sy|zsqx$w2@sicP$UR#O2fT1H(qeI8_N;b<9kL&BId6Cc&)FH$@H0ZItdE@Q!RLc0Lnvj@jp^@9q(1t&x!cejWAxBI z;IV($&o7|&jM`KM)KrDRFy>OcLHN0o--Ev3e&=_BP5M!7F~yLf#P z;hz+Y*m6b=)9=)t<*i!YSyFIaf=;GrlH^Tf9JYk1hca@p2pmZ!{p+-oO|LWT(P$oW z2IRSHaHCbo00tz99yLK@0#yw&R(V%DvV-n7dGUT>gYtQNGbRoEQ|XtgbfAY!Ruv;3(_|0MmCRFYo9PEX3{8QS_bx@+ zsO=gZqJucOh}h z$85A?2Kqe*gkKR(k?t*ttECAQg{~%FL5*DSdsp;9>^JdA5c(Mn|DiX&(4;@?Sn&zd zvV_H-d5w-rA-4GD@6pwxe3p4kQ9>ql9FjWeJJMW*yC1ZiJA-8`KT$+@^oJjD?NxK% zr%l;XQJ_k_IV}i35X@N})^2`aU+TN{>jcwRVurFz^-BON!|8&cxbjCpK z73-GUd%2o;(+>eOoc4&GJcBjEQU7!({=uf?%z+v*1>6ZbQ!vNp^u3yJ^l9v8i%Ev` z54VDfv+R#B;FJ6wU~&%))H3q7&p_Yo7300oKB=)N-Ie0dT6mUAam>BWKQR5~)LNob zb*5zr`;E0in|@4Ji~~K^?K#ra0m>bHK*1}A?i!}6RCAS3d)=Ik!H!|ebKs2YhSmoPl8?k7gY+{JIw{nKEq9MTXh)Yk^Lp_cerACGE zFCIhhTX9V;jn<+Tc~ut|_QBU3PF;&Z-R5qDpFO zrAM^Rjgih09YnnsI|pFzzA8xXw#QfWZO3?Rm!VaM5vo#nD9Pmr8xAFDcT`{WyHA69 zyp#SN$tG#e43WMK=#sQsJ|go+94$tWvmbxXU;NTChVDDD;qkG~d^w8Lf+4)FK@P#> z-l!z@A%_kpzZJ#2&rNzb>1{OG7-mN%T-XTdrJCpQ>WP{YdXTgcka(cOKTFw< z{hxMjWLsN!G&{n8cZ<{3p^c-%h@7@c9npU0nbH^~fwVyTw9)A!rxRcSYu?`+B4bEafe z2LUpOVa-9PGC#cvHJdN_v_3T4C2iiEN?5yz%kBusa`QZkWBWRuQz)5Q^3;J6MlSmv znENyDm#FW%Gk0?`bopksE+B963`e>z_S5vGMDE^H1hZMUv4Zvt09K0d?eF@nUZu#b z>AX_#5-|@DtaGg3s<1R<;D-@}+zJJ(SicqMH(YpFDy?Es#n*uoO*~jI1&xVMO#7$= zIIa=Zo7l~B!c;h!8u%z$zkZQL_Vnbp^f;7DZ87olP@A?57|g=({vz!alniJ4JIeN6PTXJYhm)-an_a}>(YWnI%yx6YBFv|C z54*^ZaC)4rQ;IU|c;;0t={(sBcLQ*Zr$KIgG^EmKz`gx>cMf2|yr-;kF?<_0RF}c-eL}@ zI)NhUe!%|@KK|9^A3-@PNF)=T44iayB!b#~cBu(^hmMn@wJ+_R(IlqswE*k4=13N^|!v_u!8|6}Tx)TuH zi&4>Tg-79M#yk@-lek3ceJ7nfBgroh0b$gZ9nitrO~(Jj?DTIFKNt#$b?QY5d<7U} zLX=vfC-iMfQXR$|9(+Czav&@#qd!wS?U=g7{9U$ApVeTpFyUbH-7Ffo#tBUpSCZ&p zjy;tF?NTAET>;l#E`1Ebkp#+*WS-IC)bCHk7EP6G_qJyNg&sl9i-PT1;_sSbs-U^G zSVB+Tb~2Y?Yct7!+riK$90b2Bwb_p!AFOXOG*Q3bM8p@UHz|33u-=3u2b3s0p3>mI z1VBMm!b?P=%~t_UEb9!|+>ENRMn40!K_7j$0^-TpIM?2gg*KhR5KYB#!i!B%A?t)~ zDkAH-|M{DNBlXa5Z(Y*6FG|xDDV!vwH5}W2z%WV{DIc1 zb>sO^8b#VG=y7YiNXCA<#en()xPW_>UHxMsJ`Sa5#Y|kh>F%L7<6k!kdw(1-xMvZ| zF&W%K#yE>Sutb!j6f5T#t;S+o@GjE-;k~H##&N&xmZ`N+I;;J>jmuHdS9Q^dVn=PF_w%8MjAfkp~^~6tSwHNfzeC_8Fzy=eF?* zL=gXG55w|t+RSs^*3`f^v3c3ZtdB@Pufn~}B*&F8hf*+SX2S>eUDU9H>6jMq4=K@f zUvyvcy6Bvk1YVzMxd1<8@EOtBT15;yyj?cbbcM9IgYOEL} zVD>RPb%xe|H(UT4j57yya$XBg)7~V@R$($ZX&9X@lbULrJi?iU7{9c6?oIz;zpyIi z5@dW!{B^RZi+0POG$<|g*M>OXV<(+BI664qzE|eWpX6m0LL%+pnu>n3VDhk@2p6m0j6AV%{FfU}?tX!^*AcJV4@X3&L(T?hKo6N9 z>H(M%gdmWQyxKilhN1>uOw&!Mhp*mIY;o~{dpp4r7X1{75-d}y(1h9Mw#y8I4mg5i zlbA1`@IHiYmil@-(UJkp_?v`%Hp}yZG-sW}-lMyg6*lM7lO1~o$5A&PU#ezqTc6#9 zrrJGA+Sa~WXrEV?phz*djaE0=`Ro{H*c58Smhowg>K!q4mmp>K6_VxCYKCpLW5|GZ?Z1$zd_!GzxKkR!9$CRkpb=wh>3WJ?e z-YU6dJNu@E=94Hv`MN|YxQk)~p(Sx?jp0t}`lTElr}_M)ioz^Zje@ zxMu}xCO_+&d=B5@wYql;UMaw9-{MBiXq#qa4qSHnyQ#+6k~)suF(e9Jv^>C-tbf5i ze4iQ8%KYn=pg2GLOTZtcci@J9K&pCP*MuT=zT|uctUkLpS`~NYRQ9{e>MAiVMh$#4 zko&h7*ex1ncy5=BoA#ni#fMCsVynhAi@~%#uT8C_k_Cv1=LSvJ%`Q+OriT(}KL%O% z%qgJY{CjsY1~d=v@n+M^(hKhvC^y|Ikn@3<|M`94DD+^*Bj~|*_lH=5_w;MFj8TMO zkG!ZEzfi6O@cCOTEK2Apcp~3r*)m{;cmBLxGEaRYG$2uA_vS=U>5p#s-g}m9yV^1T zg)E~`RL-R{Qr4dwl5Sj=15UiWLVHkcPF&jHbsa{_ulIae&zZ^j67^Q^hF+uG;jC%i zfYY9aEU)|CYDS<=;>5m=1S;8YolsaL6zez6VZ_t;8<37DIK)gUVA=N!*ZZDF~%ZFi@cmS{L|*wlr- zw^dUJMr38Jf>S4T+STrS+1-h4NEaVCT>NqItgDWxi`ExqnI7su%6U0n6*B?B8}8Qh zN^mzCTD*jISU+Fdvc=>&38r!Hbc*Ty<&JUVeUG{_KgtYsqv}Jn`Jd8XZjRHytz4td zkP4&~VOlco!~Ffu5m7wt+u6lz`UZ-UNZIrCy$t@ZK|*{ z=72}w|I9$}`&+X7S45Ag^|^o<@$&1dlk1^RX{_vDoOhw4(lF+-~1Nf zdl13`N#X4cTB7+j^@LNVH{Lf?{o|Rr2v#TKm6e5Zwv&~XKs9O&Ypoz`BXyQ4o|IYL z3#qlLp#uVLfPg2%JrAUqOJ=XFU??vzS2Slqk=*|K@Q4QH#tjl5sO=1C@cnPOQM8KK z?3|!bfO!8vu<>=W_$$D!5NchXwtk%c!+}+q2#Dq;9q|6_ z^Jx+;4&w8Its{givw7l8B434=Kn=XV*v&gk-Efy13RqL9$aV%rEmjM5FI&~_v>T7M z8DXtMmF~G|&2xct{e$PBD+r#2uX152veSmA^{ZOce}HS7_aoEN`e;hIcF;GruN>4! zFMp`Z?nGSg>zpKQk=y^?;6(1#vT$V@0acGhMIz^lb$e{rN*?IFfLf;Y{gY6nHqk5R z#GQZ;iq2q|ZEA;#P8e>{DByY*@ zISN<-JVvly2kPHQ#=yUo$RbYoPq6UaXT#@be>cPBt$}kw?LuEy%wF7f7qX2$bD;L-~Ux z9&-*S(?t=(lUa1d~9?em!p?M=_w>ra618^Z6AFQsENE|BE@rU3LR; zM0wg+R`jZ5M_D5(cZP;bx({xBy*roJW!~v>J#p`hcK1TVrkCsjA=mJymzl!GoJifS zO01MwHHWv=;b+A6Gf%%D2rYVh8T^U;+5XOwqqtEKoJvD(P{LS6&^vqg3KlG_ydOE_ zACg7P7IXO&c(>gDI(Yai>`l-@gwC;$wJ|;d;@ji6sfjfIOiNi3!CTCDg92q{7sE;7 zb*;$HmVM^*+4<0i}!51V&06xEF5lnbF=KDFoXLw8H zJ)+&>j9OZL+ud_X?8A`YY+)s6`LeYzi2HGV*B{kA;`8V~E4<@m-@e)h7PbSSN5a8- zdR5IpodavOm1bD)KRFdCwl3fb;jO`dwfa%fGBX^%i>)E=>fWe$cH+{!FEnH;-bvVK ze#;6$T@T8XW0|RpOtAz(HA@q$8HUV%k7(6qM}BG5^riYLn``i;R#)&-h+B8!k*fJ>+U4yVp!}}MC!!%2 zl2%yuOuqnHYQM?>tzDS`-WU`L1)Y{*T)3ce?nXjLN#}AJw>hothQ;SIIOUrGn<Zogqh{u~9@BozVi3K> z;2Jpj>1eFwBhWY%1&aMf>2s*H)MEXX`D~JHqa~34QA%Qgd;XJo#W-aCP+A>^8QePQ z{K#%@>wBqEn;Xib&E(s6M%U|0Xe3WctWolvvyQ)H1WNEPp*X8jTe68kcBNsC?He%A z)jv>RE-q}|fHwK+STuK*Hfg_kmN&r7DW+g@UM40pZAVa+oi-dt%Hu_Sio_?osBp;y z^NzCacMh~5)QwM!-^fM!FXzp?4gGs5;rjQc47*YT=i;&Tu;Om~uSZifCReh7O78 zo@ah#;|Y*_=nIyMY$rY)H0{Ce!uH0cqJ61uCpPAZCk@fkVz$8x`h_YrVT4x(O@N!s z(vSkbxVA@HvKvOEe$*y0m!SjS4$jkvVdu~KLifz(d@ws3L(U(lwWaDR$e`Vj<%*={B zuFp#5$cfA_z+1Heh%1rQyM`zwDc{ zJSWlzbY50{G)-d{DbcSlyy=}6iEU(&#l3jSeA0PzM^7%IQ!CC0mcqnGt!`}JO?yLH z+##oeUT})u+OUj#9#&lA{P9A9vA>UdUUFaN0~Tpn2O7J;h~;c>dn+QeVSZxgroQ9v zwI2Q1cciWv6oH_>NcQl*XQI?Ui*J&fr+0Att{*mup{fF6`5Cz%Mb7vc8^_!rJ>lrl zFEqR5Eg;L-&IR;xRhsh+9fWZXVp`9AJoB!v{9C=WYYlZ({X^AO7orMygbS@Q#Rqd( zYgT_$FB^({6|mWC5>l5t_O^`_As>8F^Fwfwn7PHc;WS|-!CO$9HwThC8jocy8Hk`0 zWB8P+S(@>`B$wlhQtOuApJ`;Qax-KxL+xu>-RjefQ31xQM`HzxNDWGQ-LO+zSFop= zN5^byQo6gx%E`DT*>*Fy%es;9RDtzAP2NW8kIijE>0TA^pG!{LLVZ>KqFjA%{&JLF zQw47A(h0GwjdeRy8yWvydl|(CkIL-@6y$TB!^cczpIxi563z#B#jw)5U}iT5hONG{ zhk93WSC8B;ma2yrPgf0eed3+V~ z9^GM^!NEJNG0Ah~C~QCpg)0bHwiL?oAtuQhM!3HDl68job(K_K{sVN%{ANJc~BM7WT+tyXoE5m z2`7n#_D0r-GD6Lw9Ke?n3+9wM*c@4Y8Z)+~dtiD#A|)T<+!VmG4wYpFI_d8xosUqI z2geHL^=tC=dM}8xixy*?6sP&4wyYKkp+SkY4{Gt2L`$0N37|o03O|D;=oTCth;CZf zXC`U&M35Gcz^zwd$$1P2$2UgCXCOhPZ75)#l;XxtCANztkMxj}63~1y&0r&5@_XZZ z=S-pR(sc$Ob?w?8Ry1oqYvQHVyp^}46A+ly3yEUs5Dap4^{V6+ z=bmSgg4$$fTovQJZJ@Q6jIwnvMQYv3ikPr3!Li{Rw zF-Z1DYd!$+KC46Y36)!eGpP#_%>TT}%Rf8$`j~!_oS(rR%fNda9DYOnMmeDav+?Pb zx|PYEngAsYu{7m$fmstuE6 z&oD}_I^CTUGwkbqZWR}ap`Ywz!6dKC(Eg!FDoO{1sX_%@8tKl2s&aO&ue`TExSxyb zn&&JaE-f!wDGV4_&4oQH{eG?1b7fXlA)}opJRxa*ZrCY8hgE-q{rWa^f$Xlc*EHKw z4UJnz^?ZB*h<*r^GPyc7D?9S1P5cSKH0PLS_lU?L*~4N5d0wrYz0Mc$S}!{wGo3XQ zY}g8fzF^t<@QZK~QtUYYhyu+er83G#Aa{IaLOW*#NxM@fyTW)O5|2%UiN3;80+Ag< z+Fzjqn3|pRgX7zua_SfK7?iBIHv;UkS^B?cIO#e~EZdTEbj2E$eMSw5{~K~fl$~95 zw`b-XlD~mx@%rAmkAVGOB6-7@bm^SYXI(!T-%dd!Npu2XW=3k87Bf=_=fpdqiccsn zQ1uG4Qs>Q76jL6`X>f?oIA+The0n#!3rVz^^ivW0juevnn!R@1IuG4>vdW&f5J0;O zCC(h;R|T(r)qLx&W4=S2XMHZbM0xyYcR(;&bA4vwv$UB9X*Y`KT!0$@Kn;kST_x}Y zV4R^wKY>VEGn)M1$zQo@{@zLLKnK{y&}VJgN;irlj1p* zKGlx`?H-b+Vo=!Dd9gLVfS6uV*54JI&&kkIK~-D+TQdML2c?H(Med>tZ0P3WgT17W z^hk-5WEkvHz|Pg%?Bpq*()?58O`}cinLZUg!v6OpCir3mm=-xy+uj-ga-vox-H$ge za6C225AbCVX77N0=)-R|O&4OVocwsClimU001>r-?Ooy-AchxV5WOj&hT}XZ_$p8L z#UCc-%O4-5mX7ZyY^hO}&q{qmy$7#t#_8`M)iWtYBb^5fCsP(Anp4WzP%c~}W z93M#LO8as_K!#NsQc$v3WHMq3$a*>TI&wXGuZ7I_nsZCHu&zoydn2?~qcEFgVJ)+J zfFB?W^xc{=dO0O|d2kv?eJ}@@duolzEXmKCYNGNGH^YDj0&_%%??3HB6zQU`nB1x# z=jtBwa@l*apt3TnNauo&kl@d&%~*mQ{8wKW1|7_|m1})m%214~SUY6p^7*31$jeM$ zFe#4IKe4>0H2MI@cag=lZNahz9{!<$xs0E;tFb=kE|_TSqARAcz6w4|^vG^#()o5E&NtN$yp>2FaTA=jFB>iQ8U zL!*`TQz;vkvFl}WUE03`NvMZsx3TTkpX>&FifFl|VeGMgt99j^eTH?%e)ssRaU&j& zeVO!q53S7rW-!0&%*^YV8G}~sKEn%K6MOoHDaevYBNiJlC2>E!&!d<%ArGZvS(&@T zVe~|3{^Q*=6lv?E(J-A515{ZkDLva z<$1qkjJXJgvlGxztzL}#rH>kgh3=2~#zI36h6?(_I#z1Oy5Sy2LA-n9v;$Uydzn1o zSE~F~??krNWF2%~7Ds1P_?T9Dh{XG+)~seZf5t2iYj7;*Ih)L#i1JG1K~Bh%DeK)F zGF*wRK`c2z0Qyciu(V~)N`C57GHdjbjik^M8%CMsRe~7V@xow@>XdyNCky`lqV(wO z^|%jJqmYaUQKwMD1utCoM3*N zXdwhd*tI{U1gfr*A6O<4*yV0+dg4Ra`N)>UWypU&o1i98*YRA4nt9KqAD`+jx_P;k zjz~~Hd6lr3Mv;kjMiEgC+BgHa>cr6JvrI?ubT6>ynUNDt)acL}SH!3bqb@VeU9Ud* z6xPT4f;U|OL9e_Tonc$Kbs%gMjW_6U8FwZKNe~&eEQUJ6I|*TQr5ESp>m(d(plj-x zV582@q^|)OdPWWcbw;)e_@8#fKPI~P?|`MdL}P9IVzA*!Vi)u${{vdmzT6^z>h2<= zTg)$g0m!I0t&UJBGCj*SwiGfVCyl`}#5AN~V-smiC-dyE+<>RnjD<FJ`&g%PINU;0UnW3x#SsLL~gYbAYtyy}}YT)xZuuaLPbZJ6EOmXBdC-zVnK26GmmHjdMGWt4whx zhf9CyrW}o+f%qIv9OQj^r4F#aoHPtZJv4x-%QEJxawQEb7=zhWS0C`WC$#vqT4j^3 z?MAII+N(T*>26Q>nH+ZdUA%&f@_4UQbDYw(b6l1g-177PIvdu=Dqqr&f~j!!PbS@$ zNpBrNSPpNx;o1nxk56=@}@ByGUuS-^DjoQek(h_`5a8@z+iodGLjv=ad%qB(a>-U9kjLI8$e)PuF& z)cyr)yQ~S@BI4v-u*ASN!o$`7I9np4O;Y zu30tw+TpI;9D=(KngonJxeJwV&&+u!C=1rPF_HL=9L2>D5RzsrnpyEHbBYnn&-4g37H$e8*I ztP=ue?K_!JAEMZ|g4sKyv|EsgTf$yzLLWeZ_{+OSVnxC-&6M(s3%F?T+d#Quhq)0r-yf%!$qP$XnZq&`#G|-&Aq~%jc#5Vv}O4 z4dn-7IdoyQ*dsBN2qQjKQE0l37tNj0@A-*Qr1~OgZ%l$O29enc1*j(Fid>UzHD)jH zD*RRz4J%~tQTfN%Q1g}wU5^kix&ot~CUAO&2;?j)bs5f70y^pX2mbM3`V8p~xb$BN zw+5{8s8GbrlvpS=GxX{!o$mmlCZcR^TYm8(xA4zRm4WkUn4T)`SNI-j$B6RI9aKfWlKNU6mZj6Q$oHO@)JkU0Lu@KAF3F1aUB| z1yY*WejfIjdZ+akM;EP6_#l=0An2T7G4rlWQ zvciA+_ZD;sDWMRj9{h#-1L_$O8Een4O{maXRzIM4(^b8rcWzwA`38BT_l8e@HPQWy z?ms@g+CIj%eodHgc%o_W@o{b^VY)FG@b)g}4s9W8->8s^&|>x--=`}x?;6#L%z6$# zMwjk$Uw$lkoP7+t4Y;{Aq3tuXJ=2096y&t+e_o76H($-#fDH>2D%Yvxl-}y^`vcrs zJN~raSL^BBKX(iTZnzA!UN7Ebd)jF%$Yo-MGy{0auN6rb<+xlnQ7u?x9gB;@>8FmO z2E1!5ga4cSS|ELj*nvQsAqDWM%y_8>mRi|0SKw9wIeELrdK)Qh)5iLmBamI+fgjrB zWky^u_v3S(Zrpz}Fw=w(EH>Jdzhu>E4e$%YtMJg;A-!fu1K&yGv_C`}saH-Obv|sG z^Qw$$ET>IY8aG*myqxAiB@#_@MW$ALZ_2p61fKQzr5i4C7_fy9i1gY_k`37&&>gC)sP`S=i-&7xFDTzHMdnWVB%k*CDxvNa; zbw97rD6OO7J?qs+cHF}yv=iii?)BsTQ^p%d%%1Hn!cJsN9S{&!zrT=qc*#QxvgL(C zObQ@WW4_&2BYPjxUhQc9$aHT6X~e#eFT!-|Y}7#``dgWvx_hAsdDa-YHT3$OF$Zp!=NzU_vIpl=ZE=(^@(|;o4yF=BFc7Nmus5K5+R?{C786G zNed^K5Bc`C0-5EPc#kvq(h)bLNitby)6*Hn=!K-Q2fMDj#x$-m)a#Oyw1$78mt8zJ zUudtz%9%$N11Sjo;gq(kW_}HJ%xF8tZ02OVWxRo0e=hYcN>pH>ta0%`$juGDUkD_#@B(8rL>u7l;Fv~4T5OV_el z*Lp@=bT8(LT{8m3We9j$+upzW_u|%T3|TcOLJBMu`!& z^k;C&Yzpgmc%MDgC`%Y*%+6(YjXyiIlV$Nlw;x!v@3b=F-2V=Gx|Cx4HX~Q^Mv05g zDQ=fbS5Gx~4*X}CY!(hk{Gnm&3i)PiZ1Ka;ST2I-Y>!Ri#FY$OvWV5Yv`62MVkPtE zuiYOmHH6Tf{`f<0PjCALQUmT9#{mclveW5xgRx@K7vdlnjL)C7otgL;BTW406S#fv zl^njbg?&wD&SIpyPIBIQ8@F(q#zB1DrW}he&AnfDGEC)VUH7H)!g*O^Hmurh#&i0n zCtK5PsQM>{RML-Nv|_y$_44VE zMKcrQutl~3<4~$uwF2;1?I$BEnJvRab+zBv>f~0gu}zo781o#^uU~G;O7WHG)QD`AUI?86%MFtX7t@1ewg~>JseD%K1Qh(VO!xd3N8QXhdtgZ<8!X zxi5Zb-~dd7dTx9;FAk*%QvEl>YL#G3-@|aB1M+f`K^(8cusqIraP8dOjkhLHH3KuK zzXh}hWehEg;3Zf#Z~)9?9PIew&N=Xu#i@|_)qe0)=z@^Gvly*dtN`jlMY9G*F|qWm zJA^$>AR`GTUQd+2oxMdFrtv?{9xioSc=X#@_azMsqK2(=W>5Y4{o&UIqS4(|rrqAO}+JTulaRssL5s@vE<1WIjjttXM`rV+mvXO` z>DKKXi(E4_bQyNB;Yv2ZOs(eK=v&u{kg|an%Xmg7%s)SW4l!t4eN%z74?Lf&KY4BL7E z@Vd#NQdN8H!t#zL71G0N&Z@4ygbOBnD02~VRI=yzBwys2mFi_P#tu79UO*UXk~lFc z{?LnOKwzEDj~X>o*M&Dws%9#zBr&*=&1N(&>mp}IN9eO9YZanOlv(NIbUBoYw$gL8 z%gt7@RV=?tZPdrF+p}Orp$Ma9zdcD#y~OJX-XIx;Xw$N8%V?|aQE!fe{z06CD#3mz zS5)Ml_4*NMKaXfk)9=?;zY^qlQm(_&(B7Kq7Cc;e6K};)|9qfk8|4}?tE1dad_)gs z%4J`JHgqBjZYY&*kf@vs?V;8e(dv+?t?~gj z&vAX`Gr4fCe?92z^#!g7lMzQzf|hgdTvoAq-kZKIkf3ksh4wK;Z6~ zc0qVAU_k177bI^~0;X<5GV#(&cD-DONP9-r9Bua~A+2_E=r1O)$uAO@R3Ah<`o}R& znY_KbLIW@ZqI>nwW+$6V)W zbRhe}K0H5V$$v(F1V3>m^M_1Audf7H5nC0yOtoZi=3txIT=Z;j^XH2uC+ZK~S zi9TMwb}1F^U=_uzKQJJCYR)HLh~l*Uslv`~sVz#%G=8->R}V!q~ZDZ&+7J_z3!G2~^u9{%HV`+2k{ zhdK}Yv&BlfFsrdHk{A1hx7y}R5`chz_UAKc|5^;_)<1la^(TyUE&mPb4GrBAgnrO<4uYk)9s(U> zw^(#=xX>&Q=A!WQm~%hx4zbPu15l~%nAQ9Gy%EZ#Ttsqm2UU;A^Gh#Jw>*M)ZgRZg zH3GXUOUs~R+%BzrW?AZAg(&UwRjd9Dm6xlqgv>#zmCc)5{60k$_w0nwvilngg~2L^*E=0j3h4nxU?02+ zt129E<5dfsoHMV8Dfv^j`AUm_3+4r1&8(Mwb&)Y|!JPf9n+gpKNY@VWV%0ZH34fW= z23e0O%)KEUvnM}fyKprZG0(*+UkbTR9e4#NAMsse zcH&y9_dJ8FdZbMxKk+5MbO|AFpfkYy5q`?Ue$bk~c>k_4YaUCy_-RkPHk*4JJ`Z(~ zztzE;Lo+B+Q)nY{<5`PQhVx)$|6Eq~P0)(g6WTHkVyZj5NHX>*R^S4CP0V`TQ#ehOLc$$u3AI$bhc4RbuJFN#FP%@PKT@aMB8QgUQzeKrpB(V zLItIm`^Uz>F7ATwH|lb)xJ)BoJ4NRVy95xB&tC!m!G!Li!|Ras@j?5YI`9lj3||AQ z&LjDX=3B)NU#vcqs^8S%bV~z#KH2`z*`$}?wa)Kc4=Aq_mK5j{$k@K&L?xXPtWd7* z=Jcf`@ROx8WtD=Kt-kD0|^YUYXB!8@ReIX-Gcr3n@h}gZqUAKi>;oG$^ha_Ix2pg3B2bGb)R|e z$V%NJ^_gaRX+psGC=mX4#GAV0FZD--*&zWk1ax=KKylXcx5t9cXA5P19lvme_isgo zfWC@=SgS7z!9&I#8~6Aow8C_ugsc5Lnl_iaSucA7|S< ze_y~QKGlqMM>-+pL^A}Q6K1j_{tzdR7|N^%a>Vu#18v1`2_8KXU1&gv!%^_x8uwyH zU5N$BJc%~5prsmMAUr~`yRh{&5~g0-PGX17NENdg#?hZv9p5+S3cIgANX=>9_Y@jqQUN^0Q2uApiW=4Yg^Dfc zep`h;JvxVl4dAE3e!Mkk)}3@^4PbU@S&fDAY6dN39kqmy0#WLwzcg4V)SEPl>2L<&?zb*m0hp{{p6qN68?I0H+kiF4MMI$cVlQl9Y)>|FgXZr(1&^{^L_ruiRCbyms77lfn(2e)C0c#T z^6f*GdX|#cJIKNj%J{EKq@R+9XFh$21No!{#wz}R(UKy7 z*IUu5z480{xuRuBmTa9Vid{gL%+x33XVZEt9CsH;PHg%5GnqmPcd59OU2n(v!K!wcEM^Rr7Cm00Y$N$@mu1shW=&v7L)>CDeQv7G+D8#-%J9=Z47Cx zld|4^ev;qIIIlQ-dM;oRSaCNprc9~aM+zj+Ua}(U zXaJGgAUCYJ;QZG>d8H6{w#Lw}CmwHI%C_W+FKx^aN*44=HY9v0?D`75 zH?9y*@n=H~sXz)`I3IeRg(#tZDVbGMdnZ@Pq%X01;u_b{Z zE(mT+w#h6DK;XQ1>^>E^${)uVDio1FOG)uqZfG3D^JLoV|A2A^K<5mhR~tJ1jL9(8 z7CzBHvaP?$+o>nXMJVR4byytMxiJnBQ@cHgpA zb);Dt{sre)fS_!4VAdGYFw?B1Wy_obUa2Y7z~k`J=C0tk)ukLdu0BdD>+9LdGuHt6 zVub%jrL3J-Go%^n@IPYTtGwJYiz7Z~sfeYQYNRQi{_j^*>BBd>Hn9k}fBlifBl}XQ z;Cwj-w@1^zLAvgqedbR@!K|t+0#mf{j8$HG%Tbi|L^5!h?3pR7VU{&OUwTr*?&TSh z4G>v{R+?6=aI!|6QbBYCixN^_wI%mwlmOg669J5|z>ND=w0By1J;C<8B0sURWk?ev zf%`IzujuY958bRcBy}ogbjO7Ep(e;;<(KMafRJzy>L;J8+Ql22g0nAx^Q9b<@J^MJsuaRmau@VxwK` zIG)>FgmZ9PNs?L68Wj@_&9`h{t{gs6y2@6^lI;Ed=%FK2Bpg12M`vH{-n#c9_9|!3 zBdCze%mFS#=iuz``N2yC=7}7ah(QGNR^!`~=h^ufSLIVQ@g%~;`4Q>jNa&MqN4R=y z%(Ao0+wzm?6m~@Fd@s7@ZNfO?TO#~KXww{ASeZYdb0x>VY|yKSvpo`Ob@mbR6zh@n zb;l9we@r%`+Dq+uH!Te^ic%j+Vty?1gZfYy24^5vpk+npDN}3v)Xo93aN##6?dUnb*Id<7^(T z`#ANIL}E#n;udUTaHWU9YkPvxrZVp^VPbDk9fGEgJM4l&SN@c|NYsQ&vAvjCSY0Sl zpBtcmeZSLw8o*m{S+A#jj9?W2-=xu{Sls7EMQ?y~68|kaa3J%--q@En!RZ5$u5(h- zmY^>J8=22g7W~@TK%LZm_65nCO8!%!u{XPrY#6L@XLl3P3Ynfj;i$2YejO<^slE z+j14=&X)mXg9$AWGL$e;%-$=6NR47*oVFx@7iaXEEcnt-&ry069XnL}HrC zSSRo;s$;q|B()Z==}sCY)>+1icuGb~FE^|4*Qm$bl$fzT!ZMc`|A2%Tp=z1A-AI(A z|5sX-f7NQt3GFP8p;d0st}AZbQEQp6RpP6+Fyj2z#SYhPxvkH5X$6NJoT z+-bp2^|+9q73W6!mH<{FlC;H05E_1xmtb?YSuUEQ-Br-Wi@khkixl?Bv?qw)YTgl?8)Jou4Ch6bU*M?Z(;Xlq4 zaIu3ay^Ll0nqor`?nY8%7s)o`i}wnDK9%6Lp2=NeGg8A}2$RT_*$_s1u;e1M38phR zpJ#~{jwVPsp9R*v!n@BXNXDwZ{GMW(VTJ0S0*baisOER64#jRahGc( zzJ61sB>l`QAY&{kuH6&Iw`b&pA3Z0<=VPY&HiJP1ry5z~dkTFHp%6xe3=AT7ultD@ zxc8Fp!)!Nfd=8(3!}`_5YR*zi-eTUKOR6|ABN@BMMOg<3@a7Qau@oWv?y)js;ftU} z$hWe;aqP%82qX+rc|2Qb^tlSHV_VI+7{I?$)DFeN+VGYli;ND7DmP_BT7^S=!IJu0 z{ZU-S4^)V?$n|fgLyTXt_a>Ke|JJ_jKV)5d9@wW7B*-f>bu^ecyss`Jz!vuU3$TyT zf_Byl{Z)Pr^n`{n3gVH$|N8cJ`y}T&3}_@T;dJu37rY(H^|uQBmrfFsx6w*X|-zAiPK&0BGO zeB7vMtN3s%Qptjf(Tp`7x%DBG$oxTT;^Fl;L~h--{+<7eG0$)rXv4}|sfm){WiV2o zaY*Q#B8TniUB(y2i6{XLt1i~9!u!zq_jKDMpd@&MU~$XR%KSW&388BpxvKE+_F(bS zM1n}PyQrB+g$fcq3&MnR7xD6Y2_o7&z`zLZ+<_asuxpOowgO*%+;rUXI0CLrw4D8y zS`;(ifM<(E(RK+X^&3wses10ESlBn`gL z;fI93p*L>cE#^?Z5${sdvk(=4cxcRuDIIb76L-PfoI^Nz8ew+M*XYY2;ktcye$?S~~|jhvBs&#VZEf0T_ZaQ0`B5mz3FeC(7?s?UKBa%0N5 zK!I-0KVi@;X4 z7PKph0Zc#Sy}xkbNwhU}6uCPp9KyB1Q1`EU(B~Kbj^C%MG-f0h5K{l#{h`=Mb$1|&)urx~7g!|QbhI4wZs z*a>IEH@dg+hVSJ!XGy?mK&x~W0T6TL5^IuvpL_dX7(V3|Baealyti~MD08XGtD9+& z4bvGsajKn-`Hn2QRFEmTL0>plxvS$dc29-e9iY@=k*lI)bul?`Mj|ssL?1E?yE!QN zr2^~j6^oE#e%%p&LP*_e0#%Xmmw_Zn)b#Xc`XMY~yR-iERHf{)eYY&3@ zABJZQGy#LuD6^^h39S(B7&ZL;7e@_lgf?)GZ1|b+MHk|&YKEvro!GWOP_?_0UvkNL z+S{i6{~$S9{_5`erl%ER`A{4NpSz%E;!W}k;pcJ-zAVv-#)$hV!p?XUz-(&c5vK50 zz+CIx-pDUBeICWqU`I~lX~_wx>%;IbwW96NDu|`ssncmp5P|#g&`A&HQS4NjrNi8( z3$FMq^{dg?;9-efBrPW_o|`sCTPhV0utXBmW3aSE zPz#p;sM^=52M&X|t=X+%sW?{v^0R7c`2%!!zDH`F!xhc`r#`Ga~ zBqQk4&Id$L;KWhVtsNs9TtT9{d5rqC@xp@=!z%=)AqrTNCr-@*Xb@6%=$Dyt$%86w zh-}RcwO(y%^_n&&u};@az{ZV3@cbs%F`+G2GzSi3yBakRAFzf=zdAf~1*z1(Pow5$ z*tl4K2kmOIsHX3Z76j8j)6Q)GpUX1)6ad!UMC=0? z!FSBqUD`j_$6*8Y{L!a_JPzU)uXQ<&R1Tr_OQ1jRvy)O%!dIT}gz!a|rHRa^^4XMF zA*>gwQCBr^j8B3XAIjk{k?Z|?o&6ob_zgzqO_ayjQ@jfe{qutV-9max{H4|lDsc-W zhuZ4Ho6n(@F>7#tC>v^dFYEB$J+rL$1ix*e`zRX}Fu=rsbtvl+!o3NMIdql2J=>rA zXA<~(~e1_i)E5H*u` zpraMPiHAcf+_){#f|)Gln1FjuG}bYGAqUpWF-X*{WSN%P%q=OO+UGoSpl_Pv5 z{ld}?ERJ#@F%gGHm&rMn@?{uyl4oPOBS~9PV3LYCT-$)cT#-Pf?H&g-fVzbb3 zr1HVUElRtNb_YUbJXNKaKpq9g|5y3rcG8ONb05MLfQS6|W3VFxq^3I!uzdc!vd6<_0r<(4b?5)O|s9(-!KXAgA z!a#_9BVb%Nm&f)7SHPBFv@H6Gm#?=^uwI;6BScR)Q`Z^Ldvcb*sV*654cL_t#iAAk zi-fE{@NGz+%g}X9g0bl`m9Uqe0NlmTjyr2PvM6I;z_aNC$CX#|-WK%nwLf$f4-Yj{ z3wwB@^1Z325D2bSnaoKguwxL>AoO#FTg`M4Nn*hQ=IXf^!AeHPGi$FX7Lm#RyR=H7yf>p(;HL6oI zG_hKZCn(xFSlvcF%1M2!L8BqX$QXO7(S%}Zh9#IcT2L&lu-1(>l+(6YyGDD8gCo|d z@eIY;1$(y9mEz`(^=R~@czI)e8ht5#{@8%VKuS5*Cg<*La>1aRD3I7)6PW z!NxYmQQ|LR6B-jKI6O9~F`07dGWJU2RZ2=KHm#9BA*N$98Z#+b+1P81*C{z9Y%ZaZ zOv%f~7Bt?V6yC(%YP?Oka~E6Gc#m@b0k*iYg!1qa_HpAAN@*Fkys?7vv=aNQ@jpsc zHMXYlIpswywyyCdrM>~%*w{pAZo#%Two%$Uu$_%vlvl5@-Hknz-ac%9;{auF2s_+3 zLV5ER`>v5fdH(_XvGEgSbPPM*NSL5ZPGP4TKT~FAv2%@7%KQR$v2lsAynjUU*}#-Eg}U)b%&-;|v{*xklG%Kl&MLE|Ci=orgvWF5*Sf*%RS zJ`%5&|5uA`MXJark@&$wJ{H}Tr^~^u6==CL8GuL1nbL+#etBqu@jtyGBkIyCI~ue^bp(x)lL&zyd+}KD@ego>*lRyD!@RWpP7^ zE%MV-kj^S?Exy_t)e&cW9De25-RE}_@TumD_u_#~g+L?=308{LDlgERP)WB2R=WEm zuDSC0X1o?YV+;jU(KdYJ|HG3s7+EIIx?z93VzS*KKgms_ z6>jzb{(cXhNX_edWh|#7sK<`TLW?HR;`+fd!*CEp+uN3}o+s($RRxcyWHV8;jF|zoLb@OYq7#}Y{e~@*6y<5PEN@m z0-`=vzyJ6I#gibK{94osE(UT@`R=z{JXEcUlfJ4h6`oerBjG*iBSAb$eIAFaW;WU5 zyx9CedtVYfuw==&YJH4s(9^%M*IikQZP$k*kMdTffOKVw`U?W#%e3S_gKjGr5 z(o75m-)v6=olrHY!2fq~PlEf(=z$Asb{7{&JD<&Z@603Zg|g)&O&8=YUgP+M$@K*C z-zb-{B+z7878VQ=y>Un-*qs^_?n-!Q-Z5UqADw?sk{`}1qF~)|9Ikk+Xh%X)HpJ(~ z7~{#Dae4+T0byL6kqlO)N&z^6DS(tK6aYa|QR(PZ89P)U5()jsNJu9j(ht1z@m!(R zrS-`BKEl&H&}Lb_w{VeqOKOx^eqlG&nfhfG=xhy~*1EY1K6&ml%PjpIfk|MMFlS?# zCDfG?nn;P)^*yqyHT$3}CzKWk+ALXY{R{1-f|e0iXG<2OcR{%ZV~@L_^#xk#&%r^|ix6T3WxS z(4r_(5~YJEjVCg)Ngk0yQkn7n`SHbkMPu!4>G~iLH9P$H~G6bQ~yjJj@T;6T(Mfc`xc@r@0=KKXAwbzFgajppd{0VcWGq^c1Urw zEd+&>gFs`!zo|$h{ZLa~(({g0TbarW>~YO)$_{c7XSuMq`>Y=TezFhC5OVUUfm*Jx zOMVuFD6*k{Lo2#&;`E&ok}vnY9_H@FAXTOr*rPS6Yec@8Kym5r>9$zYK99J1_EO+e zN3tZu9A|!9Rs}GL?vxI3W|u~)R=-xE_sch+*2=$|%t7XBp4+k@xu3Z$^@5&$cOv!Q z>S(ZmBj}!3bY15}j_6bLr~B{BtMmMF%O-d@#j-L0-VSFTvoxzi0$1IZZr`t~DL=&D zKoG$_|5vMHhH@}c+g!8smaFH$Bj;~Ql;fS;&q`l5OtMTMv~$>*>Dk*JEeE182?S-Z zlR)&yY!tOZxuV<(T@pqJytNiCy-rTzMn!7}D=o>#&)^Ae_%EICt6PHqwjpukGS9-| zv5P9#xHbA|0?vjt>A2x!>s#`22D85J@DY!;3E!O?{hvIW8BpWhA)W{+NJ3@Caj{n(#}`*`AiI{YVm!{GzY zQdxq*zVa*Q2ORbcUZ-ee$-@-3n;J9$b*AF97Lxf^RSj~F&G9G8?=7ew%Ou0H!(mC# zDfj=O^i;6w&6Z>%PxV|%qp4Eeo0lJ+o^tor%U@<_Qtj{`W39|`vD2c-k$a}9td+j&J(hfm{LUqiB9T88f6gB)^H&81j^1_oku7bUBaPB> zJ32gJ*n3l%2IQa126P*Dz_feFb#r)$a>TmiR6u?=AeG^^hpk*rLo9bxTrX99uJ9Vx zW9AjhygpeBSWu(q_e49={`sii(r!5R{v-Q#8aeFf^<$*Hk7pIov~jW%TV5eFW7V8| zUHjFJ!U?q7IN5_Opb+Z3>P5b8i``K$K|73-L)l1$P`TB3^7SO}?~Vd5I$@lg#@<#) z*-s@Kr&M&i=M5B(KIBc&m1iACo-P?_Ni}ITCYxpqD+seYQI#gK4|;Gn!@n%#`` zlkSL8_6I_wu2B;Js&9o~%)@Z0vs{&_GuwHBl2Jv}C%%BFQl=-f`|amiA*CMxKiT{* z%+^5UGl>yYPG0xnt0yiqHjd_xF3bxSRe^Ol!uh}Rn_aLZf(H;$1o52BtFXk&eCc>0 zznJs8AGXqIS$q*Ltd&f%-Z#7o84mC_u38?uQEgMtK+?PEjr-=GYh{~R{@&yqR=tD- z&E=yZ1Td#Zj>xYvGk>y>C0dQOQQLlrNwIEzk&~{3)czVbJvWa7ojEXxmctEdJ{7ZJ z5?=c7&Ya&G+#MW4)NPt6i!WIvSxNBs%3$zV&P+UT$x|X;igTs_{gSnU(4s~(jz0ah z^6|t&kEEk+a4!N^&2j=?>(XP1;WlkwJ^$MUZw1V7Rv+G0^>%TGZ1h`N=LT2DhohnI ziIx9Vr`_OuXrjRaa!R&VHUJRVSIsvSD}UUgjt+{f3;?_IdQN@uH+n!Dhh(EEypUf|ljeK-E-4opV=E4Y@J zYK7RKOzb?L$g;j)s@FTEY{HAnnB4tQgl7G+uXc*C4dT#BD!c&K0g2D`34W?RuNJkD zPqp7UVMm^vwddON_zHReTc28ad|x{>f!LgHn4FJeucQW#7Suf+0(kP5HoG}iQl1sL zOxkk5RF`geo5sRMG{cM}h^a)qvFLF%Qg3A`4JLxVzW#&Cs@b zu#;H0K)|qcwy-*^^|E^LwR&Xf?e~{f^#_(=dqZ#Y=TVeM6wzB@HI4H(l+8KlTpcMniX;t{vW5l+Y-S0Y zr!ZUKV9l05`ZyFIuUqRol?NcBsucx!PM7t>eAwSKdyWucFKGZBaxp-VDtm=rpy@?K zrzWQ6^XZF?g7-(p7e>G1B%TMy=}n`J4=^jpakM4oI1W(z4j-Ru>B+pQw8=nO#QleH zIeMGZI!BYM2GzEO_B|A<*w^i3S74SpJoVh!1PgYocZcM+* zdW(7TUAF^f3G=^Pet#wBkRo~i@7Jo#hyTUO{P?42U;$gnT2!asJZ9%^4j0<}_UC^( z6kRR)^ChJP;Cf!WhT^9_w8Ap{-5K%JU;x*260o#viDLYx? z6$^=oe#K>lKgbRwC{okBvS|+BuzQ{-$z@#?M-uph3chA!&nT_T4G<79RR2iesZ-`3 zT%zRy{(-Z%`zXp?r6kT+_I$DR3}-RJm7{c~kO^PS$+3uzz>^EfO>B%%?Y*bIOL30? zK{wddI^2?b47`9x*LrHrdGm<>%n#whLneRTDM>EGG;c>sjOvuf8mRF_$9pL-*7k_f@bi_I4 zVd-c7o@DaIZX28kw!iAo`~AZSlM&4No2EiLs~jO&`}Ai{0(-54l>9s+#z76M{Z-X$ zV`yLt=2y0!4nBiwv#9I;(SlQ`7tWA`!g?RlwIH4Ux|?Kmqxe*-f_00aSYhk+QY1v< zH(uM2)jLm}!N=IvJsHO{-i?=F#A@4X1}7{enG>@z-)g~VrNBukT6YQNz1=peL1z0( z-IkDBSHjU!!vD{j7cm&pU%W3(ZI?N7s2e&-|M88Zj2ri~XZPJy7FVRPumNKs`EI@dJ=IPpbD zJh;xZ*g)2rmQ4)ue(&6_p)W|dn!tao{+8VWW$0^`!n0))qEhOmN67BHOVmbc^?*AB z+zYF?Te`^*wI2K6&HL>4FLJAX^9tv?g+(_@)*Jqi_q2pJoJ&rae-FRZciz1R77Py6!(2wl%k&VYYOJ&!hKlOVL))uUYBu2t`AJs+>{c{Dc4aiYEa4`cc1{9eyp!@;! zep{D>=c^d|yHIpLF~aU@uRPGf%=hy0CH7-otJz><`oZ5!1jN-$bS9)2&5p1 z)}P(f>&m{rc*d$tq|=fE$wPayhe+|zJ+}P~`ylb(% z#bayV#6)i9fICfE?JPuc_*&p_T_KbgfqWV~d8h!j#kpY^}|eWNwZ@?ejGgS*V&Rr1H#0s!q)e@@xJgLia_f`Ft^j z=!+=zzc}%Mmo&Lpys{YsmLUL6^Bx!NRb(H&kyw4N+TXX64K4oneRs{gv38|}U> zxz;4I7%_XVlJdHq9*%C&o_8vKR+lj?!E1BXr?jVvqxeSX&|DkXY=YFRslOIGWcB>_ zsOsor*zHC(;?qKN_Z9hj1C!jxO!Bn<;clz3-V9Q6Gknd&TPX)#Mm4W~pZq6 zp8SK@6S`wNxIRk_cIN5xRhuoi`8tE^&VII(jN?VXo>@2-|q!*BWc7>v9Omxe5GU z7BY95AQLeV_$y?tZ{|0ZGbU&;gtKibS2<)&nKq*xvUYk-;{5`+E*X!BkG~M2i_7A@ zTM!b0u&DX+HTW=R+c5AE_Vz45H>9CYHyixJpm{w{hxB;wH+xbhOLmAFaU&kvu4LW^ zfxE8EojM%cR%=Q2nR{uN{=dG(B=62@R7d{rVx9NUKl@Da!4-GE(v_~QuXzzgoQS(~ z%fS|>EyU!DavH$RfD15I8IzGlIGh7>CZ%K7Yn}wdZxKn1RwjdrcqpjIPom?B&k3~2 zv$1zBilgTIZAK_SChehnJZ7=K@CmFgOC!*3d^_QuAaw3@OMTfQGKx?yP;^F@F0NV< zA=G}I-JXrK3=M5ah+^jXahDWDXOTA(_Yp-k|*c8$tYFWzhXMc0rU= zTY-`rp99@|Zcyq2aFNqIG?S+DnW(q(z`H_6Pv*G*HA)~=3<@w;lL_Eh#WWutoDGoh z1pUqhaGraP;RulSU-q{>)8Igk&BWO7ufvujvMhGbeZMjc54|I6&UJ;@=tr&Nt}d8O zy1r!MUv_fUBdm?TFJOsF-|7_d&A?Lndio)n_iHTfckTDWw^7Ld86uu4ASOdFIg9gn8E+6wW<-D)^1?h&udu*WiAA4hnNegn} za~6TAQ#JFbz?quiFxY6u1klheqgn|BI+_V*O}i zL=6yw#jFrtQCS0vP=(#CBSpU5zi!nU-x6oagVFxXZ;aQNiwkYy6(s-af7?G&z&ACb zu_^+82o}dHZZmK+`pfvOl)uidZjM#$afHn=i~EOr0@~v=PKg54c zzA8^tvLR-mYJ;Bd!>7K1OioU((s8VxB<_75IoaKpE>L_A;cUe!lPxJNzCV^WnH0+5 z@;v2)#wM+9x_U|Bm(42TxHmThmh!ieaf%*^d@8}4ch-d=UrKPzETNb`XLl7$)k8vN zDTqFdnRV{o0f0zoSGz2?x`dIO`wcnZW?pTt{O^^ImAqeZ)3UpeSI(OG`@dE_)xVd% z`BYNE?zS4XQ!e=Suf?y z%lKRQyUPczwAOZzQQrZ62r%9~=3jdka&xeR=~}56`V5=>c9x6Z{EZN#;Qd7(iwq0@ z-P=@2p0ZP5RMK-h2NYdeyPx^yNyQAiKL)lB;ecy7)K`zuQfx53GRDNyqh+a^u{7=% zxHN1!rm^zEuDPTt@7yBz)gSJ8{oq4wZI@J7NU+Zvm3S*!3s<3{9nCv(;41<1 z0{>{_emBd3F~E{;o0Ya0_|9b7>ZuahNKm(VZ~D>tU8mO8zWsLe`z=ahKP z+RCMC|Mk|d!cSvfjc~Ejz51%={GR%I_5Nme{>LiWTMyp!f(E?2_|)fs(eZa0_7dDr?A z@L@akQ>_TZ4zTvYv!vl6Trt9X9xp51F|=d& zRp+GhH$ouH^6dMAq~yvV3smVHEv8Z&aL25}#M=5(Ydgw+-rYFcBEb`Z z8*qX(Mb2y664i-z`0jIm%`}x^3o7o2=Yc*{9DM4Xusao(A^(>@v?Uzj7V~Oeg&#zR zDC1njeCXn5kzI{$=~C6a+35ngP@o(0iXl>`r#X5QSQW&~kChEl-KSm88Gdyc;h3+w z;~9;6?fJ(KZ|Ian*AP{=`U^A4o=KIn3PcuVonHj(%Z>8fl{WQ(F8VtffE5$;4YA^7?<1Mfmo4Xh2MH1|9 zZFl$uXWFTFzn=4R`B#TD@RqNQwPjh6;l9PkHq^O&ybvd=a^H16??|=tY`u=7#U4Ro zI{&N)53EteBu0ds^8wwcBp5cGi06tERd_~d*is;wz+9YI`ikTSR!#c^n^`)K_07Sb z+{L!=pChB2sbT{kg}}PT`zgrZa6r&w%unMJ$tJ}JZlJ8$yRM39GlE&aFo$1ZtuOhY zpyw`JZo%(>0^T%nmr5R=z;(;-(JXcDv`k??$hoOf)8+xpmqg3>NSRxw`LW+vM{tHY^W`REwW$1sn71GQ-$gDP^hYafH|IwFf{ z=-t~}?WCPn7IL8o2Z~LC$-NF) z54R(Bu%ag)Bbmo8Q)kjs^m~o5!u}}6`J>zsEkG3y5{_Oi!v5j*6p7t%YWP#K;{*d{ z?7`ppeaLGCi$*SCa|v(WIj!YB8h{Ocek2R6N;cXbCI9tvD>H{L_VMTpmlQgoOUrf%4lf8*A6Qn4J>-VCw=@QM5P*DK1?5#H`>{ z-4e>ar%L%-g0i+bl1z$HH@ltU(Z&p>+x{#?P@s)Y0EQ@fHM7TkV~Jgwj|0{31z4;n z=`!(5hr63$Nu3Z%k21LCl<_ZT5F4Mg@fUrH^$ESaYGdT_DxsNNtW#LVT^BDoLzj8n z$c8<6EOTXn_t*mY+0rfc6%Ncy3)c9<8Y&)bck_+5i+}VnQpK00n{uAVr2D^rNivA! zsI&@OJ2xjHe5_FQG>r3Wax(~3!T4Zxb5C31z8_iATm#;%MXxm@m{C^MU#O4gl%nvh z9vg;*md7_P_^P@LEdm^LQP`+wb5Qfc>|Jg)jJmwKoaXnsVB#OII!p9<8M3oUDCtC0gr^lM8(HcPEHO)#*Ln22@0L z*201wLnN4%X{ZSEt8YZ5RrGr3AgMhw`91YqW2KMOe*u8BM^|yXb{qW8sJ|azVYmL` zXa}FQ7VrHyox@^y^L6`IDFR)k16?$)lSgYS<22G{il6o#aRCl5lDvcLJj<~-1^|+^ zAFiXc-H(v?RPn`h(CmkMoBAAgX(yKN4oUuYDr?%0TQ{WSx`M_@>)({kzAIP0zIBY` z?1de*s_Kw0SV&u1J))kRwqAm<;6=$@;>u2zI+Amh53YK-YblY&x>(fpI0_4s_pZO` z3twvW=R|nMZ!Wn)kq(!RC@S9>BmL~d5G?nnbB|OO@@-)|KvD=h@(5oA-?ECUE`ddI z3c6cFL{W-;(LWdJZ6l zJ<&OoDT!V|jBHT1yTHiS{MvwKpKeEINAceBzD zvf<{N#ojYKFgjhBcT%xGk_-BHAHo22puY)7?jZCFo;Mgfz^LG5K7|lmiPA>N|2uXr zcA`qH%A!(Ix2s2mcU_}Lp{Fqz#jsZ3*KS*syFFBD{l46*K|ua*ixlMX)d68m`p*KC zd=^aW+RisVZ=H8Xv~i<~yZOFTz<(Ke)|$syqW-(IF`F>!yryqfhS4K$U20lUm872_ z=B(9;lQ?nDZA%YNNoI$fs5LV1?ETLnuT zsWo)#^s;4)qa|JT&x|P70p^`sqD+v&RZ=n?OY~248mOckwHXnPSCm8*^Mxoz1+F8f zlU6L8iv#mKq$ChXs%AguA4HCP?x*|<(8}$n+BVCRq0^V`DA>lF|y#5r_G$+4&B5!I}T9m;>;agbu7E7u0FqL3k5P>wwHF zgC&ajav5qj&1qiWVr)B?%FTO{$CIRnV?aVQ{kRw9ZjK}P#x)HFnB#jH!w26nCGT5* z{r#WV^d45KM2GKH-sJiou33YnW9zhSca>fpk3c@1-RF?RJVCWa>VbyY^atElLM1#$ zQpV|$TH862ZnwBwXNH1}`+inZFA;L~E&6NdtnUwt2PvpIhpKB+*FR2C+b3s) zjCDzIMqywfo&KdL4$Vl~Zyw3Hq@i^{{_Xw2N=6TiwkxAH^U+rRU>j?vBsrgVbs#A+vyM#BPkvl5=+H z%okY*!}43dYZlVK=tA+kxT-;%osiWGD$Yh+_R&zUHh#VxcvRJ4o4E3@!g^h1Q2JGk z6njp*Hs18~E_Xa$<5U^OUI_C-ckG)bWMJIwue5Pa)ZoW1abyQe&3L(;iKsN=UYh|G z$2b1)Fz~%mre{U_H||jQL{B|2)t^w@^6`$XU>%8iE&QTXJONE%5ueuH`lW#s*t?~$ zni^oqbrW`LZX<3w1>c8xL{%7wu6}?hCgHPbYGblYDU_vG19*$f6>2^E}u+@g1{-+`0c!6oL)J}h4pM^x?uV?f5%N6X&Y7+a5I#tC`7XW!hC`)A1b2+Vi`MJ5ixFkpiOh5byh zh!DvZyg_zm-3A65xpqXVX!2~wa`Z@U)yNZ(VRu5Vo&p*9$V++# zNeG1@XL~&@9SL&cAHpk9e@zm zmK$=nSM$_18=~9iX6a;9YCS^aHQLG-bS>1x9<$lb{Z

L0@J+4!2Zb1E%ku02>l zTpekMjD0}jFFSMJ>zIIU&qnX*3oFk%L1_!{Bd?#rx0(WR6?;xdiGl62AgH9tn2ItE;ytD3zxXGZ7@I zm*6T-U>;{*V4jp?4g?l}LW)nI!%p$1c0>IC_s5X-+4Qr z1}`iTiqYl9;nA{1?drHyG>YevJn9x;bd6gkM&ywnoRDZJ6XSwS6$a3o1R^kiC$b4M zoIxPKYk2}qhz-Dy-w5v_0F)-@1JYZ*7)(M<6M+}a%Zn~RyH)|5BqL=@IGezs3vW8? zBJXZ65#~P4fhrKvpt1}2I6J$MMz=&ILg!3%^~tJ*NQkJ-qs%8D!VU4tQz)zow##ir z9jMnt@)C&?5u$QH0x__yTl}zwNuvi!YEZV9 zG>q+bj_r?%yR$^+Z_^9hnU*{js_`p1ZrmvP)!tO#h|bqj;&Qq8xaI`Sd1o6H1=T9zh&-_8kcE)AukZ!D$OKopPT8)S^4S9mSdE`v@Y!vy zO&^qSz#NaxRrys3Q0r_zluMQ%Qv_X)?%S(!@esZVSzJg+4*l9H>ko%0)&|;{n!h*i z!8#JSdVXR}O;!8dH&4NC%A!NO37lMy&vKyLxidDGU;{{sw_f!_cC delta 43587 zcmW(+cRbXO|G$0b9L_qMv$sxV@2!kvmyxqlX51Ym-e=|*an%-JmR8R>pBYb}`d4j0qTv~OoUFmJ`#>8to>zQBR zF%r1O`Ok^9{iYCDi>VlMHOP7}%EVdU{kZ^PXU?mrVV!cUQ^S59N>*n4yWlNiYZ?-W!11(_ie+fSc=Eq2;wmy zC5?fzx(f4r3#fzgFXoFI7iOX3448%Z94WCui~x^%QhP(<=LlkRZ!_aZJFgN>3gwei zEVTg4kNtO=zAr`O8i)JdLI_1{6kiF{`XQprhB(YnkmOEhy4+{JCNKy6-mbS}YE5u92^o$whjmN@jn_k;Ijs3U8%?+bR@JBo9LW zv3dv8Ca9LeEZSlI^z8A4%u78#^ zP+Gc3st-dI?k|!zIddGrf+uPByD4j9=SW3IB?1G)E^7xJpnL}de6u|;LcH{D4No=4 z`p|uo!FD^s=@`DeNSNnoBo{_`DM-!&$em2|?fDb#s74$E^L> z-cL;e8T&BB15G$$#X*E{ZV@V8Ai#MWxrX^qBqw}rVLeyyVNDCTgp_@p8BFN!c%7%r z75GWIt!U1wJUfRO021bp|8%~}hubcEI z*06*J@fPU&ZeCK}JjGIHJ-=+&NMWs$h<{#C-t)(9Ag7V`o+En7{7hniO^PXuq#^<% zx`q}fmnK7=*{i1;C)yXBeMXAq$)zY~z@*jkJ6j_FW9LAO1z4n6RKKPjqYx7jcbZ3v z!pBGAlj7INiD40TuYvH0B+{8UVmvfH4*x4L;xvL4ks=+j9G-;NYmgKo#>7m-hiMJU z*pLxMh}zJnsINHV~Gj$BWi(0s|0&Dn|`5{qzKZv`Y@MP zED%SEIp2Sl2Xb>eWlxSxXtc)=O<8!m*cfhjoI1&pm7tD~m$vtD+w#!UH&hIeAtz8G zBGa31m1|5lo(UzdB#_Rxse=67ykhqwVl7l-$mksxx9nI4;piiPE;hfJRDVIj!#EF3xPWF)_nPA*;ruG^hZAp^X|cKjU5 z9F(+KNB2#xhDI1h$5RHM)X1Ef(TW4{d7Y`hkVri`0qPjTLrdcupgjjh&M~=7$-FD7b);40INXzvl@SDF%?tWSJY{iG6 zdi{ak=S?Z5hG!zeMd_(0%nhUW4;z^sGK&3&GKetfjE{L}aA_0+qRf9;ffn92e^8aRJW3Lzze(6F=0_MxVM|c*a%TlN^DXV-Tz2|436OxL0XeP zMkaMJL!qqI6MsGL0G$yjoly;Oc+*mBw8fVTNodeJRMgK9+UT&}h~dHa?+?IBMP*&Ej5C`At7)y_7Bv}7& zMArGDj+>n$AH5?I8aRT>kNHWJ%<)TpNKTB9$`jC1{2s?F)d%!FDvru{9^*f*s*;30 za;ye~v(C65k}8j{Qw?$Nbq&{X1C#O(zdAe_X>a;3lofKuDzI>{h9hCTl2BEBIy!)v z93ve=s3N}M&L|2sgOtbYqKZe|PUwa-yMIb*x<5R1E@|Ks?NYb`M)z)Qm{e%pjhK?> z%@=Et+NG-|+Z8|$EFtoY;(3uiZ?BRUWmvQD+t!0Yv9sQ%f3lX7<13B%GA=U+DXIaf z8meL76ivKEM)RnM3z-%^$y!x6qR`nIX}JKqv1Ds^%e86bXV zPnQtNh2y4)c&2bo7MCm{?xh6@6g`t2cOp8b1D^LuAGpDHk@yy3d)jskh&*?(N{JA7 z2j_kIO7W7+mm8>|*c;duNPMDQyR(j*D&>ez z+#)yp5QXpBFmXxRf$u9Od_<@3jE;vi+5K}w&3p;bFwQV)YS!hQ;$s1#$8$4dLaFz$ z>pHdkra)zoz@LfOSYO?ez)yp#A6g~(PQbRHY7$A?fGa^sLqlvjjuO%gC}Fdo{VL;CS( zx$8XhgNet+>THB~PPDs=ygJ)3elsqubd4v(TjKGvNak6Mt?Jf^Qvzm!=~F^ct3`8#kn?n9^Nv82h5_)m{2GkMI9sV$+Jac;OP>?wsdWygZBJf`?ja%MLl3X$ z1WoJ7`m1WWTp&iDYefNJij>Eoa}&LO?J;Is*%HSt!qW8X?6ntyDEXbSeOq~BN6}}# zY(M9c(zsxf)97Cwq>XU#6N&#OmdTNs%JrP*)e2&#&w<)y?v;*t0K6DMnvwGAIRJth zRhD`+q?G7={9Ew0=t&hr8G@0-tlVMmYhBw!U7a1l=UsPg^44q<x4^JNJU2gez~<2!g;wh6^)C|vI)LhIWp-raL$H|LXeue z2OjC6-d-l_aa7+KaP$8tEsez)1b(c4bYSLyIh9F5?8kM2hbAx?qnW}+lF6=Wpc}1N zSkk}PAV=E1{1#sUVw;a;|6@CCfq?;mOFOjA-rrDbcDd*KdQrSwc2$MuyTuf@SGKHM z!M5-~WOx3y9Um{MtQ5Y`+|!Tpjy}h7O9j% z*&g{Op#zrPqWuweg3vr|d3n}_bp_q4FO+k5_G?y{#M~UaK518s<#&cGb2Px*H*>Al z4;7Nvaa}C`-YFY%5XA)J1^S3w{g}RnvVIu?iyNpDrf&|;3ixeuyD97XDQ2+5UR$!6 zXMqghaVv}!$KSZ7<88A_+n)4{g5yqPseH5MHX zaf{CK3b>`l?Gm7U5~zRA5*F-IKY4##hL+xCrPk|EItH496IP=={JN#+4*>dV5mRlK ztS(qGldIg>Wn^l^59=Z?t#?Xf0!F>|2r4LKTKRG2zctNHT5BqMd*1=gTxU+NdC*34 zzOcH1ApYpISS}A%X?3bzv1lfr>x6hr#r%5=C2OC&JtpfEd~$@=D=qU)X~Jn{QpQz7 z(hy3k`>n2n@zXHPaH<79ph}2cc&Q<2@E}y!G$6#%&QFQ3T`B=RTx?zY3oC%W8hM5T|(?pFR`9x|~y)Nk8 zq%#{TemDcI)OjJz5s6gI8J-k3qZhQFH45=t+o7$uxsGr~-s@M5v`~0L@L9M}h_7ST z(8wDL$_CuJv)L#iVW;l)D)M@eRZ6mMbbHcVoJ2%4ly8(v`}zlg*-4^p>-jS1LWG|! zEf>E9Pqbl2A7=CqxB4b7OO2*g9Q78`V)?F8fnUG3>5gj?5_lVQ&_H)Dr4W zK|70cw`l7!(6Z+5xTg3k>(K<13=7~dd%c02lHL@3aGKxq`BrbyLF?0!CymXH(%$!G z4IdM5l?xeE9(4UF)Zt#EqN*YnDG`W$D;Xzd4Z)m(X7?maOIF$y9hn&J7@d%SOxbps zAH2IL>>zPdj7E_d?-tq#=X*b|Mqti*#I^9D;Wh6Hl5xwaiwhRuo}lLN zjzpbz`bx{$d5CVoM_mfEzJHPaX7zB>jA1e8-SM$0QxC^jpg7)8-3;SB~)TqnVmV@fPYO5TF4Ck%yK&A*DUz6 zhJXIu{6uK~g$jjItswPRHT`G@%*Q!;(u1Ot(Nh52Oh%YQ_cH)>)qYu2pY_QQe3E}HMkRfdcb&69q$3K#dG^$OSx=h^Hq9Axt0to-MU;qs2*FN&EPacCR^Wmy0URdr< zd+E4U_N7D<>E*D>_a^M>;9~0+5)L7fD5nVVno9|wu0qojB_UAfC97iYG?rG1h@Q4h7jWu0{e zZZ{pDZ|oKemC!|}?kW2payucp-SCfF%=WkCajvLQBM`e1%fQYupA$j@96s*R=jNpp{poXT_xSbo z=Fh9@XzPlN`_#)zoEx>R$Wh?O=kcmC|1tk(mq8>!58qt#igmsK_qB4qI}geu7t{Y0 zHTL-aU`o*C@2|y>n%V_B#6+Bqbs>Zly+aOWK>0;JKli#r2VHy!B`8W}1tv;MQ{Xer z?SMldOBzZ#=^g~7a0|S8R{n+OrZCK}K+Y}FZ4s_aC1atzgpW6oBST!b4RXt=j{z!A z-}2<&{$%5M8sBn`pA9|DcV1vJAuae?kpmiDSq3u#2Yw#ZBF6~tBP|~kMLk{IFWQD} zqzcpISw`&5<2-iG*PQ38OQhr@iIdWvz|t6>nCbfr=qW&mqTLKy0}p}+ad)fM z8aPL&}u!Yg9?Zl)P`Q_G5~$}*6d%47ytbf zr+OlKY}KKpWPTKTusXC|{9r_B=kHQL;u z`j@hq*n}2#6 zH$h!a$7Z{S+aX_=(A)Pvg>fCz002oQ8eU5dTuw;VzQH>y>+KRhbg+G$_2O9SQ_^|< zTj)0O>M0JB!_{r&*BAz+u(hV#ZNaWW59M7p9&c-Vv?i%IY!;gH788N|_QK%F@N){S zhH6B@6VscT2z20h!O&4L2~;6vce3m_W(0|U!5piJ3CrrU(-dh)1YRv za9xXVO;U;ELBacB?!POOJzU^YN}C@k3Y2UJn9Qo{6wP}5ka2IapWdWwWei* zQy*%pfo{K5qe>59aIb+vuGIW|XZLp#Lw^#c@Y{r7vv~bbfA6jj|8dyv=5LeEWIaRA zXl<7QyWg~ySGo_aPv{^-o(W&0oG(*`NMSWjoVJrJP?^@?IzggrOk%YKTZUd;^Cx)1 zTHTybgyHt65~_&;uW0N@v?G}08SS5wh|p&j3u6BmH@w?QSIsG8EHo?zyUT* z4mmtVAp2i`4vXDU|4&h0=~JPIM+faIF+k%bH?!c_= z5b%MX`sFf|x6<(BzhHIC2Tt3Gl*~BRRI2bGq zSA5_GUAC4M9l;x$a$K$aj{Pv}PI5upV?zoic!gxn%Ub`m zM~bTP_z!LLh*-yPMdvYjykrQ0n>XtTmX4#Qx#BeYkPOeV(hBjpC2ZvT;a_e%=OOYY z@L;)2GgD&vYSR<7j3DiJT#gcF?`MrJr?jpGdS@5Nz62H7faF>_EFK{V0FTpQd*A5qfM#%>c zW@~%&O9@ZJR4kO-8j%P430hHXPiRhU`EF+b*+XM^Sv{4oGtgQ!wJ`?jY$$Gbi|X=; z+Br(nmM>Rz$5>0Pn`{VmE2(2uW74LS!(u9F{1UY6b+v}R*^s?k*Z*jR;|>lGCJ;#% zipCKh{M=LMKL)2~6rnKDK*;gu6-a;Bl$Wl1ri$H!kD}YN4|_brZ9WEci%&6pu?=8% z@9&Be--kAuY}h)eSkTWt&H~-O3dGZw6h-SAe~ z1#8&s!1@Le*hjF95UtLt?Z(yXDL0bJhX1SOwldXIumpGm`T%6_1g!OuMmG6WL6U6@ zjHYf%-yxrC?NKA6BnJYv@dPq}IE(yTp!^(9 zG1b<=ns{oVWHEqRHs)8hoDC5SZ7p~~#;?gMXRpd>Wa|jpso5go+KSMh#Y!19p|cqd z#4JlsUs{h2$EW<<^Pd9Z%s6F*=OB=hiwd27c11Ij0Dmf2l0U>J-Pnb(;(S~CaZCX1c-bSS^ z7_jz{cv~2p_vU?2Nx;_dY$4^%q3VQS=f5>FA?dSk{s(RXQ?mZSv`+O1f?HiSedy^e zR-n;$$(cuQ)GCalh!>E3<7|_=GkCjDcNq(OPD4ZXZ|`OJ4L{q&=Ovtt7lJwNwzi(V=={X|X6;z*r$4 zUNC_@IrzVA;g*ICs$h!4d$XT>$_6vls)`S`OWtg0TE{2$IR5tC!1RDFdaNM;vBK|Z z@2ovqGseoWvR|b=t^OxI5f^Htja)WO9k;_`Qh0M~`tNWGW-Q*Gx6=JDGSMHG_-fYC z%OFr&ctj|<{IvWR$4u`*KY|C*g+-;O2PHOk1-x9+a<=tUD$I=Body8Azg(Q0ErQ6UKtIJhO_ z#pa5G%yMPMNP?;VQ$f20=C(rYbO2PdwGtsW4ayhtiEb5p9GV3I)QKLk>L?5Tbut^H z-=2gK37Tq@=5Z4;VGb7yhrP*NX+Ws7<0q<_hO;VdM#jwl6(~(sbCeY@)KT;n9rMAwI{9 z5WYEKPb8O4;p%A_yNCJgTY!PEJtjXc$ody;GG^ijXHW0;gclGkH@pcAny4tuq z>3s;)c@`skwhJMW`vvpu2Gk>nculHqG^S9xAr~DSzG6Z7?9dfYGbRAGHLNgt!ebn2DHbZ z6N~yvN#Jny#PiuVbo|Vvo~tk*?g$uck?~^SgqvW-Hk5Nizr9^MdTT%zvV%~n*|ta2 z@NXy3mf_d@GH-Y4zCfj1(!Y|kC0mI|(O1*lev|Vq-9CV{(+nydYJ`UtO`oGvwjm1#vu8GW%xO}$Ji8*dCJ))*4&y; z1#V;6^MZ7<_nPzxiHY$2L|5f?$D$dVT8Oyh;n(Mr$!vYzra6VI?~%^WR^ufjS5Zi| z+>~e}c^8dgi#!gvDuS*>u{91mpeEhfyZhF0%w_u3ef##BOf@kuT;f`~i0EAd`hcP| z%Uqy5hsy&dx-s)Tu!28U=4jF?-xVx#UTOl~ z1cXI&M2udncfGK}<~+PUdd&UCG3|eUcn?W%sdri9Je!zBEP`OyjRxPBmWY7ll$@1u zsvzI(=6tz6^6Zp1MyheleS<&nD4q90KkG^W;xXuH!kk(u{b_BPBB}fxavh)j$ODz& z%(rsK1xxydiM%6fAma@hY{Ezir21}?0Y1`^Rgci7jzB+)l&^H(?Z?b|4_Uow{w=yh zRf%!Aoj;R~^QD#v!{)G%$9b2PSu{h5s(2jRk!K_2_DqcL?=cs=u4C<&aJkhe9I88E z3_?sqoqQV60EyIw)9z&+blxleX=KS8->E%M2M3UDF{s|Ol&>9a;MlXmXEE3qzDguBVt!kolRRBw zV}pJXDKYGnIHZ&y3=75?0*b~r502Yg)n%#cx-Ul%6LlWNMG$qfErjCYsp0-t<7`ap zl6JN5&t%37zkotsN-G+6yzQXU2H}OnJ?36Rr`A_M+7&`kYeE`>v=L}&hkWa0@HnmS zo#r?RDfj6;xnjO%D6qvaL>%)NPW5NaV>Y+P6bJR0{`0#9&0rWH-K5L34SSG+{5^ck zBkz_1B&kK#M3{5R=)8SIMeX@6&SKt7)~6@X>_Fv-Po0{*x4v*{o25JrzH$CcNzz#T z67P|m*TU3P0^%RvI^)S$puT*-;xO7l`1kC094d%E(zs-JkT(AK2-wfN?dLT3BW;an zkpQEss!ILYBu@a&sp3V!>sID8IdOc5j;Y=RCuLO3PM@zgrIXXA(f-9;zuj0rYoOib z)jGfFs=55-RajDshNIkBsVsp_vUe&<7KwK}l){{~yU=UTKYpAa1@3ZRJ9U$fbN~*T z749+MmptiA*j(q7cEAH1;ygINn@>7Op2G~EwDj!o6VPIOdLta|v|D7H# z11r_sU)}MBL`4N%p@IrxW1U45*pN7G$Ylm06ES=jJHu@~ZFQiHW z?Q6E)m9ihJ_@Owia6>(l;x?ln+qeYkJC{2j+ioEFmR}gBf0(25ZWX?N$B)M*^*y`$ zinb;N+-a5T;oRSSK83;G8NhJGQf(`kcMmPCe%r4<+a_b5zQkW;bXdpWw#I5h@3{WE zp00Ri0U$#+rw7(T{;Q`MF+@0H_f3cRPO}d7<~qE#i9T@BL1dsMdhMfy*1+HmcY*RQ zqw|id)@rJ&dQ8F2AvNb#QV;`UI(C6`|R2g}&1EU1P3a9*;fP)^_apj%y`+To|%(WmXg)g;rE$>GfaLE5G&LKaE#4U_a&8-+;*9bKjQy2LM@&0|GP zE@lm*2%3_!H$>@&!L`C`w$Clh_nR61>~#1hr_9{Qc$L#yiFxR>%xQgLjkEIgqFwIP zks%4^qJI>Okf_2f0*=Ey_m;GNL*J7ECmknZNrNiZ8P^(y;T3-I`Fv8~VxR)r7Xx_@ zGL7fd{-_X+JL0%7QPJ106ITI69{n?b5`Z~t!5>eb@gTILgOipbJh-}+T-TN2;>xj+ ziT9DR7Lk`2<8_gmh{{o^SD91og}LQAeBqAA8p8s=mlsPQ8HKMSL~s1hZb7PUSuWas zuO41zyor?j`6FxHaz#s@0(`NEv_5egnQ=b?3K}7*J$DT*JFUMGeu}Gd8h7RQ<-`cQ zC#S%pFd!dMyqURvBU0Ryz15!f>{n}hq>A_GubOy+$BLWXh` zTIBX6klekzytVEOoYQV`WW`n)zsd;GSwaWpnE$FBgL3rw-?{LG0rq7Bgg@O>$KzeY z1>Xk!9eol%3u8vJ6Gw&Leg3pGt(rI?akhBt)@S3;wRet*7{hlju)}EM_m1&>*x{!O zAC#m%q82_XU;S`*eg5de!U2;_MZ*uqOzyA?rsBsiu=_hD{ru?Gd9U+N&qJ6J)_xy& zW6l;ZQ1U^W6KGynN-H28Nl(v2Nb94@opWw=sruSUI96`e#Q)dvBE$Z9`y(H|(6rW& z2rBUu=XPsNhn6CUAKzMYUt9Tx{d^?e?tef|>w^A;6Bnl74j04}O9);C#(Y_j$_pwr zgRk~F%3r1XiypiWs?RDBrDE?KD2l}E3V>AaB;E!m-nO3yfe6633Ovdn zZ^#ROs%xCJX%01EO04D8V-VH)Z@XtLvOQi&t)hJi#(1vyT|un~!7PXAfLVea32mTh zCX&{_cZ^Y!Oun-{ELDzscp)y_9Hgx&zs^yE=z8#`v}B%t;HzebtbhX4IjrqH%2`Bsh|> z2wjr;S)n1GaP>ip8^LjR`_@d{#x2Fn%$khiJ84*|SN0`~qM0doZJ5mSYhE`OmzJK# z2YD^-+?onryQKpInHgXs9PL$p#=O-;9bQJ#n@%)10iX!bw*uS%&~Mh2B&)sHCGVkN z?7z7`W`7;kst~?Q75ZM#GXp5R;?&|WkuBDj|sQIB4gr{6(57LH>}+n zo2ZM@WCV+RGQuOMj=_p`cW++lv8j;Qq~n%g7HL~>xCz0bLAgqJfJWmDQWpTukSFam z6R^3;w*)!a|N29;3O2S%Jzfa3InLW;WsQTNomp8!5vk+y%?fSf`GU%D1@6c<=!o9M zEK7|8(j-_@{GtdA1xXp!ynJ zU};l}#R-|OD#Qcqds`6BAjJ!@VCP~0WjkJbqyiGPx8LLl7Y}J|w2J0wpq!qhiywD3 zXUWUw^ArfYERI0d&ayzVtnSpFLcZz@Vk?Wsn#hd!w2=^iThO79YF}^IYYz_m&Oj(p|ux11F*eD)@opE z)bbENy!FFIKiCU0G1IA)zz^A49YK~%MqB1#)ue+T$b$od1d%UngVpOG%J|xBo`Sf2 z?aO06Go5}!QdsNjpDIsGAiY;T$F= zaM8U!9>c)KlkMz%b3(Nrz5xlKcK}caBXJLx)hR^%*7SoWKU1q#ATPfRhfWGF6$S8p z6K_+4(?^DuBEgx4Yf2Jd$yPaZ9T{%>u6CcR!4|8P^-kpmys&n6hz>QA? z@Kbn;IT3)DaS>u{L{_IRUW8dlgbje_IkFbCn<3JC7vnJa7*VXu3M`(_JdV7D%=pgR zH{6708d*Lkmv0v}Y_>dibVQ!KFTmLTOvI-IY3UyxI#y3+-mJgic%e zJW~79)b^jJI$F9$e3DHKM}uZi1%e0QQ&sv1;E;~Nj1m0MiVv5*+*B_+Wg=+ChBxv4 ztwmemD*sv)dk97v%32Ca4>Fsdtj7W1KQH}BW@7vgGX<+%x4T3CsQvzk&BqD(_Ik)sp&fqz{|W0lbKCInkDCXqlcEf2hIX(6 z_CiNZ1uMj??l02TND|H2?FjfU6N%!Ao2dKC;WRb$Q{hCC#JSlF$g+r&IR65cU-d-C zPH}#Agqv@CyU6>zDm}>`RjRCKzQ+#$rDe9o_4H>oa)N&F%0mB4xS6ZMPFC^%0!d}L zwC?(031r~XZA8(p?ITy0OHfz7F03t}!`r%{v6b05R)Q*EMhoW6?mxvlg1b8M)=;it z4~^`-I{(_gW##1iD0HT9#$!~qhgeioq3!&HO)-3|Ys(Y;U5VI%vt9My*G&R+x>K%t zT*;eBfNai}BqAOMe}(q>FTsW;Q|k=0z7`&;zv1E*PXY>o~NjV|=WF+hArH%LfKE7>F=&Mkc z=;pgbVF#_mzsWEj<=2)d*DYlSKQunXIt)m?|C_i5O%}oou{DhDiwuRHPEA=SlaGIt zyD$r8dyS4Sc4c0jlpUk>%I#ExEPk-Ux1`o;li-J35RN}TFZ+4f4|hC2k(RW{fE)?Z zm3p)YW}Vukb=W0=z@0(}*b1By&FqB0p*BgF@F(Tg{8n4dG?58Ag3V7x65q|}?c;Jz zc1#T5^Uv+^a!ZS@5vutgxIFWjK5;CfiSC5Xc>8kOS4ORShO#~T0G6OrbFA|~JE*!x zevebcF<|GKZTKXdw*RW$bHdIMI_K<=%KCni%DdURC#<|*OwScN+X`NLzW+_^*tvyM zJg)B}3SA&m;LafDYG&-<>9e+m5x8jtTa^clzH0yKr%L3~IvW;2$t(%sMeuu~N2l+7 zotB^*?7z-DHn%mP-Rrn7!S&22h#{G=?Ug{1dLa|b{9)ZgRIDcpu3C|TFk zfrB|`4@yE`kR+borBpt??cxv>b}bD~pJ;nwmQtPce#9Of$xartuvK|&ar)>0o1Mlp zVE=54@_fcIZn@FTlcym*5V1zDfNi6RF@(YFd&6UCMPs3|A5mz5g1JGq_?xKqXLS3P z7W%*9IIE?RUtHcewF5iy1KQ*DE+`l|y`A+HWcKw&gIT9cR==~$VI4b~YIynQQ?g%Z zGX=XNH22*iR!lECMs)bB-O!T;jY0_T&^tpjiA3c7l}kg0n?@x|P{YSlz>gt@bpi>} zoNHsAI_UBAP!&LpPszWhBpi1;Pxe0a+}}S+VW_(uk5TsqDj5%WAHjN-v~fXRq?}S5 zH($00^x&VgfRa&9o}6zdxw+g(*Z$>h(P#2|kwHx>$@gCZ6o2Fk9JVI4?t2eB3YtTs z$yaMGN~C4DL{HqB+d$iGT z)Py$*AjncCGn72>>Zs_7c5B4&NFWS8HT)mDBWdvQ z<1>=iArx&!o9f;Dw|h}%Q`82Z6|Ou^E7Fd2(0r>T)kCgGl9lH?p-&+>Bl#NTQ!e_$ ztgHMsmwy#EbLnh5-mIebt-^k=t?gGPX!Z@HeZ6y=T_{~`uN@mvQnMr_7cX)d60Dk`({i58b>w7q-Yfe zS$cUF?q<*8i?8Yruz^>worfJxBqb zmh|oxFm1d`KAW3fiF+9A`uX1?k;0^PC7US0>?oQ%mA(yGb8`U;y)?4uT>8mgL{0Jw zDu})B&*Mn3FN1y>i^DhOF{C$=*N^vsyV<3c0>o(g%{50ZIE2Zl_gZsrP{w;U=a&Xu(=f|$8d00|~cf+@KFJqW~K<=->wFrxkoxGLeb0j{yAZV4iw;z-^|QDc z>WA_%KQC!HkI#0VW%+8zXWO2)##QN<6D8=`mzY>Po6Hk0ocO+f*$|m^Zpm4F{#pz? z4=NiKEWcTIO?&{c`DVorPIyzWArbp)Zbdtr+2fQ-ke+m$OzmE=u>?FEQFvb=87Rv9 z$>xMX{^svdC#p^y1at$M>+iw%wu%^4ShTY!PC@lTn5e{mMh;LOSsx6Ky{UB16o#NW8HU3?~3zqtz0slh%nz3qbD;ipWA@fjMq*Dx- z!zQgDx@BKxa&)g#x1q!_?N31yi(Dl1VNdq91~%a<@MBd-*QDz>xL#_372+rg3*Dzh zhf$anyO%2uXULz(ZdA_QtSQd4T0|}vtLjG2g=~@x0xS#Sb~()pc7hwF!uyhQ_7(^H z8ZhlTEZl?|tHK^iOZ_HJDUj#SEA*ac6M40v8N&kvF z*Luvpw&k(&6@(iIyn(tX;X@5aYB`TjG$n`yIlAYId}#8#o4c4V7_ah4IKoLX`YQ92%7Q^6;m`cOvA&OTlWMe-YG zAW{$M>Ys7`AnkZvw8JF{D^U0G4|d>CN{Eu>;%|0waZ_n5@=FOjdL=GOVDq>H|83xS z&A2J)+kWI#)lUz2Y2rt~v)9aJ!0e^R`!CV?qYoato9Wsz`i|6?xL5|4pJ<0f_BtCu z2Xi(oosHy zS>(l_fQ{Zb94ujv6Jx`L*RRQCAl^!X0yAc?YH-dMF9G#MW+_jNr;V@_mBCrJh>|`3 ziDp(;iQJ-{4B$hZL;HNII*ZGgi&&g8sNEMT2;~bg`Icp&0PV9{Bvapa0H+-{_#Tut zSIuK7Vx%L48}h=7#c0`kayC{nQ3v>@L;QI^McH9zRXh^be_h+X3GNh_67~yHn)^+$ zru)_a%l*nXHFu>3ITI)t3i4MR&v5p^N zN2?x4dJB_Ca#d_s;W=qJgc$u-`x@-iC3b50kCdb9&;Nz)JIS5?0r>P`gnDHlh@jYU z|0vR92x|!q9{jf0mt{)S{F5y8)c|JU$us>?T)==AK9b6#Jx+K?P(w=%anIR5PG8*l zUTk;K8GXwj?b~-+=as8C6z70QjQBvFub(&*&BLQ7v1Y{=V+~Ga5}dQr-a|l_`t!<- z)dUjiaRzfa1%qk86m@%tGsw;&$za|h;uq)_N6}=aMXfE#howgnvw9ldEpY!a`y>Z(s2G(APT+)*%OKkd>f2s}WVmxpX1VG_^p|22W9;?5OVuvR?!rh)R_>Wv}yQ@zT*2-a@Y`=bPw1?WCc4XHQ+}0_CBtIsRa7tiorL|6}Mn{Gnju z`0YE+ID2zucJ`J{S=k)f4q1siq`0%nIjiwrNyBKMh-@mGO3BV%mF^ruLdMs z4>e?rrb*q%IgXyiJmL_VxE6Xq2&feKNF4tNCcj2J13m(RzQ6ozfUr_5*VgLVVTp-= zy2MX|&K^-c3<}{bbPKv)UQffB?X8S(q_V0eD-R?fO+hK)AGkZKKCw+!r-QE_v*dc6 zO{F)|<$I8jX2QA2O%92q?XcS2CVi=534HGoO@fKQTZUpqfK5x42Hbp|JC1qHB#V3TlzQ`kbxL9v#8S@N2%5r-hpi-bQk6(I-|muAKwg}B zx7M@AUwpXl{gE`4 zh$Im7$!|S;Pn#%o~kF54`=oWl>CBvfeh(E0zmtUlISxw<#6oc`>*m58VS;tqlG5kicQ0K=vhVUL;+_8_U7(cyFli)zfluvjTEDMjdrY9GYISRUQv%5S z<#Oz$#j`ExoX!(Q!d3Zs2g7nU=6~{UCrEWF!TxMJ?g(``wTp6f{VfzJQDFz}Ez<}w z%(W?7V!m|So}IL_=0iKn)2HOJ;T|KzbI;hSavJG+^5k5%qZwgHSYx9fn~ zee+t*{8X~rW|)OGg@aq; zQHc#@NQ|G(AXgXAe6gSX^Gt#@JK@IsE9UucK>3*P-Bu@C?x1h8D+w+h%ME9>bRWQ4 zDmwq0=WY<)t!^ICUkE*)eXkVokxMpHBp$I5535_-?HT(k{r z76i8iTR_ub42;v_aDHGZ`rOEp&LQzj_%(=#lV2DQbXD@;^l&|KGT(X)kWbKOgDZ=|$S^|eLaYjN&#|WvN z6}T0Wl$c9Li2R^`g@6f4m-_tXxUW?R%>rY^HU~P$3Ew%Cq`~1v3ZDdwRYTLDX?G%f z+YR>ap5S2S`r+$m^IWCa_*hG(^Ifc}5W;4?6B4Qn87QmYWt!#^Gz?J8ITl-GaJ9Yk z`jyJBV*E?PNC5hJ%!Zl23r93_j%CcxYA)wB`XLaA=tN91wF$VKDBTLXdA89CnEieW z0IeV8W+2OXGJB)&Lg@faRTk)u`#Br%OK_q9ordlZgvgnROGL-xWT~xqrTtILDd42<%+Q zIp1SEj75h+w~@6qu{@*{=lpk244}(kOv2HDy8l9z9ja!AW;SYHGQ+&*~PYu(X&d zIUPKZRTCDUD$bJmBKC2w1hQ&1-lAmilUK;@`zrEeE*iRPvci_@*G|0xodWLRR|NOI ztAB8h$l3+AFuxXV4;ug3yDm7bzE(T-)iT3lk0%mPiWBVt{IgL26N=$+phZKqZUS+Y z$Eeb?n1992eAv+_pC!Xmz-1{wMcx5Vb=8Lt<_3b`|66=Ozo4a~@oflUn}>gadR{{dS1!=Yc3*6fSC0RTaniSuiO#>g6AX-UelY9lUF$9mUbZx13{rLN|4qC|})U=I}KmN0#^*O<>X@se5cm zVRN_$$hX4e+PTim10JnW!Cc8NT6~#bbJ|SQ_QcAom4U55Xs042n@f8$LQ5+S^dyat z)Cu;G7t?Iu?Pl-Ua+L@yQaIIdAT+GsbB)MCsMg<({~JchAzZLlxKVX1L04l&|58B0 z_8_$;H!Ry*SqbpKES8wP+Sqr%@W+j6GFLqgnq<2}@1|QH#J_vn1(KXiMaU&)-@F4ymt*iPzb4(6OQ)XuLZ-mg|p(2k` z*O8-h&N7gC9*zR0L$u3KQyROT4BgptEoWbf44~G+V18#vBpC_|Zqo z)UbjC4%U!PwEOmuTA`%7LD$GY@L_&V_lMT*>XCOG9>-n0zsRYF%wTsp3&2W>+#V*L zCox)^Loib$5{DfLN$Zoi_au)QF55<*KE))Byg>0t%a4@VDRPn^6DHPs*>HXZ9Oq|2w{(M z#symG1i%wAZ2M+)pX`*Iwk>Ol#C;KH#rJ-8$_9Uez)=GryZ{X z=(3{0@IQh7y(1cH;TO6MV~Opozf_8b6Ao+_R8rO#=OydSkz+r zLg?wgxNR(zGOfq8{47-&IN?9AHwD@maHp~Zl1#9#eXz}cK?J=S_f9eQ(46`Zb0c*{ z(`zs#zyC^Q7Yz|JxZdDNV;%C%Sbq@s_c1wzLGM8wK8ZabH<;e@eut`{4SW~# z3`*FoKjqbTXp}gDkT{kNwHcfKS?96uunZ?;5dXY|;24CmYkE?5>mRk}M8T@5vB(V^KZ?IzQlm}zgcm(PKdyKPD6MeSZ&eznB2;`K!%mrpquEE9x8ZL;2Kepz;-9Ek9A!LFb<18QZwKwl zKArD_yPJ^w9+&;c>d*qu))PDnjvNz@|45$XqN@s-#V*Mk8u^XxQDMwJ0oFk5!(F#- zmIsgGqXzGHr%`GX_>(Kn9iqZ3`&%y%mRR$uIa6&@SzcZbKCTl!P_E{kslCVy6EKV2 z&44x%#Rl{5)RR(WA|B#Z}Ay5J#@?X*|aq!s+ri+ zgO`t6FNuhefu_f0&88n__n1eWXJ&o9do5dlX2w+Qq<`J68zh0P$w3fby@+zcK}%i& zrR+bkvu@eN6MlCtsoWw>U85Gk?&MB|ZGt0Yc78(fuD1r2{Q0aJCIANGhAVZ4mWJ8F zqpEYqV*DcXr4%R4N!|+_ve&Xazf4k8UUu!5Nhr=DGN4fam5m$`b{XR+w*0!nj}=p} zLctCX>F!?D*OL8fBjPH_u%@#FPR~98t}X?`#HptM98LeQJr+zO)!hM`>gh{^-8>(n zbmrduNIRN?f)L+-=Q(1iPp|7`Arbj_Bs~t{EvTF z(7CVpF0rWUFNZHMfIgD``Nj3;O4P^|WHGM_2s6D~z=8AW#E9 zIm`8NEy7V){Ug#z8z152>puk0m1Iso&DcJ+B` zALT%eR72dR;*`}y6GZc*C?$IvjnjiD`jA=RprLfkvo1!O9wF0ex%*EBaC!CQ;Bl9y z4`wFw1PY#Z?rY+>zt8goXDldXlEZ5TsFPb&k)oi=t`Jo67ntIQ;&9rTOqGr6R_!P#nq=qFn%WW5K^(H%a&-l{%H5TJ)ef50EUUSce))GH`F_1gd z$g@KBF9bbF=vDTLBB~VkI<5j&Q1Xrstc%jzbJW(_sN!}|L_2A02V14*(++)vvq{gp1bIrCT3 z{N=07>sl(keHTjZ&lI~amXFzyd@I;qwe+t5_C{Cm=_1QG_H(JUWkB**1ye){#VMib zSnVJ4q}YUDodR3NhI+a&54*d`g%BE;;LURhnt+EYSLh2mn zJokepi#9Sn7V6}H$j@`jJk|>hhr?V`z=D+}{)7afXyjBf{1sR$t>G#ockM!L@K=rF z` z;puj)NtwbBV?nMAes*Lq6KC-_x*Mo+;MhG-`Y{uEcF^?dha8BRcyU?88Sb*Jt0{G! z*PmzqGQ$Gm)n<)dA;yEo7KXFNvf)kuit+|0uK0S=^_WXZ`?SbyX5zo_+P!|$LEV*`&-~8#Ta~!nOaS3i07*d?~=X<=Z>)0FsgUxFKC;uSVC{J`hTHI zCIrqJ$A2~(G>%PAOi8onm^KAT27Hfj`YdI*o&Pthb0+FByMzfhvw&*X78-0?amA&5T=FuQ;%}k6#-m>%< z2RE5jo&u{L{i0_kvY0@8R*bW(^piOBUI)-=`hLu*UQAHdy*R$zb-t=vxLhz&JaC+(L5>jQ(pTjUn>1>uKIAfG;;< zjY?!LNP_4CS`d{?W`mQ27x}8IGlnz!GlTlFGkc`t-n1|A2lduH{Y2G!{^ADqz{Pl| z=jVX1Jt$SscYZTOAsY6xI3&2VM^+uo4h>mX=5d0DXt{ZQ2sD8j#F;@iETG6kV`ynO zFF}?)2f$)4X!|1csy$Ds5`oOG%2Tcr4)X9p4QeRI3iw_uS1U!uTfyAVq603qq$j|3 zYk^v~w^RqI{92Dx`W;~pX}_Ib)l$LyA#-b-s@pZcx1%l^L8>zRmAf;#Kny4dFtRMe zmTs{R2DkZ{HZ3ed6Nc{vS%A)*~ zo=aMmjC;x2;~d&z8K5b~2OyGeB!%+$Fq3c*Rv`Rm9Ezk%jo|G%=MC1FVg{?H=~uREp;#c zo23ABM;RZ}t`gTBNLFm%BAEGQjqpK(a@lnus`R5)(#1}*DRmNOny(M^d^Si-dtyk< z<3`2sy0;1o(<@;OZe+O0%M7@DwYBw`@S>Iy&^wZS99Gr@<(RS3ePHXRsNf*aTRp3P z7jMUO(xf27j4qV!a{^o9ZqvgV z`4UpV?A7@eYeAf_-@(JjEiIDF04|jdhTdt=5W&94S3X>_^&ctfZOYLw$;H=h654II z<^s{1C!1J17>E)yuFpUu@2BRzZwDcIe5e;&3L(jlOme4KV@o)J=WAO8^*UjaVm~2M zxx*3~rwvFZJ-TmQuf!vs?aivUq&E~htgvtEFT}BEEi3{fiyoSSKswiMlux?ZDVfic z@KX3XGd`c&r~|TSMnC`5-r>>F4&>*aGtS6TCBqVFLnk!yLy?lABw$MI0NkcY$_W6S zyx8t>Ik9D;(bYkwC)vs4+Ds1)bax&&<*RJC0TYErhqINk5vewsr)%K$o!ww_y(Rr_ z4-ugmjI#iD8*^KKI8NCuoXw|CKr}+UdbNJl9VYiywnkkwNdz!;^jW`PSW}@bY1Gy6 zxzms$K_(}rfF=Ik(sf7m>{Kku3^ zV@iJje?FsVLp%;NB>`T%JOE`f`NDoP{`nt)pLYjrSe;&+x`<=i%(vd|Ed2N-tD{%{ zw_vYiLus)YTz_jf{xO|~PZM=(f+<7EJ<%V=*`&1y7ZC!aGk(kvp{5;Tv86ysq#E1baU zF1{N3#$>!QRSYv&=gI4W?ylGyPGEaB`J4F*Uox}k&U%X8HOb^_Uq}Rb|Eo>1z2?pR zI;}y5ixokCG2AEKm9=-jJ~6L>u(b)=gPb<}L*`{`)KgX!2aW;!Iq)%HfejL`VNaxt ztqAC16Ui6Uf>3e`nrqCSHv;p4kPQ7vyfGN6xcswO#8d4fp)54&)WLZ+!$f~xgrNv>EO15+AQVN*-g0Ww>9OV8BwJkA)BxZ3 zrV$03doYorMZ1Tb>N)bt;P%3!q`!w}li+4n6`v;y42^l|{cC?FZhJ?yvM2F`K70Cy zCd|BD!|NRI?Wv93c|bD(|LiO2@2w#nt97Ys(~s-$h^V7HS2XDN?2&u^uV|SSQ{8y z#gKmqeqkoWBt!AK)%CCMUe~1bZ=U(}j!&}8>6Om&+5Ueo zyKlGk-u<(JU4WNNf==5ET%VZ#>2Psum84DDpM^lq!1yB(EWg+wpE-c26c+A%L0(9& zphwv(944#^U4@<+u;Jg<4JJ!OV(Ite6i|VO=Z)_Y>TX4W+NKw>?53E^h)OFN5cu%7 zdgmapOfF9+Bb$A)ZDzpSOUJ_=wB!y#78tMr?ut z?k0(6PdtLY%d{h&K{tPjGwwHXnmBmPL5JPljZt0G?6HCH;n;GbhgYI;gV__4m_4ax?pCvnM9MX;tu8|Ji{7 z0Ul{ytoUpghFvlzQ0~*PC9tj);UaA(NpQPhF(7^NidX8O%-aqsDN!~9X3VH=YQXWI zf3U>vynb=w;Ljd_^XpqBy{)y6_7g7qp?C_|Lwjv(`M8;#Fr`@ntO4`1V{R=>i!A6e*nqZVlkzG zqE&Be#I{!4#1{W1{S6x%|swurXKRL?FSfK6SgO`r1tH|`m) z1KYWO;tFb9orfpg>5kb!{W*UKtX^+8NIR&zvDg~-jMxL{xHg;{g|24i)YK=AsDH5j z7NJ@aby_<+$t@M8*VgjDmh%%o5>_q`lu~zLPE@nVUoW4>frvYCpB^!*|J~r(6E^qSyaNL4>&w zL3OkU9)$P#{oX1uvG%x7B+HLWkbrw&7`u)@aTQ>bO909eAv9rMWtEgSg_mz?L~dTE23B^-1rM0oR^9!Xqu;fQ0f z?{$yTIeP1)N58&!eDVE*2dKv}n0qKC_nme$-l4$V8rd8*#UdBn#~t&>FFcQ>^VcYUcph3k0tGt$OJ&QYSGF!Ai87 zEmi>#{>I_*gZ9$5M&2jKjdk;2eR}*@4`!A%&l@4oY2O7`;Qa{NSua>>5KtKry1NATj-|iz46Rx;c%D_EImj zLY9E<=hdh+kAw}+jEICkxrWBsnx=+*11{gJQ#R#VvF+tBMa&`zoQ4&qa?Ud{kXz(# z#2UT)5{AA(I^a)%tV1ibdTU7^fLjdGI~~zHPayfBsmpk}%7v|e!rmY%t)%le)zqi{+k}GToKWua_7FM*KJaHas;69Ta$7* znI2oLB!MiR&TO5YeNx0Js&A-!g+b&3`T~SLYZ^f913BLwU<7#MctKy3edJi#ek}Nt z`hZiw=N3y6IlS?{w`NXU_IjB(0zcx$aoQO<_!E&=pmJ~j{TO*zBsBqOsn?XC5)0Sw z%MoQwK-;yg$hQM?Q$!y>!%Vg_x!X%YVyACB0}4H*(bw%cos8|E*Gxl}zZMy0ZvOlV zqUENwpL6eX7&RThf03Lyk3g1YZC7@fEd9=(!m4gjMV=L#$tI)eCF*sAB60`R^-rPq z;JJ^n992KCbs;ht(?*L=xx$o9TqhBymD!?)<)V@kB+hqT6YI9@InqwiKfuX2(HrIN zzz?(2bhdG#s6=&3h+&L29(rSsHrfKB%k{jx)0DS|e_Cj751IICNw%~9et_L8LxDft zkN9if!Z$wk+U0?5O@0Mo@69FKIFPuwL{)@f&vS&O_AyHk9`P)kmpyQtOX!N>-zQUC zTAQ1exGPihKb{ok@~&Hk<0bV9oyORJi>cV(tpnw#dh z`2)4iT8TYg%_1X#2|gZkQxi*RjY+9nWDX-_wqwTvf9eXK=dc#xC!d~Y6AM2$^6FPP zL!|R!A`xKdxN?a_zZ;jii!eQaA@lF|3@oFge1i~5J{bhmGggxIwd%F7?s0zO z-rPy-q~Gv3TltG6O< zqc`Cga;Y|Bl|g6%BMj$337odQh^)&Hhellbvl;8M(sSwL5v#LbNX_u_a^AC=m-wLK zkp*4#WPxN(1xIIZH1Uxb1fd>hs$|KlZq5Wg3_n!W z5I8so7lvL6Lln=Rd@G(-m4|CCabzA@Gx=G(6ypWF*mXEQ4nlYL6ybM$p(D!NrcF@Q zM*T6Q+C}=bzpD7%E4jnNt(@G|Rg#-;q%cpe!$34JK1Ukua`I&rn2bwrw8v-)L&3X<7w zDr+vARWDPqP_|;)Ncbx23I`A2h|tJ7X%FB^7row7QngVmRtD~a6NJYRVO2~Qr%!a4 zr^h*}rPcYe^`l;hQ^1haB#)|+IbZA*!rdHm9@8ly4+iM7GS}P2mpCscV&Atbr3#!T zWUGeL2Ww5+p{6gHLL)tKJ9s?jnyBPp3CX&q8Zdm$^bapp5Ao=H_Mzk)dsUJ5`Qx{2KXYKc~&rInk?)&B-M~@b z=oi@DczOPGcz)&dxo|}0dZRY8gtyRPnfcfsPWFTd38%C5oLYQ*wkoK{lUE)vzXCNq zUBSs5KstgpX$WSJZ|#K`ECApL4M&>{xq^Iv&)n^f0hY{v@uvEn&8FBs^2AoiNJ z?9TMatb0#Nn!UbDGYn|n-ef675u-;Fx4|Im~ z8&u!f-p?(dUv}V7gxOpnZK;`^eBPk{793++unMiL{eYW(M{+r~Nv5Fs>^B(HM|aq8?KNz%p{_1^0_G6o?;I4BLx{r?bO8&;bM$1*zuUSS6L2-DD2zAMtQVZo7j|m)Ys+T!F7554B)3FB6$>9aZ!;hAo^h~-8Z`mG zRk!p8-IPopuS4036n?*!I=BqktbpP-1dOtB-=9@LjeZp@~1mf$`aTl8!G2=I4vKQfFx2B|f#B zEde~ag4gZfw<6^1!>O?}NhT$fxKzMZ5zi^z3+$1&IMV*@eOeD|M9~bZ6dLvI&H6K8 zq!52e7E4OSLEt3ih!hr1oCsvNuZr( zX8h|46@H}9i|7gv-#y3dJ+|8s>E7@-{+A``MPnJ~2(c^A;@>~13+gI_Isc)wy!aX$ zz`UdFbg6+>PM;!m&S)j8db0Mf4r^?V0wABq-_KZSR}Q&X)j_D9pOq@RqQYlDAOi%T zc2M-@eW!mv>A4jnSr=rbTtN1bM4qV??|Xw^0Hz-YJ^PKoP@Z4I(6Brg@_Ou+>j-zA zC_r9>&`3RPV{gf3I&!o%MI}K>Zg&I32D68)sUDvbl(1E2RH#edJQr6kGt(@h-B4*` z?KQ%_hZzt^pOIvc57#KE!Z=z2mOZ2EX32zoT*s}5*(5&gK?Ogd6KC>_-XexxOG)mV z;y92}TsIGBTui#KaLUSOE>zm*s>b1^elnrHVm*BWM23_iW!;+X`9CW>cXtie-Mvoj zdo4jRg9>h&bO?S{vZ2iJJECLuL7;m zy4lWOtp_H%01PV;G&KUW#i6HHMlg0Mm+ic&h_mNOLvE@a$Hg1zCtk7?CFk5*jXwUP z@hPIh589CjyxNlkNp#M(3BNcJdVaU=E|>8vxk+5*=_1gDwB?JSH{0+a8cu`-a-3my zuNZMYz@Q*RrQ`~k)C~M}`EKEpB#APuDG!z-BUSuG2^nX>^-&IHy{wxm!4&7+^X$Hb z$wgMhN#^?9!e=$C{&ao0eJ=BJheQVmLV?|@fgiyBaq6019Y+VH!qn>FbIOWL$tSb3 zVFtG;rPdPR@iInh_VKPNuWmd4Q0(>FHPOPEN7n!z9n$Yh`Mj9nNeagY5}j!^ymB1b#D9 zX+G^d@Ed(3p@ray<1=!^w+@H0@{O29eW3IDfQ{Cz@g3ebppYqgxwJY&F>n%S z=0R3zY(REZnEh4v1qV(`K*{~5Nrx&pF(YY@2$@}b2r#=ZQ*xhx{ZH{1eO^$+;s+n{ zFIx&LWImA-OryTphB>8Li{+R|J3PDX#t0jZyUfax^m@v zm|>3sr>OqaUxEt3?+^c##p+p+>eS!Jx=+06)^akWw(Di7%{STwx(a(sO3MkS97(fZ zvWPMOA6blLdVQsYfOC+~ofi}?-bbg-lio{U4aM==oN4^qRM|U#?wc=^t2z0X9kV%a zCy=&u1;D|cj+eaD=r;gFcwPgaXg4w!f6Ww@w3k6(9aa~j_0tmV+%XFnZb7&eWT_|+#(-?oG>%=pc%CXB;iZTK z5%(Zb#8kPG2M1^n#jVWyn!i)fs@($&KS9>INHVB@BQg9q`LbBwi-qDBxf zm^gA4m>t;@pzM()GJcfX@G4smq|hhsE8fKs&gA8daYM$>gA1KHEqWR}xXzJ?HQ4qe z!ry|MqW_JsyCuiwb$CRs`yq_KF-sx_3NBS$M43klPX-~7PU^!0)4{x!rGhcN&eh`x zfqh?oFs~N(2#QZ5^aXdIFjpanws1u?=gy+>lwLZ zu?38ZP0?SPlt1{V3%zn=ms;1Oo7$OOs7fF9v_Bp{UuKdxBm)%95|fd8%OtQMSN8x* zDA|1Hw$1BTZ^R<_TuSoddW$T?E>n@s7l<6{0TZM_R!$_ds-X8RN;q|2$&rdP zs@cE3&S#bUBMksDh1N<{5WvYXNlaSFy*oQdr)!K`^jtb}f3f{~#v;`GT{k03P)A!+ zvSp8jL3aASm@k2*&7XuQReHZ1QF=@E+fir~RdTkoO3e3{=*+k_r4Q-XxrshiF05SD z8Dpl*%y*VsI9gOxN|)|DWxCZUnR{BqZ4br=9bD&xbO*iomxz=nG-2I9-zLFWLcFh0 zXk2i2Vu&(`iT_9~0_>>nF{d!DUC^o_6*YOt|6vl4O{T9O3)PZ=uh~fe1 zz#v6cPPQsPh3*ho>c0K8=>oq-sBjkyTnI3GQ5b|*>GLp}Z&UVf164X!eNUVZqkcHJ z_gqH9{Pdc89?0TUET12{K|8elyh$Fh&?wX`;Tx6093fs4cIw0b>d3Gz6fg(^IDR^`br%eQm{u6HIaIQ!5Wwmc%LqMU=j$FA< z*0cxvdk7ZGb||-(CpD=KCp3YhM!}ds>qeR}qg`-LhdDHtyobHoh-juUPf+2G3a-HXjn$6PNwyjeVzOkfy#vFAE%&1M2K2)oO)D~v={C0WGV zEcGE(Em-B$s#ZqLeH}!b4SUHz7}p7&kkcZX4M*5r_37~=u4Z24m{Lo2KHp94oz3BP z*0cV}wU^H{o%(n@-=9WnPdi{~Kfu&6yu^gNMU$vSIi{ujayGO{U&bi}Nb4Oiph$<{ zW1Lgz%j;r4ynf-u`j0*=8CDMj{ z^^wC(sL#{Y2sjnWa$#xzNapSvGpSF6rJeWpyljxH+a@E-BWY)D0pR86BUj!>(>ad? zZV|sBZ!=ldK;L`onpqL#0L8eFRgIzl#&i&zxe&3FN$tG7EN?CuXa4M)m4IRoVO)A9Z8Q($+w6h7WTIR~3EC zKp`ushoZ-(($PmYS60Z`lBL?8({u$K%vro?Vl9X!Z)PA^5G`Ep628+bac=V@{-^+SGF^@AQ)@nA)k z5d4Dz#ZRCXA(XL3qADkWZ5D+9VL80%Pbb})ND>xnFxU14W}>MpBP%&dp8T4;M!w_` zDOKekATjtEutDhg3c5W%9%`WiXN#;(lqnsX4vrOf@BXONdq zng6xGprDYl@N1DlQ88ul*AjzhNoA?m(kn7OQj4Ey|A9U?okGe_gA}le6eVSh3RabJ zS`DL))u3o z#pGf0DFua?o7h{F+jlVkVJVcm_b^4+`;_7bn1|R$l*c8QQfwKe{0ZhM_8H}Q1*Q^P zMS1ZOQ;n^mysE|2VP8|~8!(O7CQ5S)rWM;pX@7%xi+xAw=)`nkyD2@rm_BSjW#B#L z1NI|j@Dt`Uc8Kz27&C$$r4Yu(F%#HH%GW8(GISiFw58#%D3;BAJ|pO zPb!9nU8B&~F&o%T%C9ZVHg<>ddl&NuyGPkS!2HD?QjU%>Cs@W^rfmEy_@UrKytv$T zmNHb6vyU99i688(DE3a}ESRsZoJ09MfOlO{O2Uv|4!Rp>Od^bNUq0yJ9HYOb0EKUZ z9E{zyxl#PV#PUB8(-~p6!m0}BW(hAvI;*~q1BmDbB>>AQm9WS}a0-2sHeE;Tbh~AV z7@iFH)D=7OBLdZ!2RCitNsDyV!sEjXCIMFEd>bk3;A+bS-}{m9i+%Xt&mH0w69m<0co24rf7&wsyNVzZJJ)GUY=zJf;MZ??{hCydHrSHfkJEKCK@4a zW+fWc1(B8K&F#mxLu|YG1@PW>d|pHz%?XqYI-Q_UY3B7Zc2g1kl$n$El51mTj;|Dt zzr&BrX5oxkle4*qVCZwE^%7rtyGKxfu4QY$NHt)s=emn_&J zZ@8&qs{}v>gL#62s1^zlRbznN1<;&W6g%!X-Bd;{;21CwZ~a)Z9**n47cQF9X3Dz> zm9%R9&ra5ZC4QSEjtFFXvUn6Pz{=G_DCH8d5TM$MHwEGNoYJ!@PLWgV%iYrb0Q??2 zToI%jr7cXyW5wFt`FZs!qQs3Q`mkZIz`ogzP9;hAN3O*vGII@j-JoP=;)#VJtBuMD zf$M<0Lrm_S6@2gUt>jmA4T*MpmO*fl5bQ=4UbhgAopHEdje6Hu+W(akEf68oBFSxz@!9&rQDc7445{udLy?Xssn!bnRuBM8Q_oW>a|0CCNnIi-eRfY{CW zE|6xl30~y+ezpW9`7pg;`gjlLPYq)#ej?tNLUx|JMw-lDaMNW+<#=WT8wP%3D$fSxtCS7;CV~}e%w?B`I7mChCnKJV;e@rwE~d*X?=#*7&j|ZKasb1{oaA&Pu9ZP5dRGo(ng#MJ_%QYP65#Mz z<7^bWR*S20?8+op7xYeAMyfqt1bS_?$Gr0iAhq~3pHG^q*w4;&O>V^$PqG{KeB6Mn zyf9R8f;i1lowqM=MJW$!)ODyfp{YlT<|kk6>=ZFoFNuX+8oY+EZ6k^0jqT-qUQz;d zW5_ik6L}q~YOng#6kU5krrOGwH--~!{e~1g-yN0)8gnPbxGA-W`ch<#rTjEHu0q!a*Ue~Y!<-lN!ohH&& zLo?dflJ}pTEtuh8X8btP$(>=`2=i*wknk+!%>5CgA=4ZbI1cU4NfF?4=ddX8>bKFE zcpI-Ovj&mfd(1ip$xCxmTZiW<*_{O+v7$Ul7V{G-CrPuA)Icm)p7a>;O$!~aVwOX4 zo>W2C7>3LD()K?#CRKmX5?DGGN^!@1rkycLGZ$Kp3(044QJ;#s_vaZp@W*|Ka;G8P;ck8f0A9?S z3aNSVhnYwh+ay7)6gG{yy=F5yA?HLK(M3yBiLj-@sk^yKvfti9x?GMT^6q8>6Q-0o zmxI-o#LsKm&3AP_y#4$z(T{XO;p8sy^XMg6O)BX#UB*xLoU}<8NqzT?Y;TaJBk8jf zub-@)v`ZMtau+SzYoKXE`s@$)la-b}6Gn>NW&V}z<azaC*8-27Tc$n=IFKc^O;-)`jw3JvBRl*5sQ&IoH9tN)4;7ZRm5oSafKSc7`ID zeqpY>I{gmtuJALb<9E*s^=P2}x0fLxr1ww{IT0_S6MLH5@y;rN@w^ z7f`=i-kL;hEZXz+UoB3h?~oaXwRhZC{cT*)AUcnM)N@*kh!er!&c8X$DHLFN)rB|l zP31^2;svHjyt{Q8r-z7H(Fqgv)3pm{QqZrpMQcz`8K(u&7BH_5#1BegIU^dv1-28O zbZKHZntI4hgdiFS>P{0fH6}(fpzT|Hw+{f!$l)O75x-?oOV3S0a}kd^msUe}I_1gM z9l`g*7ft;853uQ9qD8%3%H)#66-*u|Y;4QMUwaxHPFIk6x+pf!8YsJ1k7C9b1&FPM zb(7=8ETBE&g06ys-{V;CLk0EWtziY`+tnT2^=;yzqW9UTkK2sg?|Z~agia`U0^)qP zV3z=B;gm;MV6r%Se!}_fJ>q+@^LB_zcVJmQxi#+{`75zsegkMCnf%32b!NY2_yiaH z=a$7yuv*#*J24_M&qva@%EV9;O6GPt<{+{7dcaHtynKmt#w=X&+v^#b1y&zqrMy+* z3Y9pn7DrS)9JmP%_w$6Vi3Qw)R_TVr@y6uPL-JP+%{ot4;6Xd$xF`mC>GKc>curN@ z%H$qm84wBnQKtTSUcKr=}^IZ7Tqu8mxvk((-HN^HV*i6Qzzs zmjw2*Xlw4phUcP9W$xu#+Yc%4+Kuc#DqVHzkjdNP?-Nr?Bf|n&T3}3(bE*8jcGsti zs_pO`)XG*Hzyy>s)(nLU>PuBr1G{AzZQb_5$mbeErI!7iN~B2P0;T*$B;~ne91(BD zThv%UMu{A_xx0A0*H#U0rPnGmdJl#^#6JdZDIVJM+;4G5WsJUos_iHh3QOkAC2$LB zTY0#&yqCwn-nouSJA}PBc4BE%J$}Lh2Cuv|HV>^~613;=9!g)|sB6HR)Zf!-!_}8U z*$?W!?>PaxUmC8u+vwGzSw}xmtk0w317eI!TInEgEn}yXYPIsR;k>=&7#k#d@!oqw zXdGAiGdA^~=vee?9mvbfXYA5bMDDCGHlcdBRR)(#4n1nOlU|cYXwJL#5*KKuoNCe( z!RgBcCz>O;^GEWQ|LRSjn$_EfTZ!)N#K`4ya~?{x zRTq&H_(IW&yztgJtFJ&;G|2CR5=j?wxdkqLewhZOtsm@g3)CM%JKzSZuHojU?(BA<(c@6wgCPll z-zt#j*Hz4>s^GaFl&@*`FGWHI-(^h9A;^dmg!RoX2WS354Ecil$qDur@QRm5-Y%H- z17xOc92wb5ff;Y9STGe^K2Q(>;YJ;d+phe?2c%5Q4FH4d1N|2G!<{75E^}ZP%R4pz zdKovAyQhB#;H7r-51jdWT_1xEYmHus2rjAuJ}r7-fD&PaCxACAc&+sq>MQ$&8or1} zWAjgzUtmPP`)V<#kou?<_|_QG4D}5IET=%nX1ner%M0uvPMiKO1!aE%D{n5Avb(Z7 z)iSN%hSS+`k$d&&3Ay&3(Xcf+6=RO zJ@OGN@W^A~@2T%ElF$85GHT+W9av+MwMEl@hf@iJp5;R zbD>-h@|rC4z2(Kj1YZCXl@5qWvcHJN(`>v-|Esri@E($+RewPSOSpM!f}iOh#ktvos}KY z^OpspLvX5T4kuO9Y(+&W-T;@+p}VBYF@KL+>Vo2-T-iKFevw&WHfW_Czu?pCVlt4U$>@*qM02UWtWawf~kUP3l>P7dfK6It2SwKF4t?P+tu!QUR>z3__-- zs>3^%rPJCw%|ll{*J3t-+FySoqb>v&!`*u~z>EX2xUD8A zVVK$cW?XA~gQa(_{V$i|8)}|{7>(TalNG(*LJWwTI~R6F*|_YxQT*26E(^1gzsVf_ z2&QnL{+AAm=YW@9WQ_zom16_%X>=+|WoUBS#az`SseuBq=9_de5NOlil8V)$+{K0c zwxm^#MTEc4L46b^kCY9V4vBe=A4>i>&E)X>$Csz(pg2Ex?ja=o_dXOY?dGBlmk^*# zrXNp$-`CK9pW}yS6fcZ1Z?BaMNuuETia(Z}d%*VBvWTNfk z$+xQQLfzA-5z&p!|AoR`4dd{@j_jtzyU(SWyh8JNy`1IiQuB52+`B<@>5@B>=Iew> zR2>39{jZMW(w3ugCbb|weFWQF+yAn%Qwuiww$prsclOuA>eQ8?Eft9oo?9r#93uBFa)?kmT z&=_&rOpEsrvC@dIgTa_hEs#%IFUqs^kSgtO+1WWFa|79yaLwavAsrlP%JzTq%x(YM z;07MbKj7lw5jGGMxcuj!R;{@m7Wim=5zeS>45DUq0SWvAv+D>GS#v1fU|cfjfTa+m za`F9M3pxl4Vu-Gd?Ej~Mxf^*Ws5+BgKv~ir4itEr{bsNhI>^+#+x_)H!nY&0NGXXW z@w$64{4|%C4_jO@^~V1~UuP&#Er&Yj;ozJ==ir`rGSVAwu-rl-OXQ4UFD>y5wAAdt z3w8yur(s#<3_5mv6(2AGkyi<}6n7#INRCc{b7kX(h_cCa?yW67jk4*V@Qel6v}Uf! zTz2k-$ZnV?^ThfB7jbPNd!=C~9|j5a%Af&81uj7ly#Hb^FW(T z;WC&z8p@`HT+dzWsy?n5!Cp&P!~Ck=BJZidh*p6hgXjAyW9D{mc34Hy4K)*iFC5*L7q>X}?Bzw*1qcNS*Y zV1r%v>z9)G&I(B4u_;lztRt8Ed_zRWg2oG41czOZi;(h zcW@IlacP0-7ya5lIBPj`(oet2|28tSckbkJ=CPd^(Bn2&fbQOvKCDI)+f`je(PB3G|q2bw0AaUo~bV;h!Q*_>4(E) zrhF^$^8*(6KYjLv3b%h|;UChWHW)zTmZLc6=i(O0+Yf5pX)`S(=lq!fCog;BXi!r-g-7Y(Pk^_MH1|qHAj@;&aA!wnjhbD;+2@Jg~x;yt}gdoi|v|pNLXxV6)1FK3r?L|Xg0|mzSO3s6bK^9ZI zn@U&3u2-cXX3trW9U(s*pE9}x`fg^8KvGJ(V-?5t-c<)yT{!WnYC#oVjjvGPy*R2U z@-nBKfBEj}QYxII*uO5iI*&~wp*HfjPquXI#XSlS&|Z*s#j=hhip@LC91>`ln?k?=h2WAaqX zhr*cu?w!}yMAdRM$?-#EP1C|h^_ zEmFMXm|MrajH6#s=YMAR|qmxQ+%nO~}B!HfF1-qD`?PYq+G~7X#^$?n9ng030 zl!w!%ir`i&I#T35ybb@lKPx-`d5XF&MEx?7scXb_5@Yxqo2&_^IF>n;Zk4a}q6kX! znSqP55#Ez!bE}ByGX4OTQTl9I*+QW195-W9$})iji^rSziw^f^FgDqP&$|wMf{oHQ znsBLQ{l1IBrQW;EDGMNnRsVzIqwc>RSd>2y$;xBmJoCbTynTk6ZxkscVoN`DHh*UJ zJ{OXVxc?h6pnU4%Lrf)AD|0t~`LCTrPW4(desgEk^m_ORz*}dfyal?Oe?_b6Dg>H( zduR?QnmkOc1HI#vw63`K-M5mviS5Zn_jwSV#zSF@YIk(^Z90E|Qa(iePBz1Rb)r4i z-#RdUE=Y9;@sox!F!{BnyW#$sBbUi@&_u$>IdR`o+##QVkAm)xUU1{VY#!D=GeIj7 zZWIp#dr(H^X-5ETGP+s$t@I))QfwQv0h~s6_Ev7YiOEKp(b5kqhr&=!@gM%uN<|h2 z-AaodwJ6r=HdkMs=v%)U*W7pZk&rX(ZiCbIW7{z6vhZA7=ctL%2C`H~1B)oUXo*{@8Von- z)9g>_Gj7e3GlgRasmk*KmDRG?3CUDD)NT16-ua>}wJmK#{To~AI^l?)7r#1sAJ0Y@ zH?E)iTl9~z%mhNlWDYrBF2@<-A+X8 zuL!YZ#y{=L^#x4+qpgu;Xfe^U&tpe8%N2v#FP)(z&*t=}+k$>eW!4$6F)P<^?P&3U zZLQj(d=G2#oA4suFG6w%g?BGy+{Fx7G)$UT!5DBkPk z9PhMhnh^_9!OWTX0SGR_Lm#}XeZ4i_!d~E0UmhpChGdac7?$?+YH)t>N%ffb2vmi- zIYW8)?e4FstS>G#Y0%u|gIm=ZUHsKos+NtyZs!~je0LB9pbH{HZWh3;z4uq`Fr_}$ zQC80A;)RSWl4lj{e59JyueJv=2#qeun&Tx12CkerOSb}@p?!L}h>$A|)xl6ucB&y7 z_s8wlBn;;L2lsM9$9(w@epSBy`X4`Omp`z3ML=tkb5VQo=%3jD=Q3ZO{pL5}?SHn8 zJ45ExoC~!r<2jr)xx4;!{Bc6+DDKCo-}MpM7mAO(@J26UG}?C>z8>R&Ww0zKy5Q*(5^PxZ^qqpS|(lV_QYZH0xM9K4_K z&AlkI#ibL6VcK6>R4&s~pPVIyb81l=nZR-ddLSbG%ZEqoRg(4h?RjhHRUf|LA@i4~UVJ0NQHSNQsUgqwQ^42@ zyBUkX&A~H{p5m48;%VOIP)Brq&3$;6`{@xPUU3>Vnm-_h_{mVK_+Der=6O{v@HFPd z+qmDjuex+&W7QcI8bmL3`b~ng6E8X>WkCf#S|;r%*3%5~SX-<-{MySxOB!akw}8p~ zaHzUbJm%YI2NIuD;=q~JvtOQdY7LS+O@714cF>(7 zCXX!+5(HqCVwSeFc%v)!-;x|-9>yRi=2ek>4P?^^^#kuK)6eVB%(R0QZz3OGxt0y} zmtBC@qqq9U?fEpuiSLjfSi3nF1sS^vtGb~No3a2QMSJHt#Bu8V84Y{e^9Mqr8O@gj zIbn)!24jLG$W3I8Bd7EWzK=Ne*Fv(7%oV+4iDwMR_UziwvQ8S70}qe=#YqZp{K>(4 zilw|Hw384n{25ZseD(Da+P0owugTZk9!fM8HJWf9J;zDq?eSmrl>VVI`GiIFLz~y6^$;RpgQi7wPK1zLhS; zB|cO~w;W5@Tps(VEGnw?ZggAqB(vykD2TBcUu7$14g{_aIIY7+?t0>vZPv-)O=W2t z?*XlUJa9A2fH&$o#aURug)W$^`UNJX#;@|#2dhYjh0-&E?_&_Sa#-3^O}0k%Z5Rps zeEo3A?dbT_Syfvb9%Rlh#h|Yp^|r4IZIa++r=9DbLtH5-V>;Ez~mvQg^zAhu~1;qhVw=lX&4Y^chgFb>D^;TASul5Um#OJ=6Rt zw<(;w(e6VD+n{VhCz~&A5XZvUa&jE~&cU$F-F3(uT2bSW;A%pa3MrAZxi zz0yCF_$>H9p33!%!o+y(?oD*KC*pa@30mn}sEZUFtqVc({-$}TY_-rI=lmI>Ltspw zsO^j%dx_uRf}T=9bT2!-^~$sPHOR^{PB21j=7x1f+TtoN{O7{7M8Vbh1GZl@uB=Xj z&AEiYQrnv@&&uBRl>&0A6Jc6!@a_cFYA%kcp#y7*+0cS%

z~$EbA?DQccGKU+6I zENxh_-Nqw%<>?nB@u0E^2Cy03&10UQ+dIg+I(v|LAW-H?wOdy;#?aX6=#dmmoQB`M zyhv5Z`N`Y^Q6VxhLp~}_nca191|R)al661u`_|p2j=a_^Pq1MgEIhve%B9bTeaS0S zP}&Vmt!$CaL2Q~^9FH@uG&_12@09n=7vYPLA;^MTtpEgee)@oD{x^A*nVTV}Qstby z8LOG6nP;l%m-AC860!_2nk$jgdqTh!vsg zL`MrZuZ8us*Bbvg@obwK|Mc#T+zw-iPnKLRHKTiWTAo2Gy$pXY821M#ZWUe71Ar{r z^(QjHjN=pqLw;shv{^Hbe-YEUe9F!;_z2rX<0mW5%TuLerdbxHnhOY8Zbf6{_{Vz!^=Tr|} zTlB;pANG?AnIh+ye#VfVUWN$or_f?j8?;GZFt z(TX>Rjg4bR8E{k4REIGOlP{xM_>I{R%d*XXRzZEOcq|e(O3@D`NS}*|dV@W)ciKPX zUVtZ)asvOgHYOk#S%-Ynf-jUuS<0vkys71&Isj!Tp$!XfhY3AGbU9u=4q}na0yatB zE34fWR6NF*qjtc8dzAv*IQjIJxg+#btsWiKO{sYV`*3CtM3E)k$CLiu4L~9-#rQ!B zF@+5dh6Y}bkQN1J6esb4cOQW$$T=qcwGOETU&1FVeN>B56d?OOz(15f^21KX-9C9W z^y;*7qIr>+=0KMsVN{je+7{6mgQC9lliE^*Ll#^x7|_&-yo z*vn|+4s?cohIx7gU(HrAN8NvPuWzT*&5v2O3%Tu6M@<>#>9xBw-Jszf`#R>P+fEVd zr)F9dJ0`_>kHaM>SK<9_oH<8&!4proKxrlMb>4mp8gf^4gVrH*Yb!Z2k-xmFu5*y~ z04;P+g8cbF||GyJ%;}dbC5pU|O9<3tTP1jLxYlAm}Bt zmzonmyU!!R@xo^5=_#QicBa1taywX8>j@lS0b;#=R4Amw>^!dgPT)*Sntl-^);{pS zX{QDH3w2)!kSLSNraC;BP>RZ#Ab`FDgOuTfEhi{IImWozP zIE-@Q4n{rKdr8;G42#ed+F?IJIl4>U@Ht8R5`Z=#?8MNEDm7-R1!kqpFxM5l9EVPA zqQ@2|Oz)o|*~tfqLW>e z+c6H8xR_D(-BjLh{1JqsDfk+YU99u-mkGUOqa_#0dGE}G34s2r*McT1wp6v?eMO<* zs!5UWd2TUyN=u2CDt*<>^3GyPNnGqR@u5+spnH0g3se3-TS$@VCIraBRm`^?p>hu5 zhW=i-J(Yfd{&<5{K7?~>Il!p4b6I-Pt#WgXQCxu4##%HRQMc06N)}j6-&bw zDqw4|34!&5fnW;ZE7jXVxPwa7dBipf02hTXQdO>pb%IE$iiUA=-sxDg_0f`YLCn9hPp-+wjU3DEATp3BpOL>Cp1<(_@xTxJ$N9y z7UX5dUJogq-HM!w$Mv9|QDpm1-+ltiCgKXI%8UC)sDK8ei@)(rtldVsYP$l&H&WRz z7>S2Jpsyu@21R1*FHm_}LU#u^m<>Tcc#?8{u8Z(Z4Xg~N*KRy!$AJbUZhc?HjDW{B ze!r`weO7mlP+|oKPien9Wj8-;H~H_|{S4|oWtzPz{wM3Unt`9+yySwYLCL%aKQtc_ z*v>Nj3F;T+znd*akAwOJ=DhC;_49|5r}^tXjNRXrrVO`BKsL7!B>h1MQ}FQx^0O*F zKKwtF=S4e<7Xa+Fnab~|t3cV`0z=Gj5&Vkuww~y`v;M_VJ&7bWedw0@D)Kst#H~Ke zv{00IXgBg00JsD|)njVv8k$<#M>;Bs257b@XjMdNY*JiEWUki_9N-fJ`UeIDhn^18 z1EsxzQ)d(X;`e+b!WEcG)6V}Ow4F2$L&Z3n{M1PmCXfk;2#8WaaS2IJDLEP02`_o3 z9q3H6|L4s-Zu>v_xfIaY!OYyk@`Tmzle%K5ZJKT_rcNdYHrD!vMocK82++jwa;z!? z0Q4RNPY^nk)6vz_H)tEC8k?A!Z92P}3-%XSltTcmKQv3`D&5LjS@ANUD4MC#f6vF) z&;NWt+xd|8&hUrjVIqUl z)(mBJ=RqTD<23b@k#lM$?_l_X4pj2)1qzd=GYVb%z!2KbfhFU z3L6sjL^Q4Oys(I9Gf|oAhmN#nix8;5E(q|2sq#o58jcZ|D+msDeJLeL;f7Plg>~Qu z7mzH$CSe>wdWKg1(!Pt~=c9K@J2iSCfLeA)B!I8uY-%QPV}59ApW_F}K%W4&l{A1e z5isdRg>hi`k=EzqR4@}r1gDHL0ywUx$ssu^_^cU+o1}z=6Tp8_d~RMr4lYoJOl~h+wU@Kf z=msrS2?Ub1n<$7)=+LHl_EDx(nqQzLL6O^-6u#ou3R1P#+ka?@)0gMR>avNivT$&W+_oSgE_a7_U%XfwB0-m(snt~#WJrTj0y5`}-K`}i zgVg9VY3$tWd>tlStu=Cd%7rroPRJ`$kYB`I;4)5-{Y8NW@4lD9CDTJlnTM9|rA_vo z=Lmtef1IA1GNKb|%u9V~AW4)&=5shNl>!>St2~shI3=NGm;JFa*FE`eQ98hW~ ng#!p@-biRJ9CEav0B45_Bin&QNB{!(|B(P60APt)L+k$m6sVuj diff --git a/bakapi.map b/bakapi.map index ef95af48..2f7a7768 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:41 +Created on: 15/10/15 15:28:50 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 143a:0000 0000f140 +DGROUP 1439:0000 0000f140 @@ -34,28 +34,28 @@ kitten_TEXT CODE AUTO 0000:5d50 0000080a 16_hc_TEXT CODE AUTO 0000:6560 0000158b timer_TEXT CODE AUTO 0000:7af0 00000235 _TEXT CODE AUTO 07d3:0000 000090ab -modex16_TEXT CODE AUTO 07d3:90b0 000025bc -16text_TEXT CODE AUTO 07d3:b670 0000010d -bakapee_TEXT CODE AUTO 07d3:b780 00000d33 -16_in13_DATA FAR_DATA AUTO 141f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1439:0004 00000000 -_NULL BEGDATA DGROUP 143a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 143c:0000 00000002 -CONST DATA DGROUP 143c:0002 00000076 -CONST2 DATA DGROUP 1443:0008 0000010a -_DATA DATA DGROUP 1455:0000 00000599 -XIB DATA DGROUP 14ae:000a 00000000 -XI DATA DGROUP 14ae:000a 00000036 -XIE DATA DGROUP 14b2:0000 00000000 -YIB DATA DGROUP 14b2:0000 00000000 -YI DATA DGROUP 14b2:0000 00000018 -YIE DATA DGROUP 14b3:0008 00000000 -STRINGS DATA DGROUP 14b3:0008 00000000 -DATA DATA DGROUP 14b3:0008 00000000 -_emu_init_start EMU DGROUP 14b3:0008 00000000 -_emu_init_end EMU DGROUP 14b3:0008 00000000 -_BSS BSS DGROUP 14b4:0000 00000ed2 -STACK STACK DGROUP 15a2:0000 0000dac0 +modex16_TEXT CODE AUTO 07d3:90b0 000025a8 +16text_TEXT CODE AUTO 07d3:b660 0000010d +bakapee_TEXT CODE AUTO 07d3:b770 00000d33 +16_in13_DATA FAR_DATA AUTO 141e:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1438:0004 00000000 +_NULL BEGDATA DGROUP 1439:0000 00000020 +_AFTERNULL BEGDATA DGROUP 143b:0000 00000002 +CONST DATA DGROUP 143b:0002 00000076 +CONST2 DATA DGROUP 1442:0008 0000010a +_DATA DATA DGROUP 1454:0000 00000599 +XIB DATA DGROUP 14ad:000a 00000000 +XI DATA DGROUP 14ad:000a 00000036 +XIE DATA DGROUP 14b1:0000 00000000 +YIB DATA DGROUP 14b1:0000 00000000 +YI DATA DGROUP 14b1:0000 00000018 +YIE DATA DGROUP 14b2:0008 00000000 +STRINGS DATA DGROUP 14b2:0008 00000000 +DATA DATA DGROUP 14b2:0008 00000000 +_emu_init_start EMU DGROUP 14b2:0008 00000000 +_emu_init_end EMU DGROUP 14b2:0008 00000000 +_BSS BSS DGROUP 14b3:0000 00000ed2 +STACK STACK DGROUP 15a1:0000 0000dac0 +----------------+ @@ -70,16 +70,16 @@ Address Symbol Module: bakapi.o(/dos/z/16/src/bakapi.c) 0000:00e6 main_ -143a:01b4* _ch -143a:07b0+ _gvar -143a:0868+ _xdir -143a:086a+ _ydir -143a:086c+ _ypos -143a:086e+ _d -143a:0870+ _xpos -143a:0872+ _key +1439:01b4* _ch +1439:07b0+ _gvar +1439:0868+ _xdir +1439:086a+ _ydir +1439:086c+ _ypos +1439:086e+ _d +1439:0870+ _xpos +1439:0872+ _key Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -143a:088e+ _inpu +1439:088e+ _inpu 0000:0490+ INL_KeyService_ 0000:072a+ Mouse_ 0000:0782+ IN_GetJoyAbs_ @@ -127,9 +127,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:4a5c+ MM_TotalFree_ 0000:4af2* MM_Report_ 0000:54aa* MM_BombOnError_ -143a:09f0+ _beforesort -143a:09f4+ _aftersort -143a:09f8+ _XMSaddr +1439:09f0+ _beforesort +1439:09f4+ _aftersort +1439:09f8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:54e0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -147,9 +147,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5c20* CAL_OptimizeNodes_ 0000:5cd0* CA_Startup_ 0000:5d20* CA_Shutdown_ -143a:0a00* _finishcachebox -143a:0a04* _drawcachebox -143a:0a08* _updatecachebox +1439:0a00* _finishcachebox +1439:0a04* _drawcachebox +1439:0a08* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5d8c KITTENGETS 0000:5dec* kittenopen_ @@ -160,7 +160,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6344+ get_line_ 0000:63b6+ db_fetch_ 0000:644c+ db_insert_ -143a:04a4+ __kitten_catalog +1439:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:670c+ LargestFreeBlock_ 0000:679a+ _coreleft_ @@ -190,45 +190,45 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 07d3:9374+ modexEnter_ 07d3:9522* modexLeave_ 07d3:953a+ modexsetBaseXMode_ -07d3:958c modexDefaultPage_ -07d3:963e* modexNextPage_ -07d3:970a* modexNextPageFlexibleSize_ -07d3:97d8 modexShowPage_ -07d3:98d4* modexPanPage_ -07d3:9924* modexSelectPlane_ -07d3:9948 modexClearRegion_ -07d3:9a60* oldDrawBmp_ -07d3:9bc6* CDrawBmp_ -07d3:9d2a* modexDrawBmp_ -07d3:9d90+ modexDrawBmpRegion_ -07d3:9efa* modex_sparky4_DrawBmpRegion_ -07d3:a064* modexDrawPlanarBuf_ -07d3:a082* modexDrawSprite_ -07d3:a0e8+ modexDrawSpriteRegion_ -07d3:a260* modexCopyPageRegion_ -07d3:a3ca* modexFadeOn_ -07d3:a3fa* modexFadeOff_ -07d3:a428* modexFlashOn_ -07d3:a456* modexFlashOff_ -07d3:a526+ modexPalSave_ -07d3:a57c+ modexNewPal_ -07d3:a5cc* modexLoadPalFile_ -07d3:a6ae* modexSavePalFile_ -07d3:a726* modexPalBlack_ -07d3:a750* modexPalWhite_ -07d3:a77a+ modexPalUpdate_ -07d3:acea+ modexPalUpdate1_ -07d3:ad64* modexPalUpdate0_ -07d3:adb0+ chkcolor_ -07d3:b0c8 modexputPixel_ -07d3:b156* modexgetPixel_ -07d3:b1dc* modexhlin_ -07d3:b260 modexprint_ -07d3:b3fa* modexprintbig_ -07d3:b576* pdump_ -07d3:b5d6 cls_ -07d3:b644+ modexWaitBorder_ -143a:04d4 _VGA +07d3:9578 modexDefaultPage_ +07d3:962a* modexNextPage_ +07d3:96f6* modexNextPageFlexibleSize_ +07d3:97c4 modexShowPage_ +07d3:98c0* modexPanPage_ +07d3:9910* modexSelectPlane_ +07d3:9934 modexClearRegion_ +07d3:9a4c* oldDrawBmp_ +07d3:9bb2* CDrawBmp_ +07d3:9d16* modexDrawBmp_ +07d3:9d7c+ modexDrawBmpRegion_ +07d3:9ee6* modex_sparky4_DrawBmpRegion_ +07d3:a050* modexDrawPlanarBuf_ +07d3:a06e* modexDrawSprite_ +07d3:a0d4+ modexDrawSpriteRegion_ +07d3:a24c* modexCopyPageRegion_ +07d3:a3b6* modexFadeOn_ +07d3:a3e6* modexFadeOff_ +07d3:a414* modexFlashOn_ +07d3:a442* modexFlashOff_ +07d3:a512+ modexPalSave_ +07d3:a568+ modexNewPal_ +07d3:a5b8* modexLoadPalFile_ +07d3:a69a* modexSavePalFile_ +07d3:a712* modexPalBlack_ +07d3:a73c* modexPalWhite_ +07d3:a766+ modexPalUpdate_ +07d3:acd6+ modexPalUpdate1_ +07d3:ad50* modexPalUpdate0_ +07d3:ad9c+ chkcolor_ +07d3:b0b4 modexputPixel_ +07d3:b142* modexgetPixel_ +07d3:b1c8* modexhlin_ +07d3:b24c modexprint_ +07d3:b3e6* modexprintbig_ +07d3:b562* pdump_ +07d3:b5c2 cls_ +07d3:b630+ modexWaitBorder_ +1439:04d4 _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 07d3:0042 rand_ 07d3:0084* srand_ @@ -236,20 +236,20 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 07d3:00af __PIA 07d3:00a8* __PIS Module: gfx.lib(/dos/z/16/src/lib/16text.c) -07d3:b6e6 textInit_ -143a:1314 _romFonts +07d3:b6d6 textInit_ +1439:1314 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 07d3:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -07d3:b7cc+ colortest_ -07d3:b820+ colorz_ -07d3:b886+ ssd_ -07d3:ba06+ dingpp_ -07d3:ba78+ dingo_ -07d3:bb84+ dingas_ -07d3:bc32+ dingu_ -07d3:bc94+ dingq_ -07d3:bd34 ding_ +07d3:b7bc+ colortest_ +07d3:b810+ colorz_ +07d3:b876+ ssd_ +07d3:b9f6+ dingpp_ +07d3:ba68+ dingo_ +07d3:bb74+ dingas_ +07d3:bc22+ dingu_ +07d3:bc84+ dingq_ +07d3:bd24 ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 07d3:00dd printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) @@ -258,10 +258,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) 07d3:01d6+ vscanf_ 07d3:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -143a:0000* __nullarea -143a:04f4* __ovlflag -143a:04f5* __intno -143a:04f6* __ovlvec +1439:0000* __nullarea +1439:04f4* __ovlflag +1439:04f5* __intno +1439:04f6* __ovlvec 07d3:022c _cstart_ 07d3:02ff* _Not_Enough_Memory_ 07d3:0431 __exit_ @@ -271,10 +271,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 07d3:04b8 _big_code_ 07d3:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -143a:132c __argv -143a:1330 ___argv -143a:1334 __argc -143a:1336 ___argc +1439:132c __argv +1439:1330 ___argv +1439:1334 __argc +1439:1336 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 07d3:04b8+ int86x_ 07d3:063b int86_ @@ -296,28 +296,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 07d3:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 07d3:0829 _nmalloc_ -143a:04fa ___nheapbeg -143a:04fc ___MiniHeapRover -143a:04fe ___LargestSizeB4MiniHeapRover +1439:04fa ___nheapbeg +1439:04fc ___MiniHeapRover +1439:04fe ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 07d3:0903 _fmalloc_ 07d3:0903 malloc_ -143a:0500 ___fheap -143a:0502 ___fheapRover -143a:0504 ___LargestSizeB4Rover +1439:0500 ___fheap +1439:0502 ___fheapRover +1439:0504 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 07d3:0a78 _ffree_ 07d3:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 07d3:0ae5 _nfree_ -143a:1338+ ___MiniHeapFreeRover +1439:1338+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 07d3:0be0+ _null_exit_rtn_ 07d3:0be0+ __null_int23_exit_ 07d3:0be1 exit_ 07d3:0c02+ _exit_ -143a:0506+ ___int23_exit -143a:050a ___FPE_handler_exit +1439:0506+ ___int23_exit +1439:050a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 07d3:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -336,7 +336,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 07d3:15c5 __doclose_ 07d3:170f __shutdown_stream_ 07d3:1729 fclose_ -143a:133a+ ___RmTmpFileFn +1439:133a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 07d3:1794+ __ibm_bios_get_ticks_ 07d3:180b clock_ @@ -345,7 +345,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 07d3:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -143a:0098 __IsTable +1439:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 07d3:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -380,31 +380,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 07d3:240b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -143a:0516 __8087 -143a:0517 __real87 -143a:0518 __dos87emucall -143a:051a __dos87real +1439:0516 __8087 +1439:0517 __real87 +1439:0518 __dos87emucall +1439:051a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 07d3:241a* __exit_with_msg_ 07d3:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -143a:051c __curbrk -143a:0524 __STACKLOW -143a:0526 __STACKTOP -143a:0528 __cbyte -143a:052a __child -143a:052c __no87 -143a:0539 ___FPE_handler -143a:051e __psp -143a:052d __get_ovl_stack -143a:0531 __restore_ovl_stack -143a:0535 __close_ovl_file -143a:053d __LpCmdLine -143a:0541 __LpPgmName -143a:0520 __osmajor -143a:0521 __osminor -143a:0522 __osmode -143a:0523 __HShift +1439:051c __curbrk +1439:0524 __STACKLOW +1439:0526 __STACKTOP +1439:0528 __cbyte +1439:052a __child +1439:052c __no87 +1439:0539 ___FPE_handler +1439:051e __psp +1439:052d __get_ovl_stack +1439:0531 __restore_ovl_stack +1439:0535 __close_ovl_file +1439:053d __LpCmdLine +1439:0541 __LpPgmName +1439:0520 __osmajor +1439:0521 __osminor +1439:0522 __osmode +1439:0523 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 07d3:243e+ __fill_buffer_ 07d3:268d fgetc_ @@ -413,9 +413,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 07d3:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -143a:0546 ___iob -143a:1342 ___OpenStreams -143a:1346 ___ClosedStreams +1439:0546 ___iob +1439:1342 ___OpenStreams +1439:1346 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 07d3:2cdf __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) @@ -430,7 +430,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 07d3:43f2 __FiniRtns 07d3:43f2* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -143a:06ae ___uselfn +1439:06ae ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 07d3:4456 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -456,7 +456,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 07d3:4f3c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -143a:0022 ___Alphabet +1439:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 07d3:4f3f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -466,18 +466,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 07d3:4fed* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 07d3:4ff8* __get_errno_ptr_ -143a:134e _errno +1439:134e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 07d3:4fff __GetIOMode_ 07d3:5034 __SetIOMode_nogrow_ -143a:06c4 ___NFiles -143a:06c6 ___init_mode -143a:06ee ___io_mode +1439:06c4 ___NFiles +1439:06c6 ___init_mode +1439:06ee ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 07d3:5069* __set_commode_ -143a:06f2 __commode +1439:06f2 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -143a:06f4 __fmode +1439:06f4 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 07d3:5075 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -504,9 +504,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 07d3:567c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 07d3:5698* __get_doserrno_ptr_ -143a:1350 __doserrno +1439:1350 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -143a:06f6 ___umaskval +1439:06f6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 07d3:569f _dos_creat_ 07d3:56c3* _dos_creatnew_ @@ -517,9 +517,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 07d3:58cc vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -143a:1354 ___env_mask -143a:1358 _environ -143a:135c* __wenviron +1439:1354 ___env_mask +1439:1358 _environ +1439:135c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 07d3:590e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -540,7 +540,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 07d3:5e64 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 07d3:5ed4 __EnterWVIDEO_ -143a:0716+ ___WD_Present +1439:0716+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 07d3:5ef8 __flushall_ 07d3:5f8c flushall_ @@ -562,41 +562,41 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 07d3:65d4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -143a:0718 ___IsDBCS +1439:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 07d3:7744* _ismbblead_ -143a:1360 ___MBCSIsTable +1439:1360 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) 07d3:7760 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -143a:019a __Bits +1439:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) 07d3:787e __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -143a:071a ___EFG_printf -143a:071e ___EFG_scanf +1439:071a ___EFG_printf +1439:071e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) 07d3:78f5* __I8M 07d3:78f5 __U8M 07d3:78da* __I8ME 07d3:78da* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -143a:1462 ____Argv -143a:1466 ____Argc +1439:1462 ____Argv +1439:1466 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -143a:0722 __amblksiz +1439:0722 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -143a:074a __Start_XI -143a:0780 __End_XI -143a:0780 __Start_YI -143a:0798 __End_YI +1439:074a __Start_XI +1439:0780 __End_XI +1439:0780 __Start_YI +1439:0798 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -143a:1468 ___historical_splitparms +1439:1468 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 07d3:7a40 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 07d3:7db9* _heapenable_ -143a:0724 ___heap_enabled +1439:0724 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 07d3:7dca* sbrk_ 07d3:7dda __brk_ @@ -610,16 +610,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 07d3:80cd __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 07d3:8169 __mbinit_ -143a:0726 ___MBCodePage +1439:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 07d3:8244 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 07d3:8252 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -143a:0728 __8087cw +1439:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -143a:072a ___Save8087 -143a:072e ___Rest8087 +1439:072a ___Save8087 +1439:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 07d3:8261 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -658,7 +658,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 07d3:9047 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -143a:0748 ___FPE_int +1439:0748 ___FPE_int +--------------------+ @@ -674,6 +674,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 000234e0 (144608.) +Memory size: 000234d0 (144592.) Entry point address: 07d3:022c Link time: 00:00.10 diff --git a/fontgfx.exe b/fontgfx.exe index 876d2bce43974f276ad2a6f878d1a9871959217b..8df5a7a57537be37f7b4ec5b9f16b6fca536e507 100755 GIT binary patch delta 26695 zcmW(+_dnH-_rH6)F0OlR*WRnljIN#REn8D|+=~kLBICM9d%nv^iHNc#o1#HUa;+%c z>mrqq_4Q@*3kj1nd!{J8wI8jr^#WRawHD5y<` z={@A_<|iirg`)qUs9O_%w@0Ma!ABo}I`@G=-hdJv#7OVvSk0}Uk34klF$jDy&pH?HACd1Iu zx+*khM*jY#Xtb@~R}FOO<1qy$YK#pzd zFI49N6{F-E?kzz`@@nB14J54nWE&T{DSig=@V@UAj92T7uHDw1nV9aiI~igc=_+j? zRB9r@Q((D7C5fj}MkBp4bPGVM)}(&&}(7MlY6HpWd6e(13z z)cXeH3n>~zHfq~n^JxlbP?vmK-qUjY1jRLX26i$kHVKdeZG73_WPV|6f}lN_BV^!< zOk0cfmplOPi3$o+bbKGd{wV18Asl8F z=y33)>YZ5JPIJ*R+N0I5GvCMA_hqFT=dFK(pSBe78R3<%f?5R5a2XG1b9dB>D3t;M zd9Dv*0pBp%vDjz|!}^zwwT6aGN2CWmM$2pNbo7amSqp87o4TGuUPAf9#kjNaWttrn zRckehXWm)-*tRCcA?BC@L(jz6SZm+5uI@f>zvG=Dcl+8NC)LPORg>hJGGQQH3f8_wY8x8`ZJ4_ z3oMH>OAHnwLFXIP@(=Jpy|c2?wO%*YK)KW_mXxdP9qaPm4Ei_TuTaMQ<;V4Q5cr0- z0A-3|{#6)APk|U4(N&mpDKPUU!MET!zLa^UZKwoPH3mnC5+Pu3!D7*7G4xGj`gdTD zTn1-4@}CUTx2%tzZ+&8Chpc`e;Qa8{I?IqjM>9D|rzZh+Uuh_sqP#GLVclxMYIgh@ z#%jFRdHAO?v&SL~ohsJ6k5Sfv%Euo=m$jZioH}y4BNDxT*_*w*)T}4f7LAWZ4>SYi z^LN#-sr%H5+{nTOiZvGfecde`_nN`rKTqfUXr>w-aeFBphh9J=J>7U7`S0V-w=%R+ zC8N#%#fr)LvuA(rJo)Lk&3>oRJLrJRUXsyE1wC!U*7><(@2bXLrU|$K#cJq!r9P5+ zD_YD=$!Dfj@cj98HNSc%!UHGio+0&U0ZFmeEZK~-#<@M!?>V{;wPw>7^shpR#pBjH zkdv!|v-uNY&SbYwTnL~^Rz{p&WQbox-$pIjC0Rva*fK<+_W&8}S|c24Upihy2vV}& z0n2CIa`$SG6du%PPlzqBM>thJzRsaKj_ z|86^6S-ga}JoRnq8|rvp%c==Ryjo9`E6&yv#4E0V+i)*l?#QvNGj|w+qQ0eu{Vcls zO5yWBT;@#lU^pg2D7^wzutQWmuC-E+`zYFcVbeq7l8sa}{?4S|p4Nh9->)lfmkBSW zARBX+z9VegGoi2iKEXzsGwKbzJ_l@f2LyY3WlhNRS?%QBc;G(-U_T^_VPBuMhoCWL z*RY}!5WDTn3D6J{{(&Jw6e9wdr(M|AAq_#8rQtqF4G)JsM;gi6bm9*A6??Z^^`c^xlg zyQ2O#2^Nz0b5jIZcTT2^d>P@y>WdHlU5Q_X3Vz3p@F0zQ43Uw9U;LSMq{|4qqq2Yh zhv1-3Lqo!Y0wvL2a2;dC)jG-A?#ho-N;Le@Tjd6u%^-rwFmVqbsU;L}MsJMc7^ih9 z4wn&}Kc2olLrI+CkAF}b-bYb@M3WA0Qgzoq&N3s_hn=a8e2vW_@URvtO2`=`VsZ`& z<<}~II;P9e3z#(=)kbSw*DAypI2jcO64yfKkE!DWk%oupd`44s*MYRh{MYa5%4YEVmwby;N1P;% zEP0yzQ_n9~eA(@c_d(@xUhbnvo8sHFk}w)Z?J?Ob!43W@UsUbad-bA_g>!AdIWTY8 z6JT+dGCbYKWu#aG1JczXj`;#-lk#>oVFEsY96>bvSP~iqJ}8KWaW~r_uhG%sTnjM6 zd2^iA)gtR17W)-p42_QL&M|~C6Iuv%PL)CYO;)FHO+$@FTVjGI3-T^VYZ*7*XYUoW z)T)(Xq+Cu_)m9y!8jm@_w#Q)jr?-6il{fklw`M!b1IL9daa0){eIJ=Uej(q0mrc<# z=wWNC1oa=W6NE-p=-7k(0Z>8&LugxJuPB>D9C_(`*KcoL)k6B)OJL)H=Q3LWhIQcQ zUU`lUKV@Jg`G6FQ;3)x-&pIQ0m1@^noE|PHDVi+AoWnJ zp6)(kPWoe0AhGnVW>1>9uVi!>Mx$SMLXM8#uozRbzdW=_NV^M=S`uS*+v*x?;XE$G7B-4H!fBg+(}tF{f(Cl`4sq zrN|Ais?ya|7(2UzQDd4+I>wedjXAlNL@Y5^JYxR*@Y-8mDzIkoqrS<*>+X$K$39?I z<^Wo!ty@Fhh)IM*&Kg#FRNXg}(nPIUpqt4&QU4d6>h4C`t?YmKOu@yEz|ZwB%r3&m zg-&R{-L0u$mvcr8S2m0;K`RZjE{~41K`9`$eOHkxy~BrRD#bJ)iof#i z%L^Zx%azhOF*3hKs!~;6%cu}M+gaKsT-Cce?C>Q4kk&(%#^p&B!otf(1PHD1xzbl+ zJ0Gn{ukJ8H3h5PtxD=0rdV@~_+QlGwqqEQm+dV1I=^zY-E_o$|jQo_VY%3xKGI~J- zB8@5MH~Br@8PdNtrZ<{1GpBF8!Q4^}(6>&H;}6U2pGbEbafeJX_unXA{@XMVlDSn5 zq)`txHUj_zEYs6ElgZBB*$q`2?BT=?s{!y##x_7315Cyk2)ek*OmCv72eF}~xD%Ti zgS!(@jc}99Zyo0QNZu^?`f_hKHcofA(lB!t9IqPWvM+u5HzZM`V@2uS-tOPY8XHvCE}fSe7mI)vHqRP3IxDVGTQJ0^ z6vu+BT84XeM#Vq$iK?Q#*E^EoSsVr=hNstkqYPzgH#;Hvp1auHAk<1qU1s{ZYDl*6 zzey*zk}?Y?Hc7!`{*+}_58jP>;7lq)>A_ZK>UmHFgfKf#32~8F@1;aJ*HX3iR!eSZ zCDvQfHb*{;3{w2nPZq8~t*@>^7z ztGwHS*Y?>ZlFYTSe~ULJ0eJ*AWkE~+KX4*}Q#F7nqr&R>i%4vuI)9#oMCg3vo%9y? zc&miCUTa<(XQC!c|6R296oRN)*M%g!oG%7h7E@;eG-lboqS3bf!!?Z0GVT;(J~2in z!7I;Bo-KdE4m=F}a=mje(0a2S&!vyj6`~bc7f}mGW8la4bTv-ne)hRu*ulDV(#oZCSMWu{-5xVp9lu8jw46@RV`e$dm0tNJn!R5Bk@jVYV*eXm(gdxP-} zN9yD*Yx9>VvfLDv(B|5S=b6_~$SaM$(|DS&MAzo4BXxDW8cQ&9FsV||LwcXQ zs&0UOx6MsS{W{?OR+fl)d+r;L7oh#&tGok|r42$|YW8e8@ae zs9FIlgaz@2!+=Aa&JQ==q8h8biex`Z{m&S~j17G+T%r!&-pRYFRhqe7sC)Sex-9Dj zf%|aJWsGn+)ClC#ZaUfm`IsZZ@nJjrPW2-rm|stUsdY7O&%rTOd9rtebb-jX;g-mw zrVjiHBJ(B|II}M5z_{7(ZVeqvs5_7B;CqYrB@@75F;kQFV1m&A65MvO;h)M4eTaI7 z(!|G&aYx!Mz1Nh?u1#p_GM%51v6*vH6!%LcbxcJeDs$BC{8Ah4kOAW32%qBlL06>{ z?X~0~c}q{ILmWl(Z9^PC|3Q+{k>ohsZ)kW0^23#y?;PysIy9PT)3!Fri$J#hvTQ4pzT=@>IHcH?L?d z)K65f|14T0cK(t|k)fsp@J7ko!Zlw-AvY6?esO)c>>nXfgXXZWrqjFDnrl0Il<#*i zGfd|%wCgRG4T_LZOmE1kO5V8z?ul3vYN3hLV|^RgJ~`Kijw`%Z6Q3RCPf#VP$jJ&5 zF9PE~q1fk1-8t`_3vc~0`J6%!nv&c~Kzk)vd2IHyA*g^~!B@T4d$42AH8Qzn2AKm+ z(GV$)S`orAjPWC;#qn=aeH-S=#%|G%-n#ssox2pvhV}11STKB+Vl=L=RZk6hdprHH zY8EmSf<0^A^W)BWhiNkiZacC1$0gre<3AWh#KR@wVqQXQYIM^N#bX!sP|{{ZR=;tX zgyeeyFWOUux)$~uMW~mQZaY}aOuK-emxbWl)!?>dA9vuS?-=e(|t=&~?`y>9@ zAj4df0)%L>q+M(mixLbr1r|9J-z>A8AnDz^r%rs$G^kl`n+k50CRQY2&!| zbG8eZO*0I?+uZ6Xt?XA5jd;-ec)Dhr@$EjOp8+FQ?iTBh`_WoxK>HT=?C!9puBEZ( zLbYAbKT4vc%W7q`^o(LrCCbX?Ji`Mh6{^+PjqfFY<6C;Nz?r60m7oyT#jfhCrDL(k z;3e^nkD(K4)vwrz7?(YtHHC?O{@ryaviLo_UO{h0ffjpVh0n?n^ggmtD&^;S@@A45feCsU#G&< z_5T6;>@Vd`$t^{GY?SohdnlQa&!vvzF1Zame31N(D+XV`0W7N}fHwBgh0>MHLdo9r z)2n6mx0B(0(wtL!XhxBg9CKAhz^u8Fsh0z>-qpr#e2}XP;Ml%v_i*vR%Gw}60e`E|3lR4jbnJmti9)$YpZDJr7TEmStqa*|g@CYnf}RpkZ}!Fh z-r;{o>Lw0~;0>gozB>_Aam8+rEfY>Ii6A49)Y<+6CtM3bOvJfnTZ@S_Uw?(kbL><| z(Z-p|CBox_k(cGF+>Jf$0EzsNVZ`GU_*{_#f>B-5#gAFcc=$!u+v?Q*_)>*v&Va&N z<)CNecBlf|CkS}1pw>-)eoARD{K$dc{;q?KFSJI;J^Z{=lDgXF=7yoH_X5QV#YL|z zWB>AhgNW(>4r}e^Z8>bP2u9KGT(6bd4BFV5!YdDIT><+Z0wOEGv||<2PI}k`S?}F# zGNVs&D0rp6{vboOJV??%JW<(Lk904QD6M5}s{JVbh=`aa>LybQ_m#_=l^jk85RFy? z93j7QC~>f+6E$xjjN5!+z9J#3x_3eDVcHm<%+Jc29Vwjl0jCG`(`4Ih>Sx3n;f;x* z?#hK^`YgJbAiDM4Orjyttu{B`b0ZPzo)H$>paBGXLzlp_^{I9e$HHCxk`8aA^n)U z0?nOn5oZ+d9$3Sqb{w1`8HHyWT#NsgDQ1`O7nOjJ-0b0goM-t3ZeT9w2n7|RdgFz+ z?b2f~>98)K^jtr`yZY$ypjV8|#jn%PDu#DI@~A+YOTEKYUt`S+_PU8O`e^=`*Bz## zqScoYx5{bw)quM(fwMV7tpl^sS4Kt*wH08QO({Hj@F@}asN&vBLzB(KQ^TrK{7JF= zZjc|)IgMYFx=p~p7$t#ft*7B~$m)n{dO6dEi`x&DPfa25xnfFTjIa>=^F@=r#C?y# zSwS4|>Wkw^`FwEDSw8dg@#|H;8RM(vb_ZWU!ES}G->@EDrHQ00@|^E@9wdLM>1>Z#){D18&EzObQmxK>M#{ zmRMJCju#gH$i9|H;O>8;0>kwRe1u(h+~?AHxM6%h&ieNXob7G!Wp|=s6#kcr4QYJR z2)YX<9BltQF|YWSBXhqPWb+-pzQ+`xbN~!M*Vn9S0&yvHIx9F6fcIT6ZG_uK0uCfn zwqQ@n;lG_yHo5gN83U~GGIQzE6Q9LcpPCLz&}+q6cbz9>RDQ-YaRk=tCJ(eXrN`Ua zbtvwwjgjRKb;ll;MkrcI{ri%``(gk%ygkYLqzWiSjIsjGVxpvQkb?7lU%tb!j0}<3 zoQ;$3Kq+4&?P^d|`QGrtpr8Ej85RUqT-FzKs$jyGqC?}-f@3b&{h_&2pXG`!q)ysv z$nWSX=?chox`o<2XoJMV!x!?&9#et z(|di}o1JSD0E}NO8WQ#nc3vUY!Yl0ea z-MAKP*VO>W&n-ooMB`Ow`H4X=@^N=Z@NcFom=H?Lj4D~tPNWHnMdtTB3H!S@kH4Pb zZ}Q`oL<7g>?L+Z?J_Dpj_AL5rf~&Rsd_p?Ax6^K{(9CX_a-OV0qA zaWe9s%-c_lL#u6{LRsmSI(V?VD-r|gak=qH__05sDTS#r_g+!A|I4PJd+*s#*S_;l ze8NH71qS@44k$?HJ0i?`RS2_B2Brm=Ij0w9j~sr;Ymdt;KUiGmf?pVlZY6WHp9LHGl~Y1~3!+)Xz9 zs-UNP*byN2E%*Fb?g%l)uC4iB5QBSsxG>4_#<0u*N4zn0Z7W;cT0*4!P5&pq&KX3jx%(!<-hVj*~7WUceaw+}-QKLc5 zjQ`wr58c9h1p20_*)I$$y?J}E<`>@V{S(-AZ59wQeE-gx9-D*4LFUs>CDX##KI;GT zOUEWeQLu;zoMb}Nu`RWR|(jbK93f_fv}|Xic)+TX}g>GtcaHSex*$XMeeW-yc#M-*Lu&eJ^A>%gfk% zvZ-Hl*>>Xf`z7LUFD<<mCLMJ@rqnL~&>QDeISo8bl`!>W};LJ?d11%2>`)&DsX|A%|~* z`LEilAmu7b?`>{eJJ^{&ZoG&-wT^u+hU^6OV1HyaiVVx*`R_0ylYYiVo@Z-NX%9Ji z!_5-k8EO|80w&Y(=)$Oi8Taa;|AZ9uQ=)PLsV~%MRxHm)QFKdDpR1jO7k@JQNm1)t zsoTH*z}7Pk#MqEBZ3He%@JVn1>arC04@20984A)&V7ZUoY4bUg)cmQz(^;|SoLe}t zaX5rIB?um;X_{ib8w$fla85qRLr8VzFH$oD)(w3e5ogk^Atg>#bq^741x$u}<28j! z7W<;fQn@l$#q6HxmPD#3P3Zm5mdqn*y~WxG?N?jt=6~?~?~&VGbq|+WRk&;{ZI6x- zrT8=^72F8S{{WpnAho1wv9&j)0hMV|G=;h)1pd&l{CijT0A^9n95Z9oZPJrC@luUZ zJ*8e?Z>#+>>6!dMz17Obu*WFCeNOWL`<99@-?Q%_H-4G?A!Ohs>hBON878X<_xZfWOlv7h zfU$cphtk7WvR)ZPWZXw>~ecpLwPIqaQ`%Cg=3==g2E3~+Y zBF_!JxBa3QzuEA{sI2nG0FZV~5@f;OukmmS3HA))Fz$^R=T{B)iFK8ou_1IQfHGGg z>*+A9HMSC+kyL_CG-0@h)I=CvdTQhNvvVRHYrn>L??hLM|07i zLtB+5>Z(kC68ZgqD{RYeVzUATydn69)8#w~;8}4f@d1+f*u*jsM1T1AGix(Dgz4~G z(soH`Q}py8O5~NuU{c}|tBDc{GGCQ(>)e;;u2y%rCWPWW}YiYZ+UKv_L@vkEbkJE}B-1HE?+FR}#)un%OnV8!w z1dW}=^)Zb1`TYlD31`2`OFroBEgvB16`lO~FpNPqfvL4_ifUcwD<4p@F^5&ah|3!F zfq{{0w{ibU1Fc^dQ6%=QrR)6a0Ec?cR_=M#G1tZe!rE?pD%sn+={p2IdT0Ja+7X$>x#FT3KMPI_pca;Js*?Y$9G@Od|Y4| zpcw0tu=g;;Z4zo5jf4HcgF^^t;Z!l=DohO27>`5@Nx;(=#Lw81-u^l^!InL-z>hB; z-`sL_4SRJws`$X}be8!z07N`CRtoa_!`15?x;%ZXATus^7#fc`@WfEmsTtx8DSLhZ zr#M<~*JXB#|JykdRWYP37H9jv)&4V=vDc#u#OuQW{s?nRB=HSD z_tj%;E&r>;Ey{Q0;s}FFHELF+L%J59QePvVuqUbDoXC=Nq>vJ*8P3xzh7=19Uh20ing~kE40r*(|xp= zPdlUb9y}7;f7foyGAC;%ks&%UOu7DbgTlSgVb`;TbvLu@C*<-B@z8W)c%a_RT)4%p zpqLUL@}!N7pXJ+IXv?O$eC!!kAmsRXHr@74pIU}ygA`$1Ix2cYu1`i6h0}Jgd8H5= zS^KVNypUr*fmb2EhBQJj*$rU!dFhyvFsaJ+8tJ(?s`Jx-N&nIq==_T5=>HZl9?PXE ze-Xn@(Kn=;6(58C)j_GwC*IgG!;40D&B1NLg+Gq;p{v?hV=Z{<`02ua_4Wy?k5JZy z-#4Un`Ybc9mehC|H|E)&Aop3`d#?9d{>pRMv1*{A*ZHdxvEou~guK`p>>>$MEARG! z6tKi1lO=v@#ILg$7Gi^C6zjRkfFTlUR%cK#+>?|1hIHhAhgH;vVlL3DW}wR{qd}N8 zzu$oD_4c3VAeDA;#M<~x#CVQ;7Y20X^!PE^+{PcY-IzAv9I~S-9T(NQXQObVo?+VBEe%L#bng9=T23A*DUBV{>^xP6{A@s&SuNbJ-L$#&j0At604tV(Iiu zY}GQBb_)E{vc?0OrMCmI87=I51A5~EZs_Yd$^qq%9TiO%vH08@%!wT%N8~^D7`65Q zi8X^88RXxAW^1fqt9jk0t}2h4`^d2FZbEkHPP8pwR`IqPfCCyOp9^T7bkNePy?|oJGtE3ykO7g9ZvvqO$}?bS1xRVdOP!Ko|D4|?8a9`sFXUuJ>3Or% zh6g!O-F^XG4#+au&?(jKpRtcE;S5<}rJ|I^c8~zjOcb6(ft!laE3v!>yQolCD_a+a z(ZBCU#Rb_}mH)gP?AV<|Nd*F22a039nPsxNWlJW(Zj3iv}GRl-~CI6mKgPBcGXuEUIn=DCp53OBi#JljobFFZ+^j55F(zV zVqFJm`mEYNLT>t(6hL`93J&w0ulx7s>XPQ*L&(e$Ai3-)Ia%b&0sa8Q`i*Q{k9Z9S zFaI(oX5=e``fB!(*c0$jz+`9RK0}3GjqbxK79+EkpLDi5f6Dg0+6SbNcl<1N$sTD>lHF`yr%jFS{&<>C*vNeg&tt?kEJ~U{<^5Z$`}1 zzy~N~V)BeaiWTKvs=O>3u$IjOm5Ti|n($cf_4z$ax~Q3M;lt>{KB(|&5Uf`zs=1Gd zVO>{}bDo~;jN3HHlk&M3{VFGorrHLs`fk?O?sr}rm=kV;=?GIKMJ zsZ2rddvf~LZ1y`dG&RQmh#{jMlW$jI={uVWntv;Gv0pU|$cztC4CwHjJG>9gkRs)tCnj~$z>DKfZ(*OQ zhdN(6ZQ8B-5h`w3K8_G3h^OY5En$@^vS{?dDKXIw@o$qAy-?p1-dE&$C#91}tnKsmaFycPq z;|;24*S|FH!)S$d8=K*F+eDiMwkTGL2CDuR{b|;xU^Q)(jr&T@b(u~hrOnABY$JZ8 zQ+jJ_NRkY~=Luy~Y@yUP6J+W#hfXo+rme}NI;yaRRFNrTN&w`j-=FcY@$G<21_2)G zrn)`3cq(p`RA-)VfEjBD9_=in%nEyAy#2*K_jwbyBi6En9Z?;n%!R#1D9UsSk|=nXak^4;fiRv%d#J?ED7~q!$+iXM)jj|Y zwCbLk7R~#muVDK0D0x*7qQle&3z=Vr!7rNf&H^Wi5MzrZ`b55c86?a6Y^$)O5!jZQ zS#IN2_E_CpqFcEn$1dx|5pQANfp7cGmf)i~FsH-WpVAd|B4sXhjNh3ux+6OtFoa8B zsHDrvGA$0Hv8Le0A*4%2h%4$2XMXF>7i~Xmz^oHKeVY%E(0=L(j(!4$_`xCgtyO>Q zWS3EmEy>l!NQUaXJ30Tynq)AqBflDSZ!lXW-St}>6YCo(<4)om`L z`N=V${r@$q2^rkstUVPZ)G4{}Z^K@%edH-=ij+Wu8^s4);=1(WzJKLW3eI z%C$SPCTDDk1@7IjjM*w6@S;+V#~L|&r0`4q3QG8mzoAJJXwZ_T(Bv^Cfqp|I(UI_? zrrw;Lihyg#=w+%_g`oeoK??ZcnVnYj>#a_ zPCWfnm4fY4?+Y>gLp>GWpCn8+*|fQ>`}_(q^kMEbY-#0#gdhdFtw7{exm|hxGyh$L0 zZA6(vAY3y-^qk=lz2(!n$ea3>Hw5=rG^7mZy;hv($g$dT@kL*y0k}0_<{5PXE_nhu zPRD2IOVmi;sEs-Q-+aAj0dy;m=Dh%GyQAEje2t-TN8oNU_=jLieR@&z%z5RLDOxO; zaMM8j>pby*$BEy!cW9c?$S14h_npSVSH*-+EAS3LO-z9*>o*2CDgMRSC?-5T`_vyL=$awF7cpgFhKPzVKRx>AGSBpW{_rM><{$bc|9F1od- zkg>N4!k(5kY9pR&tqY8nwvjj{x!nVzhWe;RoPF*Dv2yVbS1%D&#nj2U7VqUbSNbK5 zZYhMzgiC!j!+3Y_qMtu1^7l9q#~P1e*{oXM|HgHF?ybnkj&fJHLI{(7t=B5nKPlUW zJ1#yhe&r&xe(UI=CoiX<-?cmmVZ3i|RvFEQh{G-Tj?s+%3LZZgix}y4!t*dGm|- zJ#O1Lx}{R+okK;|#%RK;w5$9sg(|r0_2z)j+)gbZd;&6_$}>8}>I|J2KG)`p=^wtc z??W31Hu_3`0&IkT$MSJI_X&N-AO7?)sFpinmvjIHsvR1{ zLDh1(WvJaW046}=!LSU1iG)u%(wqZ}6v~jipsOya1 zu|aphy|xH&VN4RC+%*0tW*U!@b&n|hEN!*7jRInaYu%9@*@mNL z2{kq`lD?EE`Pn*c*}pp}(X#BPewur;{8=E8ApTfkVm%6rcbEKD;ad?fw_iWZM|Te1 zKIBRJkncR36)k)Hn}n74x21*J!iC`@U&ZDdvv$8T_HEdKC*hW}BJBDuBv|!^&~|=x z7iia3lERIE%;MvWz0MCP*>!HH)0{*g*-(q*%&LkZoMR#LnO2Z$>MEvB$xZ z=ku~*Nx8X&Qy)i8x`uwPsn-$}^!?qaRSpI`SV1U$Mw4V^CNdL34oCj)%f)^#K)Igf z4rin+vW3#!e_pG3kSwcy)!CzF+f18&T2@i?P*~|nKuJp~>Y;y*wf?~m81G^ZQ1ejZ z28M6arMy9S`I6}jLls|}NUslP9ZXCKu0zfjSFbgJ_7xQO^2FLU-c}bW=0Y3wR8F-- zia12)$pU8K35sS;37)HF4s`br3BxYBIs^WegyQPctT;8Gt%LmANhJ8x-*9@EW${h{ zGVc1V(dbb-s?J>*v#2S$lj#BBJ71)w^_VZ^_^$lp6(NvBl-apX+7SKyGJZD;jz$6>qPUr2A(@yhGgA7In%q@L^WGjv2O-A0n% z-eT=t0TEKLbDAxj33+WYOk*tDVSDY2a=ugfdA}UY9?$#}4e;8|09DAKb22T~0H!Dl^2u=gWCl7DE<$Gc1`tCP+en z;MQQ>WJ=^;K~l0yu3*p=*6IbLFKWIV7eC!jehvkxP*3$`LniZ6s6w&onG8(KJKxrl zn>H2pw`NH~6SDKDix~I8E@;Jt(B;3MD~r0@^CJIg+sp9hHuP(W23IHAkb9XJOY19+ zu&0qKvc|;p*32(n}@({t&pF{~?G(@^0SO9mI(_mz{y2%KB-VYC9#g-u-ix z__fL(AG#8?Y4)$pP3W;DRGFy$81nb%{0Ty-UL^4N7-^3IwTJ>9Q&DjmSGpu_8vUB& z*SPmgYAV~dN+A_XOsmZek7=ns5IA(7KhNJE7MY~0<;3W_IrWC;u(MM4wEOg9+fX%K z#vY8fZ^u(KPb5T>F3)5%N_tB{%EhuY@KF%LGvOg3NlVN!W(W!%76xZqVsP8n{A?#h zyfZ?NMllqEgGZaIt^40ZlpW;Q zK=GFWqK%k!Oop0+KT3O}l>{Z87A_{_g>r187|jy8Lh=F6&T98TD%kg)hW2xjsNQkf zN9h6Fp|1$ZwbeKTziS1NV|!#tw_oCD|6=5x+3^HTGq=(klC}wd)y=GFk{g)tbGIbl zwaO>f<|2EA;l+*eGv<6>9^ML9?YPA=g7ZYlS!703W9%yz1?v=d{E_G zoT8YN8>dYfKo8e0tm6rwNK4|)nA7*mqB!B0^TQHHQR{r2Am3Y|fz5+h|B5z>T^`7< zw(``LB=~elG}G2^GgR(oIHqc=lM&UrVtw8^4wb7RY8O9u%NkG0;x=^#;>F;LtRdE< zWi;^cNPDHgpYs|ne`#h`6M2Qs-Am0EMkMV${8mtpMW3vqsFIq$Z31pofDC1mfuFpa zyhs4z2Bci(=ku`}H8a_rnKMGQ`}eZ51PpTbr#7SaF0td`t`y$Xvt12_*O>o^Mld^g zNsUvUqXGA5olgppt<6j^G=cRCTw+nm`Zd#2Vc_jmZj}zxsz_(1SzSX*L4}&4E~lU) zNlg7u^cj4qOHlVHWv7m(8hyg688QZ)Igt=#c5*fTSkmzf1=6Cg@Ic^Y@?Z$$Ho`~d zW{=n#WTfT4hX1zSm}M|Fxig#pJykV>{ES-Vc5b^l^kiIcTT8;fMI-uohDy6@VBP}w zFp)Ch`_WH4ZrS7K&OI6&A!#KHJCEy#Mm>5-5P?J^U`CZF7$`yf>V;o*|G4(r54|-1 z44elG8HRt#NW;3`I=$*i*C{x!c{6NU7Q@YbcU)L{M0%zeLSD7O zq)C3p5Fk&!GI&adqx&FP4$j5NC5w}476o9*ees}26$bsaVCX*KeYpLIIhrSB#3?5A zmZgSUc51)VIkB$~us|7!az=Ol)H|^FTUn#BB9BFh@BJk`U&{$&Ub{61op*WUZGW*Q z6|+%RC9?WwW)fXvZ(EN^I*Vd)doXyjMXsO-r%ZU2(Q*%J2A zKC*g@t~|Ld3WixwPp}n`EmCjUl z(U!uUbG3V>wG#OyoYf0^!2wu@WZv05$0U{pDmF_mL0dn)@$rH_WueBf#JU)x5*A}7 zw998O@}%5hx9JZ$eR{Tn7j%IKoz3H2=W@aJ)j)}jPn_-#g>^uhI#{hj@0NyeoQU~J@)WxN%%>kPK&F4OP$ z;`0BpaN>)}kiT!T5>rx6e63nLDjHRiCOOGSC3q63D4&auvFCMZ{P$u6|Do-ErqG)= z2AgYQaZCKxOL8BVi|J+fk-gJbs(5i@$)`CQv8+U=>g|V@s^R4g3rHFqtv$Q3Eqo)` zQs_nzxLH+rAl(p^ApPc;H)p|DC#-0or zrl_D$!1UdTi}t7Gv#!87r&&oq5*auG2L-{ay01oXvE@C?TmO^;mjP29nj1drTaZ zSI|;yQR*R@IxbvYhQCqeZBm1)Q#7cWOf7AS4po<_r%y4U8ZwQHDJE1?rr80CIn{!B z(2{b9YQ-d3Q*5ZVOgnpu1J#jv_z1;`>dbU;r5vRmW0Kt{?$qN<4^N60^#s$KLh+&c zGEe$Z{HX!Vz#vL6L=9nvQYm57Q_S!PN+dOk86883rN%Mi|DhyM6PZcLloV*Nv&euxl6f6{g+vNpHf4G9x!X`C=aQRnDq^m$J8gxr;U^* zYBRIt8Ksrl#{BO&rJdTr?Chd+Q+t@beUul}m(2bF$}8$1^Yt6bTk1RJ&@kma^#k+c zC&~!*Gjnu|GEQYNCnhOV)M@6-7s@R4D|7A}R(jK01C`g3s>+pNBCn>Ns_%>TDM;bdH|BYbR0@H!hq4JI(3TdMZ0b7+w^F z89vlL&AC&IC5^-nvMbRQy2iI{UvH z2XHg5(z|f%`>*w#^2ZE&PqRyp%jebWS86<|N-wEXX|;=dTg~$OBN#+?3IBQNSdgfg zd8D>vO?d5Byo0h+HCfT7LHh3*3f|l@%-hZJ;R)}@OEvb^4k zk0RJIwaC3iRgfUJu|$Iy3pt&WpcWZF66k>FORoD%#W|E{I5BDKSi%`+;rT9GE-frmt~kJ3H@C6OkTl~pFlCW9)$F1Bl8 zQK;~%(;v+`A+qMZgZa08(=!-fAvYg{LbT@sNv(L4d!jV#Wf_h3ay?BnDlcB^l{BK1bdR_#-EZfxvB=fSSMm z@?F|9z;c&d{kmp!wiV-jm*)HK8iu-iZKj9|sK|VToP~;eQ8*Z_+_uvVUk`?h4%<-l|E85g`5(==bwNP z-Rj+R$LE!}KW%N<3ubS%GtYSj22#+*Mo|!*SmX& zJ&i#4b4C(MRI$`IkU&PiE~FzBXf25%jh{Bs7xNA(YRf^_=r4j4XW@Xnq<|Wk?@Qrq z${76_Qy0|hFDU%*v3wLYDVYIW3G|S}Ou`M{1LkiJE|YxUc9Qtpmc6B+f)i=PjY>6| z;!~Mx!1ccS`{A9i4qfCmCH@~w(vVyEb4CkZ=)TKKME;RBT7OpOHg@}Lno#@2t_Qv4 zrt0=G>_I^5>9k{JA1qLQrjS`7vdaD-lKCeyTgpmOE9l_9L@EMdorhL%9%%N{WlxAC z(0Lw9x<9P>Ukczn*)j_G_?E=G&lvE&Onc$*5YRdBs6f#-+v`mqE@3b?wTYjwI^JK) zGOjKSzjt>bPOyft%~!3H!*Jj4F=Uxo5E2UQoPTx;cp0@`21_EYWd=_Z6d1c>jCTt< zfJ~*x2IB*xOMk_1z>qh(A2MXWWw_gnzZAN9V3#n!*eZMuu%V25!EYa=)z$Lk)aXB| zUJlRr=amnt?mG6#!^XaX@ldPTn5!ic)?V|c?YRQR?qlm4$-jo^D_blsH$Hr_$NFmV zbuGnke7U`1xX2RM4J8#w==$(lyaVgHdZKFxB~;)y&ARKgFJqF>%|G19znzmNGvp0R zdYI!88P~`HqM_UFMx$hpeM0U&`^mTD&dOm+&(0~HXXhl|wji!pci$3!CN$8i%_8X! zSC1A8+w5y5*?bRUW7U1FvLfZ7c0-`-)N21zEjpxFCWM z7`04Wik!(>PCNhQY+;;I){p(J1JiMD5U>tDCI3jF>K~A_v6>_)Y#csBvt8o#?R9-Q z1RXu_G9^x54W{0-Rcny>Eblq)GJ^3+2R-Vc1R`v>i9^L z=}RK%Li6nNh$Ha}Ut0LMs94_3{f_C63qSW4GR0U|0{``OPj6Q`s8sE-533tCukO2w zLJ5Cs4Fn$tZ>%CWxJ2@?zo==fDC8rm^Kldt zoC2Xo(L#g%6S(*Df)iJcIKK`TY%+`#H#o_f!I+$rK&PBQ2u$5IP1HHGfKrN@p9cWAv{cIC|pb<@O9vQiRexVk& z(AWU49}z!omV=q{-!;_?{s%U_ar~9&VCYdT3>U-jL|JTj#U{&Pb8{Peg&xZs9`qJJ z*h`3VLHb}P6#Lra_$-i#$p@kvc-zy81T_+{I%E7^eAIl$l=(s zKUuX2k0I@g;$cXZfRR^>THS3MHSX7A{Cz=J2pu2zU=FeBi|B=?e71cH!S%~a&m+4v zMe1F{!!th4yM-H9HO0P{ckw1@Xs2mCwb3eCkt!~JBo$7M(0XL5;5;`c@nmW9qQbN2 zu(?r3xZzRNGLk+w~Xia$?}3Wr_H$lt?45L zw#-QT*@>VVOk`R#UQeoy1%+x@v6cfBq2Ej+L13DDy*P1Pal)K3Lai@M z;3^XCu(z9-Edm$m2OPtDtS2+9w633I)~?!#qV)&d!Ze6?c4VpwPriHf7Et+`e<^zi z6pLL0fE}HzLrl)&V7)sx{!#ag>vfk!{d{U%p5f8-Qzu{#y28;ZK(!H{D&uST{WLHu zEh1>S=3m}^PX^8Y#u&)Dp1AG8DaZC;pzPDGhPv^a=jg0n_(emVBH0*aow}Ef(Pn;a z-h-MQ{LhDYX?szifk)krk&P3F4I-+_Hp1Y|0%rVv44s~nMk5HLFN5Tdq9ylb%Xb6? zx4eMO_e~Jf=cvUaOve5XbjG_;#bNBnW<+B0J+thK?WW!kHN z)tbI9Sun!bwV1lQ_R(S+C9}7cs8Jx=Axu}vkc5h2#Act@dGl0N!vn5yWn*|k?q6&| z@fR(~Gs@a$-4|AY1n#ILTF#N?T?Q?@dq&#ttJa9ZlmRx{`b^4bRCr9ff(givJFR)s^-Tt}NW)G$b0~c@qsI(`g2ZN%o z>5)>OBl2H6GtF2-3x65TS6Q=2k@racw$+~FxhINpuot}idsuB&R|EXGj7{1PE<{`T zxNp^Ami3av%iT-o9iAU%S?hx1$Jk9j`Oo{!4z~jzhKC$i_&3g=H;0Bd{}UVI26*~9 z`Nn1f2;?xz$#zmQN4v`n%!uBPR47fIzGC5qvqA^LjQJ1(Ayikel4yh zBW&OA+wRHP#&c)qvP^@2(`Ryy)<5|Ma}qUnhRN?g`hNenQJ3&uY($4<<(xozL90g6 z3)FLU_#i+7sx@iKkzpD2I)Qm~L9S1etZswW?3|6Gx7-S41DKmC3_u`(29 zlf672iK&E3xWxN;iSWJxf5tbJawA;yi}VNH;A0Z_?F1sEM(M?(ei)#r2c-9lazwSe zmh&Vo596(K|CJI*4PFAU{{VQB_UnXd{=9WL!r4THLqz2~W$2Q%O;sgtbFo|bT8&qL zS$T!Zc_Q(j_(BwML_nL@1be_Sem*FgnmNKg#EL+hCpr?NQ-Pc|K?5S7u&1VRR(-@G zR2@i&;M1oachRRElb8RCz6uBJ`6}xfg!+{kNh?MQW1HJU;&P&oVsth_!lQ7+Om=%Z zKg{}scy~H<6qR>J41d90$HR+_5YPfj$3LArhOLzgmJWA(SE{aMb&Uj|Qo5!k1=YrJ z`Q<*DOD9#QT4Dl^|Hm^`+AMiIqhQSlE5mma5oxLr`VE#`6UUUuM1+q8*6_SXHal?K zERVGLuK-S{-Ak%V(z;_h950T3yk=GLO&tNnd}|0L^83BsoLlzqQOTFmIP@lc-;%&X zPGzlEi01RO-rG{@J6$S~rg^nIpC_-_9i}Dd;W00FKTnLHFN7ZrT7orvhgpu=so6CV zNE&rp?2XosKYX#Po?2gbo#_`cTlib{R!lGk*n1X_E6)}!ky7%7X+T`{{p62n%( z8uI99$VlL{;RBN+n4Ml9a;!);yw&$zR#u@?k`6T-T)rSs^H0_p???5<-@5BnOg!91 z#@0;0RS~ZaBB-^wreb=S7^Eh+oyyO}DCljzy#*VneP zEGb)t#paviHUbOCOfEwap-^i@9p8hHqH^#q@lEd*VZb?l{`sD+7;2>jMdz;6e{Jms zIWauo8&O=FU>2u-8EXB647B{m;8LVHs8qQ0^)vkisOm5-4XeIgJE4EElc#zL)T&se zDU&4@`}jfYdQr07t=>7toDh)uey#x_cnq5D`7nRufIus{0huRLQXsen<AX=H2H=wo3y%@e1;5c#p8HSD}rZTNyd{}jH zE2yGUJkJqvc3ovtSv5aJs$hI@1phbX34E&to|+Fi*{g|^*blF5_&GW}8>KW0um4gI z&1&dkrwxA3Cz|IWCWoNcB#(f}x2%Gp(YNP@2IpQYdfFNu9PnIfey9AluAPK_X#Bo* zV0iR3zr%X(8#}&GucnP5Rbs)bu_2+pH>IyG9w)_&?dHv$dJulVxw21XcEaKN!mFX1 z`vD>n^q?C8UMK7h-!Ml2q{k%3)d&WV5mz%pfzP_?Bl`6htGes8S+M zlB{@ZP0uEg4CG7aHFF!_dmm<{x<70wrlA7)^%iG~HJ^?dofR97YTH1BY)acieE}Uj z-95f|Em2u8&1k*D)`O+W65?&M6_Uu-xeDp##FRA|W2jelllab znjcIFo8rIc#jrP?7J#HitDaaF#EWr0f${S4x(ZJF68Br&BF82_KlfTr(c&^Uf0V}^ zyT{4zsX0=ojhn0&!2W8l5!rG8YxsnExkbFi?*&n5hl_95?~5t)rK8F(&gO8Wv%XK; z;d4Jhxc_ER6}#da8V!Dj-7VJgd)#?Gg{_9Cj&e<5D zaeY}?M0_&?r2=l4_WG~kRwhWazvOq+6$J|hk1uYq6(N#16&lXnA9)P_v_)=qL+}SF zbB}13oX=32+P$`LiW^wbtw9BegqXg*@)nTz<$DO=Iix*N64H-yna%EBwB1leK?f&( z&UGGh}UrJ_X!Z^R+v8EBLpX~1oS_h_S!nG zW)Zu6oRst#Ysd3TT7@{EAwLlX;oFVuqAl0O^Du&8i*mzkY^)P|^D8b~c9p({_*WYz zTP_J~JPz>T)1-LBCKimNXO88M23BaOD|R(DF`9^=#D5xocr5A?F|eg(srrlOZ{*Cf zqlh&Ke0n6{af)=HxGidqXoy z0(nDdt~0pLD%uixLO61Y$oIYb7&n68){oK_Vv#H_rvWb7nF#x*87D~b|6N%YuFXHH zjp#-@t}eqC&SSQrgvH|@i7xlDyKHDT)b6l@rjvL8?}jF-*q0Y+^SCc(2xzHD2Ttc# z58=m8Wwq@&HHdWcRfgBz;lI(7jYq2&q}wlJ&dVVrIxv}6T8+$MMpsbgR>CgWL%(^` zOb|qm3a|1tIJ+M$pfg9z{xLKeI8mW6iJYoX!iDL+AF6;FU68Uc}h#VUF87%7=U*&iHI~U_Tf9FWF@2@+Gm3O-37*MW%6XVCr zG4!0TTu~0b{L95~QU`SQHXYu8yx z8KG?vDW`h%H=GnT9xl}W5>(9>+i5w-ui+(Hs$J(WRwF2BlF!f06U*3!QcdF!Rw*F& z1=`Aiwhw?439Y(-mD@ZzPGOyU)ZTY>Yn1&z$frs8Bd@Lu#m~O7>S5v1>s|YDCATA| zw9Ru`uXqixILy`u0}qB4PvDk+d#wi^Gsze0b9nUdOmEtVb+&M}k`b~_UV5bz%F>Or z%pMq#$TYg?<}CuXl)=cQElzHp)#0WGOZ}E|0f5NoA?_FvrHdo`PdB!d) z_s?7HkS11(`wAf>0e!vg4KzMk1NP`soN(#Sa)is-Z-zpKTACh?6&PCPP4%Rbk=fF_ zB?>(Y_{5L@J6pP*4Z9ug>Xg-IBcTs{whj?!8wY?_108}vh))_`1>MhlYc6KkIdp#B zBBzy_RRVw=V>_CMb;4_2NclATxy^k$+>d!z@{SD&m6^Xdf2d|&&d2G4x4NX4vbq65 z=%)C!L0NnBa7O3to~J{m9y9i%=+TTr!?XVe)>Gir=8TgtR67X>i++(2rC?v;Hmg0-&ktKb3|!S-_`bt?$@jy z7+IhLB1X+n`$y<(fedq zbh;;+bc2uOmUKD9ii=)&-LapP97Mc;6ya0U6s>!FoAgF#^f5<2sdT?S2Nm@=yRM_C zx2RjR25hDE+ifp6?Ma!vZ^K<7q-&lflnI&IYnv{1-!qC0eE;MDc%SDw+WubAKQPmK@w!B=RXu67FJ^B9zq)YZ6hh7)^yHe*Lm=u1k2p zQJ^7ekC)HnP-6o3N=X;2b@%tm)%DwKmbd^oB$2?PT?x`m8kG-(wIUhVjfnBKH z=%#Pyh#EoLPHoM{(xwQ!hCIT3OXn3d8Lt3P4Y8Ap={bY9b<<0XW$7=MHry3yvNhWI z7MS|90Yhuu3B-3wOdkCOAeg+hFLm(4uk0G9HW5YtdTtVP&Pd*Um?K`Thp#?DnAYHu zkM-=xH-7-64+|L(K$Ov5s0s^(i>U`3Of6yV5Se8LE-1O7yL{0IA%rjIr-%)P$U}U& z;hQS^&RT=h_+S*V4!;>Z>Zku6@0el%KcHpMx2l6~v4A-|w}Id2T+Q|QiL<}hMqj1V zwtaYMtBX>zih?0&wt)sA4>K6P#z;pom>=wMzY!_dZ!iMxt7W3ny=r{ECuQz&y^(4#MSK%M9E#{V|NV*}|!-70oVuK{fv z74#ah-oRp9Uu<#gjjp`B&U(N8^~f*WBja65x|fE-mS%>%IHkAzC;5+ZgpLYz4#$Ex zIySHhP1JiRD^*XH-qL>$x)>VkL8=lz%`sVgRi5t8`asVz-@jj*^?VR|cYSZc=g$75 zmc2Jat@xK45jvfJbmojxl+=l*Fp=wT`A8y+VbyR>eNa8$_NLRIW`6nhC3f&AZ&X*i z)JC5yA)iIf{}rBBuXpaR%c~Ih2(RTWl#dN;eY=z2A`-h2uMY!Bu)TvM-kja++{{)Y_Hh}B)sdQmQx&HaAgf*PODPbKdR%M%q~NvaA* zxTA=yblQ?Q;^KE1C8%(QIk$7=7y00SmO87cVc1%S?JM#x#RudvZ=~Lv%K$O~g9ZQv0-OSL3H6U)^V9rL!b|1qi|Ivcw`RK-?PC5- zbNk$)-0xhCIiC0RjG_je&6;#zn zU`vkRE1?3Tc(OArc~Wr?hRjyF@sQwLI=^(Jm?vIGvEU%*GZKZU0_Nty%ZuGf2O@;+ ziG!ROqSwp?WSdShmnpjt!>wx0jC->0Il55|;4?;~=$9ILxlLpnpVwNrxu@O0 zny-y}=Lh`7-gVfB=V(LLe9AeAFG+{eP)s?fU^te(I@Xh^CSb|?b;pUn9O>A(`c?Sw z=T}v>nCt{jLOD*guv7jrFMV#ru)kb;ZG62Q#cS#ox1~2nfBuI$62!%4py(l? z493^+$YMT|)1lSP2a{impkmzR$y&fFJTKO$1%7|znqP*H^J<%@gP|j1C}rdFYA@s` z-t{!U3nrv5lwY8W^PY-#hd<>{{sSCo$KU)8TmYoF`UZwZ#wMm_`v>&2EipX*RNsBO zmvkmMA}+q8jSmP)4CRKM3XhD6wov5;0w>R&4oL~-#>Qyr=mHwRC=mdp4n5SiZ_{+q zgX#ewiz_8h`YSQ=SJ}}}QxDLDAjs{6y}Jix*Yn6R^11W>Ss%BHQ#$PAyzXMIkp5RF z=WV<1WpmW^;32C{h!zU)@nwsj)BU?x;$Ij)S3pMNfVss%%eYS@YnxqLyAu=#X@f#P z$zyJDfc;_esI#lCFQDctug5cF6%-s2O6BW_;OmUZi5*`5Cm}IO*EaPubf)(#09<*U zpH-19E*7j3+)UI;NUjN?3AQBy4q~3DCC?s@@`m!T^&3j2FE|7ZjYJOUgd5^ZctiLPge2V9r1s*h}J0;R@W zRbo`5Fv?OyHBpqWnlhB35}kU%)pd;Ms^Z?MF_r`$coo{?tHn?3W{Z|dp+#|WSb!J= z2%C@S5Q~;{um;2p9aUpiI>LM-Kt~H}ET|I`6$_71#Ri(5Fh7MfPqZ}mHW8c))bU5M zK;39~Szy>XZEc;~P3Gnmcr#*}zfFL-B@56t!pP_nbC}RME$y6JAQu-9pru-`<^8W( zdPAV{6j2>ytLYrX=e#=~m=aj`C?i%q{WmY#lXA|3M` zZA4lQ&<%PPV3aHH;#vUfRGJ}tS|dGBHyYq8;Wnx=@=?Cr^z)JdU3mSS^z?K|6t-{t zvIy2Y0P+upkAZHt0qzqxI4BGX8VP*hbLHhRLVrNIf)Yk@9~AXWIb&eyz|V-!_db+% z4QJfA@By53WjzO6AU62W6!m8T{B-YE>B@2h``(YzZ(pNer)rg>kiWqW;4K#{{rxUt z^WJpn7kRd(3|K2=m~#b`(av<<+Eld`%hrTIlzL|rjS9lvT+cW5pB0Egtbz}uCnP2x>|`a!3Z|G3B0#cDlr&gaWA_x*(HMi zUVV$MuJLc64vQ&3JVH;Z^8HXEH)m;FgMGF8`CX6pj&7wGDgc)M6yV2UKF6ccuOR`Y z8;?%rhJl?xDGq-h7Rtv9EWw5Ug#(Y_g0dh0zETWEbUwp)14up|DFfbR6aoq4!vlVx zl~9qd`Ll>=@ipD+ z9kqf2uTqhOsQ*ml#8zak0!9K}rzTM_;H!{@Xm>Iw6*I~^{RNgOA}7zq9}t!hG{MX4 znTbj(N{Mw?n`zJKz_@W%Xgmp0hH+1c!men_N=ob>_aMj%5FxQ?z?dkl%{`%{A|ZBE z^H{Tjw4HkM8=%pX8)1KgNq*p!vKLJoERdZ z4do)iC-d%ImgvNJgjt5_hI79(V%fbK+*78#4Lkg&9sL>RD>#LGD9O|5As3YmOW@iG zn7%1ma@UB1`1%g(a|HwlW0D8rBoz85)t!It(sE{SRpgr*PknE-x~Tn+VWLEq<};rC#xhCIBcO&k%PW!UTmUObHZ zgrI|8Yp{UrXkakX5CK}e14@fpb9ucq)y?n%kixPGQAB9+u$!8}z8>BXW2&20H@vT; zsBu$V@zST!2j%xVgw6G(Jyp3XQffiIu1cq#8OC+^{dHVR*whK1*wB#BEfQ$X`p=dt zDd#J7g-;sSSZI{E3mKQHEz290l;_YYqTA$j?>o8b?Bay@fBbHB zf#g_9{%}ad;eb(^0ryu8tS!MmQV`p%mlz^22o?x@m9Nz(zY}W-$9HQ<*`UlsjW=m> z=aB%iL}=0slnF-e1DcwEQyCFN1K3djKw)rFR*MJ>A`tLQ5r;3QBObIFVP#Q)z(H6Y a`1frD03q%jfSU}?hXV;4)|bEDY5G4Uf9kdX delta 26695 zcmW)m_dC^(`^V4Tj$@sJWAD8(l96MT$X=0=mdJ>6P~mkrDaS#lJ>O-djEJ(LvMCxA zvPq?L97I`JukYvj-ap*eecyk;ec#vP`MlNxyVC;$4hGzTdO@HN7^IjCg5F~PEB-%Z z{+D48Kitc!o^OCgK}RSMo{t4vKL9hrO^L%$|4&=(6VcC@|XWNtB_BX z1N32KuS6_ue{KwO8>_RXG)2GYD2FodPO3uW#vb9G=Y%Dekox=#S!=o?$&JGb<)%WH zik~gjWA-3^o87{_)JDId;&_Tt?e4<1;&rx!)x_Ub_9 zO-MH!NmqU~y>^2rCb1xPF+*rhePjGfI$Sp`VNJe0LEZXB`X0_Yp3diOB*M=-M zQ2y9N%-|<(7VE7Fs(6|L)iD(bkm`jlRWg1e)WVZ8V2$FXPQ zyc2a4H0+i%6}-;UCI@vCoT87$C>xsZ26yWk85kJpX~jSkTz%$UTohz#IW>4mJHKjqaSO*t@`K?NYzZGja46q@` zv-SD7^#%60^%;PT%835~!fAgWX*HLY&bw6`n9Qpcc$4Qd)qGrcK3T%!{Bz|>&AzO@ z{tSWoYziqTSbb{}O-qLDHrryTGm{ZkzQS7{paK~y_tOs2kSGnp2L-V-(w!&-7PO3J zpwoVWJFr4Nk|{X%l(B({32S)j=*U1nDiM18W{GVIFtDS{#8bc+r=uVgyQ!cygJa*x zf2ot&)Qi{tr22UMZz;?ANDNl8-Uz5G#AFlKj$#XsqnuBkGK<9S+Mf5}%+(v#$wU#8 zVzBk#R?&UU7`%}tx4?Z#v_{2xsI&ED-Gh4Q7WD5kf4YT3wC7GTW&bPKHr31BGy9W% zYy+U15=FHt|0lU6AHeyu^;Fh*bDi^1yW1)4;(v06%oK^nRlL84;{7O%s~}y-Jx>!` zt-97h*1}5KyEpna2%q0Mujyay(obT!v=#M4(F&;zq)Pcz-|3DWnM;o!BP*w7jEtFd zajM-G#AR_zID3R}P-(-Rm*)UppnO2h0?L;acVYI=y-hrb^9YkmHwULEniX@R`@{pe zB)sarye*XsFAuGoRMD5l9!E!JM9%k>MflDge6NEO43^2Z5ap_}$Ot5CZe3^#`_q^= z2(WAPDDPG_jb`IeM&pO)?qjk$ZY`?gl*`qWUQ3A_G2AAqKpP2PUu`MA<-~3QVcn%O z1)U$+rB=B;F9_VI$?p8{blWr&j7Y&&#xZ2=0^v(~-Gy~eWcU?URNCl0{~g`?Iujk& z+^;H8WMFG!(^pW%bu9SX27g3fU3#?%?ki_AvNbr_lRYA*(c!Tr`Uni@!GBJY#816D zyN`z5cOy+AbR@))H3I1gMK=I);&w1F?U_H`tVi2M_#&;%C_+2L$(c^J`(iOd0p4<2 zwLh=@t+@izALaCEr@bpX*BvLFU!OXZNYHLGsVFDOBBDflCefqUE_L^O_VFzNIScSn zv3GIfA`V(BJ&A|}@b|jd>cJ$1zWL$4QR8i??v=Pj_?R%EkDnJ(3yAf_FHHz0(Ic)L zv(nC88=r%NdU^_I-R1e-MabRXRTir%8LeLeRq0QOcf6ZS>LDcaUf51rWJj6EnRAAt z&K3Px@^U}LcQWr`%E)f;{gD*&{)&|Y1PkjTMw zNQ^lgE~vDBKVSev8cv-v)x&1otkNZR=D6hT92Ph4p^JABITQz++2sBmP6#5x_Nn+c zPFa%RQ?P-yXB@6v5*-gXS?ZbM0^b-NRj{Zd>L%h&XwSdC$es`uED9ucG(Tx^wP)7O zf#*`7d@qpwnwRe7!5WeoVt2`ImP4#&MvtvaEjGp@yU+nB(_eE1d%({ZBvOv7H^QW_ zx>8SgP+;`nI=h7;uk|#>617_w9$DFHx(JV_kTeEdoCWLkY*C4U8tspb@y%ZBLqX%Z zgrVY&dGEP~UR^W$w?#-jBz1g9=s2fkA1>(BMw0J@T1Udh`cx5qD4nKg!_x0Io|F^h z3QXGh7f~j=IeL-morm%r;VY;0G0>?1kQe~~BFa15u!|$&Z?pvWcfKRhvR{9I{cHbu zaq@)HoX!ZYk?~O{QMQGTqR6OuzAE@Us@X3=b(KwR<3XYlDU~8Tf>2HjQpvreVe%G# zKgeGV3~c`H<>Bjs8oURQOsm`QqD$eZb5S^LwN9!cgBWc6bI@pC(4^o`ZSK}R05hfG zGmai#<=(p+mAKW=Xn9OIzUy?{&Lu%-gIB|ap)*5}hyAAeDMFX6d(AtWxEyilAM>f! z!~g=%msDOrmm)BSZH$Wk9;29Q9KdW(Fci`WR}NR-R>eWCS|u+CX#9Y#=G~`_A~d;@ z)C$U@(v^e)o2fNbRnZjYm5U6Z$)Yu>?`V_^&iX{6_;o976)V#NsUP?$-~*FiH|spJ zuH9lcbR>gvd$7p$Mr|c`GZ-vqiec*MbK+r#%ma)y_7;UNfq&KPaKKEpp^x(~jS0?v z`Mo;KY*{CLnSpm=M(+fpnrYE}=+S%(&9;(x5a=q&&auqU&>uwA!0 zb4R;6ablgH?U*e0@*Ehr>z%0uB@TUc`%<6jn%R{BxT$-?)xJh%r~=Z+h`Fxb%bxVH z(}o1AWIa?;I=nwq#$n_5_hqGyGDPokF>U>9K_kq+Z1JwsFcCZ;^AEMOA^>4WBj0E)3LWj>i8k>x9% zU)>CiN+I;zD~~|Iv(GgMeBrl~bKdN1>%|!KN|?HbPU&elyBam7{edNnYd5JrnAq74 zuStR*_sQvZNTGZ+bCTFAp#j7~{>3Yu*ti`0=*=H2mb z+VIAsf*(l*4SlT15ppm^FpkOCO>{qLbH*m6Uv=Yh^La>d0ZisQ0`Dq4zuK;FFtZZ5 zQ@wagPq*53lHT{ZuN#5aI^og05M#Tu3OH^?sFyCD(a&Pe0MLM@uTi)}-iaLKF|7J&TflACz_?Zj3|Jf)dP|Lbd;f0W!f?4JQUQ6)3nfwzq z`-OiZ|4a29ann_+N}@SZPyP@0%`+HtqRapmKlUaMLZ{hK1GT58IPK*Q4V@LhSGY$z zS^&$D>x=i^uhhJp=8p+opH0SueL!{|+tC&x?EYPH;oFw$nI@?Bw_eYw)%D?bxTINqJ z`6m5Wr$V~NWWPP|*?=Fs0kNbrFxzapYMv%JPXz<0?H3%e6lgOf?@=us%HeIJ8_-~M zhrpcpQOim050A3QRk{UOXE@L7S+YDDpYM2isM+fq!ti4B=e+_OLDxH0tQOj7UX3Z7 zSJ_CqtL|S?3Wx}Y@p5#&TkGKC1O6@T8GHM`9jc&}#-f!x7z`!493x0c8bS9*64}3> zLdfpxTUc}!=4I88#5UHQ+*~slv{Pg>vIWB_M+ISQ2xa4w%=b2xa4!>C_hP#oM{%JS z8&G+wWgfu-&uaH0RG5bzD%+R-ld(*@mCb7w`7I8lmuuBO-IpFjq-{Q5kT5_b-1xb$ z=qJ(|PRa|3`gByg71Nn}{)lwxGxPQr3-GNeM@&&;D0LTxcWVJ2h4A{XVUTH|1B1lg zlD_EP)rdqxZ0}i}ROf!jz+_u7Z~u)uz`=e)sCcb|(w<~8fn+U37p0OLnKAM|cj_|~ z*MQa^gywOcp5W1(ylk>Mp`JYQf^;JkvwF?1WuletFTHMlh+JhXUoFwnUyD5Pt`nhlowoZW?RgI|xkSJ!#MJIcBgR5>^#Xz?{%^^M+r1vIIb z`mI!+#{8;%*V2;`mQ7%Qn00zcBfjM7KF8K_CZypDt?5H`ce=(;#5k4Z0nZLWn+;8-5)Nz zR=&8#O-ujBTbbi(#zcm$(QziD*4bN$f|qu<<-AIfoz`#I(Rn{YZLP)rA?NrqJMqVxENBm{hqpztAoFk|i$^WdME% z4_EjV<`gC<=H7-zgR2sn!0p{0&34g)RiWo+n*@a&`XneJ+G1=U^f9Sx4h?OrnN+`} z#&p-RZx~q{igvzZ`0fHra$O-Mg(0X+Uw5BAk-wCla!y^j_jGvw`1wY{#r=6BUj!;% z(gyzOSloY}*Qka{W>OgXoL?jA{2l7;Q;*&YDc^wR2}plsKmLX80w$CEm4tbdvyZs- zs%cR_+GYdhN1}zSJX$IJ_oIgoP!yRLuPFt50zFdPAC%XtL7@%&*`3UlTKDSir%M)p zyo&h!%GUJYLpM#d&du-Gm|6BNYgyZv{ocz8h&Q%&2z49V^v|IL1YR?2|1kho1}&m3|#b5 z|HiusssY+4R|=Ip?oMHNCX1yH7K(mg2Q&xvsBN>((F%aORJCe6?e;l?;0~`7*=g%m ze6GtfUP4&%Y%4Y<`HDLCxG4)fq?+}_tvy>kHuDJH=v9Aj*gv9nC*7&SA6IL~!@LTU z_1}A%rLVA(^nqsxIU1qjZcy{PZ0ioRO=wxfkFIp2I>C?QTX}P?NBNHCmQ=4`1VhC@ zR>siYN`RP1ZA@ZoEdNvPn>*%TlSxVz)Q$L3Y_2Wf+17}{fcP94!*>ch*)L+Xc_#`h zyP{lRjWU{C)M;lx_t(n_=fvtp-1D`#?O&2Nvw!d2Mqp~JfBs@4dWq#Kgt`txO|;jh zPnv;7-c%^<z4Faa7A?-c z)L96p+J52-c@?Y69r=?+B2!t7HG6-_ePW);s)3;fE(~7+iY1~S;GpFj#JB0S+nSWW zQeR_D9ISGi;J@-yp{Y-nW;C9kvT3$b`gQ%xuzfMp6g|^coU}jD?$&UlN#!+LOot#x z6DYbZ;p->SiUT!J4wqG`cGdGolOp0P_$S&V93j0#VnIfBlUOtOX zCA=1Pn4yJO;$&N`PTSJG6PD=WvwM$K>X_{gz1uP450op;-eLdMskBK9+~A#U*w!(? zsC&tj4>|seR-n2rekg5}RpFNMFmCpMCm2>>s=ZBYxBW3IpoOy4SvHaCAyw>_#qzoG zqv*BZIjJw9D%4r*PZbs9)2~dH9}5sr^Bt=fn5oj${V(g{$}TjQW+{2Pc7V^Kt1Ei{W# zajJT;I_562Lza4SCl0{E6}fV9P|KQnrsE}r5Ia5m+UHuuz)_8t&zi?%JkjRDPUBI^ z+NH-{?Rf#IlE@3YB)?N8!&R|lw|3%XR@-tw=0^qQiFLrM88Y#W*$sd6E(ilPhOWV$ zs_luuD4+1CaQ=hGM#Ld+X2IU?w~0EUGKLn|3G{Q?s;!e2ftK)_cs(gxx|l(lc%!yJ zLQ+L$t`<+txR(vzGB{A!wtrPnBhbK0s)p7NF2u_(wN+X!ANc)Hp3y$Vmj_`h=o87* zqsPESehBEL=BZZ`2O~QZHSbrU-772Hp>H3iB5bn*aZ3Xi&#q2Z@*!t{MW&Vbor z6p@;!d%(bU=>7FKa_nZK3pNKT6l2s=q&@l-zy94NIw|z66$O)TfI()HMr$*9_Vi;=ji@8Qkqf8fH%Cx-Xqis*aSyj%2uir#Vc$r1>W_e!F-{81 zbB$O!rzEl0DAGsqq=y#($a zxc9J$`-Dx|_4|?0Q{1(lt|`x`zW*^B6+{9nnLoz}7TZ9o?A$UnUmr<0sR0+~lz z2;s_KMV<&p9mL5>=P}?hL&66fsj+pl1*A;|fl)g(n zL;)hJtP-jk2fuVUzpFt{6>4>EevE6>d>!T7KgRS---aO65XMT#m_X(a73vuk^ri3| zi2Z*GFQqG1ob2^XRs?y$_rJbGz37FKk+Xd%cD zV%u(!szrgnaBv#nps$|HcIb54;qw3N@RPF(gdp0^IT8j^b?q)qgubs)WeVA9wEU#m zGua~xN3B|Zk48CfWfyFSW)NwrEs4;NIOQ8XK9{%ozF>+Jk#*%o)o95o$4ZcQUhLkb zQ41ip*`qAbleEC!4Wc*-%Nu-MP~(g-JVyC&noaZi5o^r*ET@_mfiXd2!p*spah;X< z47*W6?)6`!k2greyj|+dwDz>TFISyAUH!2&fx8HpKPgC#}vNX;JP0~>rq05*f@2^lZf}2@jWrqc`wzwP&bLu4z z2`3*&T9LtAsTNA_>SqrfwK~->BFSDI*t;stkYbA+WR0kg$1!&ZB=$NVvO_iGZn=#4b&( zo%kp`oJnn|z$i)PNK6%`xeZdeXMw^BMaStXFB!BlXZBd$ z(WoX*SKIbZS*n)vRh76?24vD>MhOqc!V|eZ*^fBrV-Vk{vtw*w-08YrsAyEd=xe-A z)R=6>OmJW``^dlD2Zv~h;gWbmhuW(USuuTe4o+0{6boD3v;nLoeB?*$+ug!>fC^rg zyGB^ycp4X4hb?Sc8V^-!o2C9r*nx~3g71mw(9VkrhSj;$`uoK=)DOUA`oaV z<61b*iC?)oN|zR~N{!u6w2xV9@E_)!8?i|;UBNBXo4y2D?zq$sNHc;#IoJ7SJ-CmywxiQZBR9hG)A1t4Ymmr z&5$GsJ?l3a5Cek;Uk}XUCpqB~3PaKgLSN|mbGk(5~!al_Kb4{1uRH%7UJbiM4P<;PGsM9`U zLvZMOo?>ZBuz>x3#iNJGq^LsmaN&!e0^kc*WjHQqkFQ_lHP6`-f)8;cW^o)Zl1pUU zzjq&i0lCHj0-K~tnM9#YE6aK?orkQ{(`BAYPAm#BPyTh++B;QGe$0F8jue9S;Wtob3}ozOXny(D zi%MlT%lUrEv57^;ucCHJw{o^fmE0cLo_;_fEoBD;nbddB3U#ZoXi1bow>4C-tZBh# zr=`l|_(5}(JtM?J?=GM2!7R(ZX{z*n!+PRN3Y&Uzr&h`A4s2Nz^LqH;k=$29Xh?9P zgH5QH$1Z&^mck*%QS#|U6kdlrer%QJ=?{Mwc4*?69n)*(w+-jk6l(XN^C`J`0HnzO zSLH>fOcxX#gNB^J3MC*BA5;{7tAX-L&=V0EojG!v;9yfdzA+uo-rUK2o3@EdiYGIb zq|%&QP~QZLdoI%BRwH((DT3c4X*<+WcFux>tQ`jAF~Lp*Owi?=${WoE-Q`J&*XO)E z4(-kSz&k%ZnAw-(IN4Yq1n_ivue?i)>*dSsF-(j{`VD`(m?g#iDB50$DfvXCc2;gV!(ucMi$Al7%}=0ETOdfXmT>^}_Fylfj=AP7L=+e#I!reyh@@JO4`k6k>4#hvrGdyB{Dzp&T-C|7B`IuqW zri`EgnRhuz0@DuUSvimPvDaBG-}C#HC7^?tk*2YC9q`~4o<6A#Kz*N z1PziCL9mMtuj?)OCxNIJW(%u6iAK7?u)z3715)@)U0;`HFcZzo31~~K#GnIs2F=iZ zyg11RPVZ@l<(5;Uki-Iq@ayZCAL!efaG^kRhMqGBJMk7{VR?AXRv4}ydr7qvquzhI z@`P2by~CTZT`8;F5xRWq(ngj{;B&{RE%y4;=hnQHPbI3~nl=_(u#$i18r!eFP3&fWRs7)7^__>hoS|4k7T zY2YvHoY8lzq8}az3Rp?P1Wg7Y9V`l>4#vyUFEzoG`3!lKr+3 zcC3h}`swP8^}X3c=vk=sN&Kf~$*E>ZeC)4UvECN>7Qsi`vB=#hua&c(Fpoz@4eQ}Q z0SEmHLgo|maoF6PtVxreg8x*EqmnOW9;Rs0ZL`=>PZ+c;iJ|Kx%3oGz4te$R#^#$p zh}GDA(YE%i7W>8v0y2{J+C~QYw*YWABS4VxS?oRc8bA6bnhn%=VO8qSMY)TUdwWBu z!qAE#oivM|j-n$7f~GHXYX72^9N7#&w8ACyBFtNnGT~B454>o@I%wV-r;~0xtJ^`T zl93aY%H_+J^RwEG56a6yVzv_P}gP4l*dH=00spjJ-t zzM?nniO>D3zd7!NqVSkUv+cSn`+JEYCnwOIImtmgL*`u!H`bkbVpZ;`9Qc{#E+hy# z5f^s|&{rS2_TlU!kmIMoeI_rD;Lc8c@?4rW|4Sm;RomX937ap*uRav;S$6F*_}$va z9GLFHkmw>F1Qs6Gd7)_hKR{4@XGPjs(_O8lu&AK76L2>@E|1&7)5CEmv_eMA-^PX1 zs>n>{%LV4JM*pFLUs5lagDr^%I6fFo&?3sbFQK?R<2Y3gogjXY9isUbbjTt!{5phr zRp63O9s-7b2+#P_Y9s8&VGP9E9QSU|J9&K{1GauWVU#qqE3J$Ge&Wc(8O6MUgcC1* z)-5seQs4XFcGLxfAOu-4mIw&C&2czC~o4ivNLe*eP~R5Ud5`7##MqCeR7aln6;TA7ohd z!jXx~NDIGY0|3ao$c<5VBUof4imzZS_F)p@_F-m3ai4HFoOMUW?a!?D0g|Nlbh=vxj(l#^`J(F>uT#p@f)jl{FBWvb)Y|*o7Q6qQk#i7@>}heIHPp1NivPn$}HLt0<$Hq@$2Y)A`zf zs2wS@uo4$^uBk&(IAY8$6wj&l_9;@Kgtea zb9*IDbwgoq!=k$r=GZ^#2oUsQshp&d$N^2$15LYre`!{G?WrbQO`_^_jaDIKg;&4) z&Hy!ml_m&@H2!Am3(q+#Ef2i$Wlbd4!+rDMZ>geDkCEi(3Ydo}Uw*A~4vVrasiwh; z_D2W!>F=uE-JZ2Cy~MV<+FLYNw^^+Q_vulnvK3t(qC4RXAzznXzA)of?Qw0KUeC3W zK08DJo*3c(Lx>oN_Pur6>w{A&qegirm3&12w&rUH&2OOl!4FWcwQ5|_W z^1 zM;%5W{-Ves7%U+bL4-z=spFiJWWIin}Fk8Hlwc$6c5{IH-uj=H{q zb(=f#_Vji1w);e*6$nO1sO&EDfBo06xkq%uB`2Dd*$X)mx9_Q~sY@Nq2PS^<1;PGi ze`Z(99Rd4KWDTYV`BBn=V3z$G05aPQz|7VGwp@5wafr7w)7pCr3y-t1bdMQ$P86_F zGeDf?bFq5fJTCJ~B_U)$+YWT-QaU%g_x3%5U`kDW$@Ig~xj170Dd=Nvh%F_&7rd1) z#S6Z{@7T+zRY#z%)M)nG_BdKgKYLe$=46gR3?kR_)$ny#4ZP_89&@1U!ulD(Sje8Q z$4ys}A;HGTC7x7QSeME7JYyBFEiL^2{B}2sn6XdEUva)4brdye5tqnin6wbpYDI!K zi_?|W*qg(gf}%f?9$T6(7lA4Tki>xv&n-8Mv`?|cZ# z|DVudFPG_Wey12~T9v(kXCH>?3~}EwUUbB2`s&CO$jP3bh*Ip3`(^;m(ldGcK{;}> z@*_8QbRU(dZu^dDQ%iGit}#3xC7a)Nr1Vxr;dJpd_F2Whe=9&LHhV(oznM~;_q^K* zirpJ^Rc57L1!DRSZlig;`27c_XurG_w6RL`SIeOevoiMJ%Wxv~RBk8IZ=~4fODy{j z>z00ThrxAkt%^&vBKwc?C>^_Av>U$jd=Z*m&H&r7-%7-9h*s(<*`0yT9wArSANUL# z4`fqVls^usacsbPShCO_Gkrd=hnyy(FQszOYgG6>8ILN|fPNO21>2EoCe%VYjKTcV z{2nu7c>X+Ebvul3Gj1KyJG8EZgJ_?!I4Unf{xwODZmblg{B$M~${R9wF5HUE{~vWN z#O7n%n(OhOcQ`3}$kPWw>qT}8jCQ=#kmxW7WBhFa-ct5^R|ZlRF#}a*rEl5>FHHS> zAnESORpxa)%yV4CMlv~qD|%oXx8M`sckZ~v`~Pm6x)ZOy7xSxm4U%f;i=<33&F8E| zj4qvb68hW3vlnlew-sQYd;2{^%9Ux_(e`xIMkISs!d+eL>u7s$%wQBH+h>nwW~e8; z9%2em{&XE)W@iRFet(8lUn}XLKyD|wcr;KhY4I%9vCj%LUlkzU-V%QhYkBF6$b*>C z*2^bq*PAu_1GM!MizmenaCf3tKU0W@+Np9?PUo(*CXb3t-yb zn5tq-2>gYBf8!)oL(&Sf+pnF zy-GJ`{}sWZt}vBFw(OIgyprDa?Lv`dkcZH*`7vN&7)4m@8d_k}wg3Im*zau>7DT0} zH_^>~-}b+ic>;e5F%L`{BO93xDQn+8;AY*IIkiWBqy7&<2W;}0KB57<{X8yH7*{%; zQ>ZbDTrV`I^G{2?tQ@U-cZ%HIU+V>ut{E&XlLY1o`}xOh+T8q=uL#`eb08`>Vm1Rl>2jFPJeFq)I4=}rJzJR^&E zEHD;#B5OGWaZ6Es=ndCyNcJaP;aZG<`Qk}WTwJdIuw%w1Y?&#@}) zOvXMvcxU&N>$R`38o5q4s$zT~iT^{~pM*Qz!Z8W~eOq!zo76Mr{^kzp)%5Or&7rBD zQxN1C`rhVv03#VI0cEawM3en4zK`DB*_cC~Y9GkmYji$;r7g--?GN*z)vs4!;|f0= zVh)+F)6-S{yJ^r<5F2A-T}GF|5FgLmBP>jM8OZH>pX*^N+<~^6=E~sHS1xxD#b2?_ z6qmQ_J*y-MF`7xlAD4RVl)UGNl3WFCZ`R;UB7fA54-wh?UkV8E1-V z`S+hY&y(-+A7WoCU8u0l9MZ2E!oCt8m^B) zk}A;`Y^-8&`WYoy7~-yvf~Koq%|ldK%<<2H;?4W4iHzlu?0vA48D|R`9I4Pn2U2)Z zmUu~oR$;4sZ@%MN#vz|{f4?8UcFi#xE+NyxaOWZ2}$&pwsqI0B>DYUt}=gpk}{8$Ud z1RY82q&3p~ulJvmx`EUXRisIVfocObaFqatjHO@JXJ zFy8sfdlNv2@ZoLugHzKE1Hh@#YgEtU$z>V-&!-q-EsLvBSnpQkd<^z{$jiTMh3}Cw zpBj0}ic3)ib+rYC1%0~4n;_DJ7dmJr{n3DqFYFpp;Q9);2wtE%U+b00sxU1e;e~i6 z*No=r{vH&d{Cu749oz_1oUt)9^p=uuy`HwMM6BEmeNyFp$G%cv$9Ccz&%msZ?vGFa zlK!i2(Ja_o-ii)atM$Vdf$hC=N_Hr_Vk@cOGwg^slAz?pNQ8q$hRxv@82#LwHx}vbk@kSIx!jSJk%U z(PuOYu6X3Na;JPE!OIs)FWD|{gy#;ME@0A~-#eN&*GbV_mFu$X#%W6L)0-K8kad#W zEkW^(DD-@hO>vpK?V!nRO!1r2M_G1xId|im(uVWH?0wNXn^qu8)4TAgWhoC0c z`^*J(V7j9QA+k^9+g>OK{;sSh_*M;u;DsVGZ&!qB&iZUWd&xq;>Tz^9t4^^h#4K3> zWz*{x;&@P@yxqHM0xf2YF%n+cRU_PGc;Vgmdy4EcjYQFJaIhG867!6Xw>x6#r03SVRqMHDQ3+?jFA^z@bg0alwWn``+4!Yy$zYT0LS*` zo9F5au&_$eb)I(hKA^SStGX@t31saAyNtkF%-KYr3MkJK%)1EH!R9?s8PmnY6IMuz~<2rx*2G%b(Z^7p|3j;#TeW!S>Zl;P~N18*_9@fEVv`k^bm@4EnO7@tibwLalFPM z?91UY5vShbhPC*&m#?=AT0+YTZwl(aCh>GZp%F-63LkZHj@>*WeU9RX>x}O;O8g8# zoalec98n|Aeq{R!574ytBYmby$h;9uS0V=D+k>uQR;fQITdqB0sVZGrq{hmWpU<&D zdOcHyya9uWf>#O?W zo@P4o99Si87pt78ATKrm3t2n^B5DcVq~NE)76Q>>*%4H?CGxpg)M`{7H9|vK zP1%Fv-Sp{9+2zE7wsa2%jcla2M3gLM{-e?@MH_kp5f9u^06X|1pdd{;<>|D@T9vRE z?F%~96oyjxTGd&w=lGnKUg8J`ftOHqb|J@oxbXzJYiK#;B2OICs$$KV+w58B&JcgC z2zQ)#4x8$k{-HA?Ypv3NS@L0?*=?P zD!J1j;5x>P;Ryzqsl9xKf(NYUZ&KSE6(Azv@w)Qo2L-bd$0vS}8Vyhr?jxp_@i*+- zqWz*2lx|MxDX;wAin<~n`AkQCN-zbsC6G!~Mxs{nSw!U@5ygVy>0PIdebuHRbv<76 zs?P_xQ{~U)f4r5p`(ZV6rE+BEkc_11_l)gM;OE-@W`(h0OEHn8YK5OrQIVC+?3N~o z166?+@d`#H?#5m23Rj(I)}p&iiP>g=266G0=7})~F$kt2kh-(DSib zh`z*3k&*Gf6Q|w2R*-em^Zi!;=oU>vJ=u#v7(ty~X)Fs3i=v`T&x2VZ6_$F8Q^(}fOOA-UfE}vkGLN|dGj@0P;to_(!HVOL<8bQ& zbG0=k${XgvegsBzq_SF9L~n0$aC6o2_j0*9CMw5UlFw?C`%unZQh}VPRXI8TQY^|T zt4ZE6JYL0e$0gp&(uv`LmtHqzXaNc@$*(cSbX#f#TV*raV4Ble8hN5qyl|Y ztY5dk*b#YuQ_k`0*d{T-f;K*p=25ce` zfwa3AKdPnyOI;Ynv(=T4H8$s`|!f_g>t|6kH zsh^b3LxeL0Kx)AWQ`2!DYr~hIRD~K1arnu&M9Lo9-^PZ%aq_%9&(5DrSo2-qP~_i} zMr0Y(Rv?Bh?Xw0;KL)=MsCI_flqv4Fu}kvUKD?zccHDK#KWMYGny&G>oluS2Qzo@? zKGecxz6KVj8zv|2;x+J3>@cfqbmJp;ZjaQk-Sd%n}_t*W7Cr%GHLkopEY z2>!IumRFUSvkqfbi3w1Bnq|BzCAG_mu$v^&L*mED#ge)`BXFoF#DLa9ySiECKo#@h zx`YV<%IU%8sj^!De$PPh=0)fB;MIL0s~maO&s_c&U6FiY!HWScPx=yIom2272;s)+ z6>s*Q&-V3k+R5AFxQUSiizU-(l0SfIm*(0}ZTfo1C18r*!{}YZ zlHxpj$=GNIZ6}Pw*EKTyptz_pNyKFoQx4Q$lomlaKR=tKm*-K;co4eXiN>^3>0jVo z`TOsql$WCyQL-%yu_%GVoWXACp|IJ>NXujKI{lXJp41(Ocr8midf{3W?wlCayy2r# zFzTMd>aocOZ@KFUW zgQGU8f#PojP_89RPIyhG!aDl2J@La%1m`E&UV&FRAe>k^7i&669Ng)6B6h9IS zaZkP~$X*fP*CvN9vL<1syLLz!EmbDFgzK@-cQ|@Tr&R_1__j81;BG))kM=kxSaXzH zG^Jg7xFInlL7tV2GH*e2R$WopUD&Imkiy)%$SaH0$2f4;CbhJY%Eju!S{D@c!>E`4 ztCc{``3tLsA>^z0IU2|7ykUcI>hbuUAj=bRM-z{clx=5Q{koj5rg#4hfuZbtZ@0C* zhwvi*nf|x&(()mY&YN5pdsbu#2|B$v%WK}mwi&oTa8D%O!PcYrrjx46Q2tB_RNS8G z_r+N%R@U?Pi!FK^5@j1BiXcQaVd|cc#6G}KkN<~+FbIfL?DYp#|E%^QyB}x#z1(#; zlvc(KW9T0CwH$BI38{yb;O?6rH#^CDw|?RYM2y{W{t+PRM3rb2TG`WxTz5PA2##;| zyAi{?*|)_^mUd_0k(c~lR|zcvBfR;wqf5jEJ{~kc;UXFI#5n8OPV`dwm<+NQp>#lI z|IziJCfF5VOZ=N}uTAAZDlOjal(iQ_U*!A?og-9v+%PZmSes~H;bdN3FvT913jZ30 zgB*Se@KtpWbX3Best+cKTg+-+%4vfd(c>V+>n4@ZPPnKe!4Z{A_p+CE(M{>NLyL7E zN^xmBC-X=V3@iMoU|@MN^rP|zyuY|!xEw1h zXt;1?&wL)8agMn6)U~n^er(d{DeahOwo8Bc=D-zXZP5(60-1rGYF*pBEI#OPlDVU&b{9Vg-zbw?eVa^-`1P+sms-@(Kz*(B|5jpLkK98L^5 zwnmA39F*_*0BQRGje)`1-q&)Gh+!D=IO--Ea|nAJcN0%IB=mm`ooP6f-y6rDXLd7V z4932VeH;5uvQtS7Wr;9$+5fV%$YamUAZ-*aX!%JAQd?`JtSEtNeG$0H|OQK z&UId#>wDkl`~BQOgaSU|3H~5~0zuLVp&;P`5z!N3LE;4xk|(5~An5`b@(I}>xk7n` z4g|{K-~4sh2jx1P3XH9)Mp0)`*czG?EtWQ0N0*|<(q|hOQjA!}Y!g$88EYTgd_Tp4 zWy!X(rr5A-*>?662i5`h!9x^B)?v2O5z0{(mF?_8ImSB9c6Fn;vpm=*JSkqRlWcDv ziZ6@C_Vb4*0jxlFP%tHg70NypMhRy{up^@=(X1Hu|6(bpS#j+61WF?74EyXk%6V22 z`$94WVx_QC(Z39_11%pMCiX2ZWXMgxe>0$M<`#w?nS)bVhgOo3< zA@=YHWt26>9-p9mWlgfDrYYZ8GwkoPlpm})_WS~6k+sBL=1_jJR@lE*DZe4s8vD;W zWrMZJ{<}rlX6>;5?NYeSil^bXM@4T-KT+b*u}jNH8*irzSHnG#^Y;&g!ZR(XxCa1u zUP}&bCcNg!6KfvY`B);UgRg&)P%Njx>sn-u37aG$L#bZT!mdl!7oc0ML~Cz~ zfKUo*8VM*ko0Wzn(D(XpTZ^1|Ou2+!?79B$vX6uw8pB;})Hc%>W%>C#p;r%y)pRRHEm%!1(!pb6HcD3T6 zu4&&e-HNVQd5ld>Du-^lx{>Fv-qV|+)0xATv}|$ z`)|Q^8lJwsvIH@;=Nra>FbYhZWS>$PP?5${BU}bCHbv z6{#$`hc4oNpzen zn;*EkE1h=Od4ZNP4DTo(=n4Rz@?d)4eyuzo>fpNuJ=% zGoHpbASyECA%l50`F|4SL_KocL4(diCitJM@o zcIOnhHa3g%${&$cX|55pAn#W%u$=4>-CXZ|!f0evwf(=DpqFLey=6d;&Du-%pv83y z^VGChVdJ@?w29LivcLqdACTm84uDROt$3s+OD(~_|J%<~;qbs^C3UNh1GJ977XM*y zAS=ZM!;uPBc$H!!`3aPFQ?7dJ8g=yBaLoTkq;w}L;l{yVzgVRP?{Xn-@o>~`@#y$e zu|zTM;s#MY&Yh-kNvVDg#wmtIC;lQ^BVm)TFvG>;b8>?VrvL85!K&e3cOGsn46;N!MK^CUI{ZSx})qlnB-vB%KDCN!NgYKzk-llJ1WzHoDxL+D*i|aH( z%G)iLu#wNRziy_1Ph=%?3rPEg7W-k^OmLCZ1*6}*wtt!lm~>X<#CS1=KH#6Sse_3G(&)% zH48EOnQh}bdfiic5z%k;78XA;y{z8|xR|t%x|x?ZOI}GqDG?7py2d9xsphGu)hS_Akf=p(Tl&1%v`IM2F z8|^k)!VBHaav5S!?~<~1#}=Q(HG6XKK0XHIw5J@N2}o=?c3Vjv^=gRn0mVVKn}|!iZ1)LY+PvMs=tMt9*nEN%=OY6>G^)<9@ z?}Y5V&nWOGp#H6?H`7QM)eKY0eM6*?_}2jHsrzS z?emYXG>RFAn6|v{DZHY5D1vl&o!DcTs+wR)>Y=J6sYa$-N09W_J5_bv6ONO5+(eU9 zZPGm=NC(z2s=68pM@T(^h$L09^q2@z-1?5HE<7QY)N^JtS@q}TqX~J8_Pw+%G&d^9 zYxrE{q*YoL){A?YB(^VPfAeS68ml^glBY_WhiwG+vJK1H$EZCDYWLI}{fzM^K%;21 zfS8Zp*r(h4V*&^1@BKp!^}=3@p7ff;L>r1lq1_R$1^265v~1odI5&7qdD$I?%!xP= zU~OcltC1FGu^onk}@;%MkUD9nZyYkk zNd`*J^rON~NPb7OMnJjiGi&m(RT{F?&}pF-rp3J|Z-u5XiVqMX&m`*tWUoB$h^k=u ztN8p7pH0jQqtL8!eT0?M=Op*W_IF=OOnrZrpIo-y0cnVsFQn&`ToJu?VZI0Rb*ujd z`DU`kOy6NuB+gE+ue5=21hA-qM=zt|{*;8HgUEPWzRK(-l+q0@Hm7t|@W*PWN?A&L zEzaheNr~uWeWMxD&N$4Uc@D&dwA+#A#Rah7xy32BG(OhTC8t9MWG`*6R8&cT&W z`%$uaM@yi*YR4!=e-Nd3;2skUy$%=Xvo9W4l*G$V{TnJ+?73YP+T zVqHOl)vrq?8V((pacv7E^G3n-?3J|F=x#NNS|=%e``+W+p6inz5#Rb;GCYAukyYR; zpqakEfD^&eKAv||)m5W&*F_rj+@K^yt>BmDS6}EFM>D4$MSiXrPb4_1Vd{Shp=E@B zuX}j2jr~VoQMLSBw=S1YEHwkgo;wdz?LX9`(>rTmddr%5(u%d3Zz>&(3%xzqiRy$` zz00`NfABEf>)Yk}-iH?9pAp3o>##vS<6i`C&F~KWBE* zLIR#|j#NRIlppAno}o7RKF|7;>piwO_4TxN4S~@YSuO`6c)%BPcZ2pf!UWTXdGya< z;xH2?;ERl5ymAy#xGs}N>IC9J`ZYnJ#`KMLteZ36IJ58tDyYP$#=61FEr&bWm@J+% z$lUpCHqp3hww3Z#YV)*OPQ9=oU1>Q563-Kc_5Z6A&!>8r2h0Mp6B+wNCi^2w}#`Qv+JU<+nd922xR0R;v8(z&-Z)kG!cFX zF$$0Gjyxt^LnYn-aV6w|@u4a)zIUy_034yxTyL?_QHR06zKDMQsvx0V34w*#+Jsm0 z2*&$@^TxgNU~>Pv^l9XX5YnBn64P=?>JopD;Wpndpj{5()a~RhY%Fe!H%(AHc}>D=DTCL(>_qMx(Db}m|L-!61@BU z@y>L?`KlRegwY>o?a4>ggWqYY!uF0O3cCh>X8#!L%SHY>)$&<=#_CscW1iY;RG})2 zT@3&Rdw{vhV5+btKAYcy-?c$|j-Sy?c77P$-pU*=@umkf((kM&-4aT2zUl0BFz@)$ z>kR`6ggXz4>E#fs=`-?<(?xn&MvoL7?M$ZhbyyYJ$(Pej?2*y*Pjjb9hrw*`jJdGq z7?{mrO>eBwFb?h$V_3xPK6P1VSf`s<8Cy2A-I`}Rm`UBYir2!b^``TCnzqCx+rPH`Q?#w0Bz zGWmZsF{nl|pT=t(_N~dk7{7=hqUYl1{!{2EQ-?@$Vm?sMuS*UDd{xxWHL98gwyF|N zSyk&CQ_a^=U6Pe012D+XOHo@l@R_%Mpk$<=m0R;lKy*au5l!iU(C|(?(YraBMo>}P z6itRKkMxn!M2Id6nvB-P)NKk-G;sc?m1~ZSq?1FBpsE9yE6)o0lSsY3;up)n|v*-M9K3MPb z6QCXXw4>}TS*)dL?#B73qfR=VuX&#@lmU?^gg$A{RA~Px7Jmaz!T;J&-X&G=5JrEk zl?wB?KeXMv)Kw&wgp9k+0Clsmb|8bFaa%@6E_;?FASdCs1PafRm4_bK+6t4pEL~r1 zt~Y)T|A7r#dGL+CLyx)GVD+`(XZp5W{DakrNxsHTkt1tAt>9+NPYg~Zs?Ju{$xZ;G&5AT(x;(zQpR%r~f(Eq66XRCw_B-`th46zK;;nh|{ z|CNf)79g3jsx)sz=AAo$8+0DeVAIh>((+4{eKzHCw-vLz)s*B`Ml9**EALk}!_7C9 z@y2%F?L=*~vFZ7((BDd^EV)qnU z>zGdKeyNEPf@Q|a_3M@)y95%!wN2PcVCeh|Qy?E4o1Lja*jZei?uX{^m9u>4g&HI| z;Q_zys+wZYAIsc?LA#-ky)TZpJ02Wbeq%rA)cX4YsV&X#$%z`2spT5QjXx%ON;r$M z?=G^p+ph6tA zuI^QDKXk%SaBb$dbs;oVv#7LpS3U zJEP}C<=bXpw*6CWcX8i)aL9h`Lw4$!I=A)SPG$b$iC#I656|8?&xP)MvSWMy3$&&tG)Op6{%N^%vji3csJYvg>&@ zT7h<2N8B=pwc2)?#}cNkrs6AD1M8n&lW6bX%uPb25IPp}v#Z_)>CcPwHC3)7ayKM# z;qXj*SI6US7ngz}LG>E#1L&s|#b8m+bg4LUVWw0P8oQXVnrKie&GRjN7H;^8VLhD< z_-esjVJ%}5g5$*5?7GGEoIW`0*g!`U4e^>cobOp!Nquee(hKhQ<^_&5BTLQqX5Ipq zQ9H!rD9u@CbW|MFsK;0HC18J7{1w_1hnE{Ear20j`=4yZ^5v|bb%<=qbtR+H_OEk< zC1)Yt-$d(qQN3OUDu+m0uju+8*=2c^(c#FGeKfFtY_i5&MJ%3LztwN&voLaJ3&nD9G?c3nTKz0XezyilxkobTxk{s`xVgxd*A1BmLOiGWmQm|K@! zjQM2Uh|!o*uJd$)Ys%tioNi>YJmYs&1rK!HkSUuxZyRpupEwEMA9;im;4IF?-acjZ z<>q>-7uPF2icDmFMJd6JI!Aq0@ng-FsgL_GPp-)=GkK!$3y4Lb(}Cwhxw|Yc*ruy> z&^Usin87_*cflUGy85edK7^ZIs)h-|lb!2^yBbpX^fP7yKzA$au>c2@tnRcRv>=OL zzlHie_GYF45%6N^$4oOPa1M0?6VN&Vwv7eMOqvidKPGb~)+|x%_SpcDv6xhk0FH;{ z#Hj!dVbbR2%%t2TdMYMBB@hb)isvJL;d+R=L9l9qt>t4cPuXNqu2DvdzB4n6FH&b< z(rxot$#UA(F|cnDOAJKEDDCOr^30Vd#O6N!YUedtHrXLqrmuWa)u&-`2ARB`Xr zMdX)Bhgu;7AF1lN!yQ6*cqZt1fzBi~4{tV+06d>Y(xce`1i{J)@)&^$3iOG`-&u-F zMr3yfdc^iIEgQenvj$S@uFb$Z>ukH{PeAw6=i%wEm*LYJlIwF^_$HFQwDOeu@N4{( zUhl@F0$(=TimN5Eo3|Mu^vqe|e2UT5Q7-0`vjd7;FJNgNaWx6lwZaIT_~Z_P?Jt+9 zN1hx}sTV~%;8q~;`TPaht?q@xpHp=o3R>qQ!fz%_fd!_5_IEY84+bc}B1MBq7b@ApEN$F;c)0zw-utg3_{n%>?_FhBHSsXsB zz8^QRVu(mPp5>OZiW_@^=4+Tq6Wq3;C61NKA>$@W6(H^4mQSTh*1>Vp6xXrC2RI~n z0wE*NW}ws+wIUYEd*b6gIJNp`gG6$#jenQ4Yg_$=xLK~efgIjJ*G3FgiBR`W{c(nK^pjJ&`XYEN*C2#j~WkW$fmO}81fzOOMkxaVcr zSf3}Oae=U(7ZO5r*7;S8DjNU&uI9hX5NX)QA*i_thiTN?Kjse$2P`j0sn&L(68jyxJN7@EyU*HEq~7;!Os+%_!Hj3^^B&{_dC^g zC710K&exeX3SIN+=0p`Vc2_;NaWB6L4!EtQ935qd*b6^xIh!=dk5U#OD)b5))JqmY zuhO+D%mv3i#V~y}c7Ce64aFd}=+Kn2TT;naYLRyqPz{g`sD57e!(ZX4=e`a$ew~E! zhGl>28w6D#3G9&{$z-vUUO#dGZ&XtXABO9(S`?I*2AnDWl1AjAT7#pU^M~_+znF$y zqka8bK63K?t+C`1TJ!-#DDcL19X&^kS3`HWzEm#yRl={Ar+4juLyfw#WUU;kAVD>5 z(4pu-_GRWjyw!)fTXRKg8OUd)PEfkK5Jscx3-XeD699z2Yf-SfD5~b_Rq_JsRbhUO zU*hwoH?`=OaTx#z6DSeN?rsrt0@tS&Up?X>>TNsSqgrz;Q8>n2#s0^7rJnt&G}Tjz z9;$CihZ02D-qIxL@9?{DzUM|($4;c9{fB_9@6)&ZZ8pgFW@9o5wN8UnC~vh4RzODm zXWiNai}#)WD5~=()glEnr2hbhBt$p^etD z^qdaRhyvhDc?b0ig5eM>(6D<%8wtyrOrAX0J;p$uN^6{lRibU9pjck^-(zDDaQI*O z{DtYI7tgZ(8YrjyHMtAG0!RL9SMuLl?2Pf@LwKDd;;shla?$hecX#6A{n8|n$MucWJuAEK!UYV6INds*igq*GP)}JI z^(}dM?L?1eLgQPs?cDsKe-Xx?nzXM`lb@a=MG6>^>KzvzV)~-eKD~d8f28>Ao{MND z_0fHunqq8(k_PnVPC_h3P&&xWX!satLHDv3K(K@sind_ zDZK~y+W2_2uIyke-cp1nXr2OCuvKNp(pXO0q}9R9Ks|thb&>Y8lF3K3#mdV)b2%f* zK25^ml_SCjh0M;N&kW`Ckqwa4kH5avy6~JScwAG2g$CYy`NMB|l4@11nYU{Pnk7#p zD$8kOLiT|oORSStp7A114vP=IyiLI%>5Nu0)g`*IfOvSvyv2do+s5$w$el%^1eVvCj6D;F5YmsUbC1=B7dNFXi`hemaTZiE-+7| zpkB(XL-Z|7rbpkFTGQCkqzNj;f#+z~1(uw;764Z%(dCoCoW)z=gW66vjp`KD)IAWg z8P(sWU7vVfgGt?9q!Gp7aYudw0y3V}Z<>hj>;zUnZwNFQyKbbBa>E`pWYWspi3dxl zUmtOg+H4bK$NK?E0rFcC9@Y2nsuC&+9|=IM_G9Kq7aQY9_`HIx)BrjHAwZ(Qez{^K zQcM13G zr?1er5T2SVh=qu0dAr;sn^fJrr!X&yfsmv+!W;FrTOZ~vaf*WRsx~ZcH)z{{Iuf2G zrla9I=|`uyqVhbxcql*i5&AstA^rZ=b?rprS_S}KtRiLrs!Hoe)?@sl(v)X-lmy?` z02&x2$S{brUYdUfPVcbmRktIaSmA>GmKDRVZ_#GcY+!4-!BaVGw zBOH%aqUE5;?b3}B)DFoh9q1EWPy4gBa^SByOuE56SH}-bGqw^o?=kY^q9lg!`Tn`$*HbbnDYlF5|TOwHj<~sIZ?h(zXsR?gbVg zl*HD0mo!QJY$W4%S$3QD(mNQC3#VdQDY=4Q>z{D~ z?R0(X5p8%=V;71}I#JInnVMOCX}>iJRYJH3Se4w8|L%og+P2?NeDE#7xC_%(=Yzc3 zcL?=p8=3wFq2M)*!T-TLwH;rjKB3S3rGk%a8rRZar}*69&YC?4YKwhaNd3Mnt1k!k znaL@05q{}gsY{S3V&80{LT{T^ z^{JcT=51!pa@A&(KD9IRG+%Edm&4~<=tz5qgYlr}rArSu32sb(&`pe7!ZlxQ2EY|~ z*2K1uGI6P$k*-})Z?+QGVaQ~jIviNf3i-_D^Il;bi? zPAS=06>ugkD_bw(tP|q71?KaST!(sObkV}x!F&#i;~QQBXU2wUOt}g?&kRf8bzAK< zeQdjW#VgY#rC)YPdJP5Ezs9L`FkN;?aG+rCb)#Cffx zd{aL8^xeHIOvVf+wnR)>yw&<i34WD}7n(6_N0Ir0NuAaUD*U-q=L|elgGr;pd zQp-f#+4xh@^)dCl0N3wyU~ouiSU5MrOqm9pJa>j0kl-H`Nzv5W2GsVzMF2d0zs46k z^}`9;5C9M%30X^d1+JnGq@<>z>Z=|NIlJ3&U5=k{J$RIQzVL$8v0Pj7?tw#&hfU>@ zbI)@9|ab&BQZj&jBd{ zW0$EhHR;ND+rd~e&i>k))Ep< zN*a~Qm;gmG?-cdT3ev~2dqIE~HKe_|Igx$ME zxpStP_ zu0@MDMvD&C(9le{YiinFiY6!FtbIpK%>fNRNFT0MO)jXSXq?W3f5rQ*`%;uC9;9`Z zWSXKGSrtC6qUpbn*i%JIsHIhv`Z;;hW@ph8z5u^YVAE06B&|s8P8j>9oA4|D*rA(>E|aL@_128QxN2!q*S~U&i&D zODD77Lx3RO`Vm0kO}zlXt_iNg02sdwd~ZgF{9%O03lIkYrT2JrFW^uJWDNjw{D$69 zKw&^G$=_?gH|*U$nO*E-exCdHUJ%GmuHI8I0Aly7ing}uoE*`rb{!IU{SDayfBRjj z4OmUt^930FL_<*!bbOB7d;T8>`Dh$z{4-;lzvv3GnAo0-`}}4H$(;Plm~KLdu4--E zc*4)&dxxAQg})q^5s|vS0O<3LhM>TgfPk5BR6AUva;OP61*UO@WMqjZf@1t!L!y+i zu%x_1y@-{u26sjijJ7}%ExC{)7$OW_Q|C&Hi$TYZlVtfu$s&LOmn^B_uAn3)vVKJU zsGQ`wt?CDWTkCqt?xv;ZUhZ*By&FYU-TQR6kLOxRNJNP8wH#U3JmdfZ;POEZxt@eN zEEd4qQ{5vRJ%*?@R+e^rD>;sEDQ|%*rhW`QQ2!VG1swI_M?M`A=Q$1!=VpMhwtPm= zhrHz_7d2jP*8v?qK9aE~R~DBntQn_toQpS96cZQa<*%1OU?sWYWFFB7aN~aT$`iAv z(dt^s9$W=*>G&043aLrp0Iwkz@D5W`E%ck^&b=UlD|$S*IwOwII1e~odXWf$&q$iY zRc?(LsD{BvLeZp!`*5zLuoVt+Q&%8h+Gq2lMY;iM3v7j5K?7yg46vleW2R}<698{|vpzO)gS@shZ< z&MS$})vx~EOu20H8ZG~IOad<{@Xw$~MON=}bxCSvX;Y@mBkmz5O*|w(`0He+&n_{PY!uZ?mPviM$?Emp)d^1qHeD@8{hiV|p`Xfr1cY`M5iv*B? z0*S_O4=H2|pss!ect~!8uLFA%c~CI81m7%TXwMNVtpMrQ2-olt%UEr SjQ~jI-Uqnx@T*_$zx+P}s_Sh4 diff --git a/fontgfx.map b/fontgfx.map index ba081d23..c61f1cf3 100755 --- a/fontgfx.map +++ b/fontgfx.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:42 +Created on: 15/10/15 15:28:50 Executable Image: fontgfx.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 11ab:0000 0000f550 +DGROUP 11aa:0000 0000f550 @@ -35,26 +35,26 @@ kitten_TEXT CODE AUTO 0000:5bf0 0000080a timer_TEXT CODE AUTO 0000:7990 00000235 _TEXT CODE AUTO 0000:7bd0 00007653 16text_TEXT CODE AUTO 0000:f230 0000010d -modex16_TEXT CODE AUTO 0f34:0000 000025bc -16_in13_DATA FAR_DATA AUTO 1190:0000 000001a4 -FAR_DATA FAR_DATA AUTO 11aa:0004 00000000 -_NULL BEGDATA DGROUP 11ab:0000 00000020 -_AFTERNULL BEGDATA DGROUP 11ad:0000 00000002 -CONST DATA DGROUP 11ad:0002 00000076 -CONST2 DATA DGROUP 11b4:0008 00000102 -_DATA DATA DGROUP 11c5:0000 000009e1 -XIB DATA DGROUP 1263:0002 00000000 -XI DATA DGROUP 1263:0002 00000036 -XIE DATA DGROUP 1266:0008 00000000 -YIB DATA DGROUP 1266:0008 00000000 -YI DATA DGROUP 1266:0008 00000018 -YIE DATA DGROUP 1268:0000 00000000 -STRINGS DATA DGROUP 1268:0000 00000000 -DATA DATA DGROUP 1268:0000 00000000 -_emu_init_start EMU DGROUP 1268:0000 00000000 -_emu_init_end EMU DGROUP 1268:0000 00000000 -_BSS BSS DGROUP 1268:0000 00000eb2 -STACK STACK DGROUP 1354:0000 0000dac0 +modex16_TEXT CODE AUTO 0f34:0000 000025a8 +16_in13_DATA FAR_DATA AUTO 118f:0000 000001a4 +FAR_DATA FAR_DATA AUTO 11a9:0004 00000000 +_NULL BEGDATA DGROUP 11aa:0000 00000020 +_AFTERNULL BEGDATA DGROUP 11ac:0000 00000002 +CONST DATA DGROUP 11ac:0002 00000076 +CONST2 DATA DGROUP 11b3:0008 00000102 +_DATA DATA DGROUP 11c4:0000 000009e1 +XIB DATA DGROUP 1262:0002 00000000 +XI DATA DGROUP 1262:0002 00000036 +XIE DATA DGROUP 1265:0008 00000000 +YIB DATA DGROUP 1265:0008 00000000 +YI DATA DGROUP 1265:0008 00000018 +YIE DATA DGROUP 1267:0000 00000000 +STRINGS DATA DGROUP 1267:0000 00000000 +DATA DATA DGROUP 1267:0000 00000000 +_emu_init_start EMU DGROUP 1267:0000 00000000 +_emu_init_end EMU DGROUP 1267:0000 00000000 +_BSS BSS DGROUP 1267:0000 00000eb2 +STACK STACK DGROUP 1353:0000 0000dac0 +----------------+ @@ -69,9 +69,9 @@ Address Symbol Module: fontgfx.o(/dos/z/16/src/fontgfx.c) 0000:0050 main_ -11ab:0bd0+ _gvar +11aa:0bd0+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -11ab:0c9e+ _inpu +11aa:0c9e+ _inpu 0000:0330+ INL_KeyService_ 0000:05ca+ Mouse_ 0000:0622+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:48fc+ MM_TotalFree_ 0000:4992* MM_Report_ 0000:534a* MM_BombOnError_ -11ab:0e00+ _beforesort -11ab:0e04+ _aftersort -11ab:0e08+ _XMSaddr +11aa:0e00+ _beforesort +11aa:0e04+ _aftersort +11aa:0e08+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5380 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5ac0* CAL_OptimizeNodes_ 0000:5b70* CA_Startup_ 0000:5bc0* CA_Shutdown_ -11ab:0e10* _finishcachebox -11ab:0e14* _drawcachebox -11ab:0e18* _updatecachebox +11aa:0e10* _finishcachebox +11aa:0e14* _drawcachebox +11aa:0e18* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5c2c KITTENGETS 0000:5c8c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:61e4+ get_line_ 0000:6256+ db_fetch_ 0000:62ec+ db_insert_ -11ab:08e4+ __kitten_catalog +11aa:08e4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:65ac+ LargestFreeBlock_ 0000:663a+ _coreleft_ @@ -178,52 +178,52 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7bf5 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) 0000:f2a6 textInit_ -11ab:0e24 _romFonts +11aa:0e24 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f34:01fc VGAmodeX_ 0f34:028e+ vgaGetMode_ 0f34:02c4+ modexEnter_ 0f34:0472* modexLeave_ 0f34:048a+ modexsetBaseXMode_ -0f34:04dc modexDefaultPage_ -0f34:058e* modexNextPage_ -0f34:065a* modexNextPageFlexibleSize_ -0f34:0728* modexShowPage_ -0f34:0824* modexPanPage_ -0f34:0874* modexSelectPlane_ -0f34:0898+ modexClearRegion_ -0f34:09b0* oldDrawBmp_ -0f34:0b16* CDrawBmp_ -0f34:0c7a* modexDrawBmp_ -0f34:0ce0+ modexDrawBmpRegion_ -0f34:0e4a* modex_sparky4_DrawBmpRegion_ -0f34:0fb4* modexDrawPlanarBuf_ -0f34:0fd2* modexDrawSprite_ -0f34:1038+ modexDrawSpriteRegion_ -0f34:11b0* modexCopyPageRegion_ -0f34:131a* modexFadeOn_ -0f34:134a* modexFadeOff_ -0f34:1378* modexFlashOn_ -0f34:13a6* modexFlashOff_ -0f34:1476+ modexPalSave_ -0f34:14cc+ modexNewPal_ -0f34:151c* modexLoadPalFile_ -0f34:15fe* modexSavePalFile_ -0f34:1676* modexPalBlack_ -0f34:16a0* modexPalWhite_ -0f34:16ca+ modexPalUpdate_ -0f34:1c3a+ modexPalUpdate1_ -0f34:1cb4* modexPalUpdate0_ -0f34:1d00+ chkcolor_ -0f34:2018+ modexputPixel_ -0f34:20a6* modexgetPixel_ -0f34:212c* modexhlin_ -0f34:21b0 modexprint_ -0f34:234a modexprintbig_ -0f34:24c6* pdump_ -0f34:2526* cls_ -0f34:2594+ modexWaitBorder_ -11ab:0914+ _VGA +0f34:04c8 modexDefaultPage_ +0f34:057a* modexNextPage_ +0f34:0646* modexNextPageFlexibleSize_ +0f34:0714* modexShowPage_ +0f34:0810* modexPanPage_ +0f34:0860* modexSelectPlane_ +0f34:0884+ modexClearRegion_ +0f34:099c* oldDrawBmp_ +0f34:0b02* CDrawBmp_ +0f34:0c66* modexDrawBmp_ +0f34:0ccc+ modexDrawBmpRegion_ +0f34:0e36* modex_sparky4_DrawBmpRegion_ +0f34:0fa0* modexDrawPlanarBuf_ +0f34:0fbe* modexDrawSprite_ +0f34:1024+ modexDrawSpriteRegion_ +0f34:119c* modexCopyPageRegion_ +0f34:1306* modexFadeOn_ +0f34:1336* modexFadeOff_ +0f34:1364* modexFlashOn_ +0f34:1392* modexFlashOff_ +0f34:1462+ modexPalSave_ +0f34:14b8+ modexNewPal_ +0f34:1508* modexLoadPalFile_ +0f34:15ea* modexSavePalFile_ +0f34:1662* modexPalBlack_ +0f34:168c* modexPalWhite_ +0f34:16b6+ modexPalUpdate_ +0f34:1c26+ modexPalUpdate1_ +0f34:1ca0* modexPalUpdate0_ +0f34:1cec+ chkcolor_ +0f34:2004+ modexputPixel_ +0f34:2092* modexgetPixel_ +0f34:2118* modexhlin_ +0f34:219c modexprint_ +0f34:2336 modexprintbig_ +0f34:24b2* pdump_ +0f34:2512* cls_ +0f34:2580+ modexWaitBorder_ +11aa:0914+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7c19 __PIA 0000:7c12* __PIS @@ -234,10 +234,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) 0000:7c79 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -11ab:0000* __nullarea -11ab:0928* __ovlflag -11ab:0929* __intno -11ab:092a* __ovlvec +11aa:0000* __nullarea +11aa:0928* __ovlflag +11aa:0929* __intno +11aa:092a* __ovlvec 0000:7ca8 _cstart_ 0000:7d7b* _Not_Enough_Memory_ 0000:7ead __exit_ @@ -247,10 +247,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7f34 _big_code_ 0000:7f34* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -11ab:173c __argv -11ab:1740 ___argv -11ab:1744 __argc -11ab:1746 ___argc +11aa:173c __argv +11aa:1740 ___argv +11aa:1744 __argc +11aa:1746 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:7f34+ int86x_ 0000:80b7 int86_ @@ -272,28 +272,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8260 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:82a5 _nmalloc_ -11ab:092e ___nheapbeg -11ab:0930 ___MiniHeapRover -11ab:0932 ___LargestSizeB4MiniHeapRover +11aa:092e ___nheapbeg +11aa:0930 ___MiniHeapRover +11aa:0932 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:837f _fmalloc_ 0000:837f malloc_ -11ab:0934 ___fheap -11ab:0936 ___fheapRover -11ab:0938 ___LargestSizeB4Rover +11aa:0934 ___fheap +11aa:0936 ___fheapRover +11aa:0938 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:84f4 _ffree_ 0000:84f4 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8561 _nfree_ -11ab:1748+ ___MiniHeapFreeRover +11aa:1748+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:865c+ _null_exit_rtn_ 0000:865c+ __null_int23_exit_ 0000:865d exit_ 0000:867e+ _exit_ -11ab:093a+ ___int23_exit -11ab:093e ___FPE_handler_exit +11aa:093a+ ___int23_exit +11aa:093e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:869a __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -312,7 +312,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:9041 __doclose_ 0000:918b __shutdown_stream_ 0000:91a5 fclose_ -11ab:174a+ ___RmTmpFileFn +11aa:174a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9210+ __ibm_bios_get_ticks_ 0000:9287 clock_ @@ -323,7 +323,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:94ab fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -11ab:0098 __IsTable +11aa:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:96a3 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -356,31 +356,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9e6f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -11ab:094a __8087 -11ab:094b __real87 -11ab:094c __dos87emucall -11ab:094e __dos87real +11aa:094a __8087 +11aa:094b __real87 +11aa:094c __dos87emucall +11aa:094e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9e7e* __exit_with_msg_ 0000:9e83 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -11ab:0950 __curbrk -11ab:0958 __STACKLOW -11ab:095a __STACKTOP -11ab:095c __cbyte -11ab:095e __child -11ab:0960 __no87 -11ab:096d ___FPE_handler -11ab:0952 __psp -11ab:0961 __get_ovl_stack -11ab:0965 __restore_ovl_stack -11ab:0969 __close_ovl_file -11ab:0971 __LpCmdLine -11ab:0975 __LpPgmName -11ab:0954 __osmajor -11ab:0955 __osminor -11ab:0956 __osmode -11ab:0957 __HShift +11aa:0950 __curbrk +11aa:0958 __STACKLOW +11aa:095a __STACKTOP +11aa:095c __cbyte +11aa:095e __child +11aa:0960 __no87 +11aa:096d ___FPE_handler +11aa:0952 __psp +11aa:0961 __get_ovl_stack +11aa:0965 __restore_ovl_stack +11aa:0969 __close_ovl_file +11aa:0971 __LpCmdLine +11aa:0975 __LpPgmName +11aa:0954 __osmajor +11aa:0955 __osminor +11aa:0956 __osmode +11aa:0957 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9ea2+ __fill_buffer_ 0000:a0f1 fgetc_ @@ -390,9 +390,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a5b1 rand_ 0000:a5f3* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -11ab:097e ___iob -11ab:1752 ___OpenStreams -11ab:1756 ___ClosedStreams +11aa:097e ___iob +11aa:1752 ___OpenStreams +11aa:1756 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a630 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) @@ -405,7 +405,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a89f __FiniRtns 0000:a89f* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -11ab:0ae6 ___uselfn +11aa:0ae6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a904 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -431,7 +431,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:b3dc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -11ab:0022 ___Alphabet +11aa:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b3df __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -441,18 +441,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b48d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b498* __get_errno_ptr_ -11ab:175e _errno +11aa:175e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b49f __GetIOMode_ 0000:b4d4 __SetIOMode_nogrow_ -11ab:0afc ___NFiles -11ab:0afe ___init_mode -11ab:0b26 ___io_mode +11aa:0afc ___NFiles +11aa:0afe ___init_mode +11aa:0b26 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b509* __set_commode_ -11ab:0b2a __commode +11aa:0b2a __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -11ab:0b2c __fmode +11aa:0b2c __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b515 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -479,9 +479,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:bb1c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:bb38* __get_doserrno_ptr_ -11ab:1760 __doserrno +11aa:1760 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -11ab:0b2e ___umaskval +11aa:0b2e ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:bb3f _dos_creat_ 0000:bb63* _dos_creatnew_ @@ -490,9 +490,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) 0000:bc6b+ __shrink_iomode_ 0000:bcac __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -11ab:1764 ___env_mask -11ab:1768 _environ -11ab:176c* __wenviron +11aa:1764 ___env_mask +11aa:1768 _environ +11aa:176c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bd53 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -513,7 +513,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c2a9 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c319 __EnterWVIDEO_ -11ab:0b4e+ ___WD_Present +11aa:0b4e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c33d __flushall_ 0000:c3d1 flushall_ @@ -535,22 +535,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:ca19 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -11ab:1770 ____Argv -11ab:1774 ____Argc +11aa:1770 ____Argv +11aa:1774 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -11ab:0b50 __amblksiz +11aa:0b50 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -11ab:0b82 __Start_XI -11ab:0bb8 __End_XI -11ab:0bb8 __Start_YI -11ab:0bd0 __End_YI +11aa:0b82 __Start_XI +11aa:0bb8 __End_XI +11aa:0bb8 __Start_YI +11aa:0bd0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -11ab:1776 ___historical_splitparms +11aa:1776 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:db8a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:df03* _heapenable_ -11ab:0b52 ___heap_enabled +11aa:0b52 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 0000:df14* sbrk_ 0000:df24 __brk_ @@ -563,22 +563,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 0000:e08b __setenvp_ 0000:e217 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -11ab:0b54 ___IsDBCS +11aa:0b54 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:e275* _ismbblead_ -11ab:177c ___MBCSIsTable +11aa:177c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:e2cf __mbinit_ -11ab:0b56 ___MBCodePage +11aa:0b56 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 0000:e3aa _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 0000:e3b8 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -11ab:0b58 __8087cw +11aa:0b58 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -11ab:0b5a ___Save8087 -11ab:0b5e ___Rest8087 +11aa:0b5a ___Save8087 +11aa:0b5e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:e3c7 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -592,8 +592,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:e593 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -11ab:0b62 ___EFG_printf -11ab:0b66* ___EFG_scanf +11aa:0b62 ___EFG_printf +11aa:0b66* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:e5db ulltoa_ 0000:e718* lltoa_ @@ -620,7 +620,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 0000:f1bf _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -11ab:0b80 ___FPE_int +11aa:0b80 ___FPE_int +--------------------+ @@ -636,6 +636,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00021000 (135168.) +Memory size: 00020ff0 (135152.) Entry point address: 0000:7ca8 Link time: 00:00.00 diff --git a/fonttest.map b/fonttest.map index 386b8c47..1b54e232 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:42 +Created on: 15/10/15 15:28:50 Executable Image: fonttest.exe creating a DOS executable @@ -588,4 +588,4 @@ gfx.lib Stack size: dac0 (56000.) Memory size: 0001d970 (121200.) Entry point address: 0000:7d82 -Link time: 00:00.10 +Link time: 00:00.00 diff --git a/maptest.exe b/maptest.exe index e432391e10d87a36f03a5e53885984d43d4e4213..cfd824cd77dcfb079f6939d013b4edf6a808edf2 100755 GIT binary patch delta 41355 zcmW)n`#;l<7sub5-3@cUGuPa2x!-afC0g#~E+qHZMmO)dn<3p)x{)p_6(zToW^zjk zm6j3dMng*)xoqFh<9mKMkMj?l+w;86)+gxlCn)H&NMb_r=A<5$Z^}XHbBl zP7N9gB8F;+iHM+2Zd+JVg<4Y7Jt3|S0PR2s5GK@3jX9L2r|-0(L&(4Yr$7dT`q%;T zNE8zPcnJ%If~^5>3=c1^b&4lJ3B%cP^R+v!c-&;#bzK1k;__AmdpHQ zdqhv+rDaO+fjpQVLS~X=@}+Vn{kx%>6bn6?CFGD)3N}!b2@!^}F{X{bvie0S;3EpA z^rt&nHL*U?$n{Yht74VCIBzI~@ws;xsJ0NE37CzU`JMZD9xZns%hbF?nNa4r7>Zq7 zht=O$KCVyT(X{ue-x0K@A?NEaSK=MiDKU&DaRnoMARBYUUCwg3u+UFu>`1fiJwZa? zSKC_xBSowdSuD_RyI6Q{(O}c*j%Oxs=X6YhEk!PjDIu!sJ8b;9i`|=^_ytP9_I&mp zkmZ%qYppy>7hq|xX(MyDtDXAi5w&2C-EY!gyPMNd{!*<3zG88#$~Dt=OoIE2TeLif zfv87RcGur&kjq&>w9DP^FgfuKDmCiN>3Sqv--7%lMU!hqPS%J?E57CL>e*?5QfqfH zzLY1w&{c-i=9O-TQY`6eQx0_TGq&JnoXsGj72H0YNQ%Px;!&{C96_sD53-Gh-54eF z9Nyp-Ha8pHHg9#`xX8?(+-Cny{RwLNHb6C0sp(KE(z><+Te-z6wC#|#uD}A_a%%bS zq;)U6Q&glrk%&l;NH+J+GL$$*8!{gZw3E?{LtKO^PG~%41w7IXRFu+;1H~_5P!)Ty-m+fGan|*Xjfd@VzvSt`dJ7`Kd~I=* z&$RiVE-yO@3ecL?hTsz8E*Sbt6|g8|!*S6mCiJdc<0* zPt956kIrPLKPHZTNj_>x@)491*6`h$?mTaAOH4G4J{Xhp9l=(#}X`8(p?z;KwKoAHtva~Ijh zi(%>D?3bI_JeRY9xDqtgJIC8VJC>A;)_2V%?A?YQjy||+whf&7d{S^Zp~OTfr{HMY z9}7u$F;_3}d1-+Vn)%Y-M)Wlq0#G-o>Q>pp%#R97&|^|EurP%;N{{ax5Qstw)}gxKV|MKu z5bXiC1m^sC8-Hn4*s1xA+4-*mWdzE5vu|D~IB-Zt!vYpb7R6msZSV5_93#+OCYJpQ zE$o|tU;ed1t@w>va-NvW`qwz))Q+SEzVIxJ%U4iQ8WI12cscrLVN=7YUHnX4Nc1qs z5__b?I7{nCg^0reSa7u*K(mfAW+^X)jF-(A3jf@b9{h7R$~PxU>R1%99pg!TR`BS< z^a*|sgltw1Bd1ySp9o(UcSV%WKs-=x^rJ8cQhU?<(pO2(7UkY}Y_#%G?jz`ZEM6{V zm#!N0;b#Wsfruw-E`-+)SaFgbgDaO>k9aALvg7O76B>~;tD^J#w|z9KzVUhO>}m06L(=%56P+R1zJe`GqZj#Ye8nX~zG2ld2{w_Q-xHOCTg zPj|xmCwBJnhEwf{yZaIH41byRKM}qRxxBv@S2ubRjV_~B?fPv*iL`7`b!jd?eFr^F zCk>)+7In+)~k0_%dWxPmWL!VCcLv@&h@r;q7MZ(y-x_9TFjV6qZGElQ? z`(s|IyJ0wE@$VFIBhDI4)=mbC*=P(D+%gf~UeZ3c8+v>K&Oq$c&J=g;g`Rp)7rbA* z!q4xMAt2N)u8>PZ*Rm->i~)tJBbrjI+lh4#1< zIzvQz2(MuGJoNufD?QRo}lZW6XCZEtSE*$GBhdS?uzOE;sBq6XE$U z3H%TlCR}w7D3GBgLk*q1y?alY2~<+h-=X0mtUl>$Dxx z%)WI@?kfT{HBO{hXo|W{&G6k_(tknNBsRqRj}cGU9u4cHO2;gjxU`Je;T56dnDAfS zbavAFC%2xAI38ae&=6|wxAnc>E_y6X!P%uF@3L#RR`w*blDVA`5gwa&1|c3AW7M_Q zGf%b7@H37`&u0Y`bpM1t&z_K@jqF7nEeMESJP#&W@etaU6Jf_a-sO6g+wr&G6z_1( zbyZ)P=WnH1vMWZkK+as`0&+oQ9yDIy_HiwLi?`d!c>mCwj%%N1ZULk{PnCLcpYi0X^MjSTv(vH~Uosp%yeY+om!@J;s1JX+9-oYPQy;{d?UE zA`r}@uVAW8SF1tC+ZTEd3Vf~GYscO3IOE8b4hbn48v{G3XU4*8$5t7EcBuO>G!tIi z*EehQAd@g7r>q?!jB%dX^eitlR3|`mBp|Op?Q!#2ucB0rWrnr8*}|(o!u&JzBw2_Uf}_2~(PzYgk&~XQ%Q;LZW3>omGru9pzP- z$@M{HYNyxp_K_yYSD7S(Q|MCcpn^Zj4eIU^;^_e#g!;0FHm&5bc%(wGyfkXGy-UN> z&7}2>BEVy|*wjX6SK# zsh8No57K3g9PT+!m@O7~uG>xfq|ZsybP+BQq>K1EIccJIw+uWt^su_~!m;enE!#6-NP^eMuZ4y!lds{AhI?b>YQ99n>3uwIsnHlY&W=xfPIifx>>8|!L z5{xQUzT3z@TZ)+-6z8#&YwNN1TvA$UfiUMr{S`!6ao0=Rs&=dsM7v{~IWro&BPBlV zAW4{rPfx&SrIQyk55%MAGVyUme-;m=#fWUBr{Oc>4{jjhbCgcR$7SKGO}Z6akGUBT z!&FGE={n${DdqUg!kx~EF==reXTa==B`H}~u12eLJZPs)7H)@`hwmd-iH)py(&5wt zKIynLQp%$vNkYKaH_C%Nmzv>WOk1?ayQglX#HDQ`Ij3f9Yr`vf1a0~fP0Xz|jFriZ zjQE7y@Jl0l>1~H%$&?Jzk!c+u)Hgtw8lUQ<1E!EMCwzQc3q2*D>>Pj~-M^XC2-`K3L0bKmh(uO=y{xNl=#w#LO> z)0x1aF)1*^wjo%ucp_UwNsqLVob}W_jur3K8i`lH7>km={D{0DYz-irftSqbhrd49 z{Y~_E98B-=tMUV-38|j(OEFT}9OW>xl2q|df-G@_e(rOLo8rU)gEJ2uMH5xO-zaRa z+dldPo7LZ#mSZn=D84X`{%PN^ApxG@EDs8AK zZZ22nrm$)HJmpZF_X@=mKCul{G}rVAODj%4$Ucy$Z2W8~CN*AqJ|{JcjtD(nZX-wW ziYM`$_K-=XtX`4UrG0;IUMY#sDNbyi#M@WfC>$ZBaWTLn)czj|G^;U_inkVzURpF= z{hg6mUs4y)hrEzPV+X{37kk}vU^n9HSbUthUs_z#(D}=cw>q=>D5}d~TkYh`_<_O|}e>P+LuVJWP$BrvA<=P5K98#nZJK*@+eB%gWL7(c5WY8_^M4imhHh>z1~Xe3&d zIG9NVj_omO6}7jFaAo{SO%3+Ecj`^e64qNOA$p%#V>B&C$1LJJ#4-tUUvt3_19+}K zX_so+UIVpqQhH_MG_1kT)Tgsi!yfpVyjkj}2Bmi77FHSq`rMY7YH>!RSNe-rgz2vH z2p|^)05{f*y(7$C?i2&_7McKJseck;>EY?S3xOL-3VBnW`@JXNKN+MJ7vb-RV*ns7Vh>o^iUD!V0{t`KTXI=~_UA)B?wme&U_PnhicL_pX2`eAyx|#w)4l8NYb$p;I{$7nsAieiJgjWu<-ifUw%e|S;4LkTKFQ~UKbdND!xlV0s% zjDZ*0f8xnB9OU5n3>=^&@>9b?3OT1?Ap;^8G|c5f1C*7}RSFKvG88lB{ZPL)IniE` z2AJe%gPfaUD($OG&%>a7j>lR&54!CyN$w*d)M70cjUqmosIaZ{mBmgazv$8cD>U9U z0IKf%=oHm8df}cf*a`C%581Dbh_as4xHXTIT^P&v>*IWqGao|oDqHL&tZbMa2j7U^ zy;$Opdp=d{FKiaa6?_6pnV+sz6NSu_!!#S^C9o9gI@O-vdgvN-lEm zGhe^E{e0TgkxD|K1?@e^2?BN;f%344$u`r4c7@|4hySH!6KHCB>*#WX0a|1#NYsH* zitXv8Dq({YS1xv#TnnCiEvr(X&7cLZ$)a&5om+67PlUibKhfX=;1+eGi7eG9DZWV3 zxPSG;{_-amJQ*B%BqEt2yual3SA1>)kX}e!sH`rcTqiD>8bMTOzyC;jL8bg>=}26K zv1TvapMlg8B9+CltjuC}c_j@q?8Y~S$~ZdBF_?$x4I!2`m7nX?ymsEz5$K`V1pwQT zkC$@udANrPFi?58Me-SaWPCCQ~b-ViD&QH-wmEDv2^h)oNbe$ z?`Wg1F&wEbxTh(IC3u(Q4w``WUafn@FicrRC=w2}>&cR$^{kfbf#Fzspg8T5*g0Fo_iWgbG;uGqRzkMZbx zpzv`GnpLaFZceyO{{8aYtb&W(J`9j5hbW*gXT^$_Pj<~u0^L(pwl?Fjbcyo=;zfCb zj{ERM{~mQ2TxGbp;A%c9&CqjUZegW7Pb~~Q73zDhKtAk}F8_rX?Ty%zmmEp)7u)vV z_y{V~a$oGw?f0x^1E1k$OUcBLUPby%x<@j0^U5~yxakFFmTZCv9$r59vHBy&#ig|2!#&Ogzp+?p=) zLNrjjuni()!{E!UDqiyY-_nZvl}o?0f?m^Y%CBq@*1#g|_cl>%$X=sizKP8%ltqj! zzF<_#1wRtIEo`?lDkcPx17*o)TDr(N;XW&O5ER0+T@X)2ZDdfxR&XCTT2W9nE=A5M z)qgp411H&4x?Vm(fZJfP){oupFoMTBZAzw_*W-KS1jPG~j3!`__#Lqm=CLPhtNCIX}R1XhatYBat_rOg716(4g<&pqAq z8L3Pq%)D3%dsefWAk9HN?*XyVX*Kt-XsM>9fTJcC>8#ee@7`V1Sqc5O^2hY2g^K*V zen3z#4h`XL(3YR?e#?FP(!Bm{Cq*a$pMgt62NMcL-#&GstiWA*I&3mBHd-z(ALA)7= zG*}E?qOSc~rxSR2G<5j85!!wE>UxT@N9TodR`dwtG~IHmNyNrMG%-ffvVQHAMyjMu zn*mKN2o>6meV*8RN~w=3-J+@e@bC$OlpwInu>-?XZ>ePXp2Sh{Vihk)0XzRX9PgCL z?)f84*l`eJRojdM#my7|!LJn|1!sMs<3g43BxhJkyJzFyVX^U!C7mWLF!Gi+fni{G zUG-0sw!{~pPpPqS)i~U%r0p?6^T7RzY-r#Q?;`P?*+mQhmtZ)V+z5C}r%3Mb+n8tH z-DE*NJjuT}BzZQt{tM(C1Er{l@-$#e6v^C?Rb8XO&Vwia1EtGylj*0It;|r1%Ay}@ zR**|dtJ05eijMoQ^?O<1w=3MYRozAV^0HuTPx0=`=u;!NMt&f>Z#PNlNQoyrkjF(T zw8^+Y5m69UUq-qD1ih(0q0}8VE)NZJdvVM0YJ{XG^x~2XO}_hM@4^Q9;;hFzvl-(7 z$_N@`(gb2HcS{=FmVNyUFC<~^L$}_wYt#$gMbE2->-~G_HZn%!s7P7ya=AOG&v-(N(V)5JLSZS~lO3c^yZ8?q%66b% z`JO#P&&Ilsh+lY;t8m9K(bEU-SF~<4Z1;(B69N`ht`n*HI$3x4k!@BGt5|wezh2~A zj4Q2H;ir41QjLP6o)wX|-8GKo&>9tD64*K;I;%KqG%>WNPJLFlGUC{yAq|X|lrlyq}Yr$q5pdzvYXf?CytO(vhG)04>s;bHCFw@aKlw>;1l8 z2FF|94G!K`kX2W47sW&;p0f9go1tSQeyQTyq6Bg`A< zPYk=4Bn_}qV8&> zd{2(Ff*ZCRYR(V_-?fbthW+K0VRiq?sV^w}v21(s-+kh90hKuEJTKV7%axs$aUi-8 z*;hXqV#4v0%EoAam(G_f){pLmVb7M^UOSa_&6BE3+kokDZC=Q73Q;!ASySN27=Zx= zAxsoQgg%di01X3P(P|n{$j*O1X)kwa@D_SAOPr`>?@#F?13Z_|PY;|Knd^Fw+}^a9 z9fA5Cgb5w%u`G6{-;eN<_pl5sFnm|ejGE@VU$Z7tK86Rzcr>JqhyCQfdT~+^l%}Iw zF7MBMmLk5UJy@|Q&8+-&G#|X;dH3or&t&=2HIDCynSPht%Do^ZUYjwiNxcmwGP$U7 zA?roYf}Qj9IxsYVm9V@eI}GXBU#@#`oUpR#jXXu8e`@pNDT`(IS28W^zXZA7@b@;4 zU0K(Zse9|ZjW%MpW@@%VX0|zmk{P03)$1+uAYSX<`&$3;tL8)9gdq2{E;SypDZj9> zfc8S@LE=6V2YdrGli$2c?frOex#L}$Eyks82nzgIY4#QX3JZ2U>ee!X+j-9PoGZUyqC zOI~`E1jTTs1K`<1MxgJmrY@(AOQ~I}wXVMzbwiy>*!V3$?UOK2$$l@lJ3qM3sKvmr z%g9wUWhB}#R$R(^2$l z+h5Z8jGkh9Fz=UYPN;m-i5{ggI}4u1xD>N2}Uj_bzLu_U=y|ULYfSZ0SA0-BigB6s?8bi7Na_@lx+qbFe zz>)tBZCFFYSUvYi=Xm7z)`LK$L);GKZT(@W!p&1_8MN2Tp0F@l=W_VfPuptJ6+TJL zhisW0GhdMGFDO5h(yHtFRo21mtb!(qNWq6-Zw%I`SeE-#(`MgRhAXs&O%}BDNEn>! zkm*u6jv#+7B1~pZ-DehV({+s2m3FGK5rKhz?=1NTXM5)g!d8Ft?0T|IKKgSVOx!Jg>puE!e6NrSW!-bLpqx~)#Jl5kL=Wo4T|j-sIC(*< z68#n(+*>*y#fM`3A*Q}wD6^)qMD1uhq;RZ~oxGPCNUBcO$aL*AFCTS@aJwC*ivr_* zx$qKmCGt*4IZ=sWDV{~HHp=t=is+p1ocSmJw9U2mRjf%7X&;QjbYyw_@|_K9(hlwa zLJeQ$zrOObbnlqAOVY@LjHlwkL1r$ubX+xbuRHcb6H7lAs5noE|JI<6Ml`C2RHNfs z2;a31b2ubdjqljVV)z{mUzd>uWmV8eZn0?aIHmw+xF|ZnTP}}WIibp*HE0rqx=i(y zKTon&#WEsEj>70=;Tuw76EOiJc6%wNWj97x$`WQn&G@Cv8Gk}rt@`e2yG*2sKW^vM zcevq~W7EDuZ}Rn3;mw5_p)&m0nRa~}XhiU|9DFHiyn9IEG3wKRx|SLdftzvv+J}qAL{0=d$Cws$K0!a>&6Rxbu&Kp z6~L{>b!%GaGM#UdqdVWWIxoBOrYyw~N<5)(W@d`LYwwhEAh!~|E;&g{I3J} zWm!iHDM)GYnFW|5QL$MyrS8txOR8!RvydM<+)_WmlnV5__92G?Yh6_a zsyIpGV&WR?O|#@Hp`jfffpt+_wp)?#+`bK`lX7B8>r2XYny5Uja9Ou?U?zI&(zz^$ zI5~@9v;8|8=PExc5RLdzdsDag(;6Z$>2T=Y#yVsuY%0L`HBdZo4Ro-EAT0-B+KP#ewK4f-hBqlr_ug?;q4i_u4~_5 ziQ!V)3!$+)dgiMk^L!$7{yB80=*J>JaV0EX%JPPNJC|ku?JUVwc!q4LmW9qv^YDMs7f@dt3ysrJzG zag`u+p=*c3Q+#Sd%0^-40m=bHQt_t~U3T_n&W6ERF7|C}BbToaZSa{v4mi8JmM9f9+d?e5P*uM0u}Z1NK_m~=?aHz}i_7HTasn+` zjmS=i7$cFfD*L>Fa%-2b={ER`Zi_-O5No2*jl5d8eF@)3#%1&rE~ym7Tt^;^d5oNm zF@lz{3S#{=?s}GSTMoa|!x>6kzPuHxBa|RsnL+?Or>9Uds*gB@nO6p$ zNs0j(e1$uR$Fl!*A-hc^^hy~qPp>Iz1C7Q5X#h0+0vgzisZVSLx*sU~21n2XWJrl} z4d=6pB4nXk@+}Iqdz+0Qe-+wwQ)X&Vs+^E4_MBeErrSk-lwG;%otjZ~)o8uaThDMx zQk9-w_TB38Vf6;R!RMQF9GG|rVCBW%S+7d;7L#xx`onyVRgMta1D;r>OSO1@X2w6u zsfc`99&tyuYi+E8@>B%8ZxadoONVqt=RU1uiiB?~_?Hj6*(Vf-bPdJRb2~G3hz%xY zYoEU-$^VEtiaRmTHFWNMn$E>OYHm2DFmf>dv{VHl#})zX5i1{v585-|CkXxrDJz-x zY#64U4{WzAQ!h<#=9gRqQXQ>pW#MLbe&)(Z;EJf64K-X0A9g088*^w(c7C#r~D#Z@imd(P;CqzeF z@YsBt))lJjKu(84IQqNRbc;@45+Ur=n*Iw!=I=hjZ8V0o5jGMb4d8unB=*bjQIOo9>#77uM{-{_YJ88!zKsXM-ItN&xmU1WG!hx zh+_A}zW|)i4qDU9Oe}k~Mw8_ky>7;_IuA0+YRt;OYc7LJxc=332bXYqe9U zDP>JbynW)3QIexM)3w-rT3L;Lb$L!muPn$Riq=#Lul~mdcMNN&*JfFVuTiH1=4Ang z)?#H+kN779fYM9;yygyx20aH|Bx|wlmuYV#Q+MozC3ahHBTA)apqx6F$ym%zH&|Q5 z4NwVFaSN`O+Aw*ma$3|xJ@E;eknvCuW+%;}@#2ik#PX#|Pb>X~0l>N5TGQ=qjJyDb zQ9zXiN);O%qA@`X5%(MouuThIKLzXB={%P?wIER$xo|smtllg(KPFbhe{P7?r3ecl(KltzcCbZ2Tw?@G;ELdzuN0jEC8nj+~G zeymCTQwjsN11ogRH(dzJ%k@2Xy!6T=SGKpCrf;_e!)>qPZ*_huIBK+^w#eJj4xnw*uLAxm4I|Q@z;=VI5wKHBaH3v zxgALJd0<|K^SgTI>w}-lU?-u}4W{ZDS2Z)0swH79ck4Na`&IInL3qqnl@ZH zle}ZD-i$t^u@@L;ha|VdGDB_6^&~GkU%x})?4P+H(E8vA0pMqb=6dJzLhZDC(YtD% zJ&$JG4v|flK7Ob(UAp4x+Fe0d?b_k&QD`$Imf9t;rA7MQY%lhsH3_5S#lby-L*j09 zKb6NnFX@BnPVR(2j^z!9@4FdgVXkM_i zY34v#IpJlqxSF<-2PxU_Q+Bu@Z47*x-~JJ+S85U9x~Ocm=z1IjTig%A?H6shJ?O3& z@uMIZ!fi1xV0vo7G=|MEQZuD;*Om%x{dZuD-xe)JcuA+Gm8PychLd)b6{AAX#HGr^ zrEtxr`xFS^ps(AxRz4vGu5h1wl)kRpEe@eJT{Dwhx@NuQn3jcejKP`uhm-ZQ6S)`X z-@gXxX10NR47bve^fwFRnE9YdY(tDo1+%fp>u4=ekh^D|0ytLWw1<7>k%Hu$R{RT& zcI@I6>~ot~iWBU1_0=MGxi&*UE)_BlvZ0@8Nt%X{>&Aw4LOtq=u2!gQLqY zcFf^!MV#B?7k6H0`0vjx5(rmZ87%lHl$&GX;>^r???POaJbi6B8o#e{-^agAdLJdC zXv}8$t%T3+625v6eY!i0+F)?`GrIcv!rfxsh}uEO%VmxCb8D~+ZP@EYpHAEtY?(#M z{#u(rpuHArCdLn5Cri!kT&nGD&kuj#bp3;A=wAG1J#mv;$kz?>ufbEbxKJKlt~OX# zQgXKkes6>1h8E3QKDAzMVV)qo`MyYIeUA^`xV||lu5yWtN#9b{0WBcE{M-7@{e9X}BVs7eQs!sUR1|Gt zE|5^`V%vij9tk@}3a%OZ#m79#G`f-&Uu=wXa!O0DJq7`{=i5`VHjD5<$CRy=fX0U+ z9=6st-BeobNb}h3z5x2@^2{QeO!JFQi4Da zFEiM^HTx9qri~S1B%@}&NyekbMQsm_snR}Tc2Z?Se13f5LtfK(PVz96PP5LnKjevQ zZ`sPo$ICmqX{!FwP?r7DL{7`NjG6iQ{vK}r09gKBq{p0TUg|guYkIW&*nVk1ZDR?R zrAN4>+Mu{Yj2xJ8EDy;dh!i=_Y;*6g^?33T*Mfo&#~-i!oI;zz0b5CPt76gpg-G3O zZgn?2%rlM1{iPZv#Sc`1Y5gQUaHdMXSsjD$EZIxWwCD1!apoL*Qj6JMvkyh57i-40iGLnV zl>r_l!F$Z`#m35?Kds6`8x<9C-bg28C)9iGZlN`l6Hi!MD^8~LYGG_ z51cbR6&74>`NsQCeq)%4+|V1f6utLDCk|HJwN6g^SahXT0~N6@*B(!4`^abqQ(%MJ zlsFs_O2GJ&cAVjL-tj_l8ZRhXeZhBVAL?uWo%o%8KlBR7td|Q%g}X(svfp}oYuLxa zs(#ygcTn1&>x$oh^5Pc-^?bHzL-+NI#*KZm&`ll2WskhqeGjbPz41>|R`vMJU`xL@ zu)evD`Mo>jzr}|Ibd}B>V-Lhmf*Sr|Lrk=+$AD!UZZ!)?~gP3T@l%Y$n&g7sAc_yo3vA!@b33>?JJot9aXy?oK7f61TMXZHH?e$ zE6a9|(^bgTBFA-@DY@3is~}0i2NXiL#p7*u(K>>S)93D$CZM{V`BTh_;=4w4m-~YUnBm{xUE6pJG0JP{m2e?NUB`KL&h1;<7{l>#w!+o#%M#8{ z49q{ie@21CT~>jdJ{?nJ(HIx`;h=fkp(y@Ae9PJ2N0!OZ^I-XYV#Q<`Vzp3SzAF39 z`25-lcvP25_EGIyznYrL@>tM+vbaboirXz?H<2b-vlgY)d~Bu!Sh!Z|)mtcsaXg+~ zBvG@f$Ks&mU86k+K~>CXH$tP*>4{*N_V8%&A0q;^a!mV6>1G2(V^_4AOYAgc#U36@ddN^t|xa=*BX;3C7F9m)6itWAb1Ou`yFmEs(w3)6N3Vvj# zyLliAT_pV?Nr&aWLRbq~vf3BODYzkJFT0Lnk2A^WZHxhEMnfIB6!K=lK>bQ(^)s8(ePZt|!BsKxy?lgVr5 zfJ{x@^*Sbu(OByBO}BCm!GeiGti>n0p93~5B<=ao9!67)S6Tur;>tQnv9{>W(MxfT zWkA3FI-}vN#I}4MHlYMceJbq;>-zGsiqUetL!YYEO||?_W9B${LpHi1x*okk4}??? zmUb~dO5ML)uwaYd8I9?X+|H}~Xov)1&k`7OBJULsx#=0qoPk@USNzyqzcih;a!DgU zzbUWk$_X4bps>WLa(c?o5T@LCHsIpi&!3Iy5dm`>m!=|lmyBS5gB32G;Gz8^<(WRp zasf$i-`DB|!~V~Q3_BWi+`8Qh%?74$}9uh-3ke<4UcQV83!Ipjc?d<)C6Bf zEc_Cib;sps#*xg1^gg_vyFNIJ4>(eg(Pf0+nRZ}W%iPY^R$y#lW@cexFb&}Sf_8Iu z<5jX!4AY3tqH*yf-m=;9fM0qt7Dq`ZyO)*^z3^H%0f=EXI;MHu3{AO$-#rr4FN_JA z+A649t`>_Bc|0juSGgzci|kesg8p{&XAJ}uZk>Qg&)Gp2FM>LP0;b`B!)T_MvQqoE zRI!(wA069d$n=TEScrfu!Vn1OU80Xph_HhR`)>LP4cRTbhBeBk1(p5J^MEp6u`=P} zW)uF;g9`GLu(N{Yv)ehlkmj71O+yqQq?&}Te{L8s@7`V9(_&_|sCzw5Zn0E1-cRtx zQ6w|8QthryDj$5-2S9#ph~e>0pPx(R9f#W5Y?8N8kHeh&?`#f3xGGLWqiH3;n1vn2 zOXuOXr^3)e$22lB@0(Bnb()7$i;gyu|9cJC>}(_u$jBRa#9X?5)2xdS9BRoSaFX78 zJp-|U*DXWPF4lDz}>gtpbA%Eec+5cOn)PxcX;V~Fir zi$U~-_Kh}`6XH1_qFuPPW5mF8IKiOmI`MGh6CG!EG^?Y{wjHtw`SUQ8vZeja^!v(e_;2L1J{;me%}JVk8-078O9*`a%jE|;3f zZv(}M!dg>Ai%zecStmk)D8`%alsNW~ZxGtD8(02arDrIezWQ-h$SL>;i1ZYU$}zrt zR1@!hZZCciq5gK|i^$ud`eF8U|CBC2jEkA(*_BLIPzA0jm{$ArzN9io@K8-aGuuOT z>0JMy-!F0x3l2=$w)+Ug~$Ph z4`a-Hu)ZNHubi3xn5{UcE1ZoIR^80mHQ3OkC`8yID9Q9WYioq{ldLGI$aYGYXd7nn=rne*3D|>bVdGLu*mtCEXLw=cr6a-h;OaNM zP-FC85{!E@JiqtX_Q#@ODVCV=X*F&o*J^gY7(kx-tYJ=rUD-!~*&v`6RkG{%_6sXz zK*>E4Rk6hrGF_Tng5V3xy?^TK4qAa=%M51Q-^xFO@qJQ%&_7U$*oK(bc_Mt&$qa#2 z#z0v`m{uTL9(pS={Ee6*2*j@Ub~4YS=3F(a6PUQnXmPyjL(DKLc?Hq0Fr=Tw3|Gsy z#t0@Z^&CuAJx22B5bjDP7#FSfv%a7PSIDqECg?kKxi`6D4AGR_L^pYNzm<^=VX>7a zamfE5#n0l!A2Q%*iO0-QDx##Jb)Uv$(Jk-V!M*um0BoIt4=y2;_T`fbVnI&0< zz4R*w+MTW&dn>|H|`-^Oo(j%{_^Z74aNQgY68!cDPOG5(NA z^@pNJJjuQetVo@Ka3FmKqxA1>mrNin<2I7_Ay#Jw+1&rscTX77+>*##V^SUWzhPP^ zFeXD+Khby!gp`YFSXv+Ib-|{*)pLlX+8c@blYEoAgU*j7cVL;ie;u3~5Vd3VB6U0V zkR7v|o>J?!{mV`VB+;up?oT)BBtp9FTexF$3>swSb3-kK{RlQ14VV`)|BW>Kts51Z z%|`-`q;4`w^)9JJM_&xC?J3al=EgY6Nhv^`WgHhhMf$IwUNT2=?V!A2xyK4Ahu&^T zmZ{q3I^T`juy;I=m0u@U$?Ok+ncozyW$$p|_G4zxg*43G^X`l1NYpZ7aL(HV+`CZF z>G;VxcAj(3UBsnGnEeI)jA2)UB8;#C3(ptV@>VO26ewB*#%wQ2WqEDnX(2BWH)qhu zGH61REKgst>W&<(RR$v9@RguR7{!$LD3{@a>0auqFld0JaT)QtdyywR{83SE9#)l? zpd8S<_l3yJ!s7Zq*`Vv&i|?n}t$;4tbcW&ZdXQpc>8*S2dDG&qZE><$h^4yqkU+D8 zP1o3|({w`?s;|_lxmanDFn74(7z=Xui&ZC8c^DOxaDK%q_VU~~bnoNy zHD|(YUhrO-Gcd2}{xlKu_#8Z>pf%)E&65hi3a3XzOr`3o8x?koiDRHPD2M;F@1&yF zwQwSJbGy=g71cU{?9+8(nTmCx*$=&6gKKjVf>^By`OV1P-0t9NTJWUbDPdCk&SAJQ z^+V9Miyr0Z^6;f8tw|7`q8|lAg{Mleto}LRM=An~h3d#w_1-K2n4@a(F#ouliPx-4b2GuIU)A9{cFnrZ*ZEY}GAYuf(d`JXvd5Ke(@up%n^` z&QF#2*u0kSH)lC>`<~U%rA=-}hr9Q+o07$X&oK3gkW?(Q6*Q8YsMAa(h;-4u$P||* zifTp*=VOHUzKOBR?|?mb1HIJ`sWfBg!8XbvZl^3)x^ZXavggY;?DNkcpfJCTxmzMt zZsVsVY#11*XU^)X$~$Z6VEQNDkUr$EBQiY+K7n9q;o2#w|l(YWBYh9}etxSzB?n&hev4kHz>@Yq2NA5R=1qR~G z-vg`oi9;f5dsnNRIS+Hrn#8-xxnSg(rfKoUMbv~Ln<-OPZhx&6c)a9;ddB|?}i#y=~%o;7+jgH-e? zMe37`nhm~Y&%5bb0pAN!0gJ59i#W?%gR%Mx6ek|c_&9p#hCO{D z@mUWNaD)BQj#b#9)~i>thk(CV zl*oE{XWLXyuBbETp}Jk1))2?sm87}veg5JF`9kt3cjME{L4|3ng6upkWuJTHK$Dk#N zlq}I6(6dtIP(+v+q2=zr?Zb|iNd`B{+A5hw8b?cVyCuCEb-{6XRdnR3i(C`J%=`UN~@lW>g2eR|Oj%gnZMu;f0zV@}|< zZl^|aYk=BTG+i#~Ut_hz&$pw$_|M;VLO!z z(E4d3!B0Zv%GMg6D19>b>QIHpFxuY7FL2|g;^KO{PqqaZpnc*N_BmU=xPT%A~D7fb*{(a26U8F&7i zDo`aVHZ)==;T>iKT38#dkd=H)Gu#~}{42KT19X;Q+Q&bqI8gc=IBGaJ&?D;>*98JQ0!vHhEkE6zP#3&HDX`JB^(1qeqGMf!oI-XCj7%Fx|Dnx@ZI(2Wa#D z$Iy9(v;DSVIEgHYkr1)>-g_0bM-{azc4=C*s|ns3zmOUcRF@7N#=k9EEu|$(>ac*SJsDEsY_q!RkajFad;%ZmY^Af+^LfD$q)0}jz&@`Rfrm5!dsmPV zM#8JWF|+p7lUyC8W0`hQjhcKC1uk)=Kp@U^Wsrp9Bh!VgYj~XkN<$i;HukrcmGb95 z1{Zn#=aFCn)$%+f9kW>GB-x=)nC{0X*r*MShLxt&D+_oXz@6ZUmM`KR

=*R=(H1 z_L&enW-Xpat?C4WN|a9zyXz@!HYJEH%XjKHeCCdx256_sm1Pa+Pn&OU=I%?XRL_@9s;0@ExB!67kF~bsd zP0{~&;8ySSqSA$BYgL?W&#jPUcrXP&ZdEDLZTg*zWvy8gr$HvXdRdWrB3#c%!qxW^ z%RxDQCr|cBi2P`2H(O4=5a?rvI{GUKhwE2YurP9te{UDzoXq$!W#b8ye%NWztlj5G zIm4SVM~!a^^LL0jGL1Zu^`J0`k4QH-x}4SRwjvuis^Joje?0=4-x{UO=TknRK+yDL z<~?vPNbJa!NVh$|0zg}>&7~>@ukMz&ayae5v`N7y`jU1=LwOS1Ue+qO>e_rKr2pX2xkNq;X|ubaVN)SY&c}DskbZsynlEQ;Xt88g9|{|6aC4J z9D7w@UQV=^JamaFVgEh`F~R<3+Hv_fG3_d(kU8i(+dRuCHEjYEab2Q;Xs) z#v38p^Qi}JoV9g)2WAto3P4!@!v6SOq?s||6Y_j3PDfmOhYcTm3rBQ?pyg^R&SK-E)TfS`>cH2eA+u+v^3D=f;TA4U7p(k zb|i~+d7!{DD=%(Qf_r>Bj#Y%;?M3i%P*Gz$CdvEc$lGJ6!b%|%{W9jaQ4d;mLG>L%DVgtWYQtDd69?o`ca&{-Za*l zSiZ;n!YsPI?y!Xmz1#ZoBh#(1YS**5I%p>saql5#Z*iZLeMYco$KvI|)hDx(9-N!x z4H*;GOw{#dR*y%$L%+1&_Xz7gp=LHXD5oAEF0|Sn@U4U3~1Ou4=bs)f2+1jcI zf-+_2l=@35Z|LnY-2s4iNB>(N5dkWH%w1F@ERJ+k?^pLMwUB7#$#$9*od0pli5{G+ zQN%4LnR-pUOMdGBfh3*$H>9T2O#Uc3m&@3WLYA#uJ1&ed=H5764WMAIF(P{W%x_(!!b z_lhv4%o`ua=}k~1!_AIvdcqSVmAids;51%EP_#H$+Yavs@5XMVw`aiTL_mQVKQT%$ z_Gq@3@*=aCqvYP+xxg)sUuxkdd;S&7tf>$vq4@_8Ae+>q(PSu8`eH*3n&f%sl5`6S zdA{g=#z)|r?5JT~CV&3_xRv9ydNqrTLYP(Gii!dTR4JN0kc;S{dig&V#hhb$&!*-7 zm-|ijjo20_;X2yN zsVRa(Xa>C7KC`+)vLva~H=wzP;C>g9|zDsUL_q*YG}0;%JZI2toAUD{c)&5O~X_$y$I}SAK4WOP72!^%SY>>lj zJ#RzKEg|=l-VuKGoC!;Y+D?q2REkc~oju?!1T+ZOmls}p_!8pMv)B@qHhamuF7_*n z+Dqc;dNVw}8eXuD;&Y04EEogAYu!V-5d0=^kh%&QvVzEe( zZfK{{b1ys2+*5)2U;Z#@2b~Oj%NmV+mBlFA&`sxuGi0=KdX&M_Ra~S6`#~SU8dK#f z>2#ehPoVxm5;E>f?|VOrPxv3+xt;&mylkStAb|AAb}@_xTpY<+RlNY()+1vxlq{G6 zQ1Z3)iiSJMupY_jvxnSQ0*~kYa!N5#iUfbu!mn+?w?D}S3{4{@kyKzSi|KaWaPJse zRsCu8>zsO!_oh{t9p{+6Vv&OR1g#U@+d{D9qI{5{ET7o{PQNprrM%_x9MwYJ`PV3c zJiT_r5NY39#ACIQ$vqnhgG`bjPEAQ5wIl@FmW?VXNPm5FJv3qvt;K`t5ERKk1*x-z zu8Q!jEHjTN_|*bes;@FWD;D=)%C}v9$}*zq)EIH~%4nhqt>~(i{lCu6OR^ zxbV{)RtSURb<6W1J^|9W$;U;kL%3riCQx4og0xY?)Gh(e3=)l+>Ht0RkEH|*qO7!k zxe1_VP)^z%btMPKPVch<6le_5OLDLY->6_Ic~x@NxIXYhppAF2lLunb0^0IXP2QA~ z@Cm+b)cv>g$F!jHf_hB$^NtTr?a-AYs#oy&exMT%=u$iD0%aQll17376tVUb8TO%Y zgg~YJR|9nq+9BP5o(8G%kWgR;XsbV>-*I-&_Y#r#7NoWV^|Q{oeU>(7XxKcf=~s^G}TUWJyr2q#4DrUy&o)dn3}^u8|*x) z_}?!o78aMIX}l8WRAE=wGddzRFn}ty0oX;Rsmb;<(mq7Z#uPYIuJv4(ERZ8TUbjsE zD#4`iN-J{4Df^eYAH?H(c+S<7^)^`6sAatr2XZ44l}vQ;?-Fqmnl@%yqX`5-VLPYw zysSV7hdEoM|1m~LwdznO{+G-`T(SBy$cflN_Obw5p?^H`d;d`S7+aVv0iLosGdYz( z?j8E)n4x}>)gNj+`(qDTurN8p^VizTV#!=gF60LG(wH0?dXAMDuZ!rA3wBANRi98<8 zt%&;WmE}%tt`8R{NQfYNOj2|i8hZ`c9E`?-t)d5cW8NjY@Jy$3PLzM)#qn;wLOILK zA*Yvig{Kc2E;$*@+!bqPR1Xh`T)VKjP%)kVZTMt?%(oQZ_=1Ej17l?-`A} zZ7laZQt9myGC?4Xlo8vHGUdFn?`3yFzP<=Ly>r^${fydW@G8rS<2KcB?D}osao7tp z9Vr950Ic+JQ$l6HaV^_)pE>81#<#I>KHI;ueG4(ihL()%uzO#qsZjUmV8LCO!r{%+ zraM!KXH`Jo?L?OIJ3nxyXo+2SGSzXT#%a%|ioiA@sHt1zSz9~kNPxchf2mA7o7v@s zI>q6uH$6-gx~eKu!#@pPKb-_H^4T5}!|ZW6GvS`#g&A024SG-4=?0E4{EAU9#iMztNl-o8Dr#7x=ox6;+om&U-%^0>$Voet817Aw6 zN1TX$)&)Y!2ifKk)JSdCQ;IZ8WbD9xnH>Z_<=}S+7By>LyHlk6Dgx^V<&LPY;10CX zyv}^emxH0Q%%xiGNq8v~9yb$fMh{e$01P8A%S+X2~Gd-{>dASZ}y;1Rq z73CkZ!(aL{vbrK)7KTN{%A&cehCZi}{92)JvtH$>^8kI;@@wmfS0pD?9*cxuH@7N{ z!alD}p(4&lew0;a<*8&0zdMZ&QeqsES zQEp@T*BW`&M+Kc~ksU`Ydfb=h_?I$Pd(wngjL5A}v-28Lq)yfvey^On%GFgz;vL%F z&9(lz9eLsA5%*?V+)VARd%yNY)NX#BH9ABE2W;Klub+@V!IN>Hw!Y;c@YpO-{kAw` za6M?uDZYw39END*aKsVTa;=Bl2;{{uWcm<$(_Y-e%LD%(?+*GLAAPs-{JsDz;$Z%& z%$+e1#QMkz6O3hgC2~1-Qwf@#{w;e_9ARmjXfM1~!lc@ep4GY~_lP*mtY0=oi6afj z_12;$%%y}^N88s^5v>mG=MQuHGxp+87w{CQ;|VTfk7&*j4fTX*%ekZ&_Rox_LvfOD zK|#5YVG=Sxgd;u->1FpdZ7~Zu?qkwIZeAW;1WxXIrsHVtaC_tRpw#~{PhT%gI)3xI z)RAo$@CDe(iT7tMU`&ywptaKhs&L@PnbVYr%U!NYeD8#tUAXJq5m&seB+1k)xY=M9 zJf{hc&VVnL9SYRN>wrAMcfMlYn1AOkd!il{<@P?t7lI0$#CXQK2%{q_8r(4|B**~R zT2I_4G*KuR!YF;{H|)0F9LB`EKZ=%ei_ob&s1 z^4L^U`#=wRrP`Ag7vazfl-onNe3&(##SK04>pI33G(c9Fy*yiT{GXw4*CVu@oSt>+ zXId|=n9j8w;W=oJDX(&5vF39@jd1~0PixKPtYXNCrRN$loS{Q7@fKE;L} zB#(ZQzp%5ZJFWgj*>4__w0MfHW-lg-DB-c}br|L~K*pc?lqiN=3H5=J@! zk^95^pi?#L!FacD1p9P5F&Sab&BvZ=b1@U@_FDm$M&W!a>_h#8^bRyne(s@smzR4a;G%f*|&uX%5GhsBT08I?B+2! zh1^-!Pk9y)N{1Z=_8G46ABQiSu`&-ma&FNO>Z45^{u}l-oSsR4zd6c1)~Rx%i!iY| z6tnO$IV37_nrk9w*kOufH}Zb9v>ASwjb*Xc4z(GgK-K5(L5dL=$G4CpxBZd#LTj)> ziI#m!H`vEa;yWBWGK!_$^CzT*j=GQ{3?{&BGN%0rA=#q2^C1R~DC%DvUS#zZ9LMQM za;Ezr=|OvN)8Rx9Ml!V*BeXM#bET!L_H*ocKuiyU%=(g!VDS`yixFp}9yfA~iL@() z(13(2@A5ANQ{d{a3t#mwl82djuCui#acJAh1=FF#l7SHDy6JCn>Cp#1s6WavDI6cI zwIs-Qf4`T`;t}lf7su8py}lJsCWd+#9VmAh#qeDabq%s*EJ6C?E|#G=0#=g?GzWjS zYxgC`atYI>aAsX&3eLumb1}3aNIdc+5F6I~(l=y*OX%U`zUdg*f(s^yN`jf4)L{bf zEhGMY&Iul~ByAkh%yAl-DCGDGDNv6>b)t^eWu5s{Hs>EDr9FrKn|L0izYR%x@cjti zt?zpO>*i~kv`R#$c3M1f$~NxVM$dL`noe9$FfLV{7;aobB{pFtzyULe*-9`l7Mjb6 z{Dmm-?{c0Xvu)b~^_W~=67}E%Gw(;)^(=J2u{|_Z08Pe#q6xWH5yGIGv+O6~X1IBF z?;rb2zQA}|pn(s>?9oFKOAL;8!Ff=fs2xWIFg2t{3*)q%E|m4@+`KZ&zEh#!KA_$q zdCe1NR%lJnnL;OxD{m!W0n|Ao=k{R$-=kZmeNxG^FB0Q_zwhNtyCnbTpIMwZ)piiZ z-Su$4=QFdsOi^hblZY)OdF)}P2%^(Xmr`Fu$jAV1KL4Nx!mSzR6Y9b1>Xza}V7U`5 z**!J0K`%X>CV;LUsjEBW3NoT*u>}?;NXLXk1rTpu-8I(C=yn76`qf^(s^bA1Nm=(w zp(b7t{S_IDK63Uky50@R<0`qqrcl)#rjRE7veFSnI}2zj6gR5g5EvM>cV{zfpE>xx zNJdO=Gmkb^+YXlLS*Si7&9Sa59vsX=#fRRy5Mn+IvRJSqp(G+~oAXt4Pnni&>Obtg zy!JDzO*X=v-ye89-HVb{?Cf;dy5q9N7<&Rp9M+g(ZD-QvKYyngI+Zm-p{a2EIFfsw z2g-K`jM?KB5c!FNR*4MOAmBH}VBZ4<91HQkV#Ddu<3zSW0(_x!_e9Fl$O4l$1OqIb6tK%X}lY+d|Smg8qg|5REzFV0g5 zCk-K+E1Qh#b&5_Y9<_e=FB*Wh8MyK)w(be3zq7wC$P|88`w&yO4^=8}eE`!rWKg~; zSb!Ax(w`IzFgnZ6ue{_8`bDMv14pv)lqt@j?u#eGGt-J@kypIGtq<^`<9n9Ob77H< zh|7DxL@V@Ra><~Ip!Gz$ny@Ri@E9FY*1{`zt4Y`iCggdZ$x1EyV|KE@P@d!>5w#G* zM}GRl=k3qE?R^VzO~*vH{c^)yzll9&HoPba<`r~Qp8I2$C2QW7Bg%K`%HLmaX??90 z?9vpj&gr*iS`5^a%=sY<%Y;LQ%OD)1SeihvP)f6M{J}wTbwRjQm~-Z!{_yR$4k~1R z8(s!D)OLz`QrXU#Dz{)RZE-$K8I^54%5Ejz&v$#nJTautlf6O7o)UV8ez^b>z}nZm zx_b$1sU-ZPO9Ap-Ols%>GDVugEAyqD!#T-4${M(L!3q@6#98Den>B*boPn@oLjZn) zKh-(;kA&J4UEa(-`y)yZIT7+oK+33PiWpgx#?@S4>9V_;K;h9|-eG~V4|SQW-GjQ{ zrM1TQ*n^>Q2|)-2nLyKF*aTFJ=AU(tk`91fuF7CQ?ch7da%pPOMaoMvk zdkjTC?%=kahqNa1b1mBjy7j>_ z|2hPj>%!1^J%PBw2O}jKB0nj8M6R4tVzW@2_5H{+#PCEup{{ zXyYA?kqb)I*7##p0yf%NBwv>0eypcwbFCJZ6hJ9~nTPrLa zwxR7bO*<-5p@KfmA267Je^$q%@JKgj`du!5bI8xTHT+30NU2G@f&?K`Hu~S)Dd;WL zPl-O@aSj-*Mfpq1p+KOs>u;z+4ec6eI!{2 z%)*jP6cO=Q0?})GnpPM(ZadId)*}MZLzvTh2xUE6%Gmi@B}xw%O<`ns!mXTm(7N%QZg@D-c8*#Z8iQEP zvT|qea|RbroJHE&c&?N4hQH|eWIx1(40h#puUlf2MhDv&PrBW&{zFcUX*<{*mJg?p zb9`-BA{OLb;g?VzYyt@$yiY~uE&Kvi>R#U`1Dx*6mk*`rn&-TdX?c=tNG6v09+9zv z$FEaYQ#msi3ji+apC5;&^Lh^&H28;tBLvibj2X(dte%0C4ZRWP4bXK|3m$SSXlzQ< zRRP=VWmQ+}-M;mP=NgpWuhr+t1CBAmd2ij&aoNFv)6a-;x>T>}NYJ?YlX3Z<(mT%p z1rD>*!TsF&%&M+yf2Y&+WcsJ6AMGtOGI(B+&ncfNY?}+97Zc#C>S}_ELAaibs{t`q*#tFpQagtsoM#Teo})S|nTyq0ct20;wQ3)@%hE5Fet$X_WGgdCv)o&$ z>1-jI+&}Ve|Ksh>d(QPZmkG?rNvi6!70%s4WkWTN*QOq4Fww0#K$!N%`C0%X8>;ra ze)Tw~38d*&C*YDTcK)jV>Nt{^m?HRbVOD0^NF@-2 zES4z-I(l*Dgrh%4REz3k!f^NfXZlp{bwG#i2iF?M)&l;GS|iA=Fkowhju6lb?wa{@ z1UsYl=no}gS|Ufknm)i_*GK`xXFF2N>(Wa%z6n4uNA3DHbEnUU+){E zWO%bL&wDk##h)g)oka#j_#D8^d}GPdWvJ|{i_?aZP8xpoB11s;dm1bXC4auB?G3LP z?82C7v~2GYk7*gCNs27O|2a6$A9;l9-CxYR#AupfgH-H^VE1Wd3^L9Nzls6F_!|v; zRVHg}dR}*&;OmJ$RcTzn3*K~yRYmyzroAMw!Zh?&v?Dv!h2mTzsJmiJgL?5!1S;;U zY=@tkP3p9U4WQxllO@S`4sMKa*SPkaB=@!M>;3w_?Spc~(O+X6A^GwWFC-F1xgs?r zHlU2?2w5JH5r0mPe7WrEdio~avLZG}p*2z?7<5*?Kt>Ow%aic>tgrk4PyadX9V_88 zn%Q7{TQy%{Mry@S>M#M4e-!R~O#`lYK~#M`Xa z2ta8$lH52;%Z-w0^)=8AVZZdk(d#Z|+IIsuad1e6KuF^R?B|{tJQN<|&%>>BWyvbO zkAJf>;E)U3_Rh5P0&GYWrHu+P=9ms85z=FlbOyvJ%_FIdl@w%vEs4ibEv+`B?Txn zn{kQ#Qc8px*~Y0#jgqL(A}wfFflkw|#5UEa8nyTWN351;(u>T#XAXzRP+c@u$sRPV z?8H|casrDem`Xj|R`g<$Pf!gndS7VdbFUm4?uu~ESBss%2zp2Pwzx1}&@E^_nI$0`t$6oNU_u=Mp392vRQlG+e3qIHL0P;iXXilq7 zK@eip@)k<7pa@j`Wzz~9HD&<7*u#`v!O_Hb<=+1^LhMD)yyYWTRC!7MgT5Lf8R4sl zORs|sF-Yy?vSr~#{7N}|-@?8X8@|fUoJq+TH`0cM)CL=9GqLeE;md922A+4nTiu1| zf+cw%u510UG})){DGH!l!hf<2ddmLO!mx{o2lsX!p3|b@puGMxyF=*13+s``Eyt!- zd{>0vSKP%s)N%-C1grb5GXEE8TU9%HatbAS!e`_rh#dRVpXYJOltn>YqL5q4xW?>_KpEmAzl@RR=`ui7&!RAw&?!3NgvjJ| zLw{)7sA^^*4r5}V`PIscQLZHcI?6lzO2iU3eQ{jXKZ*S!$zlF~Fu)IC`Gj|tB+=pD8*w{X-msxyjTUOfJ zDaSLT#5n99p?3#J3Tz0w~ zmA$r**U>-^h?I7hLucH{8^QkxQucGfBZu03l1QQhTafq#65^S;P~n%I=9eI!l_aN} z26LhV5H0^Gz{b1uLB2-DYbQK^()y`$6SHS`1gVMqorzuL=k4;j>Eb2z*1*6! zrvYYl?Q&TFS4G#dfaC(XDLV-xV}AL3e%JF=KDnm0*x^Ntj7j`sM^(y@yk*D^-6%$0 zJ;80?W_ZDS=>4vqP79~;<`cJFA^06nwnRlWKxxgWSb;U}RG9u@MRROO=zl2OfJ|4( zs2ArHrVL2zD^k)r5+f*3^FcUD+v=ANN92W*!cyQoZ)i^f|HMdopq%g&xSwM6+FL_83HlNwjJI^=&&o>gwxM-fF#wh%{Eg zrrXJ@pU-?L$luwE_z-?Y%vW6ngIZQ^#A?loAm(`l^MrLWw-l%M^DapWDuBftA!cY@ z#>FPck-=@`)!QrLJ{`7viF3^mKzwu>c|jLqtq?MC=r4uK8!HTOL}By5x(28Hn>yMX z!fmB1^M?11Kbu~(gLjzp=+l0S-jKh{i zGZGO^GO@{4Q_>|+Tp(Q zfh3`3Hed&BGBYH@tY!g$Pt6bo_DI)hiOL|!`l4$tP{VN{xi5cRc0{)#FsL)9Bime> z?a31_+^^0ek4$XI{SsHZfF4+oHWg}yJ3^`!#JIc3&_EFANYV)EMQdWyiwPNZ2*$5= zomuh1iN9mTcPR7?f5Z7;rZFs}~RIzT#m7>NzrKH>li3vb^5fqDdm{IylE%eGn zm%6j<)Dfc*3t8CZ^mY2}ZmXu=Is8)kQtp|EADNf!@ThKlT(Z^oMe6{2zb1eU&p7!r`d{pE~wN2zIQYQTUh(EUW<1$o?dE z|0T4v+dwEP24`TE%$Oig<$34u?lYSO4YAwtV0$1_zTe)e;+>k0bCc3RM93XlElM!0 z@Lv?TlC7BuHGKeaVJN)JlbTR~5;L;E$WXbfG z-I7Ty2}Hm`Am61u2M(UwPwhg0AFmPL+dTBM?u6LFNwzMRlDfk}K6zStbP(Z8Pp6<0+1{jo$7P>a{4qRgZwaQVa}B_r^Z$NU1QTfB z;p1{+iiF!9HdZIOAOt5N4LQO!JzTQ@22A|r1 z@i6;asGv!GjXgr&uRe*?0_1}HX1c9EXI@k^!sz@Prayrup(Fj(Q-%A>A85YHeb!Mt zFuUdQh_I<^d)-J-#<^vmn#cK{HuJ8Hq)~025P_Wk{Rzq=?hwHe)ppWsg$Q zSv4{@t$07AuXne~fanpLCDLQl>}8=jZA`0DpQ@lu@Ttyd9)6!ox#4hQ7 zo%~)a`Mr!F()A@e>)_kz?R>w{NM#r{SP3Hf)4rW+FTX>n_rP9Uh8YR@!uPuyv-(9* zoS_EHkX!`+>Cbc$F63!qGUKQcSzbxJ`_q3wu>1u3ha>-7-Ke9+xhOOJ;WI+bkCUpE z30AEJ8=4Q}z@7|RP~Co$;0}q3fHZtU2v^5C9tKP)(L6wH6LdMogKfj=|6WU5i=E@< zN;B6YpSvn8lb_~ufuo3*Xnf>vd&k!D912ilz&Vpuj{;F`Y*VFel;+eQiYpXp{dB7V z?JgdWS{qzvMm>H7w^XjKcP*kPbeB(_J*N3n>*|%^f7q{QMWSuL z*=x@8Y@wjg&C}3>>dCA&I^T4FE!25#8(+)|^OU0Mq}hqHUoG3#Y{K%BY5&$Q9DicE zJVaWZ;&*7Le6wP(f1NHGnol0h(23vURA-aO06~P-6;iryC3g3jF;FU!>hT2}fS$(@ zY*q+es+JP}O4+A0^`2aq511BD_y3Krd&gx7UN~6%;Bci665l z!wSt;(g^uA7#jn*2+m{VJ6DkM;E7tA^eb=UfLe2wHnKuLaZKAQBd9tsP>6RMQ5c;tt+h4pO&8) z!Bppg*k?GRca#>ah2Gx~Vx%4M3mMTx8l^Ee8-AWmoblZOc9s5%6@%&^jVREG9LJ-a zhaZC5*owU&R!?M|tQ;e~4|o`*@aq`)@X((xNGflH;vq7tw_iPkoHX|BNYo-Z4p^9y zrn=obAyStD$5cB!LhplXNYy z2*;~@;&lQ}u)nZt^`lyJKv5|VZ^35Yv5xJp)jhw*-HB6m1rDJsy?rle9#4n{S&jm{ z6!!`E?bwM_=WB#u2m(ah4ZPKHyYwk8umGq?zZ-m27}@u-{y!1d4&OTYU@w1Uu_+*Y zWOUCv=xi`$OZpUDx`FyFk<}q|t1OK@dPhxI8vu?@7bMCI&z_*-7*My1L%uL2G0-D{ z_PxJe|553gmpqtYp;zKc!SzUse=k|yCyV@bZV#MuGqD<}zbV-_3CA6=6Oo7&5+e>H z$=mqPTsT(&49syGQZ;f)44WnJaqfRw6r5Vn{ZOD6f$UxorhO*$={vzGnyc$w@DAYF z8eGzT<*bgFc-XRdl=<%I3mWF`mGj2-7u3v$Y)LULsPcN|-uwW-KWr zQJR_xH|>D-)?JY?sQ`vFWYTh_Lz&0pwUIrU+w*GbF!^d_gu^9S?FeygcBK%ttd`8r zBdKEffu$l&^p1s$aTyaY1nrxjNeE9mEhC?`eCcH0&8x7t-$Nkq9N$~nUGMDXe*a|( z@4hmBkjb3i5q$p89LyWMzRYCoQRj8t@5dzZ-R5qFvyA2yHvur~dleXGEuQoFxM;kj z=g<0mQWp$v6DI)WiD^eRJ;n&Whrk~}tJjcFkZAn%`*nZK9eo(;1$&qK0z<8z6h)F> zN(Pv>Bx-~}R;t|g6NsT_WA5+Vd0eI<#S|}8zd(bT?w{z-wbUx!o7)$P7te5@HXM~4SBMrI}@q%HR%zSKxG!z!V6&L&QMJ3)~2 zE5Lq5Y3ESJXlQ!*nW%HAUSw_g6pb^djHOY``rOkw0>h5;R;4Y%b|}yo0-CGdAJ0;3 zbnbz49&;T|#;n0rr3=8kdI_IFm4;tx!CayOqC9{Q$z$cXm=_KbK~Fn>+ZyqNH!a`g zqN$r5nsXm7b6)4m*$-gR_tN9{i#(UbzV()MEk4AIc^^EpbUk1R@A-=~DVv{7D&g7V zEQ&6~;D>0JS>077^dS!wUQ z02lxrT(=^}hT5DuM$F6Vi3T^u(9ARbi@i;`3HiK#ka*SHkyI$*Gi{@tO)A>&_y@mk}R7Q2g|k6PafP z^Os*k{+!7&OHC!lKiLfvGAc{woykqB(5OZBR9gh2bx7>qj~M*mULB<#`;K>K(*cM# zW4ByEk_zrFlVyH&SkEdxXT{efpKDZ)qpiBs?73cn1w8y7iD1IG0~faU6mGt@mV39b z(Rs_rn72It{`rJGc@F_$uzgIq3c44HX+_cSTpltHOyKU1v+R~`7pzp%TWuW`NQycox%Y zM0>n%p~&U~1#`s8?Ia~=;|%A)<7jwaCcGd8vA6>sYyhkLO2HJzJ)9LnTD!XDCUJ`- zk_7$tx6gc__4$N)pJXoamlPFk)p-i`-0}6sG>N|+IhB_D@eZ0Sridz;115ChPAPn( z#jh+3Rpk5*R9AcBShtyoPML{@ZF+qQ<;mawcH<7LKzYes?3mh%qo+3dmhP0;IPBo? zl8Go?z2&#z5Cusg!_*xZ((FqY2nbtKUuZ@o{0?F1bW*;z95(!0oQLmS`oS1-*`^a4 z&6r3ErAo(2s_h0!_>xX>eWgqT0pf%JgeLkIx^`rd_9=%;X zm?L4;DfzYO6kWilI_eqSUHjkzE7E;PmyVZ7*hB?CC2@L?yf)zD!Ul;G>c&sV4T*;V z5H895p3-zED4;U2?2|Xn`7H$lQnUnH-=+&4i@GG&;TBWf`X?CJu%c6OB8XGE0ay6X zh^W0z(Zc^Z6!>ypbB+X%(YzOAmX6J)+m1l2RUr$*XxFt|D)$K6GpVZ?pWP5LZ z#UhcPS`n!zXJYNpn8|G~r1dd>iZJqX)P90`Yq^`p&|_qT|FFp`SlebL{7J?+q)nSy z`YySvlYZI*e8mEAul~>M#}tS(<&cRfSr@+rd91#favHoVToh0P^={XkEn-O=rU~@5 z?t($M3|y%+Pc6e^iq?$dzJAFwBl8+!u{Fl6FYQ&Yby=G*9gj!+JX!e&W=hei!8KN3 zX>qh6%2zhP9tI3u)IV55s3sUp&9*`;rUGAg1uKXEAh`b6*o?n&?nE2d!s#llpF-tX z)W0^;l>eUd*!AZJ-=&;6l=GX~r;Xc{zg3>>-v8uUCuX;Fs4!)_A4cNirt;X0PXAc| z%3w)*ed@zsxwJSfARj4DcRdJ+GdTQ(YciwjASgP2ps>TIrP51E#it&sEphjG>!2nMT2KfTQM-w19Vgjn9{{#)fy?75fc}W?Ki%_~pjkd~7Iz6rc`62_O z+Acl#9@O=|2@HXBO}{IGL8BqC7w|y@QiJ;iY7ot%!TW-5kRPLgeIYO?h|>^yfgcnW z(GYzhHYhHkA^AdTP+CSq_J!P_yh3IdP?43+x&e8wJdIX?5LJuS)Cn3y&0;NWf(}u) zSWll|Kr}2iGA5W1O^c715zL7e#YZg(Rz&M!8(V@M(Z1Nhk>Er;R_uJ7;6ijQb~{0E zCwdfndJ(*dKE=K#34TOE@jn12kQh`P96|^so+=ItC!8h{i_e@TL=evv1CddLXktup zY#bq;c)mE{0)a&Q7gi?`l8OH-zL-KtC8iap0|W{&qd1dFxJ1k<&dwp^5^2SGbV5F{ zpt$fdp@?{;`06#nb>fZU;+uq9#M{MpN(gs}_loa7Ae0izipwhq{}CS+KYC24Bvuty zKOsCN))YT`PN*f;6~6%L2@S-T#f?pbX5y>jmR7=R;+x{Ob^?RgQQX-@=qC0Q_x2I` zi37!N2MOxyus~caUiw1#O8i#* z{Re?bWEC&72`j|a;gq$jo*Y#;-BKJZNd)mZ}ILPK-ec96d!Uz6fbbyixIgu zCZ)tJ;feVr%;kVBe`r7l-NuGK<(T0k;RzPB>d%Hq^n=e8?590K)ko@G+$Aqy>?0kj zC+<#Gf6cG<<#HKywH1HF7|#^AG>w3Pu(C~4WoKU|G;^`nRE~$jq^`<%dwLdhjK{j; zY86fMek()(+G;(p5cS^}(XiQG0pT9g9qymh9vzR&h`eI1G0jQ#ZDdmp|bzxSfJ~7N~TROHG=3wP?9JagAbor-2R#flau}lxQP-BI0zZ4lzNSt1`4I#O*6E^bWb)>h8a$-c%*JalXC+ zm|hXk!*{OXBN_N`7yPxEoEfRQyj3v9D)N`&D?w(ShfI3FV7fs?R?`1cjw zn8Y8YXtfC4?^C{#t1)2}G(GJVCNq*2;CO{v{yXK`p{I0hF03efMr=y(P86msrmUCD zFEb%5;Sbp&Dd#-|I?9Xi9caCn3M`PxE(Ti;3dZPFRG5rp{98zo_drsRGWrD^T{2-e zag=2hT*UA=`DpJ^AB>aHCn2cXQ48+2b`;BynJ^C#lCwhTFhyS7$f{P)l8ll%K}6&t zEQnuZB*MFFah4-a7Kd?ATZ;o4=e~Efe~8m7ki|YutueRpT1%zhWJbWpn*fryU%XIQ z-fa^t97|>?sCB|Ox{T_s&tLJ zBDo-a=f;+GY@fryPa*@Le+$Z#Mpxl4H?YrcS#UBk6Oa~nGLyErG-W}&8>c|270odK z?{yj2{U>GasJVPMxCN-De+QYd`zQIepS6|{w5a1&l$c-u%pDxw$Yd1HJ^Pryug-9v z{xT^5E0Czs(^=&*IWyK{ozZ>_;FL_p?j4p)FP4axm1Ku)!vL_jvI5kip4kRjE1CN{ z4P!w5wGw_#mdwgcL-LN?-zGt7x#WXxb#!4)**x>Yh6WDf)wMz+aVo!3OLPC@vuEVi zgq3YIZ04GabnMX!X}$9N5D-qJG+D(xZlNG?lIA|321(WF&;K0rc>+WZ8RDCzWHrY^ z^gmI|-32oM8A=sc59{FlYV0GQ%q|_|HL9G~h&Kp%c{{g&KZe2n4ADTz z)edsRG)|d|Chb6>*0~5;oz}S-ZT5^$e}cwBs3%Zm@Ho-#4XoZjAm@rFpWY)oPxr-V zAXC!we2JfG240Yp%e%CcJw9B_dVkGRgT~4`k03&XW^6TGJ-$|p-tO6@KW$_-giEMu zTtC(6yKQid%k|1loq#Z$9EUzh|H#tvj{K}L*ZG;=F{`UTu&5s$*ROxT{y-n5_f-j) z1OvE-*Xnj~rEet9ML+!DLq2?3%U%6aw{mrb!uOWjCq6Hyyk7WN{*?W-&;KjWx39qw zZY|k!jt*=?@ch5;x(qJeyzGW?`v6EyegzWn+OZcL}*auB1?S-NcQFdib<=0|su|$$I zwlPVFbpQRIU5~CO=k+?*xz72V&-+aTS!M_&NbvYFS>gO|pI%HaVYr*Hotd(4$b}N` zNzXD?qY_{`QjR)f_74C4X8&7y@;$!0?EN8N$h%YHmB_o+XavL7KGC+;gTlM2l{SF5 z#=XOAA80$l6UcXB`$5<1#^A?WgdxWXEB=do#xh}0HE?JbP_SYma9N1eyzRV#?TIU_ zxX6rlMR?|FAy`7 z^G$QQC=BdR=S<2ty;@Le4PMIDG1lX;ygB)4@R1?sX!)|~z-N3}3|=ilPO7gJ3G@8v zQ%?;(a!>PgiR^we{&JlGAaEZw2M&Zm8fLwG@g&#w**#r{p2X4`u!l%y9HzH7CqB`n zT81h)*3!+iN%( zfLLi1d<3{bOAn{j@}Zrl#^Bl;>O~TA6>?32mX8fQIHz8#>=K?`LZ|Q6g8Na2n{?ER zmOwV*hTuVX7}4NAf`>ZY1+AltXw`XbZ~me5La^^n@yRV`U~{B-tnav78-z*wfleD7 zlgSvqe4ZBiJpYR1MlZ6%sV&ktD(9 zES`O?hziCfvOv50W^e9C3*r>>N?S&L`g?kuNvniF0W{ezAxJOy$o$)5INh#mxGn8v zxD~5h&>~3AlV70<774Y?)&`@R?!q-DA&JxmRMq5p6rm_iiutyaF{_pc#6aPqXi1rj zQ(ZR}2HW@pcG7pY$D=B8TcWUA<0e0wk-w)&@FkxXrS#bz5?EUfHpdT~n3S+5iNMNX zpB<*gL_Pk}iQRkem;?Xg9>tE7J`5%TE}Z&ac1uhXCU{yCE~bOX-+hzz)nI6$3pV;c z#w;>|f>a@Lv+6M}14U1~m&eK{gE}tHdU<%Yr2l~E-1OCdM>J9xFKG}CI)@u(4^Nu8 zOHGv7ExQv^)n|vl0Hec0mI;K7B=pSY@a8mioDO-V6&`MO&j1RAVD3cQdU(M~-`m@} zN4lS;inFzV%#P)7K;39bs6<#OxKq6m{Yfu}4f|X(K4(kAhDrIp(1)f_2IwWk_;(Zy z-HQblpTnDd`%|2yx}7S}3RF$IIvx z|3fcO5jAip>S#G5Vi?BmDg7N8nMMuFRj?WM@kcb%)FUUYg(A&SHIrdd2~*TyFs?ib zM4%0PXQHF2n9FBNHL+r4Qdr!YueYsYX^*lQ3XgNz_6>=nwmyN8qiO1V)CffN!`W^$ zbdq6QG-&ULE_L3@xH=CW)R&;2;^7n3mFtT^=hn-+6OHn-U$|@oM0Z~iG?bQYpaSTq zuL0s^Y3T4=v{YR`zjkSt2r`?`+Ng=br#aDf;(;OG_{LIJ2g-hbuO0hSRxzt&LcYhr z&mKkn!*0rA0b$_q!y6*q5B!|k0EMc)Z9)e(`Gj1!zz1e*S+RD(4$M=?rXP&n+D3?r=&NK?=kr8Hd z7FGJ>oG1%UdH%fIFF+8XKUcMC39sgpfijKdBT7PfxdHHMEqod*lpp7YOQoysFBD>`xCCdvl7y>75OEa+x&9o{i%K#wU22c@O4c*0EM zeMOz`TC{eErC@LO<)=NbK`9?AMB3QSAWSl(-h zPoVOowfOze+^o@+?zC-lj=$^?a$l~+A(^f^xQIjfgDSI9+lv3X4{VdvgUt*-ACHX{ z$jP0dw=f<59p9&GG|phXt4c99IsW(cl7x#h%_b)i6|B z+8-$D>(zi_&lV@W}zJqC;;t*WK8{?rr*ViJZh28+pce=eu1wRsS&$0Dq0B@|- zsByYfd6hzXuN2jO@WH)&uM#Tt3_aQpMU5w_72>cL4)jE~k^|xl_#`zBCRk#D1b;w{ zZ+t%$5K`<`{*RUnR{{=%ptQPARBF-gOG(vJ0iJ(8?sA&@{XO35To7*}3)rap%|K^^( zlJ!`AQB8I7T@&6G3yy=4b-m}RUrV7Ayb7aOtc~~xC95Yob1>Pap(?PsTk6Eq4Ih#k zUvgU8HRmv-fGdG#>1?Oumv}tbQj=_S31yxTzg||tK&>rqy)!vHX?ae=JHPDM1%68j zp>x&f^X9_;%j1X%^zKkR?1W*;yS7ib8Z;Ekd7_qBf5YOY?v-&*8s&Q*pC zo4(4}k&a*EPvR2WpVQhA>#NioHQj$*dOpyY-O^^pT~cZE7)}Q%AbzGS^~`lr8F(am zZM0gUH0&vt{s}Tmz0S;kA?tZ*14f1pJ@SP9==O%o>rw1=t=E`(VgYtT7F@G@jhYXe zYx4Tm)K%?ND>JOPyy)`lJhVjr#=T+F_tJ!z9XVbP7HRt81T7EE|HgBxu+4w#4QpYu z9iw~n5S3m~Oag|Xq^*F7-3-n1!l>~6^GVNI$-oyI_Osnq#3E|7)eE^=|E+zc7v%7? zfr2e~K1M31p5Ff9A<~Gjzxp7QBzr+bmaiDJD4KwsBwBoasnyN%e0nxEyXu2viv1Ng z0+4vl@CQ%INtsoIdCK;zun>2y0TCoJn$5mOk0qkx8<57yFg}qr3JTLrD~*}6qSa@& zmDWZ77tZ%l74eHV={@R)<-xsZCN>aMopXy`i^FqFzvU&fn0$#nrsZD?CWaRcx5_v{ zQfARt)Ss`f%Wuj_Sg(i`O#IqsjV-u#SwK_xdi4+kK@)vf?ter(_-@^pYXo_HudJtE ztZ!KIdOa_lufD}VSl7{BnFZ$@wt2TX^!n8s^k`nf6C^SNH!wKX_FrvVClW95ab)1h zFy+*lgV+9h@NAYWVCK0pF_fN2*n7*LdjmI1E z|%$2%n5n(!3%VuVcU+42*jrC4!0MyaH=@j=e!)y3{x4?v?}A2$Z20q zm@T`GJTq5z)2!j|+85oj+bG6-5vaGGZ!()JaE=Ljrnw}M^+@xT%;t>(FkdLE4|Hs+ z!qpH<<4TL3o$g$Q-hN+P=a}SYqsDiO7NPvHE}m_OlixQ{ZLKy%$JY}lvA?P*b6egZ z(2@Za@rBQg*t;%E+uZ3*jT1_h?mzdxn~O@E&boKf56$(YUbt7KZLwyRsM8cBx*Pex z_0xSNJh^xLM`zL-P(8fv+f%@(`Fi3pz;u2 zL;C!tgJMuwh4)o@){COWTN7uO5iMiWKqkNln=q&J88tKXgFnl+{5|W$Onb>vdw8xv z=9j?NyvyQ`&PsZG7F{SknHE0@P98qa@jGdumamZIpnGZKV>;wM$)J)>AkN8y`p-Sr zrtqI>8|gKe!b?HY%rG9jQ{7#POY+%Z=-=aJ_!gkl6N#V`dgUN%_f+tz77(Oz<=a9K zREAPk6oq2R0i{$O5rI-aiJp0qpZI3{Ro<@5&whu##ot6_zM4I@n7Mx=7B%>JPP~NQ z@5l1(IZh&5_^OQGG@?h_Z*JxQL1oY;e&*1$@A_UCrrXrdXd;gST-szXPIAo}5V|nxzdYu5Z%AlM ze*4nqH&ifI7)QKMOpnXq#Y>cnT5LS_a^uC)n}{abGX)cIGaLD1Wr>rq7|lz@P0h?^ zDk$<)*@J}L1L1hLmM+6v`%{rK$(9rc#P8@wPSM-*16fV5@>Cu*ftK;DYAL8R_BGL~ zYF-#IOdy}epAQd`Je@Zz8h?8^?i?a_!2eXtdnU5oI4}D{dh?|@u)mpaz}%I7kFjSY z!+5a*&Tfitupw{@`FfdK2UUKF|EfK-IU`HVMMpr{>MQ?>wkV}fGI?0=im!H1h{m@l zS&9A_tEUw)S)ONNXf*@-VuW@to+*F7MM;Wu4&h&+85QTJ9FQSdH-)&YxksAM8J+=O z$(=F(`a5EUy7npBe6A&0d5sZmOpkd=o70G94iKaH*bk3ORYs#NJGiigRFiG-#Y?Z= zlPje(O}wuqrG_Jr@Ni+{I5yia>fIUV$pE1jS-;r$%p{sa5Q0mDqWG-pr?BgbICD-Y z;^sle%TKKwf)s>mqPW81Nu3yd$zeoJ*Jf`dt3Y}vb!d-mdI`loa_ksgpcJb0T}YE|dLA#V?L{Q&ov9LITOTtoh2jK@h?GTS9I-@KJ}G+n&_ zrsJJ!FNT@yj`O!wI=dL8wUK3OOm=nnWdi9&T;-!)es8m++(vg6Y*gFudPyve=vV6^ z6F~T`9Df<9u2}n| zZw|&8QmH2VK$w1#UcCNMN)2tZmm5>^s)rt$r*@?**kTwG8o}?rVqgIx>y0z%wl4Rc zpJ562i7S(AcH6M-@SMLH>%i~IeT7-MgIaA)HkTOntnj_n^tJT8mGe&tc6F&sna`>^ zTj)V2usEq_SEH{f#M3F|oE0$muS?^I>CLM5sSKF-cv{w3&G+1Tc zq+2yu7*?)8u(*7K{1K^gQ1hX#k7X7&soW^IBy#4%P#2+Ffm?{wwY&B<) zV-xJ77yidk+wM`HUK>)U{34V1hm|kM{qx$^w(!4tT ztzSXU&n*Ja$mI(A+tSIH&TTzzu$V`v~S@Z>3J8_sEkPuxd}x;`}E>pnIo!Hi4%b|LvOde9*ndS(kB)RPAdKt`gS`V7U1mHJ1g`NrK*dSlei}- zf)F1K5R!QS*69m3OOv}CoD+jT_JwtSJdVXE)kPN!Qxus%kk&UhN1mr8C*PhfUM&}* z-z1Hs&WCnTd;(x*j16$Xa_Q5nU<|T4x4Yv*il`ID@84N|MlXy4ulbmH_w}zczYNuD zV>orvFz1ygrD-kHDYvTUYOMaG zmim*GH!M?~wjFPe#s&^1eaE|jBvO1T?)Wd5NwV9Mmpy7ew{%H0|GQYesX`NX+B849 zpj&Y|3oH8ROx-N7Cy(0iXm8iIBUSMPVOE+Wh>SkiFVHO>h`K*z{dO`M6@jPQt|(c2 zVZT-)MH^8Z@dm)%X*2it1bdw@{>Gn3=|uRUxcH5PdWk_Zyo2&^rfL`*i2dzRuQfuo zTsDLsaNVDA$VJr<#@B6;aWj_SmJL1i!#ha(D{3)k%>7u_Zt2`bzS8cD;(KrpBRM}- z#(sl3jC-dpaf`wwc^JOZq091kEU);6)JwaoCY}A{tC!HL%jn~reX`0xgMZO>y^!#+ z!>ZL$a$YfBgv(Jhk-W9!sA%_xm1h#dq7Hzj;*+s_@LyIYO8y%^HUM(KjFvC;d4rsM zZedcUuYArMz*mhdA1S%(qZHjMFC}w$$%iFdXUJV#eyhQ{CG~oQuC-2Xlhq3Cg^DLK zmc1?x2QClyICFGwdo~e{pKRWjrxASXc-rt3h{uw>EzndS2@f%QA91eM2zXUHR1>ZB zv)elIeJDfpF2GO<2B~jf*Ye=|*TEx$S9cdi-2P2@Qap==%277ITt6-Ect87gJSkl< zEZn6L=KRiEhvrNlR#PbF4EntFM&!~^+XzKcU4F*4_0rzx(??8fgWWH2sqjH_{;yo7 zCi;Ky$3Wp)j)!Pz&o7_Cfru9G_IIiItu2&Q9{^akrgGt*+b^t=#=}kX^7v$^Ag;Z? z0hMRYYMBzxf=N~13gSWa9)>=6{eHAn`lnE8(s>KPq?^6Eiq!jd)}SBL)9i^X9y$uI z{)c3|L}+b{&0@TNo9X>;&3@*gnfnWvgU9gtd&UcQf9UE&cM0dlo z7C<&GqW{$ODlYGTxN`v62M=mnnex#-T;gc z^U}uYP{&%r?H*<)smrr@4l2s#&O^#`wj*?AR*`z)<~avb^K*Gf3rp*G%;iDJiaiA> z(-(fv(pUiMTk8a1;7s=Pj^g0q<@_v$CSa4y{TipoWHuh(-~@E0L3NF*!yNl};kadW z-nGAJWA-EPsa#9LR_B-otQHd?@KYXpQsazM#nf5Y_X_@NYv0^r1WvO@mXGl;=!DFQ zLxG%DBueh?Tz0s|1rJP7G`sk2>O~L#MQ+@I%#c^+`#A$M1Y-}AD>*<###lU5@_Qiy z5^oWVS`1;!b7&%*)UXDS`6_e|WNbmo3XSGX|KihZ=CUT|j&mHXp2wZp2m9#K;KN`i zPm0849!?Q1Iae?o$yFG~XFQC%~r}BH14hF7#*OKKv_ZHT`D$W2PR) zzX4pQtg1gjUeaK;d@irfhgSIhk6aJ9jpR4?jtoIf?VH2y=8d%*vU}Z_=fcK4D6OYH&{1m<>X!9a8L`Wgmy=+NU!0IBGO?LN==W_GV*<|doE5Kpv zUoO?`U3lwNW4HV?0eT+kV^1iiAC7i~-E3xi;{FHzj#kTuibI~|x|My@BN@CvPu|3a zb=-Gj3F3g0-Kr15AU8o7tZz0Hs5V9*!DT;bLL@P*{n|Q^uAaWZ0Zlcd84S-E;L7p1 zq>cEHsAww03;4wP2L?gGp<&^M3f=&8`oBcKv%ZlLkSbkW15gI2fHx3%xLwU$g>y`k z4)g*004dmMj-CnRX%Xg@Q_j02b!^osTtSB)k6Grp>njH zg9gc*Ze>4aW2@l~DEb15M+@x58PcXOzUX6lQi(C~et>$|*H}RFpMsC?S3m!Nm9h{{ zZN!n4=rb|$7U??)iAn#Rh_EmMDC-y15Q)bD#8W=9dz*-pTVMCpC=dv^nvBH*xQhn< z__s>wGwz9it(K`aY+T)<{7%yF8vlmSfO2koYz%d?5*dC?> zEIp7|8QOW6NFE1=>hQRBIglBWTbXq|gC3Gn8Gk({D#W|;^z~E6gHf9{rXG^$-*KSz zXo=3`ixHH;%v$3kZlZFu_uQ~@Ky5Ag`r_w2wAcRf%$|Bw_h&ery4 zrDo=;7?C>C;sOsK8^HlMi;unm0-($Z#3>jI2f&#;^!OFyuxH?yJQ?p}XIi>KB;c*# zJ73UfqNc?J4{~Dzhe9BM9(Ys-S{5~mfxR$+4H|&}{F3Y8UQ`+xpVUUxgn95q1IGWV z6g4%KIC3NawqGNa&VN7l(I7bRAiiEWH4UOeg}~}0nog%*&-!RIS-446Rzm94s&kMA z1BhL|^*xvx@~`6#E<76fD=a1f(V2`}4N%dTD$zm;y!AUK`FiV&?|(KFAC)Iyoxp{5 zJ~V-i#L>*o6M%R%(iPr)V>nd-=5eanmG}fnsiP)1!#-kv*^2MJ?N|7cRgpH)NtCn$7k9+}B2yUgQP*e9T)fm7K8u%aUN6AIhYUPu7n1M36`{G0YX zdV<&=dIn*lfZybK++#tTIDl6+QWc%e*MluUU7m=Vv5CLJe?<}b5Fp&(lM5Grd>Xzl zqgl=oqbYXYg+K(nhkA)#s?$6^0ND)ln6BnEjusG;1OcRlr_(q|@EAHk)g4gEB%{8f zPRL~d??|fMW*r0`A_4H}7zQ(;WHCM-B2pBiWjvBON$Nrvx;sWAAJ0hY{VZCB9F;}x z2&%`)@4`-LT5Gof+q@?vwN-xdqM+U)KYKr~{wt4Ao6Reb4@~Gs8wtjRw0Us)Ds~hSL=%;C z7rVqOzKt)0310BBwZ)V#|72y9Z*hcWF4~2|J-a9twV*g8 zXpD1KQ8FNH5vAp+lq+;$lFqum6HK;@_fpqEEpaCWc}Pq#+1TAyHmFV8tSbaBh!-(Q zC(F7I!4~^r3MSG!suxU8(M5|+z%Ic|UTmt9#Q9|eL&VzY{3jKpv@b+erVB_#_DYHj zNp^jOh_>qZEq=oM@Ag#_os|9SP&Mq)Dp6Et-=t3U$)VI^udQ0(81%Th=iwySUBxM+ zk#ZM!G3z|csY`|2m%p+At3-W_3>C|k+kxFg>`H)JL-D>5BF9VvH$=8 delta 41385 zcmW(*_dnH-_kZ0zajk1}Wh5(PlgvwlZU|*WW|?vCrNX^tu8X&pik37a6(#G2Yp;}1 zx?E+X!bOrU8TWoakMH^6JkB3*#`F0+&(*Ksov&b;>&X<54*&wdK(UNaI4kwP;{QYO ze;E$^MK@%6H^W~t)|H>Wq389$U_b!}wuLHS@BDeRtxu1Vz$N^=^76T;s3=?ofo~E4 zSRd%e`;U`+R7D^V)Q&L=*C@w(xz`DFb`=vP3Et2=5^|XP~Ouq7P8K7g_TG{|4!SOo=5rK!0rM^QOBcJY%7g# zZAv`MUtQTlTwxTRNO>x#Z$!+O#TC%4QmH{KQMPPVh9^4cJQhE^DuHvmao(kR`kBD< z=IqpCL6w|A1jWSDe4m+LRxkcaTZ8`E$ec!_moX%8_$=I~tYOlfx3uj9O@(x~v8v(ZayjB8o-gB*RAzaoP{|Ad~8C zYfEI{iyOHJ^_83fFxQx=Y(-^?n!9e(uA0F$xwL%|CPSyN#ss8f5>a3<-j0}LV7DkM zj=w(OUTtqzqqmD&Z(U(y;&v~5RQZg2k_ymu6pQ6&v-0k(Nj@H4F_)N?p)voO-}vvlT68`os@Y^Br<8L>BIaN-O@ zM#f3UEmmQe(er!#&(OSl-^bUr0evOY{5|n?ppibL4|nsSUY(^GPKMdyr3LY-;;UOA z_Zs%5Xs&!c1qK+KZTOI7T8O9_i34Y1mv3xDpI$Cxe%TCz2Qm{jo3!Z6W_7f^!--9q zInA9avEN`owL$IMr-){fR?N#aya>D21I{m!Br%Uf@J)EWwW-UJiC8-_1~x=hd9{Hm zt4rb!y_rgEdQBSo_WXkJ@L>VbV1wSuSP>z(458B*dcDW{B59wG?1iYYC*8WO;9jVy zgrf{)$)QWL3EJ?%y?_6dlFCqHaqg(QoDPukNW;zJ3MkJ9V85rLE)ZW6H;kS^1N+dZ znX~bdm5tj*eDz$?mfRihPV(H!mZr7JrLtz{u+rU*8UtyE?#_Ir%}opT-@bXNL4G=; zZthR7xQK`|JcqWEAq2lj3Q^SCj#!WzSOM5f}4H^0tvICpc@Wg-vN&7zO{)W=7fXZzq0E{FcG@ivE9lD0OkEODCcAmzp8TWJ!@CNzDHVODtRgmKoP zkRHAEogIN8f8NYRk_w%7o>`oq6)4Cd)qZ!)3k7*+q%wPYXtl zLPNefyLgS!P4G5{0TNz5c(8_PvR+&4vUl#3ug;&HXOg{tDozO;G19D75GEs9-5TbCqYc^UOuQ1ek9ZQe8z-M z8Kcnv+M{#+j80m*yopVqMUobYq$qn!G2=x@W+qYH~2$g!$ZiW znT?ZK?^H18;i%A$;W!)H%qs4F?Zg@s8bj0nD}1u7zH|iqdmP4sYpC~%BEa|;#4N9~ zq9$%u4;jP&#wXd2qRyuaXzHUfd35E!3lJ{mcQU|&Tvn+FyK$JHKOAZ3V#VC=GlH=d zwZVb*65nH?IItzI4czsP4Z{oztArOt(%#8}cA}Qwy#Tv3EQ`+BmaT#S7St))Y|Lx% zogeIS{G#GYngf4TC}hE$0wZt#c&Bp(s{g~Ga8;{w_u1Tf=xdroXiGxgcu+Ia;CrLc z?;8uGjv}@lvU{F?Cw> zC(3%%LF&RR%MvJj#9}!X(?wkikdgKQ^sMO8%9!KwR*6TGAP`ylj*JHYasN|w4+ zqrvxyA18K6(XvvijCScErYL*|+m>G-4jJSU_~-S?PJJM>F-k)azdK4YC3MNVAJ;{V#E%fDH_={NqnUugZxA2EA^c zYM-Zb;@sZ&x1_guWGsN;iQD6N#^5pe3nCurOMYi*CM&Y)L*uc@j;YS>76$zHk3?r} zQteXK=J`7;V@|%B-8OeFU{P^VWL~JZ$m`-6i`I8qND+RPg(TO{vv)v)N8iR|@#;5_ zZTkyY2Woe|MXV(k$_atSODwQU-HR!_%1q{Lrkt|(j7weo6ljqJ-JiQcj?b;V6f^t2 z8cvgA&%KjSYAd=xv%YsTTp(>~T0fdbz}nUZtclG^NKI(}kkI1`6RoAj1nNNpSY$jt zqf2(C`b7_M$|qXgTX@N4JKf13vnJA%ZSA$0HO1h(qB&(Lmw(5oUd(a%bSxJS3)yU@ zgIdC5P7Fd){&hDEXwq$-i!cv?K zpPeyMv{f`meuGrWpQEg=@uqpA!A~D9cT!i*wUG;C+^2j?|MU0V`@If?>Vkskv7ffn zgu1qSZasWI=m5$HM+N6VjS9of`A`-3%J^#cz3|l``cItLi4ACRL!1m&uyP!~?SX zU!!tVM1GR1Z>vu6t~=q(4ZxZ^t&FdGxU5~aLSnsS7XNxuJ*Hyk>6X-eY{~p^qF|b` zYSAChZ5Owt@7KQU>MAEBWfc(`y`d5#23|2Pl{pGIOpxAN zJ4+~8LIP}Xq}nC%a3bjVRmao@b4TLYjhhH1TKE)Q;nU`o)$KLdoae z1Rrk3#gL=U_n#F4uCAvXDbaEF9qSmDggS@lsF0#6KT|?vg`&&ISxj z3308XUlQXV1x3mnWf2TrFZ;fuCR`qQ5!A;G3AvjP^*8~#$UIl)7(|It)JyyA4-9n0 zikO5)i<}>nism}ToUvaI4vz2zc7;bv2UW9@ST?7Fu)bySF&G15o`{ERtmQxqf=rUx z7amHB{$T$#C6W*miP7HwZICG&g{tz4(ZN;{QVaJ16(mK!oc1OA= z*sH&}@!VQ2aC`~yEWyYfkLnE3WJ=NX{AtvxF@5}wFo+s-CMxK(yn%S>mPbTvqN_dr z(`!Uz+5{fe9%335OzFc-uSx2aVIzyLcbO%B18z0Nbt&k3t@3Jq$I_{vrAHHcOgCGw<6O#5jsMf=e40_`I&e`Cs&9u{X# zmA)H#V>r-VS)XKSdL}ePl6mojwP~cl*vx95S@b`oNvKJCY}8|mL$!P3cLj^V0wpVV znH&0)+6QhowM3l>QC;IY!FET;(K>hO`^Lm7ga=0iD%3RvMYBRBlcFCcFy(z*^1k4m zIichg{!t3KO$~xy9zXH7=uW6|(*GC^!7{Gsi|l!FBM%MqA|rkVGDe5>M@)_S`i$D| zcEv?p$}c_ArF8Qd!z1{#NXh$P>=A|8(2#?MmN6l1{Qb#Kc@ zX~hwKs3A^zL60e&qr5*P5&2ZdK6fIDz9Rd=`|&qQd}xm9L+$T+!)=CrhEj*L73_|N z9DA6McJQbvfJfpU>WV27LTW1AWRH9gp}Xqm78x7+hB;pP=X*NvOyq(5;wmMX6!W+@ zW#P{v+oBWYv!lweZ*3-3Ka0@-bxyQHp}cG7iMl|y5Bl{N9=d%=u=r5L2$@#VyIS2I zeA@Iz)RjuJWK)EW(Q@~umRa?gu(UJhkwu>NcP_^jr-h8)hWlTN_JsUAz)g$|dQhQ) zHAt${@YT|&4F>Ib5PplUD^U_nx8IbV{~lV4P8j6J=-Jflkp?{s^)hjwO{=#z1yR*& zl=i0q7#H`$fz%7fEaM4n;#C=ZiiEU>HrP+{OlHi9$f#CW&IiLAsN|p~uzk2ahZc4& zxonq#-yOVX_?5y@ca?-Q7fwH6EAoKx-HE`%Q-eh|5``aN8voVOPvdUj8o{yg4r~`) zB@ODq<1usmkbP9twc#Mzg1i&zGd5&Ix95y5NuJ{NF|=yta*%0^si%$?ye2dxyS$1t zYNcp!*z@QiT@J=_$RGY^DLUE;XgYBV78 zW}#l9ZQliOBi;C2?EV4O_@zBe4zk3Nkk&dwZz`9sM{Hsx(Kl#M#A;YJC{*`c_L}gk z-8>xFK>~ow#>qnez`iRY!nDOIfaH$*1YbUNWzn;I%S0CZCHIZX8`wf3xyE)t5RRUu zoT?#qBfJ^H>{X$)QT)-GYCAE3Gvn@@u*SX{W5rl1S`mr~7lart**c>+cDHT32+K+| zRgA@i%_C{sK*km{6(TbfeRpx+WrypCmZ$R~K+a<>Us$tzv8j%hk-7|`_|E6`G)H;1 zq~s0eH@hqd3)tAsG^j8mZtx4~Dz=NBP9x;JFST8Sd3b{%|wfSFAcGCvkM zoLZA7xS;;4-@Iho}X>KQR&0szG0}XTh zmZ-bxm(*-`VYR60R|LQoZ@O1R64Ik)7-nY6G%zlG)JpEyzTKW0$^n}ul4a@&!3Hu3 zQ%VOtk8Be4B-mSvm3JLwS2E*NXI5FVM!!`u4%jBd>`(xX;mUcXm2DEUU?p$$a^@9l z^1(QouhNP^yHf9pYO=kegIDGTO+ja+3dUO-Q~6?QK>{pD)@;RL(y?57*Dm=u`80gR z_xDeqOs4L&rjy~4g1C0k@jUD(7MEkzmKdlBZLY_u{VR_CMPw*zq1N)?+9>#!3K=UF zR{T<@JRs{ev}V-cf6r^~j~}s(aa3Z$+G|BR*SoYzT<&_V)4jcR)&Moz!UG6L zEQhDAKnX7mpk}mz8gb^u!Kvp$o$^lBKfcv8G`^dHTy)QiCSCKSRCv{2GPY&TpkgJM z2bi%NENfeU@RkE##)zjJUJ$_qLO-xYdx8XGJv&cJ;D=>;iZZ?`T<6VX zK)f5r_p~`4-FH=Y+-UL!C4}i1tKlbF;2Q13!bUM@{&AR?&Q3q%>>0`X^X9e}K~v15 zV2tW>hDkK!NS&Wq`pLZ1pBcB(Z?I^1KqO-opU^2PH<2QG6+00a=eQnN4E)fmmf?YGbWa>&ZJC;NkA4DSi#A`)6cE5S} zN`c1M={TU-?WD&6+F*gpqa^Rneau75oX^;8%iW?qlkI9j9cGp#7-(b1!s@h4OS)ON zBb;6Zd=+mk|Ch9R5I`2I>!sr%J_$D6hOq+~RcxR3^1&uR)@5W=jANUOL{mkAWLxRo` z;6p^Z?O=zS?@b$An>RKImMJr9Y^!?_r_k1rCtIsd$G*jzY2gOW!fx0zj-!hR9Q^)q z_to(&oLWxuX5KgvZ6=G2er@m0dOn(f589n3SQA2$xlcFffyC)JZjRKnP2w`*RqP=eJP zF{FdgqZ(i{aK}NIF42)<$p4_KJl&zFx$7%jdRHVAA>ONdv{JDy1S=={(0VepU85bL z0OU{QEY~#^hy9Y|B3rVtw7`ktXIM#zz~#pm{BO0%)H?idNv6+;?HSp7anGcjfS=Y4 z2r@=t-qTx*)g!bK-bkO}2(fWVC8D%5;lc;O3w1#Z6>A0=i5zC_28xJHU zo>)rb#ITS+Z+_sP!0s)^rqQ&QS#G<*&&I~&Zvo>ixY5UH{lm>PulPl|(Uj6do?_Zu zuVwnL-!cLE`1R7^y>xG{0#ojq6FOgo05 zT!E}=m2Da7RFhB3ldN%cc{!6pR2Mu=wr-G~Rw;;JmHdYz01El!9FHr1smYB}%k6(8 z^A3bdMc&7q7NrHsg0_FH38|{4h7AUVz7t%D67{X@f9DiNmlHIwLBL4q3c7}cy>|Cu zjHa<20=3JULntDsp1rxRO=wvDMy?v{`Q9Z<@!)b63&0KN)JIkVg_0a`n%mYqXZv^- z=;a%jQNNnk9^y9$s~l-0hhu7sRcA@LOIvO*Q2*_vA&MJT@%6D+RwE6N3S3O_>ovp| z`E|)h;TZSIjqU;?+i=;F=2nLoecc(uM@5b(1rDkW9{DNO>Q<$$p{^7rrRMdU6 zUlo>Sd~=uQ6P@>ji$XFQ?7LI{R8>Iy$euxcBxDqw-1jlUbmzBOyFr4i!6}pETBN*m zOo}CG{f1J*gMwpBGc6y=skhRKdAd)<+X#6|+U+Fn z8!TkU8y6c`lT7ZfgxmdZNTN=8`+H7BVP=eGe8&6lm8xiV6!`OB^`nuc?{72pA4L{K z`qE%!R^sQ#-hn2vMW5!>r&f-O>OXcxE*$d2N%J}Xrq_f)Xxic?C{d+QdE+C>D{WY zI#Tt735Am=_q0CBnrX8CysaFSbLPi$s~7{_*8MTAM(75iX37 zhgY>Ufq-L$&TM6SF!<`fkBq+Ss#A-dvzIQ?KS}S}BkGa6Eb+GcYH9OO1tP3!Y1tq7 zy8>N3oNb()&3xYICgXU<6QPq=&TgLMXWxsaP+$3ZwmFu68TI9Tde@;WsF={u%+q)0 zHE~2Y4))3~?Pe?f`kQV=bGqw!&FQnu6%*@n(swsg`#fiev*>o)`lsA-f2mZYoG_~+ zd(k3!auZb4BP$m1SO-+xUX$l~i&(j~T_|>$Dfu!`;Q@bm!XN zT~hWV$-5bY@f)WM)+lVN7#|8tW>&?Rm z`&{WRsv7~7p8V(wpp^PgoKxrZqpfY7i5RPoQmSV|TC*g?e;M@j4?-{-odxgreKj#U;L*G=7ojiRD)qZ}$FGc2Bfm0g#K^T;7M)5P& zrYMLDK=U>QCo3!k1vb#NyW$MKvf0l3G2wjH^ykWpv;}hF!P^tr?&AxZ`J#RFw8>Lq zRGkJkutEk6!piS*S7RieE8nw?DtpxOP=!wX%@?D0iD{cBe$qPvecq)Tq3zYIn~skf zWa~_Kn6USKYrt0{VZYDf87EG1hL%GvCynCrp5J6=8J#Hdc~XuXVQ+p#GKVGd=N{Kmb$P@E$DxWllr|XsW?`#x&~uHTp?`dvdJ}T><6_o z1n+Dj|3zAoU<7E6H~nmFV)x7Iw&Qr`u{k5U9MEtEFh~3pB4%8zqrDt zhTsp+3`0&`W|4q(`k$A7qB@QyPYwHlMcPO-%iF{cd@~{qF+vHt7L(`~*Sl^-6)HYD zOdRSk!k2K5UaTOW$CRL3D9fjKZ9KkSGt+z+NSfFF;${yzBKBDM@S4`9HL3ZJvD13K zwQw$;mdnl$myLAXzQPInolr8+)+VSTQ2+MiFDAqrlIgwr(P$JwojG^#m!!lw>$% z8bge4`0|{d>85GT*4(|{i~vX8rhSayf0$|Sl(E?3Q$xnad=qW>B>iHj`{Z`{sbkRf z-xkyDiTduZcvgN6A-`Q;4d^{X(qWm;GDE4#^2WB=xI_4GQqN8C9`r?La0KD@lGUZg zh*y0+vhLthuFgn<;lKzNwuP9m;2|fn40uY8)U}V#FeK*<(fu=@)N0~-Xur}Trc#yD zQZ)7h_kB4|S?RF~^Z&BAc)ykTH~(A=U0&y}NRSwxeS8n;@Tc;wmaj-!)&Hy3>4V+5 zvw(XrTxk30{)?%%ie42#wnsG59W))2x?vIGZ5b9eHKM;A=nonzRbCWHhC~p5IG^K^ zYwc8j$OVYNRNY#E=bIiia64z2Jc=u6EJbdIo1m^_2{} zwg_D)>rR44i^W0(%+(9^d(5Ez&s*@YeY~Gld_7)K4P@cYHtrG)>#~L<%r^TO=c{HJ z^4x{BZP;Cs9WQk5c-`!T41E72)1rMNgbnh#ydd5^bV~8u3!_PKhYA#N>6pl)$5eqa&y zv%A-;B83iz>}#||Fa9;hrjgCGpA*+M85=jYfosn?uyNMgcilF97fbV|4pZ_0*Vh~N zYLXh#{o)79Mr@*2?WVpMi;BokkqmncPB`N4B7|FJ^|dCVyX*f)Z%b!kmkG1d)*%uQ zagnRgF>8|CX{)HxS@#l4Wl)g!`vdmeF^dhas?AJGjyg>d_D=l_HN#ZXiiJFjwZApE zf%U83;Ui zwQ{gv%z)&>KlOmS!&hyFKqdXaWu-odYG|W}-h0p-R)Qtc@AGa;XsV5ZP_61ulFZdUh5~DUlmYHn@L7_{qx7T!0rE_Kn!mF z+gI3YD0R8`euC2^^iI4Pm3;Uf_o3w-q{=ZGZ2n>t_z~*^?6BZLyft+PvF>f^5!9 zu;)M-Zk9}fSq;%FhyoeFaMokNs$i;;T)}d@CAA^D(1@eE&%w3}C&{%0hwLo?)0#O4 ztlrvk!=f_z@xjz!_^0f*Da{s^fi_;x6J%9v1w0d8;C!xGR1MJ9T<(iAZzkId5Y11j zOm)+GUYgm?9=9UIR~X|gl+BTHD5RClYovLOeYofft~Ddm{2F1FOZe?+oLMQ_Xw{~R z7`9Y}CB7{ zcl!z^Pdeh9&QFL_d<^<6RPI4rnWP0J;cT5Sv@UQd7#v)C4QudU?QRD^q*zA z%3fb*?cC=#0yU^?0vI^!%)e4*Nh%akwk5eEZ(J-O-micBCL&h7hS|mrZTg)b@K(;> zU1uYCIG_3!{+t#QfclGv1SY4xEnvg_j%NIW!VY(d3P8>Mq0H3AsRQ!8gNX-kc&Uvo zA}pZJrD3$Q#EG((SO&nA$aZ zM$+YYs|M77+GK=A`A1^i<&8Z#BJnd_#NTN}P#~GK0+-7Fp3-YeYEI)6?+`xAyC3)# zuo*;~t&%r8ylzR{430_?POljD;#CZ}q;ywM((%go3!1C+E6UgKlWV6b;gC;*#6yF* z3kE?bE{#k$9TXWf6c>7OC&$-5Oz!5c@>l)lUZ|e>`oS}LlWdIY;RMw$Ad-ma$#0

1#@rX4D*!RSB+H? zleACftBh8Eb;S-OEOZP6B3@{<$9pY0=Xo^_$Rh}~xI_l#4Ov%F{uW)q%n5BQA#9}S zvgC;+Cd!LAR^kHqf3hQ9u_E&IkEWu;`h1e z&NUrNm4P&=h{EKw|>icI6Rsc!5qT&2r$zrTm~ zZyp`Ck~F@`>_3NUi<_}MAD`BXrbi7ZlOL+UG*z50K}bp8GA|h<^Pf>p8SmWm*4Y)L zfMVY!$V$L^H=R+gdD&MB6y1B+Dcht&bhepcX77drV4lSDX$MU{=XEC@f56JTv(kb(HJD+ zjGYrEgz&$Lq`g!xQZ!q(t?J|yJ&lTdFb$P&6wE+6(;9p8=Mh$YmLi>rGE zd=Gf#+E!*DC+3WE$us2x(DG9NhA8+ju|@sggM|_{&IqTzArPcna7H;^YgzG6$@d>V zksMg0ufBs2!i?oVS|inixow%Qwt@V#CS=}}V_ZfHh%Xb0?LP*XIUe{4)PJ#Xi6nR7X% z1N#M4DTp{ z8&sEM+~GwaJs!79kxrVf{-b)U2|o46ICsKo?^GK_pM+tfWEv-UzQ`7ZjNibir^++7 z03a%F44IgknOj&|S^qK82ko&!2Wc+b+v!I#_8v(6+Aa)4AA;f%_9Q0lOSXkH89?~a z!?BrA%>EQT14BS(0U!f_@TZ;nvgQ~!=C*6oW4Db;jwKix3^@fldU@LIbYOs#dmw-o?vk-L1ekyy3=$FD zp<``hYxmH8m_prlbaD;~aZ!*hGm!K4c?i(l7U&+HhWcj!BLF6QZkIA554#kjVMjbb zO3R2P1&qrd0*utQ|3t^c#>Jcc*lWI+^28zaU|O9<)WNL7M~)uwb4flRff6|CQ$)5^ zYLbyuz6TRLnZ>BEL;&|Hp5O@iK%bQfAuZU%4QN(sssOk}sI46^0MNO^v^mmYl$|WV z$Zv>hWhNt?q&h_H?kP)#Ht-M>@AoQu5V2;_Ls6a)VP+viL7oAAW_yPYBp*_-Y}ZCy zm#w5~?p3``s#Lb#tAAa$QW&4It$f+ieF&lM2|78L*$>%zTAG`g4*3LU?875wL@T9f zdzG%cRvH@bJ$OCk-ab<)1U)kwgI}M_l13jRBIJ+kgQLzMF#L1MqltI2&Lcc2$IZ?T zZFz3|GFu+{>ACQq+0@Wi&;B=Ny+cnuGh@w8e2R~Xc!WWlc^q@V-;u{uaz4x=o?pLo zA`;|eNP~TdjcNcMo&+EncdhhY_kDLpq+W3!UHA0N`Dg?HMn84qL}iGm5RxbSjQAQH zfRmgM{}6$yo=AozpaGUNI@ak0Fn#4fkJmc|FH&Xd+H2m2p5#K*yQ6PhL~?9zyS0t|kGN8>n{1>7~$RZ166(V=vLb zh>lCAl;}(J2pX;9hrqrRMigqH7tjdAvs{Lzp7sp{ELuwujUbBNMIfTJ6cA)pVFXhX zuV;urM{FH0lGu-b-GwTe^zY8e@6m&FlJ=!0U?_tWqHM#yBE zMc1uCAP51LKQR<&YVr>VB$j-EHXk<-L^Q z>+d1RUFmSw5QSF?%`*F3+fLU}q4J5}m$&9~>LDMemnTK8->HUVzg=;WCS>F`6wtvw zH_!hf?4jooww$U{p%2p5`&Ddoa`^@^Qm|z>{@$3@*p>dAkqWvOD;w(KyDRE#&eDWh{t*GoB(jsyrGcfoA z5fTqIo*{(-^a9XP3W!4C{x(r{5)bosRPw0=Qfd;NV6W&XF~36$b`d2LgBj6O^==H= zFC?A<+j-mAwOvg_#^N8`QxtBg93dudJYoglpTfmD6qBXknShX#mn_hs_!<7>SS}ze nver!$j@p6Oi;+Oo;#$u~s4FRt?ZS&UPfr|z!v;?WPfq?^z(m{H!0Ys1{AiaZhAqiOE2~|P>v7ur`?1~1Z8l_6J zQWTNU<)?%IM#PA;{C(g1Vds9>*_k_Y_w3F&yH8%hp1*>rc?4Mi82|);0w?77{GW3F zF8_bz|5+HIEZq7vz3tU$wLL0mfO(yI@XbN5KxH8qOfVtt_6wY%y6c@rh~O;HG5`VI z)u;b}K*XO#K=mLXp4fW->Cl4EZi_Okh}+iwjMA)5tA0#h~# zHr8T?&w_76oA6C_>OvLd5M|?CbeNP{bw#~Ehlr|1tVUajM8Yk{-SNlll(*?&w_3TQ zO3&|gzc>c=mUYnNX}?l@X?5O?<3ao&DN{)Gmmoxt{(kU-qI6_Plli^wLs9qT5zXgk1~#5d*VjA_T{e(`+S=SJuN*Sh6F3W-3@TeG5YE=-zBOh2X$z zTJJ?K^F5-OZ;p(7KWRp6dZf@)d1zXRrUR$`09iK_FGkANOQz5-Hj{4*nn>U5>8;DR z3G{4|Img0YV@M4Kx`dll?fz07E+kgaMQpkvS7A3?UsvzCJ6K8>>Ro%5pZwfR=1lUf z;lm>TRX=WV)9nvy`iQ7A$b0fA7%KAEoyW$}6>XcPUleR{;B*1{!L+%Hs4In1f9i{c z&VVA0XN#pLHIQnMEbkU3J}sDTMc*btY3sCAYE`>1-xO+v_%1G*V$dxU6*E)J7-+Ht zq$pur25S{}MPxjzsSWe$(DT?ARZA;v1`ThC(U!dAFY6}+ZrNytt$h)%^1T;ajTy!s z{(@PIy?LwaOl0qWLhnL^n)=NL4Q!*$!PnSQmiSxYlyjKE4o1o-rt0EEulIZ6nCNWB zOiO-jZ}=R``CF9SLWSHE?K0+LGHPBQCnsoPjEFaDga*sc6TpuJwm|MG3=VNUdjxhN zyP7-r&GdYW2u5q6LvByWUTgKovP$LEGfK8IiDuy)lsURm8v2_%eHs3TgG>VB(%O_D zW3HE^M??a9ZrhyV^%&EJ6uERi=-C)*QtFA983HZxf9Vg5+J8PLz_w`I!F|<6SUdtX~gYEwP2E%_$i+Y4N?JSXG%^;-$n-fCIP?DlTRd3f+hX7gp3wkkr zxC%8My2ekjh5m|k?cJphGXsq*D$8_#!1T^Y8&D&X^}fRg7WQJbhjrl}WLm6U&z;Kd z-PJRH{O9hA1^8aofWN*mv-ocP8xMPWrX{;}H5k16q1+OveA)ry$V;`bhA2^S@I3wb zRHV$GD+VnB${z^fn7#bjfB0dOzVxHsd1Z=bN(Z4fce#u~yaQ)w0X!Q7`y}@G$(4{R z)dcQdVniWH&V5SdVF>M3Oy%xYPof%^PdMG}y{OduupNCl+<~D?qcVt`ibzXf_ zK#Ka;+ar5-y7zSJ^9gUZkz}dnN8Im$O878RfB%0!CsdOY9tB=hK-6L37p9mfv2&>X z#Qhh=5{>FO8W(;W41kflAFrO!Ku~jVfLF2ljPiOb-$bq!0PqkJR5`U1aYj#jmw6~A zLPaqS@I*MgFoj$}ohvvx)0gJve}`{8-a>a9)AdqVIIZ?Zqu2HU->ARk3$c57=?35> z3-|m`D5l0$>8IihPb^`fcb9OlwJP1~8zmmRZ_^l~8|}6PX+QTwx@}SZuw{SWp(~vC zu_;CB&o8}iF(f#Dkvn%?d)%x8_YF;b6x5c zl(SRK+=g(@0|Nj*A@*>50tv|A$3`Y6r$uY*p<1LRrKSW+Rntr*fgeem?&x{W=f-ZbUT;Z7zlKu*hn@f^1Rb^Ew1E~X|KgC4O1+faCq znUTP6EF!d)pr``9t-T$q{KtQW+{}l_k26)|f-8{t+CJoe!sB?GpLG83 z_L@en)0(5aqIrGR*g)P_2=4)x70UfZo1}8H$FB$(=lsaYdMqXmJ^AYp9oFXs$;y z-DI2dnYsJ-lp%#Fiu?o4{U{`RVyESbdDuOjG38$$96xPk9$0HfK`8rLa$^)Sn?HnQ zy$x)WD9`}+9ls8;^LE-85n8HM2mjig+Oy;OZ~&qE-)vvScrOf7iAr;sa8%#-P4CLX z#j`$dCIR@m)!2hTyOqG_OMYxURPI6+AiAXXFW{@*+fX?tq2_P>9=Nh}z=S%+glCzc)fQoS2?^TDf3DB1qMw6(>y zRXA_s$6TxV(;xG1zRmdjH2Jn_!ON&BlAQdpnpJnoFoI~wIYdq7@l)1NO{-b+Z}^Ng zM#UU)3fvc`P_?!iM_=IN`!B}@eb_XED(~bi$wGa-SJzU*_$#Gh3 zQ#fHvCL4cX#*q$|A>UNL(i6Gx@I|SJqliQaC?r90KaC#ajO;?i;dc*Ql7~!UIS-%8 zd~t!AqBy5966@VCqL&>nAyF6XTXXCQH#tbm=f>F~I@Xe&DITvD!-@OOM!p8XaXBf* zdRf^xSE2k`+lR8DYG;lz-MQL(Ol3o0*X@ADZl1rqa8$x#7^MMTIrTl~ptWS92^3u2 zxjmnvvT;v{O6?f=Y^2|LNT0nSNE2e7r$vr?_kr+cC@-@tH|(I z1C+B)%U?OG`Bn&vL7VS*V{})p_U|$~ZHHRJo%}dDG#KjeEhtAkewXXh_FfwUVSTp+{%J9TDV`wX*)y33d~d-Qsgs^!*_~H zIQKcz;zFcaE52aHfEAi@ne(FC%9I3e*?Gzj3pk*xWAsy<+hDmfI3!KZlQ^r*S8EgZ z#CI5(zFxS&-C_Ij!7DH^>U-43>osDbGqd8~PFz zMf%J9#G!ftd@K75xD|1X@=Q)V(lm~8A)--!OGdOyzYT`>5xV^mCVM*@F{D$*LS*Fr z=gUYi00(d~Bc~hoCF&kipRau4R6e)Dh@ER<%u5!mX8BJk1Sqz#eL6uY=v&t;FJDS+vXm>2J&&bhS1 zX$)kSMN#k#o3@7Boxx*jMH}X~Hf6uF;-DHJfi%eevK{(rfXg1<=19Avf_2-DWV3Vl z{gNv;t`z53PuvBr_vG9>HhP}l4SstaOw|5{dM(h^tEIhK`K5sz9{a88+w&O#rl}|0 zXY$^YvTcQuEb;;GG)_xz@G;IQW6e!&*|@;~1@nX^y|zHc_oS-!!H0HcU&IBA+aYUD zu*v+E9Rf}@8JbS6exLJ@qARd1rY$jE(;dDz40bNxYs@i3_2Rxgx(iVa!QtjLy|8U zZ~hc&#xgyUnd(n8`2sbLH9Eg{Qx`aK#p(F9E1?ddJ3uf91ObNteoG(_0I=LrWBrqY zNkMUw>VP+M7jPhm0(gAf1l)mr3c-0mql;r*;GLu=$ytE?$MhJ$HpL34%Q%vho)nZg zdN`pmo*8GK92H!p#|Lx)9Y7n<;sYvxBp?omb^#)`vtHhc>3#=Oixd&%KhT$T_wl!$ zM}WYR=KS;8NJwY12>~QYlL@I5=qLP~Zn` zmvQ~ceVk_}V*urezC6`>@E(<($&9D>0 zUnWCZ5~AEycXlP@&HB7OEImYB_@iD5$EB7ab7q)Akf*=9gf@}tCGbq6CfRk0&uoUs z@K`jLv~JR}E>qeFvlDmd02=;ugUc}Pw5Zjdg}xD?;;!hx@y8Y!gxIZlgl+EgQg zZz`$Vu_Z$cv$+6>>Qvsk#;G(*i$eO-mbmTH6Xg6nd3@ zgJNU{tF>EcwnIF*NmP1m+1SA@qTKh8DDv5+NVg%;uW7xb)0Jgw_Alxbxey&?`g5DG zRSQF3fqkhckZT~Qn?=KgeP&utjuT|s#35_B~g+VGU)s_f&%YP7}pK?XLfhV3@=p1Iu8s8_8q~* z<#G8vVLZXVjD6?!-pW$4f-s>Ga_)sqNE&L12_gz%r&p`RR*$b*z#QiaA)z)w+x}^D zSNWf@WwsU{&g0x?qM8G^x>@L%pSiPaLHq@5hstHjxE$9`OX$)Dy!O`Wi9JLvZ5Mb* z>Hf~Y3}e?`sUTP?Q6iZPVQFoG-x&I+Bi?AWu+UR&_-MV^!<{(4d9yn^+A>&KvXGzW zUZIelq5;O4)|a{?3u?OlMzD*+vWRoFK-)Ra@|LsU60E^@FaE%%F4aI2xjFg{miC@D zxNx`1x{r;x0d|}JB>gtOJss{N1~w6SGR0By*Y#S^agH+%5mGEBq83rnS$qE;K6?q# zQnlHtn{#KMB)@{iq^i`$h-8V;@NpcG>Vt7|8~c{J-C|HyEq1||rC>`ZN|C#`C2`PY zBf28fk}hnfzBOQa7Lfw(txi}7$5sb!rc zgV4NN_+yFod<+ZV2`3wC)-LLc1szuU#EKK4 zJwHCICF1jv_Qqh~il4-3L&Sb)*?8rbF5fTeeps>7hQj;Nh4Of+o=+Ll?ppVjGdz8l zOGbXglS*27LE$J(Zxf_F`&lXE^jW}Ish1Vxznb_+;)0Y&V=aO>N0X9r*78h~8>aRD z{PSywE&KZ8Ge+2JpX%;C8L5zw*SLDthMP{RMH)_u?hk6f{CN?(vQdDY(xf^!D;x^Y z7#sLYD>A}DJTC+twxY&bk6MYL+iuV{mHqM`xAJ^oZzP174a;rJqaT)L{WOWE?C=~%^ND6F^wMf z8Xx+4Y|_YmN0qpa>O@s?hh2rx(lnJ%10hLu`_uKTRE0eIb}SJ$Wit=ZAmR%{S@W9K z24+gv}yOus9(t5 zgk9BK=~>`z7Fuv zYbuD;qzT+H0kVw#o&<#JpXD|MCc@-DAc8P245=i(OO+-H@AM+&nIaHIrHQT%zRs*T z0y(KO99AddY9dg zx};={;cG+3iq`cm;P)foYXc)t(fr+Az$mG`uvF)pY8J z<5R@(K8RWbF)4lU4Fx0)e;oWcbzvxOcxVzex6TN9ds9j0X>#nxc$|%0A%kVDnU#)~ z0(JSEyJ3d=SwEsupL{G{sT6vh*C;gA|F0U$FNA=18tKW+V zmeh%_028L9>i717yIsiesDW#Qz}tusZ96j?JID*-dwsYa6y)Hb7`e9sfbKA#-*MdJ zEj&VC`{~NtR;bGSiunTc6a*Mx!X=wc{g-0jW(YXCR@5-ZZZy&>5 zTfYgf+SN*2ODu)YJ`;}J&MX@*Y$J62$L4=cXF^U3%IyCnY+F*D9LY035P@>VN)k;*h?|T@5w zunkT(FW$ZrmBpQ?=)f{RheiRfp36U1aTkiXOmo<%PVRv+FD;#)%DEh%^K^1gWuxy)}w!f~Otn85CV@@(}L7Piw zXOt4=T<7i2E0X3;HqQ_gnecw=C!`Ei)4kl(_w@+-YaMU%6ts6&f%mO0{`gVrA4d6jiR<QoKM6zl{oMUzcG5ZScQ+Q}5KNHlw1naU%@vbc%)2 zE3!x!t+%=o#mccl@+dLKo(Dv#Jy2r-EW@3OHK)WA0u5+=5o$EgD5j;h0I?aQ9PJlOUt-SonGu!s3sv*cCtHyNx*(HnNwlCBwPQl9G>JNCfyEphvh9IVIi6K8?X9!Ivw=z(w#LhQI<;~r;o?R<$k-YK~?LXh$5#0mwy6*d=KB0*c5BE|dFUicM-tquei&D?Y3_n)**TIeaW5VxI}n z*@TV5W1|O|by~lZ`h0>iC3i6|WD;Zo$1MTB%MLQEgFP{V^dc%Il#={KSD<}6k`j41 zxi>OOzpy6PHz6&{qsQUVC**iu&jdp-hGh~>ehoKUa~Ds*b|qjfch{$-Z(u=jGZo8m zmy|$fwD{Cxg03z{kCgp5*>gGr7|R3IUA9UBjZ7`~nx>pU89z0i zO-sIWWgkl~L&YFpgdy&)B5$Bw<6yi+dA|Q}jN@99v%u~lnNpRI;M6pe_~?XAxwMy& zDY04N*(sT>bfdu2WlX$FY(0s)bbw6iBEoc58V~)}z8Y(lonX>LumESPn9@f{95Na> zgBtwaNgIBoJCb7f`n=)EYw6_)CuM6c_F7(~`TO=o37Nc)mfmkN9~*PVfSejr_~zx6 ztJ|4_y_DtEGm(QYwasM5G}7(95s?l4C~wOnlVoMw~ zUH=R2x@~aIKx3buT>b%Yz}qbodgeeffX5MT1u7~M1{wlA4fel@@c=?gjkJxL$XKWPIXCIC`j5|sLWBm4<{#=bxh`Dhk zAv7i3Z|`>&FDvb)yrA9WDgA7+F=FE>RNO}2e;%>u=FGuVoqC%F zS4p8*W&Z{P&ExLf!;zE*`!Q<(*XXM5jyx9ao$-hus)gbikkushHI+jMdE1z%57-M1 zCj#wWR#wJ0fpPNSi3F9;`d0<~ZpBwNp7hBaJACXeL*4=y7-wez;e%?0Hn%=N+)kxX z3!^T;b)jh)l^w&{2$bde!Bi42Mut*&jvcuuq$0?{#*9?;8)=t&k#~QL4V-gjm^7Pc zO8So@Vq+Zd1$re}3hS%+-9Dh5jIl@vE%-%AN%7CuNeKc!46a1Dj>H{;JSw2U)H(}8 zTOl_Rz3Zg3qR@a|s>@)2=P>8yrqyENN9NE?;DEhmT>A ztP0wuciUwMS!M_qd<_*~@1TH!O?WO0Gt_i#X>Y&dYvlBOwh&OVe22$xc)9Yjy}2NF z1w!5kF6(dmRQC}sC*Jnkm0!_;=&{QO3(CM@S~sh_SaV@>T?t=ag-rAbEt+;cSPaal zxV^Wf7ZdsP^(rSmQ&`(Vo%>tDk!3{?AUsgUT$J4}me;?qUm~x6X+PfI7ttjfBQ3l- zDWTs{RVUt@kMHPNgu{mt@i>{ww0UR!C!+_g!Wx_h<7!Nb|&_bU!jCrR>JP7Hg z3$ur~maBi_!y@KVjn6B+$zmV=t9oI=)Ev-ZdcDev!B3W_nG#p#Kqzk1MJA@xZr-o9 zH*7<^VOO%8eyY@r!ePHXB^zLuPT;1(qmpX{TwGzg)hbh(_|vgq+14&|n?(i;xFQN% zTQ7RW>3?Nh|Ku4`g&T-b;AZO|Rp9Q@IN>Uv?!R6nm&*{~tjQ>$y~UL(ymP$hGn05mTO=4A@0x= z$8cqc@q0mj-)bz6FtO4->9UY=|26Sewd-H}7bIuofr5CoGm^?SN)5Q@{3O8h9;PmO zle)io=HR!Z<)%YFMgpFQRyBtl+gFXj4Gc zg$jLQfXgdC4EEr3e2`=ek6j zy%j()m-dBRMXp@Q<>IJMI^dJ<8bs+UgELKht)81d{_-s08HIgh)q0<@Mz3?;(+~Vn zOd~td$T=OcWx6eWeTHde2Y(@lSb?O8+R}Ce_Tj;nH7zYUP#zWnHSb=}6r=qqOVbF! z(*0(IX;bH0ICC(|fbl)Ix(+y=wbrgPyuc&J(4ExQLWC<=DbCpBW?Co)(X-MMf;wz( zbHmIIg99A;?; ztDNNU)^a|p!J9azw33ao@jRlbBUb3y1BZjm$D{a|NPgZ9A9jLO@9xAM8JYR~gUIvt zGnW5TS+wM0S1j$&e?2 z?8$iryx}ZO5`9uF5NZly+;9^0M5oUNJY`$OSMn#-Rk9HWRUW~SF52q(U<#JwrDd+lHAcU>FIaLq_YB zZ<|C-3GFIO6nHSAQwK={WJPi7EC8TAk#iQDSOd)M8-(S)U`$RFG_KBds)RcmMEL@G-xb=T%!=_4tlEAP~>#lT20 z7d_0xUh}C;y<`NJ7cAk9QLCuMiE!86A_MOv87LU-=X#~c+-h zcRV-QV_Od)n6O54%p0P=PuW)_6Xg{nqXOd~-$_WSND}m?advGz7Lrc|C(_QW8tbE$ zP=6Rh6rx$8JF!09+iRyJG{DMbuCoX8G30&f+p4ZrDthV@Y0;q zNBtR{e#)RAT9eAbO~1?DRnSPi5ByB zZ=huqo&0TbhMtAR4q9Byos<@UX(ZeyqsO7&a~&DepPXMZh5(y~50fb2KJz|0NIjYqu!Yn0S0LdBngV{gzZ z#qZPUt+(qcl2Snd%W}~kQUKLBD)7_7*_n=m!pu7!sQnFn0z+ydkY~PP?a5H8ugj(!h$=Nm*wsKudyN z=3#FEm#55MH!*5{>W1j}*;dH)a^n);`l;7OqQt{syr6uey+2&U&<~p4PRM2a}hP|^*z?Ytp zu=H~98oxHB6*lERA%_?Axe@w0#_7;Q9c(Y0c-^)2dWW+REq_yjBlim5^;FO_uyvAg zYKN(b;3psukJ|MhfM1M@z9Nnaz4iAu?X{Y6_EL}eNkwYUC)Yg%zBgA2U)0-`YDl)p zBJVI#mqSsDKhY0|L209eVtP`Yr_>#%O21=TWgYbC1zy~BGK&)8r|qP3Z*(%4^Y)eZ z;!d4fmwK7cGUugm;rhVoo6AQ!KK#h{b#A=o=IkMrdB!TptHRUQA)?Gh-(_j*k51sd z+Y&>$sH=RMetUrVbjOBFf22t9o%~kF!|t*y+6Gs9t5W1N9TcBR_2kOQWmQyk7?Qpo zEbwu4jp|wZts>zta$+}aFk?Mje~sK>nHorDO3k0LC+0ybHclT(`O71)>mB|Plj_u1 z#FpL?Z%NPvp#kmxQd8-1M`iTGM^?|5RgIG1D(8=AyPCCI)KiO`3-xWtQSjTxFSXTy zV}TD(0VH8B(7)KMX81xje((nF`t3;ond|hI((M0Dzw0F(x$-3$*<#l*+bQOB;sC;q zr|f5l!olh_KMweQrUQ4nVakw#qRtH282$q0gDeRerFF8_+Lx>y`%03rXT%0kt1+! zrotJ0=qCJzsX~Z{CK(~xv2)DEzv4l~&Z!Fc-8IpdG{tsPwl|+$l>IsE(IP49yW51a zPt&4$dBN)&d8Xka6s}e)z*T?@LK3f;tfq3r6t8>9)!x>-r9|B({uH71id1N3eZuUw z*XjkegES@EZfF-JGX}NHUZopjzxK4|*F$~=;cxmM8f#cNbXwXQE>maFfi`?vRquIE zbR=&B^@3hy_QNxOrMuYThk(Ltpj73_*bS;|o%I$iD#0<0AO-*b< z#WXI(QPoOA`UCN>-Q(hN-eXwy_6X26=lB@3GS2_;=nAc|$9vF_x)(T{k?t(=qoN~r zFXpGc2@gy{GmmpA)EFK2o?#gD;kp;_=NFpy>s8-@nV#Ve=%+5&m0KU8dBhwLNscr* zmld*<9`AO6pD6F=o;+k|pK|#?^YI5q-7rivd4(U&>Eh_LZ8}E`BiZGeo*x|`uR)O* z#rCy}ZeDHCw;cWiT21jYPL}dI%EKm(*z?-do%CfdSyb+cxcz0ZY{)BZw45?g&CSF= z6)bo>CdhaiEwa}|xkxKE3Kc&QJArKKh!=fpX^&yesJzr{%0%LGBvGKwv z2mPtqe)XsGUN=(ZViRP z)$TIXi+?zYB;*1=^Kvw-1%eUIuZqOwve|!&Sj{2q#{9ny2F};Z#k~HBxLv{C;k+{V z^?L=bElr1eUK5^Oy}2j>4*S^2HawPp0rNk<-)@gurK@_vsXpLlf?0u!jfwEj5)w7w z(LtrIs+1VwH`I|e7Rer{IU82_NkCb{Lv~3H=Kd02G;o4}#^q>X+WJ?^!q!9-Uhp*i zcQAHx-DP#{CXU$VFp^a=e^u!NX(@r>h3>G`ggVp9KZi^?4;TKB$kWu>C6t z7o>BSkKi>6+@;sdVGq9R8u?H7?WKik7kMq$hD%O@p}}~NY{&V|&{^hGHNZYz-6EWn zu3W>}_qVkg$tfG4Ik)-AU0A2xue3t0)?K8-{;U3^+kQ|trUO!U`jcqi&2aN0p@#G} zC(SPlOP}hN&`BWXBRDtGZ7`m<{FTcW=%J0B&Usp(R(FCbC&`0q?z367D+tTqJ0$#3 z7d_yaoeSv`_2+d|Nq@fWA5&%e%2DXtm_P3vZRGey-uH8@8?<%ZEy%+?CD>W3^=qCR z%}X^i((Z%h0mlc|3srm6GDH{LMp!nh_Sqf|!Z2B9{~Zu@vC=-`T2$?gTy;lb+Nx$H z3Quq;I_*{|hIKMLYjWA^jg07ca?Skd%Oi@A0AX8_gPVz&|3*jQ!dRue)GmX=W4|2L zOCN2Y#%!(IseLG^;74lpR~ll0fz|%Cqm6dvIIXyxMOEJj1zjoHs+c;fKO%oS<@{II zN__vjj@O_}=0e36X)kSFxOd7nZ(11!7Y~7YKdM0n!Y6%oY)Tz&wKw{BB=X<#O~!Gl zurb^hgMT8zbW|1OuMlBOq-xQeHoE=n6l2KGWjpIg%N8mTc12VLMs&#+yYD zKShNL;aKdi0?#Jllif9`%b2O7|%f8@Qee7abecMy#u55$=9<4VGJp{tr zE-;8>`f^#O1H6!CF-M0rP@0^`IQ@4`V}GDOm7$a=nTiYx%0g>pis2QzLPsnvMcm>k zG-ka5+V;eu8A*vtOD@caW>KOM#r`=z+wQyM*#tFZx^%=p;TZqqM6gTSk{f_F=`Isw z+q|o?-E5l_871GQ6e$gBE9?P%2$VM2XE;hlF^+On8%H_$441KvOOGh*RJf!D^ zpX~_AJ~IUGz=HigP8tvDIsQlT8Q+ZpQk{c{^?@~70C?p}pc-EUob}^c5 z-_;PNpDFdSJgnr!>(JA|>%%kUloxyJ(!*STGvp$2XI}hkVnVVD{=zlGdxarwmiICR z;OVwP0|{BXE<6}Fn`*U0pVL$8dkCf(y~NU=@G2aH>&2CoF#hlN zfSp6$=2*3}vTTUY_SdkD;`t8QX?KfB;zo4#GybgCq3gFJB~cy($?Tx_U5g2;f{J_W z)25@y@pjgqh~9=$TKWl*YAim95EYpe38u$7CvGb{q{hiasod;;Gvlw`Kht8D)imw< z<3fuv#4AIHI(SfMw%~>8G!m=xC%;f*k_0nbozWf*aM}Ri6s>M>;t?MzcBf^5ftF6%2fXc|H?gx|ccfR!Zj?1#RLsRZ z55C%`7+nWtUWi&IVl5Mh9$$}4)=bPkTKjGd4G<$tLQs6q{k~rIey*FqetSO<&F-*&uic)*U3EIJwX#4PLITD(cE z;bdFMwlT|=^y9MbM`ugni`S~>7ddjok{b?A3jURz9><}3MH2BT?VS0iqT#n0o%1`W z z8X95u9!Xw<-r@^CCm;7IuSn6wWBR?j%7!m!NHNNbnG5f}1FB`MBOgAt8W6q0a(QZZ z=nF$SU~?_~>!CLzrmNKy_F;Z>@)3QBn%JF7vHSBbM;kb|OYijLu26Z_I%I2Wsgh@E zwqvwS`;>{7T>DAaUZl=b7jqanzr{RI-atZhzs=BnOIA?&#U0UWpZ_h24!oILVCOwD z7cKO-T^-iWVEP7>HjAG)-27a;{Mx7W9i1ENOz_AMw4ND7Z4=2=C4H;6cp&rc0h)d> z|0!@o`_{!5Dt`zk=X>ESH^?bSUedcmXN7a~V4MpE9Skkm^*8VA@-|BzZHPkh850|{ zB}i-l;cmB=Y|2e5S;aG`pf<#AgM9VN8$|;>xlT;dCQx;?SpZ+5b7Y22*-+lvy`#@M z0h++g`Jh3;ioPXftvknMIr~rQ8btEsJ@>l>_~8Zch1M5pzea)YE=9R1WBU{6seenV z0#g>IoNkt2r11A+>j9hwgAPMyeTR4AXo$v4-t~kPXETEB&9RXczfg*JYRPnpRS3!U zUTG|fEVzO?GFKv?RfmKCQI_{EPnC^>4qzCkz2x+J3FZBR5d3un)cX3giB)PQ&gy2Q zfX_+@c~8Oy=Mwup&@iL7n}_D?R9KNR(N-CajA))^s}nt*6|=LSa7T5TY+n!ca!XHpw8J*wTT#dcKfEw(P@O-Sg+w7) zYz6VSb}|~vz9}YPI!P8oqh{GiK1#~-2zzS>($dumQ_veC`S`MyHn$UESFer1T)F)_ zWk!pddggEO-*Gf$V}Wi@(S*Y@OT`YK9-QDu($ zLlrc_Kt(yPY;iSr&XW&#p1q*O|NH9>NkC?xVDJk+cmW5g)bHwn+?N%QG%{nIyw=0{ zbbjPvxF1%gdCYSS6S9xae{4{2CKz!Kc#uA;UPXUU|6ZMR0~|oEYMlIZ<6p~BDZXss zj$8bS)fh-lxH07iv|y>-LSz0LWOuilD+Mk15cG5F&m}Jdov5=nEOe38@1<%_o852> z?4slSsTV~>)5Y`dIk~7V(@dnAYw>L2GyZLV38@2%?gX9k`Uf!Dd%32LM&F#6bl7b<1Nn5bx~cGjYI&Smy}H&88hRyMy}H>zOQX zD)caQBPKGX)+8n7A5-T|&u<3~`Z_xS5W)BkKcuMsKbBeb85)9Z?3Bzoj=tP_5G!D8 zb#&Y}E$;pc$+SryXXh{f2D331hXj++Fu&AZL2HmT7_)LblAQdr*&6L*;+`@U8c9k1 z!%n59%gn+dkSG%wv#W+qmu(9ibaFjoBQsuWQ&MAfr0uMEscFZlh`oXq3%v=Jb?O40Nb#*sh3vzMv1n~{HO8;L_NZo4G&w;zDT+OngJKSPeGR6)40~Ua8b2r zwO=;m@gJ^|6=AFKwzPbOTM+_97L()IqX`m`26}znoPGi6Pe_B49R|4UcY;qNS1saK zPAmRdfoEzEJrrcTa)ro#v&Uh`LZZNWHD~64W1o|K;umF90;J|y-P+=FK|KKwNEeMZ z#s~!=QR*w4s!nup>4X>Ohefaq=U^u0JAyW3sfk1NA8;yQwxJ^ipQ!w99 zR^x^6P%2NgsVk9EJ#7F8gMvf3OTu&G9me`E@uJ!W37o)z70lJ`06v>^`k~cR8h7ti zg`8f_t*yXGY5S|ySf0iohnm7`@rx5*cN#yon>ghz;%iM4w3DuAG%avzCe_o_%f#iV znEz39*MChtTpYmfdN5*i!$|3nR6=r~Aky7BMWnl&!6&^N`ov(_~K9 z4tvYrc=P1;$>Uloyad$SYqe|#P5RYOLKxYl5UBb3qTSEfF5;pRPxGt5bhLa|ZPsq_ z$n#ykbBid&_4fPxBZwCg1|OX5A|s>(+WY7ZpDXB3cGMjD{UR9P9`L^`#tMBfYbL`b ze3+&n)IR(PgwMT9swZ=|Zw>&u?L<)PzvqKm{)XhN5&n~!Z->+ua|3F*QYaGVdn}e< zel4-J=9xA7#N&CiTPCKR(&?G!(?Wsbz7Vx@fet%229>n;E}?<_EY2;kHRR%=d9+?83z0#I!HxlK~sRD2jL4@OMjkVa?t7($4rK;vhJBg8LhI) z61kq{FSA}GnhO&>T*V|kePVS$*Vt7EFn}8*L%z3or{tbe^)iQ&fn!=BsG>6;@ z{|GC68O0%}3o6CH2kGFq03m9k?q{hgB{|}I>GMJLrqb^a#LM?c{T+;|W%z%Y5kUHNPKJ5F(*H zDUB#_Y$KW-8?Oj1y!}J%V?z7$bkSo!7k=-Wsp|ND*1&|{Py2W|4FX&YzWH1!;PeHZ z*mHrKH!h$~uG@xC(^LpKPBppNgYYejtJl*xA27FrlH8jx>3ECGd zfS!C`{)fv83zPh4_pN_41M4|1iyoY?osg9rzrXZ*&T~rkYS=bM)40)^I<|;Qekl0G zN1#0YZB+2!N6r`TEM=)9c_Ws`-W~h>eeuP2%iqVg1m1-kZ7O_h9QGw*a3s;-CNx`9LI@nl8*u;(yS3A85TVcNqXgC;7pR z`sR%MsRzL0>(M$x=CTbMf@q$M63JPZW_<-vg8r*5m{K=QlT%`pu0cN+q4R$z<-tdP zLxn*RJT2W_KvWNcJe+){y|%}8<~*|P!46Zb1m$`SoH85&)Tu1(wBLdpSs<>ZotOOu z77#Z9X?~leA~RfUp1+falQ`x}6hSi!kE?SbFc}U?i5u5Yqs?0T!|E5*(+2~Bggye^$Lzv`$4 zm6*8Y>cIHBX-|`t@jR8UKF<9fIk4u?R|wdQ*8l0I+j}H=x7D z2Ypv0)%v8JQjFMMTXbXi4Hha6`N0Pm!<^xhfS%tC zkBXB8{?6Q^=SeC@>qG_B0H*Ap?6_?h1W>3rc&f4s2d=>0Ac(&3+^}WU&>J5y-?vKZ z$IgQ9E7poJctKjLAaZxT8xl-}??7WOEl>=$QHY8wE#=tx?1|*jR{jpF9%X8?`N0)i zS4H17-pN^2Di=Mgf91dBwowg3SdS5`n-;Jv1?(+RY&qNZb~Zg)X#GN+zwuDklhR8h zP95pUHN|EtuIjU6F}6a%m>|6fB0k5-cUFS&QxSys;A*Sp$@0?sx>%)+Bgxu zXyhMn%rVfr%eJ7VsF|QKVfT9y4969naxvxlKHg$4f1LD zlmy=m-wKaL^gc|J!Xqr~u}A0HGqi`faEs;4dK@&XwX1LWc-Q)B9uvf&sr5_HG&UE zEjPV^n?10!IjmXuB_jZXKb~e3u>hI|4XBQ#{0u491T{_=f?hn=AN_J*dz1e5q)hJt ztND6>2A);QsyjtI&>(=f<_5@XM5Gkpd^jZl3}1Q%s=McLnJ98GSXzJuV!hY_+a}alPs^FRYF^8F@BJUgww*+eqW6w)Rm? z)hGbt=)U6MfRSvvq86!qzs?-FW(qh))o`Jwq6k*X0+$83ZvbDpId_RO?C$mnskGh& zUeY&z5?Na7fl`k2W)2E6!$-)|`1@^M=oX6dX{=!hWMMx;%|!)%J3-;e>7uC(8jr=a zb8og#(jfQzcYT``1u0Yf$Oe#oL;LIj+dNxq3PJ}jH+pM%sL>nQr{2rv%;&x7+DHMi zPitwf?A0D%{=CQ~5ZP@Dxz5e}X6bQcvug5Xcnzv+$KuapRoZS<)tN?Z{RE}5JmG{a zrF=)?2NOt)R~|_kBoMvXQIZ#Un;U~Mjr+=EQFp1Q{r2Jr$559%MR8+4$C+u)Wm9-I{W3dFp;}Y($pX;;8m#8LE z-9`2crN8VR3bUouh9cj!Y*pXyGUrzNfcp98N!7*7Vvb$WmNHm5l9Kc3pFF$@!pF+x7qwAz@ncPjpYlhw^*xxj$uhn=+#I_9S`WfpjSea(j0Z z<>f4UKO*!78_(@jauL<2xYaKlh7xshYAlav*&qvsC*F$)+Xs3sD8Kc0`8t_~Tho9;9}Uhf?Z21bo19 zm~$r+6#F`EpnWKr?Qf5tTAdPQs_*WphS;u&+kyffs zK7HHo^Uhfi{+hXW#T+*|d!-Z_0i)%+LUq`j|E-=dXT1@`ayE=Fx_|8&ir^)d)V8DV z9ijiOLT>(Hn9)^t77)m1i<3RA~6NJC)jI?O-0lX4AmR@<{Y7Q6M^ zLdW1wfAnED0n+czhz|p5J$wUvYRcl=y;ye1c1q0WvS1+ggMPQBTZ=^@(xJvHClX7C=!YlzhBAs1QQ9=`4# zM)3vgfE#za?YF+T6TQ*jHqbdDtHyVyU^IN7dFH2O-ncCz<53a!%vcFT6YL4K5I}Ly zkyzZ#6+17}&Z^m;nA${SL&O<7Xl3iVnBI4*yuQay3)^OJN!0I6Z=<0HXjE>jRL>rF zz8>t9`MY$qW-p9NOWkAq-K5@Xx^#5(S+%}a@gA$S)yj(~{Dd#_;4Acuvu6Ystw~*Y zD(z3iCZv=6O;E`@6eTwo%M51AVVytT9|rBgt~D_YqZg{fCuGtg69At>^12E$Xvh9X|SPLHe1Gl zPr0c&Xp|TVjL=WgSrue$GYNT4!IQf|*%ubKg8%z_R;THTkic%|)_Iz2WM_V@MDE0Y z;dK2DWen@bL*sV%o14%6R#TlJc96xJe^nMiZM=@Cp(y%$Ju~%>zPuL}|F%QbZgVe) zW=ES@F1C7F&itL&gzXA{*D~aajSJmHrn>AFfrg>Y-P6_ zw!?qk;?Jpf@l_wiU)*CN zdgw%83Ma;VaQ#>DDFm+51`>h>n&_ zi-f%^?p4UIV35h3IYH?cn0o``^CbtHwxC>S043!)5gZFm`47lCfP5e4M^zo}iGAta zw8y>CgIIr7sy)@W_ElLZN#bqJv2=yjuf#5Sj&^S5e-D@WRIE?Q7FB%Q%8mWiH@Yth zB9F(b#2%o+FUA(P47>fjocoui^A3xd7!RN@JT)4J?H-+_$5fvo#emE z-QWGiYi9u4EC2g=kL^ZJPU=+bf&W{ruL{tGwfSc~+ytYT^UG1QBh}~Ja?IFJ zi5pNZZUco*@wWdIF*VZsr*tyAQ-)ubg+>?n;$PQLT3kRGJ!8Iv8EM^hJ><4sQGdnyn8d=+*l zNI+|On1$+1BCWAjYdAeqAiPewQC~-l%w;G>R}unJIeQLnO!vX|P+0OL>-F6aTyG=w zw+&$z8!B~X$-}zFOGbxm4l$T=G3cMRi_FreUz=EigKqSvpO67$;n#p)h&f`~7huo$ z3ju80>&M{Z-XOmrkK)Qx$f4Wa<)w2KQl8JjK|KpWpJ}!O_IzH`GCA^ZzOv{pr(pJb z$q*;~S?h|&jlDqa{!er51VwvSviW+}w5oy8lpHkEf=g5U7pO<%C;ZV8b4^wkd1iS` zHYmYxP2_v4wa74?-&Xr~Em06X#rtUObiT5H;(ciCj}5f^)=#_nrfLt~v#BP&^q*3p zJV!>PC@|Qz0B$YkjVMFWypH@TQRMW^r6ceo`U*qKL&zbiqiZpoF)(FWgU?_`86E`? zf#-b?`A>6g70!7FDOi#sOPXTlCGeDPS0Zl71{`u)YjXQGB}vyZ{$0^XQx! zLEJvWV#&&QDlou~GDzw1u-n}FQvjZ(Fvs@PhK7yb#zf7cjp_SwTxz`(dJS62LPL9a z9x;9M`rF~J?jCB*@vD$&k+B%Qb{o~daYfMBU-o(rkU9ZAy*eo9Z&}mB zruy~=C`Af;c4B(9A1{nO6m@a|-9%WuviR$`c0QBHsrjRchyCg?iiUm%7itwFg*gLK zId=rW{f_uEjUc0NuoyQ$JC1U#0G1+uDf-8vbU=AtFY=6_aJwKCc2MJkmv-pDFMRL?XWQtt61HYW_<==j2?WYhy(U;eEXDa(el+OX(lrwb|(vq^BpH)(7`nhD% zDv3_kj6c<9(!Kb;c{Y9=YghfE;uFWJk8_&8;C_Ia+5Cu{M)uXo-;?US>RE;8Zfo_v z1A6i5_X>t^I^S9R^L+Rxj$S-TLer%wwrgzcD8Iw_mg+*KtEu8Xuq7b-tXtCgj*CKn zbVmcfMr2Y*^MC{#Tk7AA^!Sbhkxg?O?hx}hA3nm zhLbA3#C%NJ7X>@td~e*k7vdrw!TtB=Ud9kVZ=axj5?UyV!bN(QMj|6-Kxk22;s$Du zIy-QM!)aE)X3xuhLoLGX$^_w-)}7WhLn?-EA%xyBET>+(O8gz?=3mHL9qdz-`s7SwB%JOwdI z`O!JOFIITunmZ%Mz6xUGqMwOykVfTB1Z-0bBIMO#PVZZcEkH+`caCbMu!HK%^O6Ed$A1&oNLQs!C9( zaQD?wEqDN=a=opleYti%@VOUmLD+CrY`u9@4)MNmmG@?^b;h_=$SE_d9 z)0k1#^2G~eFN$bya)c1G)5pXWKyYl;in65ZVA&1sFn^7?=@ziWG=TKi z0oy1P&<}j11+yped~G@TvH=}k^vQ$-+m}|7GTGK;5yYaJDVP4uzFKqPv)L(ZGgYp~ z5IopNz<1SG7QVqaD@zR#l+s9vUOSd8S+f*Dy}pN6ZU)f|@!e!dV2%o2*{+xxD7w z_L_RC!+B`M7j>aPhi&;y4K&oD@JpD(V9N_4om7AHn}zL7o6P{2VvJ2$ zkbleJMIcolCE12}jb96EV%PN1`Q$oKFHO{H_~mt$h3<>Z2*^(gsbrTcreH!=8KBnB z*fqnYMuXliasP@iP#~a-2&RP9`p@ z!taItN4m@o=Mcue-29?sj4JWDK`KghTnytVvcPX3kty(XRGxnCn;s0^KZMV*E|^~K z`#u5w2>3F708YD*;os#0*rkoS3+_Y_9RK=Qx-D9=y@-S z4TmrvrkTO4M$gU(Whwlmd9WKqpk8*QLaUF;5C4N!^hsN&2H6OT@2F-Rh^qp(N*(sb0{Pi>?m~4%-#_RsL-kjnwpTG;_6owpZ0Yu8dh!0O zVX=(w@O7>uMts*?gubSujAKE=h|gK5qeyn~^ta+kO?58&h0JK4M_9J-B@R`dj7!`G zAllpE&J9hjV2>G?HW#PD9PB7pBon3A$eJt)l(xT`Gd|i{{nXI^crNGfyt~~{uF4Lw zxl@yjB)N>M(E{O?v*?LKWfStYRGt}f{ zLM6(h)vQyJ^|Sp0W3@?gy==O%^O|cWbEXPG786#bsTn^Z9PmhzEpZ;wITx|Xg(nQi ze@v)zCnp}lOzgoe>lg-N;4nNi5S;~9x#}M7hcKrxpZDnqv%4$JW_5akm)U$x z#J_Inu}=|7;`9D#P-wr&O27Xe-|oi>G+qWCI+Yc8-bV-lTw2pU7;FHt)Q{YtiZP}#(C6|(Am5C+_ z6qTU}_m~lWU!;p&Ju{cN$Ph$=a3rGs+Va-$>RSs+hcN^@R}WqoUi_|{!Wq4(qA{}B zQH{iFN0?`pJ9LH4%-LAvO-dMKOYsIWpqb<_s3pDwZ;XDXs>S)WPC6HH7+Ngm(l zNpfyota$CyZ82u2RKK+@dx*^BrtL-cw1Mty;0 zBYdtGT?t;l;qh*i2UKTOp`4?SAKf) zh)R6R*gyMYOChB9r@PVN@&L*F?b4G2_HItM(twQw<`*Lj%p?6rj#nvEagL^ncUTbM z?>v+XuegW1MhQsq(++=!ikBpxp7S|o_4LFI&Ke2!<9h1TR?bA$F{LeYloV;{G!crdJm%FXTxR$% zF(9bUXtx|>D99JRoND9lvTOXUT%ivu76gHrekgA`nJvRM3B96V;&5fh)#N0>xj1q;T*G@iYZ!}nH=1eCl#KUtg4+(nLPty zjiH=P4m|NfCXR&Bh4Yt2Ei7u&SwdQ0Ot6IdE*}{flvN%iPlGnQJd&bA;!*eU8RT03 zEDK#sLfIVoU77X5V@tbz4ZM_zyjqw-h{O1|?EYG{xKd)7>Mz!;sD#xILHla1Bu;1t z^c-|wc@pqy<$1N-Xa#*aCmdb)8K$gdsBJAV$7;0#8h;6A>5%Ij=e1rR8^u?zV8XEM zf*G;}9n{^#Zipgyh^us?b>^>a&3RDI}aE{Q3o^3v&szw)u^5*9SF^G$Nyn!C1&68VS zR!TZB9A3{gZR1&sTcfp36G>Bum4h@+7L^@s1{jm+!usiGUL_@>Y-cN;kpt^o)lx#Za;O2>(<2Eo*gJd zK51vh`o_}jxwNLu)6RZGy#t$l$0VNI`&IXnPJCpYtths40A(KCbLE#(QBNkwk%$s> zcS&V~I5i=jdN6vJUr=4RiZkGPG6XcrFPN!`$?>6L`{Pv}ZJ+iqkPj#ep0zyQLp;9p zdAGW%HeeHSd6OcO_9LJ9afPompVjbL)$&mFGRuv{B#fO&6D*-s5~e)BLQx9u>AY{q#lF7L>;uUohCm`yY%@tLKi51?!k8|vru0-2?xs}>1L^gk#kcD99 zeU>MaCxgq^p1ENNV+v`}qwr}?E-jUT)K`RfsFs5l{%@os!F4#FdI|-oE{aFUq>b0i zYi2`Vk4P@Cl(*rDNe4_v2{|J=%iO+7^u=K{Xwv~cqkQ7Q;rpi$EGe*|!XLnq@w0q$ z8135aD|qK(+@o+dvy^jmSv*93lBMPEe>c@{;jOBVzStBt8|beU2sD!5ytorVD(m%x zN@Q@l1$e(8^sfSt3ItM+AD$n(RP>v2HBjk|6&1o>2`ZVd^Gc>dc)W3xgeJu;rnT)V@(#MW|3yusSZVWGC> zmowAm(Wn~-$c!MmzOI`5CdUEAX;2~=!<^k8Qgik39#c!81gQL8FXmFSwik=b3zVUy zCDM;U2Mh`@N6N+3p-Fs@=q9ahCksl_{Hm%NN}hPcotG?&)asK6oDg!h{T@W%6_s*J zzQO{EB+?i=VQ*sowJZfEqQXh(Y%3r8~7d!_u#$L%k_=|srUzZ>uI8! z3hwLMX1+ABfOub9V;oM&PRjvDj>SFY;fRDY4Ga}GKugS@ASXd=(Z^3>Z=zkof9>Jd zU_|(|B|nsFx(gZc4E;v{d=U<r1Wr=t|I%Pf$M}Y$(IYXvjKuoqx=B-n^tu#F`W7VF=ACMMX0en@;tE zdrqDni#K0AsUnjL;yxPl38>KjRttiN@r!W@;hmT5#a#t0t0_sB4|nd{xs!-F4%c*g z9(%Fxl146Sn_LJQk$jr@y@+8okVFD?qiv zJ{%=v--o(uHB?iX#8=VrO&8UoX2}jUmAeJiuqP2e5&kd^)WTN!Zc&j%`a8eh5_cGt zV;oek(8ZWttTVqRs6S#?>9gU*$v6O3M(FZC&NW?q6=p&}4ZB) z;VJcr;ZU(sUbVnE1z321j$cXp5OiMsXF_Qqs=^wcnzphB8g2xc$ERZK#h}vmWvj*m z^HfcqQNdcbvQ`yYRJUrs!1wMySc$j+{v?sZCLQH*OqvToYRg(kf#$nee}opL)X2pO zDGUD&!C^%C_*8}A_FJ9lSkznxxYh{msR~hzC!Ie~ix1kXA^3W^xSJvTCy;l#Jaba( z;-Qg9JP)RptK0wTUmj3ageS9eT3bXC3=55pc^}6a7n+!Qo8e9rnp=2V;;ag-ZM?BK+d{jO z-luT(g$}2^9dTz0ot(X0aIS@J?%p0a&q6P6Zy%g*p`X8Z04}gFDA+p$ced~x&ig#> zLSg7d?=ak@!te<1NL*B5^kwfDBJN5dp5Pseiz|%3>U|BDP?(tHos1(El2W`=acPC= z8Qz(=>xEfl?`#~UFeleL50_s^E%3g9yIFYaws#?}sPN8R?|Zo7!ut=rAL1SrmXvyz z;mQjiKk0O1ZE_`0&{Q~#0u(r;-9@kLV_{zHp*Id}rdU&3O*k1U$!@CpL zRY>dh?!omI_Vs%Y;NBDt4tc-D4Hv$9?>&P1P&hi~{So)6aQw6P1nx^AebRdhH(fY0 z>ph2?FI@QQy@>l(`2C0XPuzcn45s%Ij#aq4;=PLdRk*h9{TuhEaO1D{CT^>6`=9p? zZnto6-}?Z^-c-B-c_2DVd?2k9%t3SEeFZ^>z2IJ|0ye&QQxA-VZ=qsLYeGQwqP>f} zpIDcVIjM50FO7LV2tl`ojgMxzMFEw99?qVzq!+HABxn;B{MfVqw!*K1~q92_~yw-T05j!s#BqA5IL+)2!u{PX&@_A+Q)0m^9& zSS9tZs#~#B)#F#D3iw`_+!fT`1K)nvqx4nVAC!?r^)X#7(dJyC2my}Il^>QaSHqo_ z9z6-LhNSpnp#1eJ&bn#3J<#?pRXStvS{1~@-O)<5luFX$w|4G zV)3@_6$~}_Td3mFi;u9CjmsIA3D#D2Byq86rF=eOQ`MCH;>9w*;+qF<22ZXc_%kKM zr&8}lpd&j=-|g~ZL>eW1JaZb4fLgfAsL1a5h@<7Td@TMGlOh5!?;4Gw@yZ{LWIwVr zXOO-*TL>4W7AG>zQB+#Lv*(!doB1axZ$`yc%Dd;HEo`w}sRq{qU-g)CmaK}lfv332K9U z2~PPCQG_hqTky)enI_&$w+DH}!cp(*m=qH-+-B~18X&DJ2U{F(JS*TAeFdy5Ax99- zUf7b+xRAY5O=WN50~r_CoQy2Z$y(G&KBA^(-W(udfzIys1fdc)`N!A{1-6wHi;4J} zDpg4QxZrtExnPjKK$^&f*%Q}0ZO3lN)N*Qz(9 z8D5L9sJI+8n$yzsx5?n6jgm(@Mj=M$TP7@0iWo#TyLdA4pm_RgF?UpoS4o0QjP4}DMIe3aaj0u30x zIOLlHF{S3{IYrEVk~*+Rk_5Ta-<`r=D_4G8+MsyW4R< za(;IiEDDu>*9GE`B}u2kN%aP+^G_x{4y2l5c!bAz5a|<%Ql6yhk*W+1u}~h4lcg^7 zGN&WQD>L^a8wh@-+$QGSS)Jg)EwPanC|HkTdo6V~TZ7yN(;0$JuQAEBL#;rnxWQK! zLm^^+EdQfLYw_ZQDTR{2Cvo$pw!i66m(4W7rPe2zzh|M)2~d=Ck;v& z;F1Hi1ahI}VZfKc_FoH|u%K$rr_EtYX?+OJwxNE*s=0mq=94WzGXazKj~!482e9`q zs?<4-o1L8j@0(hY)qTgEP&-aGv{rcy;V)H@aK4sV}SK`%MLoYlYn6b$>sDRIYE z)c(h0T>5~m1PLRdmlzwXnr*9MQxaNOdH!86pSP`%sf|Y4vzB{L=?|cRJoMM#ui$B> z4Eu2zqH0EvV(s?+IZWt%I1Fm#X#m@<$<14`f0YXk8?5lXfo;FEl$ewZ_%nTdiL75* zEcX($q*7I5j>y83?NNUo?91rkl6o>bDC;IJTf==X)H*{mUL{i}T;+5o^N2048uPYQ zp)=<0u^Y~+)BZ9?crnZ#3HI0}?vf9>-W5QNPgJ=iwHRvkXw(&XE89%P_YHUGHjbwZ z`lmo4O)ks!9UE{vu2vaqL`j_`DnZFszQ(xK+vE61i_!DbFqwxfc*C~SMfCOCRJ^%U zE~j*hErsV%wQ%(=b!=yh4(U$_Rp)ZAV_z3O#jQvSAq`!H+7 zp~gMdhYK&;2&Y<*Z3$eZF;9g-wO8-KL|<;lXOMff`9e>m2ly4H%UcsI+x3P(=aVtd z&N_{=GmnC$Kv;Ub4o$%h!TQ|}0GeqlX5tC;soDj1CRE(F*)G!XM*Wh-3@*=R%|OwF z70nztX0}lg&BO1%l9M1rL&$U-@$w(OXEeTEcFpa6&mSlL*xx`gOq<`24Dv;!(fh!< zo0@sjiqo2JMDa%c#CES?wJx3xBkq*_OOT^BHJWh*9{+%oi+Blgd$~hs5tA84;k?^m?u%vuNRra1NqW1JpiEKv_1Pu+<|}qs7}! zDQeC3H^s9pTRuwUOt*bJkjj~$>>1B#D2^HLSi0_SUs25w?h+s?jZ5W4h%9#0+(4C= zfCGlv($CaCZsx;zX7gkzx}715b5e&l$RxxOGRTx)Ud7DO53QZ9VIkV`ip5rm;8S81mKGzjuHOy2qD;&hBc?!ZBJUBSNZa*8~W_x zo!f!_BF|F(+hY?`ejS{!4^LyiF-NhJQDa2L*w{4o*wWM)j~Slfq{Zej;M3Ubs4dSX z_SfI7v8|3vlk7l{??c~+R3NlQd3OIa_#TnicBsQaX%3xgC%W(fWho5l$X&kjW(V40 zrs>a1vyj}pifK-m_v4%3-_aXHk#ze(P10bK-qdleYl|ZvuPiMOp4of)FkPy z&Gv~o5copEi4{ZRKW#}YXirYJYKv+pnAMGXX@C;mO^TY@Iz@v;_A|U!f({CA0MxX@tj=e5%Ck?legjnxGQ8{IuX`)? z5``3{W~N^EWkn=I`LsEaHMesB1F2G=pkN(Bq^213Y>)ZFQ;%dRApr??GTQXgPH0gN zVXZg0`^Rx=l~pUcyZJv3<%YXm}i6Z z(hn5#!Xl#qcGd3Tv%Vja6pt`dtG;FrZO0AI$?2pMqqUG@=fDZWNmM^jQ@dJEndy5X zsGXS%;Sk@pL|cJ;?&@$lU3D*m727${@=QEIDGtsIUnhd6H0n*egUE_l3t4&4(6dBYb83k~MbhbHLV4Xe z?h;oHg2-UN3-0bPNFpwpiX`Rc|K;m5P&-6c#l5)u{Kq3+Ngiwxc< zP#r`?5Oob?E>%5sjkoMopR>NS_2Fv@Cg4Q-n?z16;dV~NWl-;#N@_wAf(}0dUyz`ep1F4VcelD#Y1SbU z-haxE-7Uf4x1eU|7%0UMqL{wwvNvS5{sTE%?b__-XEK%d#6XU}t{xpt(q@kDT_`|o z>@#KeZ%vX!bWEHU9gEJAd2Q=!Vu?7;*gFCU*1_Ny0av z{rf34nQ`c>WX7`W@T-&RW^KxWF^y$#|-;D7O0YTMg@O4%~BZt>-|qX{^}E z6VthQIW|T)?bZBLkmu8rioY&o-4sIpjpYrNJ&2zJ03D}pJ5Xo=LB>gMKN6d9oE3*g zNFarSg5@Q4KgUspQQ;bp-yL!b*-FO_8TV&GHvV_G;(}6$7r>kI^C?Flwek)2S}J@3G2T-+00?uoS^at z+*Rc)u&>PqaSCL~{v7LlJM}n(m0IIqiJ67nfLzj(3WLevxQ!f(_fulwiguK-SnReH z0(V}bTmuWqdVXbXNhIZ53fl{ZH}5PTJHM1hmx0#xS1!4Br9-r71DX4=Z0)SfIBR?J z+^Rgvxr$}myMbwp$Zce)`WRl#&5;WB5HzLOhY_8Nh%BAGR`ijrbr-nMf>&#xjM_)z z?#&x9kQ;owb)3g{yE7MJppfLvb(pqx+9#rwRj>C^+Gm5T8hLEq^y?d}U66^W=2xGn8~FIi4jO zM6JqxZ$iGnVqTA^1_pGgf;UobfbNO%voBk%u&EE%)j$TtC`e32HMc8$&Z+1 zEVA4YMkrY$2q|lL1u9ttQ5gj`uh@7{)-T6kM%lyTjC}_Nc_(;vKx9OYs~DhwYX#H}MqG$~loW&71-Q9v0KbUMZCvkT1`cuTa3j;+oke zz9C_JZ6{lTZG3npPrFJ@IgJT1~CL+&tDjCBP#dQ&nPkUuUt#R-tTRb_;$U|AUnXvkVBYCFYWlj92rd*`q;nxe zsz!ibOboxG=8B$qj6mDKK<90vCTN>*iSuJY%~FphA$oeSj0XU>_Q zT9UjjPELGT|3oIdoWP%^xy--;sA=&4#6a$vmydrS;NInOWEva6=W`t!(B5=87n?e6 z?$9JN__mEQ2irfVpv@%Ou?*BE=aqj-6z6HM<-2YVO`{3CD0J;7N)*@>fTqR75YNx; zF;{o(mS`~0q1&>jeJ@~XA9-c(-NjGJ?rE=rB98~qX!C|$-Zb~{w%po@!9PiTBX2S4 zD)jZWf!Cd0vsUYQyMoqJ54-lPozYgq7v(q*2=$K^(TEEm;Sg(rWx$(~rC2Rzl<(f_PE; z&#`F_25MHF7*juWFq1aGW_`;taA%IIyC2tdHnJJNyAi<7K6lOcbTrK21OWF(C!Rdx z#)WB8Fvxy<#QD?}m|oW18q=t*)o*Z+R@BGE9k9pd8k7S!#Zf%w=c(d~oD7X%m*W$d zDS*DdXGSzt!&BpIFX_tQrtX&AWvO0aSm*_{ zF@^C(Qy=K6I%g+C9gdywnkYzGeiB7h-z9JL^IuMRqji}~L~@TfLOoxkrir)pKmpl$ zMRVfY3C+auY)StISrq}d=r*+WHF+DOS2h?)HY24aSlS}|7!7@<2@G($R^N&y&p~|; zSgpf)f{o{-T<(`O4neVndr~HjcroWLw1>$_ybC;mjdx~t045rJgm1jRC!G`_3tN$@ z4l*$NeEA@eqx1F-=SA8D9*~&L@zxf2;`Su#4>NVfJQ?JKId=SIWXi;J00zFau1s*Y zOp3j06XZ|^ay@%ldnNDipa#ukfxv-mSuhC%=$>?N_dNSTBx}q>g@6v{(@t{_@y^Pt zlH+@Q8gezjrTyx-DROjak2ANC0uog-3a&-QO-MWbTwqcsF}odQjfrw z`e_+=RO)4%Xo)a8qE~eJA_1oZueAS;C%EwBpXC3O`s6Hk1^pa3m3+;O^9eoCZiaT} zz-`mco8xVs5Fz5>l*Oxy6Y#OjH|!$TdlNT&73<)QiTD31I`eoazb}qIv#%J8Enyz9b>Z*20Y47&Psr66#xMBPvnZx3pLiAq`1mNfSbp=jZp|d0wyk*L}|G z-gE9b=lw}~x!BhFbx9}iMq1J{m~U^$yB*nPzNtyV{F5E+#1J8%+kBI*5IK;tRG}(v zw@+18Imfmntr=2jh5bdIRH|4Qh{mtis-l6X>CT8>iysR%i?zYEqJn!+g~293 z2LAKKaY!LeBXYEWDjKo}B0t#7bg^bRU zi1=IDemQ25cCxp|Gl_G@g9*%P<%42=q63 zAd?}ne)I^NXsiwvc+R=DEcMK5fyGBXn6&lK{6sq)MSIyc!iWp6Ux+hEyl0x1Fu%i| z>)T1(ioUW=n$!MUlKv}3dhV~y*{yS1>;uBZJ_#}>=8`TYbtKFMx{8XR=g_8LjNlxD zcjg9$KG(D9!ehp;+hXS;f@ZhwgmVrS&#e?hM$hq-qpm*5_ncOttt^;?-wusfxw|#r zA+$5yyEVCfOJq9!dB%?5^yMumD9iuju=8Js#;AMd(_TYcZ}+dqIa+tWxD`?L0F7I|PUt z7Ui0vxwD)3*@MMF2Mz-IX#ow~t{Z>anqJzht2|`DV}@^jTW1I~huFSXL-!MsV{lIi zzW@)>VvU1t6Yw=RSllvdzkJOdxArIhjG2d$GSFh3h1J#}{957V%OPD=qmcWRD@rHm z-89I(^=_MXSLxG`Dq@EtUwaaDmin2)RoG~i$VYVjV5mMSx_do68t(8cVN;O&eIF~b zODrgc%#jo^?kpXe1x&-|($RWmW8vPs>LzY{9SI zd6}_~=!ktI+lK)7cLmA;OK5bcB8DC|BD~I}wcOf%3S)E_ig^gX%sPaxoMySU5utvMOaMT_Q7$)VqYAzdz7F(M|JlKvn$;~oNQbgr z#k;GBuL1u%*nB6>_gS`Y=}wXcQGInMZQqnR+0El4J@;0j?bDWj{yfH4I-PLTW+GWM z875#G*d2s8xkvRWrsl&z&Hn6d5om+nc5NA_mnqAmTYxs{5fc>|d7?n>NWtle zfzK-ba8)Jt`l9#ze+>G2c5;ncj?&lK6gN$%=)-yBTGahZD>X4Z%%y<7l=Th9;!9P0 z)%82qZ{MiIA&05^dj<}9wI}5`1RO(lwnLn#pPPeD%%@Wh3HD|}^q*98dl^D2I+qbE z740Fl!hw=kKky)IomR3323fnvWx(Q|-xagdDRu08N2T zwIRiAtB)tB@Jh8=5gqXT^-oe$_gkK-pXAijLmkZenZC{k2H)^TMkH4NBS zrdXw2VOr6-{t!}huT~_58pdG2j#=qsj{gAoOL~B)s+ut7mGdJ=Xc&dXJ?Q1m0hxKSvpe){pFE*wrNTA)AbS-~zQ zS0ZM2qHW27`!D#JN}jZTkOCn74C3K5(QZ=+5BQl5(d>N88gTY16B!OB*E_JpWaA4J z8~1A*xnhoRRW4r0S*ob7bJyYFV;SSHskt-qm0)umzy;F&^C%~YZSra0h_0KD;{qu5XGIOZ{@tHngKg^vhp3h#ohvjL6G413nYkJAIjPu!hHg?_a>~ z`pn;fv1TEQi>$YDS{F`)(SZm1_nz6{9t#arnVL4m<?2kY z3V7PZ04(K39gDIJqULx0?sVX4n`4hjn4`d~zwNt`dH01vP!;9h?|L{#RziU$*kZD)2~LDonMl?b9Rw!rFYfF}lA= zVzTTr`H;glmS_EYXuRl>M?+fv^=Q?6%Q)3P5cSqp`_gIV>W)CCDrQ*vk018df-C~1 z(K;o5aeBfp2<5yTx}0;Vh5OQvEn6$uewqK4pG}*{_Swamd_RoFo=d`h*^%F&baNNK z8%-NO&w*y)c`=#bOXCaB|4vP0oOWo6YdS~zH7(;Oz!!3!gf%&i*{z(f`krHR_W$@q zyYN6R;GhN;L5mp{4EK|fZt=~DLs&7ufpCI51HzlA^=6|GvX74|6M8I0MQy^7=i0+-&XLy z@f@+eMOvCOc#eo`1Lz}r-0nn{k7~za3f~bQJm>m~efFj^*ddl6K)%l<;6R^jHV5K` z#&J}9S~++M_Sj#yc14M4PM%`h3~?kVk76D$z2_ncvZt}Fq+NR=l&&aoE6?6kQP}mx z8cr&!%e?g-qS4Flzb`4H6;HhdP7BOFDMP@{95F)~KPCPP3VF}rriX-QPne8rhmN1- z`gu#paA@m)K2^;&Y8iP$++XsSoyLz4YHwFrX=l87ab$;W*Xp&FD__nBW_wL(o`PFo zkM(&KMbxA{J$?1LmjwMYeiLn~K~C9w%?oa#2_}S0dTW5KUhJFlTsN<5_M~d!oB}Xg zO@OtYC*-0xxxYNwx|6UYpb_QDqzG6_;jTiX=V}r#q%TVsJ;kNa;1z<6+gx9Zm>hLc3024z2waRFWi`JY@xqWv%+JndztL-CVpC|S=edp&o^K6scXC%HSZsE7G*7hoh>C?GLFu^tSyWQC=*Vcf9Y}cqsvieCu zL(rA29%0i@*$VepMC1o}Fua5wVa!x?%pA$Q%%gLs<*(qUtz^RCX zu>rH4Rv~HPgh;7er`mjier6U^+OU?SbpCDMi^k2V;mhF#NzIB25844r!m4 z`fMX}?!br4npTcT5qLoM$ddUs*hV^P{MFH>JW4{ioS+Um3jK%QrqOl8>drYXHbe!KzS;}!muSYn z%6}m;0`9-y6C|+TrjL%;?^DX&gAzoOq_r}RYISpTwlfw>*N z*TEsgM@!t-hhM|NAxfgwCsxFXsQz+|bj@S>to@AvM2gLzz0fs@A^rhr(7x73bKw7* zc4pUNjRo7ABzmd&V9jOhb7uwYP1+${f9wv-Vsq+z0`j}*)ryfk=4#pJv*Py2J$7uL zv}!w>=bsAZ!23RY(PIDKty&-6al7ntkE*#_=lAFrrctQ?-uNOif>Gmgb*7F1CsQWh z@<5Jsp(0pd*Cj*H*evm|^Oy0!?u8NxR?)S7l89SuABNF}_gsi)>y(%Lvf-pBsoWo= z)q4G=zo%uvpC!&JHbD@Z?Owkh(I5qf0r@XKi?SQ9>4_FRH{>IyB#6|0*y3i=-g$uS z_CMhp^^u1K540ED4>6QD?l?9s6h868#BQl8Xfs(7#S)OCWwDEbYA=|a{7qGl^n~7n zO&3rdWwMsHW{|=$Rm<1d+_osag3`k)3_UU(3ARxgurFL)JOj8sORO2XBiUL^CA}G3 z5l+aO8iLT)b>3lekcJ?&R&2>Jv`g?cQ1kvqJG=-;CmhOGNsuKcI|$awg^7fiR_cJ^ z>EMAEhQB6f^^l{_4H0;Q!XGlfY$MECoLELlX3iF%t(?@abWxcv;@KTUZd0uQ{nVc2 z3Lm8hwn!y$WkKI(5Ik+A$zwrrDY?~E7tSzCIRJ&zkOk9= zbJi^fm(R8@$)p+B_WdDDT;M9?KcQ`Tv0+~XL{`LqkMUf!7tSVhbAR)HwXyl|$>!WC zwX;W7_T&iWsItJTvRQllPm{}D(Kj>akvHB-sD0Fhz{i|&ZCsSHwTO7_j7A+9#s3l?nst_%z1zC(@$#4tb$*ocM9O&u>Y%fo+fY;=qpCzLvaFBb*Iep-H@(0z&!ilx-!w%W?LJ1S zrlb)wThlxs%B;8k@*-^;om+d8>M1 z%XU^Oj+B+|^VZ7xSai)>krm&c<|@P^*33VQRiYS?a_u!+zG4R(J!~c zi>yXrp<7sA(5hp!Ud;%WiA#xr)f{}4I~4jwdr5c@|797XLZ>G5LdPW57dVl)`LQhY z?lERLqmk4&cY20_p)0Vm*N$cHWBf>s6Y1jSTyE#A z*iDK5Frn-dFxn@g~-dIy3z5+E+5T{pLm6I_WK&B5T{5m&yqb?w&XAYZjVk znwOJ05f<_A>ng#O{k=6<{KhrAY@MjyhxDhj2+p*jm-0oIA4=x-SB^T_U&&$}+`Yui zBk1YFv!(qr*^d#YctJ~LqB?zSd#?b{%--I{)e4qp(<-Py6Mb8ty5u3{sw-=+=1R>9 zEcik-Vf(rfZ1Zrch7IfLvgW7Eh@vmVtGS)KEt+O*NRm83_t&k5btxO(k|-+MOkY{2 zTT83U1Rk6zEplA^WO)y3kb-(1W(&p9nQLqlLYUsWn0=z4DO4*YSs39`N{A1pLeWLH zZhSGd)viNJLG5F*ZW-o_hAT3kh$=`wH`RV`OG$}rM)rxZE3TpynT{_Z&+^5N$?D<{ z`0>UCY+5PqKKOofK6t)Sm8tyYd<9c0S%q4C!i_VUz&O>I-TgwEku%!;x{Lna!Hu(M zVl~nhxF1W|$Q%}fD#twFzIhCHYzZPtsiE(SY&|hyKfWXeCYUtC38AiEwrtKAD{B%2 zz0cSsSDE1JI}fv8uBMK3QR^~gjp@g9OIOE{ zEkUn^eK_z6Jzj89r(+L#?|-7_LKY;KGaJD_y$! z@%(o=V#aKt6y7o{pmMV&^_C3Z<~J-Lq_;6|q`qZlnLd?18pPw#C;x1|a)LV%@>It_ zqy5*`?-|7;*cpk=r+`9Q346TKsRmI{?0pz#MeRK5gi%{F+%u$w`~+k=0l`baQ+*nq<%?{x?Cw_{xo9I0$ObRbQ+DI2S>nmull`{8xa#6P4W zdK&F1wKyW$8$+L-8~_c8XU@p%bbKE8YN*}*y#zYtVf|6xJkB=6Jn;%N6O1)9H#GHn zT;3}Gn^Uh}_tOn~7uQ4-%dmPcqIgXHhonQe6q85GBb9D_WIITz?X}$8&|=<8sa?+a zA%NXybeFJhX%gl0b|4WE#Kb53qaQ5q`y+$>So%FNo z^RlEIdR!t^j|P3}yhez7F`>^+dAV0}0v#WE1oPphzK=)F5lC;YK8jz~Bvc6A zzxaL~u5bdP4_%qp^D#dE_lX^!CY?4b@b;y*dgHNXJp%Nz04&o|Z0}vYNU>Ar_17;V?^1)@|Pd~vI1N(=KH?ul%@pHn-N&I>Po`)ckmmk@5_@YPJOQd>k~I2!#< zLzZLYMz`z=TERqguMda=(bB9urPhDC*CkSfitpTCgh`oAC!}Jb4*M(5Pl*o&g!mfd zMU~ABIwMbP-QMJ^+qkq})s3=SLYquy>PDIT;9uektJzYCgJDd*`st3y_1fv`&Y5q~ z=cPzaCyr_xi2ghCK4;UV1k<+KO560sx6e6Y$+5vdbi#9Z#DyXG0&6G!VV%&KUdD9? zbEmb?$0O_6?%eiAI!^edm1W>wS$Bgq0?g5IlGuclZ6Ob@o2&^q>c}T9nCR)4Eb=cD zPt^ZO2>+W(FfCU*mZ=WvIHYQr7p>46MnPNcvtNFF(Prm2YzjodT0tslCzqO|6*)@` zdsl7FEvHecI}8Arciw@?YZEZlXSb(*xvJxKp-{Hhew5-%_l#egbCm8u?zFzA;BYwB+r#0D{Po)~*l%=aw3Zb1HTUQPc4*knc(+XX+ zCB0;osEc^3S@KFRC|qw5qvOqi&^;h+nEJtUSYqab)(73=iJ2PS`cH_oEgtXL3IeRu%st|UzM0D|+=g~e!L9+xI15t7G`A3VK_ zY!!SJiHE1|f*bJ&Gr@94!dqUm5F%f%=ZgkAz6YMC{~uVbaYA52X?uQZXVGeifp=&d zaWPj~0vEkS=x0{uFA1ifXjfEOR@>ew9K*rOBW}XuuQ;z%DGm$5jzO_ zSDV##TCMEbf)j*Z28tnTo5F&35nHT4n%7ORQO_>RRveFH>E$3HxS(ySB zLZAp{oC=LVvl5PIeu;As%Zkqmm^dPPDwPxst4%sex3$-|G!9EhAQ2IjcgoFKf_B*0 z#4sl~QOF-nmNysk;)NYfqT;_Q2}_b$i9vqM+v z#py>I%tY%u5ct!H0_Y{dmFta6wh*h;13uLKT{Mz=F0V`Ug$A>k*tOd(@ya zXkCyTlXZWT2>B?25o1<_&1JRyLD;<@6(>z=pMC+LSQ)j;pKN=}aDQ`9*D+;O!g@n|4K|S}qyr@#H!?-esd@#eM z;SSz!P81~CA186CN|(Jn-1w6B6nd*@zHD|JDH;TCrJv#vLKd`(;ZMGE6jcBtGsAy; zUK_1Za~^G#4=1ves=9muCHi1+OdH!XgBujUEBluc}S%G7R5wHZ$l^u4ljNTBixN81^qp`*`Q_*G`PM zN^~k$Y5tOOSFYF&u~2JIU({ICeJtr*P}An@K9Sb13_T;2m|C3gMOXI27AJR_v#n~! zy0t|V48jVG9o)Ras3DX!9&>GDtJn2hjlq$+h7VusJ}3);{l*d;}4)(Z@{ei z0(>qg>icQeFe1*Sh%=`M!6$9B+2z{Q5ny3wQO#!%wW6fJp_v0~>Sjy%7d#Twgky%t z>0v_~_`4r)B9-)AaO)~_OyKQ|EgqI>Qa%RxF*EbrjDWp0<$+aX*W_qWqnmS;ra2pP zFMMPcRuq^C(Wt_RgMil6jG5!rsjH>pg~AdLJ2aH^+srfk`;-^$w+?4(&`1eyF`)3L zM-Jw(tQzah)5lRBfU*!9hbIatIG!C;#G9xVnesG|e(4)(+0D;8H!kbVo_vaQ+?4gg z8^Hg4uOpdwkYf@u8bG8toP z;-t0$xCC?2nw7VTXXY|HqEoLnXeW{l(g)Qtv}`Sb6i967id#eD)w*d;K?8;FiAeBk z(=jZ)9jomzsshJPFHwH|R-n#&ThzL0s0iMp>`W-IQf6xOgdWbo4_fi>gg(^Dlsnc#vF7SSNxOwO!rp)K z5|sA~T;n+D)6YC5yr986!NOP6fR6;Kbu;I4V7b|O zW?3cA_+j|Yu&X*~rL#KV2nPGRS-Y$j8u|+k}*xTTe zoM?$C_6``OF~(0|z(=(|2&8IyVvn@}71(fD>pCQs`JLTb2Q@fTZe0G%n?xErmVo?B z63__8VIxeE5;Si`CgoMwv=mm+B2s&SXly_x2_SDOtDdYp(;h-sK^-QI>39%(b%^V- zvLpav85*FVt@|k=Q2()%p>S4V&~o_ESfVpoQ?YJVT02&~0nNF$8@^Lgg`WTR)h=g7VQ$7ogqb&5o+us~X#y-pe}I z)u8;LNtyx$PkojzTWZg`(iDWqOhH&B{tOC^F6DaSvVJbFRe0klm?{#WC&Vj+Gz;0H z=dkixp7yF_QoSD`z!+ld++K6NTIAJY56TLH{z6AKeSj&(VtIm&5`Q_GtbDhFZd%-* z+1vBv@fE{tbJ6V;rsHJ3<239zBsE;0$F& zOzT@*7WTF(^!hHsI7A7n#~(4^_*V3FbopaRfm85IU zy_p}V?%WB6E4+<4dzT`1gbqNh$X$w^Wt}UKv*!+k)!zN`nVI-a?dJS)FT^wTT+}iP zGVl^o&TsVEn+JDe1iz9QH1vjz!kS4}>&KrIbo><2ZpGvG3Kq6RVfdQ<8^=_&sqMY= zXzdS+_V4abX0L{tFiGsWG$@5m`ctd7+|&w-y1&eY+ls@DfIVt?^yuZ+=cz^YoTw4%bs-I-b~%`cQ{%re_VVIM8urw z42WK`K@mZ*m8*5o;msMz_TkO@#lHLk3(Z79x3h}#VuQ^O*5Ql| zbMU8H>qP@F$`ip3^%OYr(MN&$`SOy3r(P|A->xkzbF2&VG={fJ7Qn(W41Eh+F6^jz z;-JxtuNA;PTdfi4-Ryz^n%-au$BS;k_Rd3CX|1ft8B9@0abruNJ1Uf)_nEGh#Bkp6vKL}$QHZ*&s&h{$2l9DAs zfwnMDc$g!#0NaIr{8+*jfKQW4eJ}p!t>AZC z6*KQ;PZYt)I{sG~;)_;i>Z8G(BaflB?k|?N;QZ|hphG~q90K_^{qp=Fni^h}6sb)j zMAua6pR$Q;p9=@>Q7R_G<=DupGZ;2~A#Z&*Wu5#wdnqgY;L_^syJgtVtZ?lqDSPQ~ zjt!f?gp)Saxkkj@nL~#MPPS)OG{j|-_`;WEdpXBv&B;e2zsv$(#HHF1M_a(^Z*PWZ+T$um19XlM#hR(eV&9THFU!DSL=}S z{?-CpbPs26(X3-}Bl~MKipR`cxr?*WB}0bptp_VS;bVGOhVd843voCMf`9H}R6>^s66z?eow52uUwsz9YW+wAoAq@B$jA ztLMVu{F}{iplF;Rd}$AzRd#PF<2IZYvA;W!MS_SVGxP3cUWl88F`i~cNVNFf&Yp*5 zuXn>{Hl((>AZ!y6xircH?;K5@*4rN7$O{yp%E8NGJ0(vyMe3Dva@me8E{cT1)##MseOn)mMlJSfEKQLYQodp}oD7!!&WWFMOi_X8WKjH(1edmg`_EmXL znw7X!l3Q58M5I`E?kX2wkR#7s=beQ6wVd+Cu2n2h_6y9-0;`{)Qm=s5bjj=DWPDmF0FJ!1?EY0@-m4d8pLiVH79 zM+DZ$y@rVt&Nh4rELw9?ECAeIOim<4xEZ;m9MSw|-zgAdY|&GVzQ8S0VBHHSOPbmD#HK{8N9V`6D02Bl$;tpg^y2kSf7dt= z1))a_F<6N;Z_4+NdS!WxnKpS{i0fN!y42M7;vRM81=_iA?Y;|w=Bst0_4G$8SsyY( zS2C_q3b1AESX?;t9S={)DKe1eZ@k8k>yanAzr&GWS$}I@A4Qf=~6h2~#?5XOTE`Zdqr7_Y;`I+lsHXGPy@wxm}CCpF}!B~5!muYo@Z!45PxO@gp zZyC$9WK=_#Prn0Ftz1Y=|6DD7rEQ!TdAjPQnTRq3nq%#!SIGA3~^cuU;oOb+cN%*BKR^g|8)DN8REu{pWRTBDIXOJ31in zgYd%JYhwDom!kNf=MBf@2N1X`8JV0ur9wpFVf1rX+EhDNXep8J&l5xXBn;O6$7BI- z^(N!b+51X=AiXyM;c*4CcEv5RPQSR_Z)4$hS)AcRgnn$9jNDdA?a|II*OYf*W}iPC z4;1{})guYHQb?>;X9V8a4&T=~n6cpX!P?kh zFVr0?z7)5l^KZg|c+4C6jw-8nDKv?|L9oW^)Ph7Xe@Rh7W1p6FOiOosbCCv*KjyTQ zER?k40wAqTz5i_I_x~eavEj5lJV}fdGV*#Rv~UMK7@sxt<{rLUq5hV;ID??^Ku5mr z6E@aRqs%xZ(MtGNq*>$4@eq4qi4~GKPxU4Dd}_0ZhWCoaS=noJAuP>xK(zgRjre=s7@d(k>j0wdF;VV9L9_cLWvD7zgZQj=FB)L*>XxoXz*AaVIQ znTkF6uH2`*aWNAv`p?6a=)|BDVbZ%={ffl)tuwa> zxpkFWUd_!er>8U%gfJdTpU7%rzy%lrYH5QGJ;5N;=Pn-SoA|6#I$-=%#72K|JLF-* zMjbkHJH(eDA?aMXTA=IFDHtjj_Zp!QwE?&PJMFcx6khnBS4VCYl7RN_KxJR**yfl0 zJPb$)k$Q=EH$28)n@3(S zXaz*mLTmy0V;Z|0FA?8K9flNnAYJD~emiBj_3n(P6 z%?|FPqpC>>r<(3Cw`?w=2w`uDfY6NuIswR0>05udj*r(t7(cOByzvGo(6CaRS-H2Z zZvW7Ux4!UJ%idA;@tTfeOxBhE+{ab<0{)^0qm~A}0o`%di3aCoduPW%ND~Z?RSYRY zk=mu3BwahCZ1wgK2_LkTB7O~`k?PETSD>mwzIC0piJw`6-F$!L-`c$Y4i%vLRr$-W zUa+T27djiC5IU0Q)71cpdIz(jh7FD@EvxTJmv2EE8m!W2@{3o-$k*p2CD%D!(w>Zo z`-Q7v1&~J?jN<45ic&NSmuRi%dxDjdb1qCaX_|B$<&Nz&5y- zd<9n=4*!&aEWR|mjahYd@wCA4Qp=-qy0)rhd-n7JyL2ga!E;>|bX!=}94cfC3J?#> zdiu{{_cV|*M-+OUfu}DY%_9lvRgEy#)_itvKb&0d>eIHuT9fT)Rh3d+uNZ4e9 z?whPB9Z23JY0w1Xc!VEr#n>6a-{A7EB=+ozynS6MYiwh^$_)aa&VnU*bD(P0Z0ykP z8@T31Yz7Z7q9YJAyH1B>?5Q*VEvI<1!rX+0mGiT~l~(`+mN&KShJ1Z`sW4kvTlpED zm(*d%VjXr~kDPp!^|4=MV{qB^-2liZyTbT;t08O%@ovJ-%sa8XPWTGb8e5K4Hli}+ zCIsan5;^i$9}*o)xl0agOoFvsk@@l{0tvqb%yQ|l^9$`sCWnQor2b_N$(xh!6)&6& zc3rYnttfehJG!9HJ-2~_)jatX02ly`CMzWpWd@wITw)Y{$Y5|`nxIQ zuW$X8*c6Q zB7z{q;3U6c5bE;W02?Ty0AWobF181@VjR<&Mdj?|xV!q^4!nn*;stH6cB)lqG8sxZ zt`j=d>W*$OPW}UVC2u{Kx~rilsiUh$*EcW(jf_pSH7wAWf@3wo{7EO04^JFTc*X|; zp~oYl4n@a+M`F#CLV$xO!L;y{u=qH2&3{^eDnJ4CR3|=KCz^ zXi>mwj8EK7s}=yTbO^t|UR9HIQ!{gm5zvZaZDZ@>*FlpiRuCO>ar^s^YVYdkl&kd^ z*ww|8yQ5;OEu0O(+qNIzlbuOS4p(hfI}YS%@7xRx3y;W){E>Hf`B|K0!m%fbinzGc zA898}dd$#bk1}4lYoK9t{!UNQ=>m%Yf>6Ht6(npOxZ;DY#RJcc74go#GgRLV>yAh1;iGZK$(M~(l3)_U6elG)eZgT_Cld{QwP8Ld9DS!=)~Lw=rf_%{5nO6v zcG&2SL8+eGk>n$g(=dTyF(;~wzTL2vlg=^yxQ|EltY5?U)7wy|H&RJr?WbU{6Cp>e z9>W+bT-mqd5BV?8!>sO{F1@&Yc=OKh(v_cwm+pKko%wQj;Le-U-dBfH?u3_~iCheR zj=F<9<7kBGT3Tgxd1=DxfzB!jS_ex$8T`&cn}Ou!c^nG z0+PT_EdX=00*8b@K>%7sAV2D(Jd!m75^ZfOIApqyw%#->3mO#QjB4K8NXxY_ia zn(|$%NI3#8i05b==QqI$EEXEfB=F7hPqV zqW4B>JkJ#i7T7Cxi+}7OsW?9+K>C(oZQZU_JZdC)~!A>n2nV*n=x9TSq~ z6D@%Pm_E@l2|!vBYILd=kbTA9LFngO+KW>sT7_2nT*fKLG|KKja=QN0)Nv@}-Fc_! Gng0PX3mORk diff --git a/scroll.map b/scroll.map index 9a9b8145..b98219ac 100755 --- a/scroll.map +++ b/scroll.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:42 +Created on: 15/10/15 15:28:50 Executable Image: scroll.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1a13:0000 0000f8a0 +DGROUP 1a12:0000 0000f8a0 @@ -40,26 +40,26 @@ scroll16_TEXT CODE AUTO 09b2:9e00 000036fd bitmap_TEXT CODE AUTO 09b2:d500 0000060d planar_TEXT CODE AUTO 09b2:db10 00000275 16text_TEXT CODE AUTO 09b2:dd90 0000010d -modex16_TEXT CODE AUTO 179c:0000 000025bc -16_in13_DATA FAR_DATA AUTO 19f8:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1a12:0004 00000000 -_NULL BEGDATA DGROUP 1a13:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1a15:0000 00000002 -CONST DATA DGROUP 1a15:0002 00000076 -CONST2 DATA DGROUP 1a1c:0008 00000132 -_DATA DATA DGROUP 1a30:0000 00000b14 -XIB DATA DGROUP 1ae1:0004 00000000 -XI DATA DGROUP 1ae1:0004 0000003c -XIE DATA DGROUP 1ae5:0000 00000000 -YIB DATA DGROUP 1ae5:0000 00000000 -YI DATA DGROUP 1ae5:0000 00000018 -YIE DATA DGROUP 1ae6:0008 00000000 -STRINGS DATA DGROUP 1ae6:0008 00000000 -DATA DATA DGROUP 1ae6:0008 00000000 -_emu_init_start EMU DGROUP 1ae6:0008 00000000 -_emu_init_end EMU DGROUP 1ae6:0008 00000000 -_BSS BSS DGROUP 1ae7:0000 00001096 -STACK STACK DGROUP 1bf1:0000 0000dac0 +modex16_TEXT CODE AUTO 179c:0000 000025a8 +16_in13_DATA FAR_DATA AUTO 19f7:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1a11:0004 00000000 +_NULL BEGDATA DGROUP 1a12:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1a14:0000 00000002 +CONST DATA DGROUP 1a14:0002 00000076 +CONST2 DATA DGROUP 1a1b:0008 00000132 +_DATA DATA DGROUP 1a2f:0000 00000b14 +XIB DATA DGROUP 1ae0:0004 00000000 +XI DATA DGROUP 1ae0:0004 0000003c +XIE DATA DGROUP 1ae4:0000 00000000 +YIB DATA DGROUP 1ae4:0000 00000000 +YI DATA DGROUP 1ae4:0000 00000018 +YIE DATA DGROUP 1ae5:0008 00000000 +STRINGS DATA DGROUP 1ae5:0008 00000000 +DATA DATA DGROUP 1ae5:0008 00000000 +_emu_init_start EMU DGROUP 1ae5:0008 00000000 +_emu_init_end EMU DGROUP 1ae5:0008 00000000 +_BSS BSS DGROUP 1ae6:0000 00001096 +STACK STACK DGROUP 1bf0:0000 0000dac0 +----------------+ @@ -73,15 +73,15 @@ Address Symbol ======= ====== Module: scroll.o(/dos/z/16/src/scroll.c) -1a13:0d40+ _player -1a13:0e40+ _mv -1a13:0e70+ _gvar -1a13:0f28+ _spri -1a13:0f2c+ _mask -1a13:0f30+ _p -1a13:0f34* _t -1a13:0f38+ _bg -1a13:0f48+ _bakapee +1a12:0d40+ _player +1a12:0e40+ _mv +1a12:0e70+ _gvar +1a12:0f28+ _spri +1a12:0f2c+ _mask +1a12:0f30+ _p +1a12:0f34* _t +1a12:0f38+ _bg +1a12:0f48+ _bakapee 0000:0288 main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) 0000:1040+ jsoneq_ @@ -91,7 +91,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:1bf2 jsmn_parse_ 0000:20ee jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1a13:0f6e+ _inpu +1a12:0f6e+ _inpu 0000:2280+ INL_KeyService_ 0000:251a+ Mouse_ 0000:2572+ IN_GetJoyAbs_ @@ -139,9 +139,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:684c+ MM_TotalFree_ 0000:68e2* MM_Report_ 0000:729a* MM_BombOnError_ -1a13:10d0+ _beforesort -1a13:10d4+ _aftersort -1a13:10d8+ _XMSaddr +1a12:10d0+ _beforesort +1a12:10d4+ _aftersort +1a12:10d8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:72d0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -159,9 +159,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:7a10* CAL_OptimizeNodes_ 0000:7ac0* CA_Startup_ 0000:7b10* CA_Shutdown_ -1a13:10e0* _finishcachebox -1a13:10e4* _drawcachebox -1a13:10e8* _updatecachebox +1a12:10e0* _finishcachebox +1a12:10e4* _drawcachebox +1a12:10e8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:7b7c KITTENGETS 0000:7bdc* kittenopen_ @@ -172,7 +172,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:8134+ get_line_ 0000:81a6+ db_fetch_ 0000:823c+ db_insert_ -1a13:04d4+ __kitten_catalog +1a12:04d4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:84fc+ LargestFreeBlock_ 0000:858a+ _coreleft_ @@ -199,18 +199,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 09b2:0042 _fmalloc_ 09b2:0042 malloc_ -1a13:04f6 ___fheap -1a13:04f8 ___fheapRover -1a13:04fa ___LargestSizeB4Rover +1a12:04f6 ___fheap +1a12:04f8 ___fheapRover +1a12:04fa ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 09b2:01bf __PIA 09b2:01b8* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 09b2:01d6 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1a13:04fc ___iob -1a13:10f4 ___OpenStreams -1a13:10f8 ___ClosedStreams +1a12:04fc ___iob +1a12:10f4 ___OpenStreams +1a12:10f8 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 09b2:0205 fprintf_ Module: gfx.lib(/dos/z/16/src/lib/scroll16.c) @@ -237,62 +237,62 @@ Module: gfx.lib(/dos/z/16/src/lib/planar.c) 09b2:dd2a* planar_buf_free_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) 09b2:de06 textInit_ -1a13:1180 _romFonts +1a12:1180 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 179c:01fc VGAmodeX_ 179c:028e+ vgaGetMode_ 179c:02c4+ modexEnter_ 179c:0472* modexLeave_ 179c:048a+ modexsetBaseXMode_ -179c:04dc modexDefaultPage_ -179c:058e modexNextPage_ -179c:065a modexNextPageFlexibleSize_ -179c:0728 modexShowPage_ -179c:0824* modexPanPage_ -179c:0874* modexSelectPlane_ -179c:0898 modexClearRegion_ -179c:09b0* oldDrawBmp_ -179c:0b16* CDrawBmp_ -179c:0c7a* modexDrawBmp_ -179c:0ce0+ modexDrawBmpRegion_ -179c:0e4a* modex_sparky4_DrawBmpRegion_ -179c:0fb4* modexDrawPlanarBuf_ -179c:0fd2* modexDrawSprite_ -179c:1038+ modexDrawSpriteRegion_ -179c:11b0 modexCopyPageRegion_ -179c:131a* modexFadeOn_ -179c:134a* modexFadeOff_ -179c:1378* modexFlashOn_ -179c:13a6* modexFlashOff_ -179c:1476+ modexPalSave_ -179c:14cc modexNewPal_ -179c:151c* modexLoadPalFile_ -179c:15fe* modexSavePalFile_ -179c:1676* modexPalBlack_ -179c:16a0* modexPalWhite_ -179c:16ca+ modexPalUpdate_ -179c:1c3a+ modexPalUpdate1_ -179c:1cb4* modexPalUpdate0_ -179c:1d00+ chkcolor_ -179c:2018+ modexputPixel_ -179c:20a6* modexgetPixel_ -179c:212c* modexhlin_ -179c:21b0 modexprint_ -179c:234a* modexprintbig_ -179c:24c6 pdump_ -179c:2526* cls_ -179c:2594 modexWaitBorder_ -1a13:0b54+ _VGA +179c:04c8 modexDefaultPage_ +179c:057a modexNextPage_ +179c:0646 modexNextPageFlexibleSize_ +179c:0714 modexShowPage_ +179c:0810* modexPanPage_ +179c:0860* modexSelectPlane_ +179c:0884 modexClearRegion_ +179c:099c* oldDrawBmp_ +179c:0b02* CDrawBmp_ +179c:0c66* modexDrawBmp_ +179c:0ccc+ modexDrawBmpRegion_ +179c:0e36* modex_sparky4_DrawBmpRegion_ +179c:0fa0* modexDrawPlanarBuf_ +179c:0fbe* modexDrawSprite_ +179c:1024+ modexDrawSpriteRegion_ +179c:119c modexCopyPageRegion_ +179c:1306* modexFadeOn_ +179c:1336* modexFadeOff_ +179c:1364* modexFlashOn_ +179c:1392* modexFlashOff_ +179c:1462+ modexPalSave_ +179c:14b8 modexNewPal_ +179c:1508* modexLoadPalFile_ +179c:15ea* modexSavePalFile_ +179c:1662* modexPalBlack_ +179c:168c* modexPalWhite_ +179c:16b6+ modexPalUpdate_ +179c:1c26+ modexPalUpdate1_ +179c:1ca0* modexPalUpdate0_ +179c:1cec+ chkcolor_ +179c:2004+ modexputPixel_ +179c:2092* modexgetPixel_ +179c:2118* modexhlin_ +179c:219c modexprint_ +179c:2336* modexprintbig_ +179c:24b2 pdump_ +179c:2512* cls_ +179c:2580 modexWaitBorder_ +1a12:0b54+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sound.c) 09b2:0234 sound_ 09b2:026f nosound_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) 09b2:027b atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1a13:0000* __nullarea -1a13:0b68* __ovlflag -1a13:0b69* __intno -1a13:0b6a* __ovlvec +1a12:0000* __nullarea +1a12:0b68* __ovlflag +1a12:0b69* __intno +1a12:0b6a* __ovlvec 09b2:0318 _cstart_ 09b2:03eb* _Not_Enough_Memory_ 09b2:051d __exit_ @@ -302,10 +302,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 09b2:05a4 _big_code_ 09b2:05a4* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1a13:1a98 __argv -1a13:1a9c ___argv -1a13:1aa0 __argc -1a13:1aa2 ___argc +1a12:1a98 __argv +1a12:1a9c ___argv +1a12:1aa0 __argc +1a12:1aa2 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) 09b2:05a4 strncmp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) @@ -319,7 +319,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 09b2:0bb8 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 09b2:0f2f __get_errno_ptr_ -1a13:1aa4 _errno +1a12:1aa4 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) 09b2:0f36* _frealloc_ 09b2:0f36 realloc_ @@ -348,22 +348,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 09b2:13ae _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 09b2:13f3 _nmalloc_ -1a13:0b6e ___nheapbeg -1a13:0b70 ___MiniHeapRover -1a13:0b72 ___LargestSizeB4MiniHeapRover +1a12:0b6e ___nheapbeg +1a12:0b70 ___MiniHeapRover +1a12:0b72 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 09b2:14cd _ffree_ 09b2:14cd free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 09b2:153a _nfree_ -1a13:1aa6+ ___MiniHeapFreeRover +1a12:1aa6+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 09b2:1635+ _null_exit_rtn_ 09b2:1635+ __null_int23_exit_ 09b2:1636 exit_ 09b2:1657+ _exit_ -1a13:0b74+ ___int23_exit -1a13:0b78 ___FPE_handler_exit +1a12:0b74+ ___int23_exit +1a12:0b78 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) 09b2:1673 ultoa_ 09b2:1731* ltoa_ @@ -373,7 +373,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 09b2:1ae3 __doclose_ 09b2:1c2d __shutdown_stream_ 09b2:1c47 fclose_ -1a13:1aa8+ ___RmTmpFileFn +1a12:1aa8+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 09b2:1cb2+ __ibm_bios_get_ticks_ 09b2:1d29 clock_ @@ -384,7 +384,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 09b2:1f4d fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1a13:0098 __IsTable +1a12:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 09b2:2145 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -419,31 +419,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 09b2:293f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1a13:0b84 __8087 -1a13:0b85 __real87 -1a13:0b86 __dos87emucall -1a13:0b88 __dos87real +1a12:0b84 __8087 +1a12:0b85 __real87 +1a12:0b86 __dos87emucall +1a12:0b88 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 09b2:294e* __exit_with_msg_ 09b2:2953 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1a13:0b8a __curbrk -1a13:0b92 __STACKLOW -1a13:0b94 __STACKTOP -1a13:0b96 __cbyte -1a13:0b98 __child -1a13:0b9a __no87 -1a13:0ba7 ___FPE_handler -1a13:0b8c __psp -1a13:0b9b __get_ovl_stack -1a13:0b9f __restore_ovl_stack -1a13:0ba3 __close_ovl_file -1a13:0bab __LpCmdLine -1a13:0baf __LpPgmName -1a13:0b8e __osmajor -1a13:0b8f __osminor -1a13:0b90 __osmode -1a13:0b91 __HShift +1a12:0b8a __curbrk +1a12:0b92 __STACKLOW +1a12:0b94 __STACKTOP +1a12:0b96 __cbyte +1a12:0b98 __child +1a12:0b9a __no87 +1a12:0ba7 ___FPE_handler +1a12:0b8c __psp +1a12:0b9b __get_ovl_stack +1a12:0b9f __restore_ovl_stack +1a12:0ba3 __close_ovl_file +1a12:0bab __LpCmdLine +1a12:0baf __LpPgmName +1a12:0b8e __osmajor +1a12:0b8f __osminor +1a12:0b90 __osmode +1a12:0b91 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 09b2:2980 __MemAllocator 09b2:2a4a __MemFree @@ -461,7 +461,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) 09b2:31b0* fcloseall_ 09b2:31b7 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -1a13:0bb4 _fltused_ +1a12:0bb4 _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 09b2:31c1 __fill_buffer_ 09b2:3410 fgetc_ @@ -478,7 +478,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 09b2:39f6 __FiniRtns 09b2:39f6* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1a13:0bba ___uselfn +1a12:0bba ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 09b2:3a5a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -487,9 +487,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 09b2:3dee __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 09b2:3e18* __set_commode_ -1a13:0bbc __commode +1a12:0bbc __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1a13:0bbe __fmode +1a12:0bbe __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 09b2:3e24 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -520,7 +520,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 09b2:4b0a __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1a13:0050 ___Alphabet +1a12:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 09b2:4b0d __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -531,9 +531,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 09b2:4bc6 __GetIOMode_ 09b2:4bfb __SetIOMode_nogrow_ -1a13:0bd4 ___NFiles -1a13:0bd6 ___init_mode -1a13:0bfe ___io_mode +1a12:0bd4 ___NFiles +1a12:0bd6 ___init_mode +1a12:0bfe ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 09b2:4c30 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -553,9 +553,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 09b2:4f46 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 09b2:4f62* __get_doserrno_ptr_ -1a13:1ab4 __doserrno +1a12:1ab4 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1a13:0c02 ___umaskval +1a12:0c02 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 09b2:4f69 _dos_creat_ 09b2:4f8d* _dos_creatnew_ @@ -566,9 +566,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 09b2:5196 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1a13:1ab8 ___env_mask -1a13:1abc _environ -1a13:1ac0* __wenviron +1a12:1ab8 ___env_mask +1a12:1abc _environ +1a12:1ac0* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 09b2:51d8 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -589,12 +589,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 09b2:572e __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 09b2:579e __EnterWVIDEO_ -1a13:0c22+ ___WD_Present +1a12:0c22+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 09b2:57c2* _heapenable_ -1a13:0c24 ___heap_enabled +1a12:0c24 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1a13:0c26 __amblksiz +1a12:0c26 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 09b2:57d3 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) @@ -609,15 +609,15 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 09b2:6bea __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1a13:1ac4 ____Argv -1a13:1ac8 ____Argc +1a12:1ac4 ____Argv +1a12:1ac8 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1a13:0ce4 __Start_XI -1a13:0d20 __End_XI -1a13:0d20 __Start_YI -1a13:0d38 __End_YI +1a12:0ce4 __Start_XI +1a12:0d20 __End_XI +1a12:0d20 __Start_YI +1a12:0d38 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1a13:1aca ___historical_splitparms +1a12:1aca ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) 09b2:6c4a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) @@ -633,22 +633,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 09b2:70dc __setenvp_ 09b2:7268 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1a13:0c28 ___IsDBCS +1a12:0c28 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 09b2:72c6* _ismbblead_ -1a13:1ad0 ___MBCSIsTable +1a12:1ad0 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 09b2:7320 __mbinit_ -1a13:0c2a ___MBCodePage +1a12:0c2a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 09b2:73fb _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 09b2:7409 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1a13:0c2c __8087cw +1a12:0c2c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1a13:0c2e ___Save8087 -1a13:0c32 ___Rest8087 +1a12:0c2e ___Save8087 +1a12:0c32 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 09b2:7418 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -662,8 +662,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 09b2:75e3 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1a13:0c36 ___EFG_printf -1a13:0c3a ___EFG_scanf +1a12:0c36 ___EFG_printf +1a12:0c3a ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 09b2:762b ulltoa_ 09b2:7768* lltoa_ @@ -702,7 +702,7 @@ Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) 09b2:987f+ __Strtold_ 09b2:9abe strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1a13:0ce2 ___FPE_int +1a12:0ce2 ___FPE_int Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) 09b2:9c6b __LDClass_ 09b2:9cf1* _FLClass_ @@ -713,14 +713,14 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) 09b2:9dda __set_ERANGE_ 09b2:9de6* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -1a13:019a* ___f_infinity -1a13:019e* ___f_posqnan -1a13:01a2 ___d_infinity -1a13:01aa ___d_posqnan -1a13:01b2* ___ld_infinity -1a13:01ba* ___ld_posqnan +1a12:019a* ___f_infinity +1a12:019e* ___f_posqnan +1a12:01a2 ___d_infinity +1a12:01aa ___d_posqnan +1a12:01b2* ___ld_infinity +1a12:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -1a13:01c2 __HugeValue +1a12:01c2 __HugeValue +--------------------+ @@ -738,6 +738,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 000299d0 (170448.) +Memory size: 000299c0 (170432.) Entry point address: 09b2:0318 -Link time: 00:00.00 +Link time: 00:00.10 diff --git a/src/lib/modex16.c b/src/lib/modex16.c index 6483559e..ac31bb0a 100755 --- a/src/lib/modex16.c +++ b/src/lib/modex16.c @@ -173,10 +173,10 @@ modexsetBaseXMode(page_t *page) /* reprogram the CRT controller */ outp(CRTC_INDEX, 0x11); /* VSync End reg contains register write prot */ - temp = inp(CRTC_DATA) & 0x7F; - outp(CRTC_INDEX, 0x11); -// outp(CRTC_DATA, 0x7f); /* get current write protect on varios regs */ - outp(CRTC_DATA, temp); /* get current write protect on varios regs */ +// temp = inp(CRTC_DATA) & 0x7F; +// outp(CRTC_INDEX, 0x11); + outp(CRTC_DATA, 0x7f); /* get current write protect on varios regs */ +// outp(CRTC_DATA, temp); /* get current write protect on varios regs */ } page_t diff --git a/test.exe b/test.exe index 5d42c3c14b28c530ac3f363dc3820e0aab75b94c..5741cc036bc4d3e2771659b0acadea959725d7c8 100755 GIT binary patch delta 20123 zcmW(*`#;l<|J{8v!!Xym-(_yOCHLIYMef&-q~snOLN-KZNcVIl?+WF%0tG&*)OGfnBdj6q8wHlel8iBY|jz{FlY~Qr*|lQXQMlI9c=-K!g@q#W2>ZL$g{#|%etCn^lQ$Q5w{j1>)hMp9tF6L;u^M%zm?-* z=sPC4ffz&>TM*}UY-$?Ps0MaR+|KjbkG@TO_ycXa{J9NISa z21q-wEH+X2@NT=BnI&2bE)C(Pd!;=8M8VoA zr_F=;d#Ajr7AHB1*v+0YQ z9JBy{DbsZjnE~rck}co#HGhY-q%s6|YcV6MXuLmy0Bl5SKwyd{porb-b-jKvug^0fSq{YFLp&F@*5b_Pd>w-j=e!2G+|INyluVe*L@!^V3@4_Nk) zjlW{_^Ws;O$>Oqw#2`i5$Rjt|LjNYyJRm;8`xj=v8Ntidmg{Na<0auyBhXgr zJT7}MlAr1ia9P2?zbvm)Utt0s-2Xh}4F_bDM$Mkf*HOKdZoG)Qx_jF{G4I9BVbm?j zM+E*|PS=b5&Lbl?F(w8gO|tO6k-)Zvs8_4ymUl05HJow*Y%Ngh68k2woV=bZ|4>*D zSmm7AwM=v3m5DqHN9=p;GZ3GTH?4~Vq6QelFW23JMXHdOGm-N+Ez9zo!vv#qndDqY zaG3&e7E^{W@T_fC==w|cQFRVjSD&Eu`4|uEtWi{V#Ht<5Br;)%;_(L!j5|e84zaL7 zNJ}yeuJ>;IyNbmzUxo%bA0#@F)f#J71Uz}3Y1QyBfl&h!X9sXI|D;L}B_ykkN8Et_ zJS~}3DXLC*_en+<=Su`@%`Y7R&|eCi~AgQ_J!>y&8G$8Z>NIV z7n3;ge?FAzVqwG+$OEQPU9T+c=EPh{W%wvDhus1Tr$6G1-5&C@S_x!ce9Y@axjy}Y zUS-wKT~^#_FF;B#_y$X$e1~SiD^7uSZvHmYX-B_8bZ%m~hX6#ZLLdAch^q}8+K5;i zRgc}<>li3Ig89$9ouWGb_BYf55RzVd&*UOqTEf%@ zoC_h?su+W-WXx|%IL-5)k$2Erc!Py6kzf3n*=x|FV6YWmlR?*r%7!>Y?kPyb^P>V@lZy6eP`{#tLXoT zTAPDWwJAlpahst^2(9ulqeSN|2lYbG!*FXZ1i}>qk<0$eiuk5qVaWjQYc6 z|7d|#hAm2Buz=nCxDnG5t4w1G+~n1+&vbJ-I_;OJi~Eq!B+hH|XHg3MEGI!+ASKY3 zsaGM@mwx9-gJyy_+7g2oDqR&BSMYqENxng?-|=zNt`_Ocd}2Z-h#Ai64&ELyxpHe_ zFZ*cG zIWBDX2V05%wRA|I!|iKQyqe~3$pja-dZEwaGHBK{jGp4!{K|t`aSzd{2bOC-E zAv*e0K5K?;z+%U$bhYG?4AYNaSl}<1h=i}p%V9*~vZ}{9T9=`d%X5-T-}MJP#}%yl zgjb-*^0a!d4N1KInMLvPWzA6OHFD#LsB>Ef^&^$LKm^m3lL2P!$hfwHoqC;=g~t6l z?VipW@wvLMgEL6{l>uplOq5fHG0JqfGEfyA(f9G*awAm;xfC@B?s==} z8PP+Cnvl&}2t@3%sQ&^ZmPHf8Bn80yTu`uV+(~Uw2_|j$@BTLIfuxB@6-?TRYN?h&3XDYGTl`I_Jmq!<*M-!F>x>bFBs2TF zUI+i(pI7%4Z|DAum}HaB*e%RS&GSI|eEsoDConrfOCl=9-3}uvNwE%tL8PTriv){I z(?(T?DIdR?%7zoizG;Wo`eo$6r8d`k9lqI5Nwo|vqG{<@uv(R60lG{4A$o`poq+A0 zS=u(K>niR0PhRyG9^d8kvk}PcGvlcq4*QA^lWKWAZ(2nu=fGCm%Mz3m&wj2Bi4}5X z-tCKMe;TiPf^$phhPS&*zB6eMXhbxF5s2G%NTQi2BPz?eZ=TN*>*vou`h}_ z_(L5P-q&=aKQ2f`W_(&10qkY|L&QUy zT2o9r5|3qtAmsN05T-*3t`b(6z4_QbV9&-_D92^_TBd^mTR`z!6nS_< zh?)wqsSmdsgOL0l8eSGz&k7HhY~oc%lL9ZQgtEPHer1)1W=sbYVoYg4Fw>boq~a?` zPc)#;8v#xVuFw)8ei1&$Qd40(y6=Zz)99gBwSzs}j;xKClEh1~E0A!A7(Ll0c=48{Rv(FufHej$uPHA{5DQrcPcLW<|WXS z0VMJ1&jrEL_YV$T)+u6FL|B>>JByu4A5lnVuO)uj`$;=3LNzzm4qVG@ z!uygJILepo?NVSc|A2LL-RS8E z%LnVgSx(!JLX=O89qteo8+;2H3u=8VwK|LW82nx z8((JFpM`LKC&w?pYfuFbzS5rm^>*Ki8ZN|pRr8>-*IeL=OxRb1@bg-W{PFTC%ymis zGxtmMDpChXY_m1oS=X%TI|UkCp6Q?oeb!!BOWTW{t!^U6um8Ii#vX*5m_7CD58M=0hbBy z$};*&-BveA1Mws`J2P2+uCVX~RvQOU@B>gbZIq9K z>=1wT{zFgMg(A~^xhasus7KW~MXcFE)fwLWDk$G;U7X+3twSY~Fwte=!5_GhHjg@B86{kZ4PEcJ z3YiR42XD0KLy0!+!aK^Q>gQq|bY>lPtfKj3iWN5ZPzlyVykGjh*L|9Bj#@(urBDw;gNaI*b5keaKXeAoQyr! z2gNEnHPAKX^yc>r*r|W&(|rY<0U1A2tgjTAj;3PqKg{@@<7fC9!KbRa7#WCxSA&~V zs;5lnr@*I4Zvf`+DpsctA{h2*8wskYv>(ajx`@ zbh{y)&rT9E{8P2^#lO4U>ji;Hv`ZEQoN@QU##@4{7&mv!MysH#=a@{ElJLipgp8s< z23cy9ruDk#f)k^7vVV_MKKaB~g2v}nwLjj9yJYvVjIK{TU?B(rpp$L@q~VJ?4^nF! zAQtTHFNTH>#7AwpYi3GFlZ;%4Uh7?Je$Rq{n1}x$QUad8y?JtQwmId>~gN`HvoTsQzN(itg2=kE#cSP&+DT zmqPrJfvZbWU(UQ5&vdC;K)-k1A55xdMAdYLZ}5sv>DUi*Dsb)pjz8vpW$m9MJLHCy z?{uL4hJNZurPMyMY(a)!)1K+b9p>|s+!MG7%7ETc>J^`ygp2(+H8*n zCMx#Gjp(s%@6k+Xryw7dBbIOS3N=C;1*6q@{d|64P;tf^`6$_v_TV40hu6b|=S(Eo zq{e^$@QHbpsr!uM>P_JI^P!D#;P^JzMiqp0zUL&=S{PS6ojkLf2Pf+@&M-uN%4Ck8 z`bHfeX%eieUMuL;Yo7pl=gv4>WxlGI4WjEtKBdd6zHY)hfn11P`aKS(^UJaWcZb&rdI`lWZsDXpVHQbnwM z`HIOLqQq|r5gAemW}jQN-zc)%H8H7TQW4gGBAtqM9o~N>rvtxuGQpL$-ThCpQ)uza z>h{JMr32f*5A79hFbPDGrZPuk&X1BHf6cmr0u`PfLb!Bmk-fvRrHg6H!w&mOULZ$O zF|b%j^wIlb&SWX%mseY(rCw3Hkfpa+Ql+qmuSl6fzvj(bi>bk>wR4rn&cj@SIUQeF z0Vg5%5+`V19ky?NDp9I9U%MwAZET4cf|4mjFtfW3T$bsockeD5GNf&@D7IUuH)dhL za?4C6DP1LV1@Y^5Dx4d`0xodN7AFs7gRYv+{u?c$%uJt$wY2>WoG2~)jUzl5MR^|j zHo923OL(EnX`_tZYNKq-c#3whcI9QBR_8?C>y!*XD-Miu!iEIW>LF0E`yvOX>dnK% zng}Z>NiUQ!F#6wxYS&ShY*CC?M;0(Js@x-3mQPl$M=o0%n+U;s@!F7fE^3By7rOTL z^s$3Q{uPKQ0|s`-|L;gMWkY|X4LO}Lg2i*K^eOL?_6b~1pgR9;e~+Fg{%)Ps5mgK% z!p|U8SgA13_n{J8z^#5MXHYftNTqRq48#*Mv@$&OUWbCwe+N6;kQw?;v55CO?IE>; zd^OoZf1^a*CxL{G%>R?1XdyquxUapX-fHmpE^OwY>={YVyOMzZi2Bbp-@`o%(m!7T z&qgqF9j`BlAveDv;S?`xQE7YZ5b!NlLOZISqqAb(nqbumk}@L%BGZoRgYl{beG-Lp zFtyLCmEQ!Hv6HQ%-GQu_kyo9rwWexhGs%;uH`Q##FV&u2T&D(pny5x!xn15fAffW? zUj*ilYsrOahsRWFj^?BDA~vrUV}%q9`HPYq72o1bUb@6h_~eZ~Oyki>iPPeOar*)r zox{e;-S2COJ73ioyh*jlGtm>i_p@{3e%BLDvaT;Owy0me@pU~ri}0FE;3RZ;k{SG) zV|Mn=_Ojm3IwLrWFK1StsB!v#lq##)JKCZq6gFRE9wvr|6Wx)a+5;&L@eozuxMU<#` zlJHC^xO2;>ez6xs<+Yh5-F~-8vqRg4UQEPU9Z`B?apBmlRIX83m86;ZOc^j3Q=oxXb2U-+`Xe8R{Q{Fh;M4gehl>$UhL}c=o?>9O0KN|MDM1 zypNXix18z@WJlugxpLFcVdY02D7LfJFvR}1Wu6rnW+kLLtePMks_b=Fr4NHTNVasJz(Z0k}Be7j&e zpWz|ik3#iFLxX0>sBrk*@69tgN4oaAJ>}e74hnby%%OScSbCSxpg~@nP6vkoaxaz> z^Nu-$cy#@nqe!I5sb~*xL{_Ot^D-HOtw%uwgCKd1SeG_y`2Z%uUEnrr7DyDVc&I@m z(CD;fT1){sOoiP(VT!D0bD6EIWAWS%dfTW|Cd}snc9m5n5BnZ*5Okoj% zC>_TX6DLT}C7Dvv1Uy}aDJw^irzu1%JtjP%KV=q|5T4OXng2Z}yr92i zmX#AK=&zWsD+yKfYGzF>flhzJtb0qSr#CPgn+VNx2J>ADp_SgoZ0{g+(z}@5J%nC* zAG3de@Se_Oe)vcrf1-b84h|89>0g*%zY#|0qfFKqVVurpPD~P}=+n%ZS;8EBp85R; zVS)aW$>9nehpl>p_{t>q6JIviZ!ag0?QH_T_mW+NZ zSEI()tXQpJNlt1XwzJhtboemc^@|#8X*@ zr%lWNV%d>FJpV_V5V8E{cG0^snZ4qDcBgm8V84HESnDQG?RLW;_vU%xVQ{f;4vb0> zd*-6CL(UM@D3$TlD-psQd+UC;DLFC#cf?>Y$X^hg&U)q={o@m8BnmU(UjaRzHP@;x z^S6_n*$F-NL4d}NhfDc}yG}oB222M#;t0DxS%`^&ck_BJw(ei-{F0K;O4h9OKak(x_?(qUrQbDo*jG4{`Nuqy%x^$y zJ}MY=HF*!2pSs_+Y1&6Rl^LZ85qyFQFo34<7s5UA53pER3|OM7&#?}T1vlmZj*7|+ zX`7#;xURs`p9HjOSKX0^HbSOr@f%Nh+)UdJ8SV30H|-S$#Td8#aDIA>wDsc1iSWl~ zcts*V*BcLE`mWwGM>2&5+QdPxkb@r|NO|*RbZ3eZe{p`iM_9;+!ykE-ih?OlHQ=q6 zq6;@3POpIkIWuaX3lACF50-hI6gW%$b^mP_NbRTABe4j;*PP}c^3acwQt}mE{JQ9o zLqM~Q_oj5A!AzZ;%!x6+XD&fzWT#i}UoH0P=Qhg_?9^vO(&e_;Sf<>wd}!b_RW0k;(VovnlYpfq!TlTq{R;uNy`ie|^h?&1U7bUk&QGMd|lA zF223Ho{KbB72jJ+ThBQWd-wV$KRpkU?qwiQu!Hx`|8o9#;HN=x;UREyu)D}Z!8LpG zv2~8Fw-DT-y^E$d-29U^A_lLMcbPblQtPIC)aLYb?@g~0<^d5J+NQ+ zFwxQhKqd^7JrBv00G6NPIn`yY3bK9`>^`>-e*EI94x{`Z z!Sx9aE34#&^=!@r4y6L+TU}x{t-N<>v4T2G(IGR;x$hd86KNZo4kH_buUfzB<)>wT zJ<+;50ZOx}+c7rIm6bVk(5N7s-{-xg>#lYm;5?C7H^lq4M@i!c3LyKi zkJ|FfBfLeidsA3Op`O%~t#OKZJjo?G+ncSRM4ln&AmgWZqvVxFstHyzycr-N8(V&K zoV~#>81sNrhKMwnt2gu#owJK+awiUloSgYz(jWT0P&)g2%0i8D-Gc8S$*B3Py}Tcr zH}aaL1z*0fPwrLraIh`SPTtAum zQMUt7yoT# zfP9ju&W$%GRU>3xobxlaePMMIT^SAZ6q4V+_<`QwnW}A80cw&)2st-j)$MWlqEU4THdWM7+DX6UjAmzQxLz zbf6(}17&a6LgStXJ>BL#NMwl5TZMfi1&3c}CvDuN9Jn<7)xn$?zawWJNjhQHLOzTn z$=;Hs;1zkCQ@nExlL@H5=E+1~i1mbYorvY6vNb3Is5KF-uvtrV4=1ezYnh~<;vc>= zl+f1bO%yYCo+J&Pr`Ao`981sY-QyXVJCNE6{kq}x&q|erU<$m}r?SxYB>zWQV%ooQ z1RnK~Ql_j~Lw2yB54mu2?R6qq)?B$VT!niA2JXT6>X$bM9pJs2l#H_dVck%JL9=17 zbHlK`F^Wl$pj!QgE$q(JiuheToV1Tn%dx}rn*Q1zo6K;ir5Za=!v~HWZzneP{c*rQ zB>q^m=KT^;2%DBUhHd=019)U(Y7}=$B+I;uhjyQ#uIRoH5<<-{gUKB{LQcO!a95Y+ zhK%VyvbIE$^0X0~flvEHBk?|Y{PM)wupGb3eo!brfq^YLOY@=e{?DXKiiqLkDtR3okz+1x1`u`kQcZ4eT7&dCzG z6`^FpUkmqcaQ4w7^NO#v`#98ni)v79{+^GeTm5@dNip|qfE8qUZ#r78`ky4$>Nw8x<~D0i%Z{8wmYevYb@Dj9Fl}j|%(PMZ3NHWQPvX z@%n%%DJIO?U#>SA{_#g>6jq;MG0+}jybtBnzZETz5>Gz$>z24y{x9AH&$X+8pk}Wl zLq(P#XdPsWvmxUe0!~Lac=J4i2njt;2GCx3A3phk>$JOCTU})T9tW4(+lO%v?z+Qr zSAz&7B?`G7?DMe7CvJlK1WN0W;)0*)so&T?Nd031{2B zIX>nE!wt57_P@RJul7dU$2$Vod$X-;=GLNKpdMKOQN?|7MEGUO4y@lI0p$QvzW(4C z21m4$G>=|KUmrq|HpRa{aVJHv2dA*PcG6P9E)B((bttN~5>JB8^diLv*CeQ44);ls zkA6hBAF*jfkUCbn5caQ^^ya0{hAc*q&CkUX%w2$ZEpz+$1Ln5HL^cVXZ1)M@9IsSU zpMw-p5^x&fwk5B7a|I7zrjK(?;M@{1R$2@()0}iTCN+z+pG5p;?nC@L=}dCJcm0@x z1h=$iys+%i;pORZ9T>E@#+@f@dXPtArWG>^*W`RBA)N?D*PmL-V z8FZAC8o=T6S=hK<=`m7(yFYpHX`};t5WO)deO7phryEdJ)NwlYy@X$xLV`mssJ6!L zQxYC>u_ekPnu549^Ba^EUynwvLD&7^E3ShdVz*GV)1``+ifrA>k8m2^V#r=@hp9}T z2b^W^s1~1=%6l!^T071}bMG*%1!YKQuve`4EM~5~yBaY)eIQoLM_D~ppQNa) zi?<|+jlq}B3N-=tTTi(f>`)KLr4eXbXncVA`MBAT#B<6p!lJiIU*k>P_dyVZp_lpn zF1-(e5r6-2B(^`8XKZn%w;oq(nDaZftZ~|RgoM2+HVQ3?iGm-=e28A||NO3XFn0lb z92dv0^X0fytgPGWn_XfT9C0K@1cr%d(@G>^ML$9iFJKjwsMqmQx3B)H{Ws^RCJQIX z_obY+f%_MdU=?54=FNnLAV@j*kz=UZ1xWDN*2|2-&#~SN5iq}4r@-1_1{?{5GYVy? z)@&x{?hrspB}==I_)+IAISPD*J-_zGIm?^Ze+hE=8v#8jbR}spF>ZD-Fk2=SUItS- zCUZ1oE1(T>gS_iHJ1}Tn^DT{0@33*v(w(F$obrYcjF(4`adOUei42ua7Z(Rg*rC&) zqseIQO`ked|6@InV5gJ8Ne&IyK$=4N+2DiEU( z>gE@|KEx0N6U-P^huR>MQe$3d$I86F>wsK;Est3|13m3;; zE!=)6o4lW8Mw!gZVzVT!?(6!)-#KwF@2e(uYc-&<^qX|r4cX-jhS>NjV-HyqI9!=5 z+`LE59g)c>7TxI_g!or>@d6k0jMA?0Fk}@lTq)o`mSnO%Sg3OHYGaVU(ND;RKESqq zzdI?JKFUo`+~V8vji}w1h5E>x;yi3bl|cH{wz&cO9qRnai#`WXcYq3uI+{+$ira+X zlFJE`rO&Ss7brebi`t^ShKR*L$X|mj+0@j=&{tQ^is4Jr5EC<3HnWez!^7|Kll6$T zyw6!!=Ib3wr0|EXE!XveSYC7cjTUBu66w02STWvn4ggS&%Fj9WA`{)J%u#2!<8jX5uYUdba$=w0Gq2b8MWBNY16(qijag> z+UbTS7`Pu?&#RJ7F|)+S#vkV>c%%wh$T5&NC?7Jch2~H@P3l|21+3$K{6L+QQL_px zX8`a1pKB>Ump8vm-7Dv^` zVGsuzXE7Mrc8xB`Lzn|fahi0|#yZG&&X)_OUd39{KpjY5mt=Ln#=5lrTVvX_p=EQ0 zI70x=8=`EBCVcqI>(80-&zp5A7F9m}jymYav`!a0rPU?1wjC761V5a+bmqT_r2+Es z2^=J5CIQPK-^BzTpin#cN85{2sRnY2lZ6UtcK@q>rT19~O|ousUGB-XyB!S}f<`Q= zTQ>ywZI_)YGZP#7?#3f+4(=rU3nS@@)?rJ)0?^uyE$qD}Y!5`y-_4zv-sy^W-f_kG#4g>BvQ07G(#{qMs0*6b36z#F| z0(r((=V8V`#={tXr!3N6!Ft@<_mS5}*=6-FGO54c1y<0ujk|Sd646}-TWn)9T%1vU zWLBqToZ&4l^u~*O{AsvUB^tl~XYtHji3dcn4cZr4hyJR;fB}C_KiPoyiAF}Wv-?C0 zS^ym0aVrGZ0#8n@xB4Unu1x03syNwI#&~Z?Ikcd9Ra`vlvxLE!yz#=8wU0HhoZ1J@ z>ma5pP}@apCo0L)&U-gsI=IICiEB)FnhL+rJ>DBzj(Ne&3Rf{vk~e zA`~=i#I{CY_u9r8szh?~&1*y9up16230&wQE0n!C{Qx!daK?jYWT`nNJa0oL!Xm3$ zU3e?%cbT%pGlk0+s?ooXSBp!Gd3~@x1G%cxIC4hMEkr{AbxL|;C{^NwvnEtbRv33w zer=1_74&*@c4{;5%f%_hpYZ)3RlLD?K9}6+&}|={zy_pyIUZ@SWVBt#ZzEE1Y#vdDnVPb9a(tir*ES*_PXiTL}qN)O~7(q9np`*q& z{F0n#{|fU({R;%bwgv<%U0-Jn9SlfJ2l^H!zY-jaN~A>d!j zZF1$M6G^4yVMy)G6ot;nE|%+F>`{yT7MQKYo^BO_)3*z7n?M%3_N@V&cR({r!Z;lS zsjNrs`pysw0EH88kK5N9Bb2Yv+jvO1AMkK)Gg}_t zxQ(_MdJxn$02EATnlFKf{7$gQM+L`|tYSp5xn%DQumB%*qnXT60c>q~8Qexgw>vGK zb!S4qVVe$Qm$HKM-&_($Vi|!Bd!gAorm(*xLqDDWWM%JTG70uhn1X7!vggE48(pc2EKFjs4l)sxN)N*7M@gyIF_G z{xnO$m*Aq>S3&i5oel~NL6y9wVc>NL85ipIaF3@(b%sr2Nt#4WZN;M$FKvj4){I8dT4pEzH!D1ho5X-}3!X4?bu`I+UEnUC zy=dB#98kTj!rYr3)}@MO=ApwDAO3v~s4zQ*yKtfw`}vKdX1z7eB}GH?y8-?P?t)=$ zx8^O`dJmjeilpXuKD-a^!qHlkChJc*j_G{P0`^aHy4)-lcY#>Dt9fgnJ`R_ZvZeX` ztz1Gu=ReVz?Q>4rA|l9pjwQj7)WoJsFYaJtY~*2o08m8mf)Yi6c{NRVfn62$|K#HNr~0Z%*`oxX zHnW-L+WG$oIEO1)h*LKOSwWY|Y;ix=bX7TGn4g9$s)%7)u24 z3nv`>pWC~maUfaK?DaWK(D#dYeRoKaKIDm_qCz`JJ=lLoRqx=r07GLL2bQRM;E9l! zNSrfSSto=Q7J=zh&{{f|CWwqDt_8;t)6$)@j>brY1QV}@x>@L;!3tu+>n@FisnyET zLLbT#F_{P0&t{E;#u6xuWDSZ!PM=UjyAFiC)*SMzZJDyE=X2-lm>PE~D|GS2i}}Hh zX?zu^px<3bLe;~(mvJ$mn^L`Jq@O-MUP{jQS%yUvnn$fTG_ANUI|aU8bz%0jz6XaD=Gq6%E9&@*Z%-)Wj3aVJL9w$2j zplf%;4{mk!A+3!J{l}V@ze3f}Icq_DyE?RM-!y{!M^}N&9VOE5Mu<>%b2n>!eO0l^ zZROi8amLzixj+ekS%4^UD-3KX=@sDJK*FS4jTi@G3MTL(yk0~P1xPA3`giyN4^^#D^IO1DG zfCSz}a*HEh64=uy3YtCMCcW1PL##T~0N~Y{`Q}cjM*{#AH^vCBW|CKG$jxuR!P6}| z4sI}tE%MD|p(>D@zecIOx1bIM<6trQnTbCAcD}(WaSKXn{-0vW=Lb}wSRwT}Xf6?UihJ0yS{5KI0`!)#-pZRL#*IWKPQl$a?No}S~k zZCh8{RAs=VW>@pZW$(-4&OI;Azm}*D9xMPIG&HVn=PRmmC~ac-Hi)kOQK+A+?ck*{ z7jjV%7h!|M57xqa??2@YHn2VowlY6hn}pKd7#JH30@1l;+t!9R&G&eDfYQN7TMsyZasU>Sx$-!yfF%r-Sj_ z!2_iIpj)RT6F%N~B3qQ|g0_o2Ti$2U^#mmw{vye_W-)p#rM6tkRkE&gz4|iscNJQp zbw!reYtp%NZS#B>+1wS3c%CJQi`Mj7dlN;EkoPyLeG7$7GQbXNzuxB9ZYSxrV=&9Y zx##!!1e(X8_j;EOlz!i7{zr32y0v;|R!35F<)LT}t7%0JkUx#P_XC7_AMjy6aQC_ zA#c2b40j`3PwAj8I<>mxbk{(N+UuY6{MVMa@5dLTuY&!+h?kUBa2Wo5=5hR~C-F3- zjK6No|6ZKEFLU_LFI&o~h%Z#<@o_=8T7E6Stv(xT-nPNbJJ9 ztqq4_t?R@tsP?qc1})+HeR$70&bk=$(b^wEMvAoneckN@Y&Ms8PylXe59 zMkoROr{bU3mK?No1%LUpg~rRuGSSe8uY!t^BuOym%H z-1bp;3s%c7#=oaq1oLN2b%H>dM&r5mvlboo zCN&deHUFOpJ)V@2KH@=kn(`l<+>k~skohoReu8U%j5~RD2FkW{UI__P!>Qupo~KV& zZKT%&{+VnAtY+Gj8q}nF!~?TBVVz$03Kel%$&AM5k6CPniN#Ny3j_8?=n(c+{5At7 zs0#2oMP3nv2isB_?%(6~UI0ok2RsZJoouI2BNah0&3V+CJ!Zu`} z;Xyy*#N5^XMcWN^g!#m;?;UqTbe1lE|GARRxt|eYIEC%s&D`k>krBM}Q~tq|nJNAC zXiMh>YzSi_JtL%Z#ky-M9em|bNs)Dkp=F6}k!%RmoUD8y z7&!0FFn)}Z@~q`Y9081qviOY|d{cm1dH22Hi$=2?e`H{qQS(t+E zq+00Y)%yd3kZU8@*5Eg>&gdX22sB2+ia^P@> zje(#r0Rh0ik^VmsnX$-aJt?Us->2w(vZ<_>A4fo?ejOlU(ULdQ8#9(FKjhWGBW4`g zVr6~@#cir{#7+yi&qZW`{jMm>;u^-bDindDYg*-p7J6ZVv$2n_o6+Q{52SUTR4t|b zUjTj%f$@<98DuMR9gBu;S&4?Vfo+uJxdXQHX^vTcq=V@LgU0Ox;`bc(=>G%w1cAi2CCas!n`7jl44(du|)CrKLm_jg_JPnkBfJUg_ z5_Ed#Hejiz|`PdhqoBlo+7h3iRp=Meb?@o;vW?5J-XyZT0Ju zWAGdgz6d7z9|SPJ_{)=!M2uQ}8ae?Qlm;C?2~34PdJDcb1Q1kE)K>CA)|Y<=4_)L5 zX28HUgQ3Fi1>T{=hGrMi=%L0=X5xiaiQGt?6a&z94v1uQ)gV>F@Ms}fw9W%|Q17?{ zYG}}XVjhf4zZZSx|3XVTq)8iF^P~u(@T4D$p?_Yji|4k-2jo^C;f@fXc+R@dR>&QM zZnR+aI-`;~rL+n_26+1ScKm-)g+mgf?fkk9{`S~InCO!LjIky1FLP4Y2r)V=Wsiw4#UiZ%#cOD+`?v-RhZSYoS|{eCWt0CnGpD$}i-fMh$U zc4yTw_Gm;@YZA+XjQ?wq5kHC-xVY{EDpnS3E%pTX+2fIe`4$fUh!=l=`hRyfZv;Pf z1N_DZKSqK65x2IwFEJl-tSfmUX(xdaE5*1)8cEG+$3!VxiUZC}Op)`gwfTvZ%p&MIir=@sLwd zP*~aTqLn=WCzK2TZ~=deAs-I73ts>>(e;)9mUy_SExQ|+kRStKrOhCrq>yXi3=T>V ztsdXNj@d#vXl-Sx0M=jmCHH>+#T#k|jE1l3yMJ8{#Q?OyDtZk85?v!56u3nhRu+El z+e2JjYEJJ;!py^ErgC4(+&fy3FWf}Cg za9zr`8ETmUW0Cp@L^LWzy*If40}yyCROwW$9+go|L!bp7j{x4&;h&JhY};2>=z=!r zkp*2~=%A$=;gx^uy{RupkBCz_0ntrR_d-Ah1&Z$IKK(+3TGK8a!YR@vu_=%gl;Rix z^K6dG;2tiv0iK^Dj|9KNv`05p0_g16p?84e&1Qrw_vRhS4hv1zK^P+Gq5>dl27E%h z0ta7Q;u!BSfj@u&Q;BWo033qo73M@d;o1-FZq!@H{Jfj`vEoDu_K!S-YSjs+r%+D71k;jGIs zm_veA|1TGVjKcwKI~8>-iK2|9*loAV)-;x80w4Jqj(j%SlLZhPGk&7r1^;kQ8_9|V zaA}}y2?BrrD;^bgat--fo7@8fVEGQmjq8c(`3~$WpP2b$Cs_FdpO65)hmDZHlGyp+ zbB&{?7y7!yZNT~RSB(MWL5+?$NOm8MHWtJAx(83g`!)qMo1PyC`s{XZ#5+6Kc5-3_ z>F#sUs|Ji!8cj5R8|CN0(a{H8UHezZ^o+!Q>J@+O5$O@2Ubj&fDQ#uY!arM7212M% zR7$xA%wD^Rl8{yO2SCmZQQ35pWBvaJ>CFE5bai0_I0d5Ub1Sv2KrR1_h@Tk}w%G15 zPMZFfg$50>b%ejTj*h~iY5~By$o)j=O8pHu(uTW~3Vs!O%@h)fH@5WZ;iejHFw$A8 zfvJClw6juk7^HN#q4}}jk*o@An=PIkc5)ySc4Y+c@N-~<6FIYsWby)Xb&&_~RC~a8 zC%gRV#a{A}>>(1`3N}y{hR*{?Lk|xG4ZM>4BR?!48Z;yzKOJD(`dZ2%=arz=2r1d>VDDt@yF~5`)446>@Dmca4AZ#Pd74t#atGNW8J0IrQ1i?xOSyk0u)T<9H4tmc40N;iP>$kN_ZIB>HKkmIAe!rr zuHp(wf!{_orB4j|Iw3>*91jshc-`yvcOG`n01T_ET?F)~0vTS|T`|%SM|OWZ0y!)) z#qcf%wmSg^fSS1a6i*IX0v7*>@eBZn_JBtn8Hj<9S|;!W>PEn^Fc*A*UAy^*kTL{t zt`S>(HRJFV@E~1w$TUb3UD?5hX<$TKSb6NLhc@rRH3!4pcC&-t2Lt>MPlW#%lt8fS zjg?>I?_KgwjR4OXHIt3(>t26Qad#z+IRir-!j~bC;Sc~R36u7m_Eddu1@PVYfhMCv zp@t?Q*vtSegeJj4|HXzla6~32BfrFkkcY1Ded^7UFB2iUpE2}8WQ~SuIR8@GaO_Kx z(fDTU(N^vzp0-{NPK`C$=v^_7s7u7Ghr~Mml3e* zj5TV52BWtF_ycAn<~R)E^aG#InEmPLTK?THrG-fs(FP^+tk?F>g}rf3z=!*Iz>zddJ672&*=*1qi1@E_t^N0rsy?X)x zjV>2SKnUP0jX6O!VDEpr&Wg6U74Pj~Fyy!!An*m;bdm2M+1VF~5-P^K0~fl*24abe zw;=#(iZ;)L!G%R0DTD^$KL2Wj`mgxZ`9cnXP+J6xM8KGhH{*6V#R$Q+UImlEN)pQZ z1%t-~BwOML4E3m+Wb#mVtcm$hg#n^dQg(yJ1Yq_5<~uHBa>#!SP`*KbknI7Ne-i(0 zBC!pF^nvUc1bF7}B#oBj`vj}=E`~qCJ@DXnW+4E-F8`+lf5$_~D5jH#nJ3Knm<}<) zybJ=rIthe?L=Y|I*aTxN=$50|9)woUzeCxWe1`uDUxB~!Z37-Fp zhT{8+mf-skgZqE4ii3n3TtO5?60xA(APRLg#-EGcF#(3$qS$B*s#V7VTqq6ybuSEA z=-&=<$0eeVeU9>;;=zl)7hbmH{{N1K=Km_>3DU5Oj<#Gh`~}b3(*H$Aw>wsHu~o`qjbn*I3cm{L$o%Di+iigXb%cSLymNw_6qo2U z?2`!~;humz8SbtH1_`5iRF@0FWrB_z=p>`$QG%K3JajoBX);9&?y}%R6_A3Z%7=yP z*meJw_34uoQ5*rDlQB^{e+A0AqX-*>?sAZzWG89BnJ@Q%1%^)*dm*8Jmu6WjnH#E2c zRLj;~zz9ozw}87A2*NXMr8Ve_cJ@i|uEZGH!HU78vkXEaXb@XPf8ySuyKsO~0<;cw z`2b125S``#f&j*x6oI?!fBYB-)dU-n^#4`3FcFHr_W!`m5CNj&SZ9fi_r-~xD>30j zM{C=end*#`X)pVKRapeOx(5IREO_YWe=v1;@QDXQ69p%i41RTkf1qf_0=SrH`cw=? z4T2WIYS{<^L`q=Ye*)-UvI_&haOhLA|7m2Ab}`8ic*Y0XoC*v7wttWo7YGzck?doC z7K|ujAXfh^Z4QPIJ^(@+9RZX8FaQB%UOXUlZ$Oe!AmN+>as6_2V{~;}<#XBqMiq`dV*y&J-&bjBYize| zA#icDa!6bAclLOBdH?`VYy@$Ps%300Sc_*aQFo1QP*<5Kp)i13yIr00Lz$4u%3X&(Q`6zLyII1O?gv z0-F;DB>e^me*yoUQM(qMQB(&YAPs;4!#Ggc_aH|&1?R<4&4M}<%iB#;btekn0R~PS z7$pB7fh@;FM4OK7>{2RO|1}mabS7aJAodA6JFX4-FMe1gYX(jNe+^V@3^r8%0h9r= z6fO`iy8#v8QU3uY0TNmv3orjj0TGK2YicU(DVJdufAOO>0V==$BqJ)F82clV8aIv+ zZY(YSLm3(v4G|mP1PUBm0lU0Xw-)~c92P+fRx2t0I1mpaCLk3W{~8}#8N z+fD8;LtbwJ6-GLBIa;>@2tnB{OE!6*+b9J+cj%IZkM99hD-%Mv_UQqp2LEnq5f>K$ bBK`yct{Mai2L1p6DFFZg00009lc-d+i3Bo{ delta 20103 zcmW*R`9Bkm{{ZmaV;kn2`#y8$O77ehIdY81(Ij_lD947#4Cz|eyCNMZMY)%2?sOnA z<|b{1R2sSV{XD*}A6}2wdz8*i!w1qERWaEVp~ zfcBW=Gw9>tuOt8l^M?(w8y0_zp9{?gn4bpREdT)C^3H|gL-o($B6y*M7`)zfVw5Q= z(e$TE!$oo@lRPH+@jKb~GtcW{NfQu29%Pb#A*I_B6l|0BX#jAu1K*fL3$#(ZA=u{7 zO$DGVF!81(P#OdayXgpb>IEt&oP0}?HF#?awpCkIKNrA^e>ERgLdj00 zxcA#R#mN-jgn&YWl;g=cyNb2xnEF+*2DN^PY1B1DTbKlgY4}@eYladN;>n zSL>1Z0GO5ZFzRR#BBrT{`cKxKL>r7=>>6zUC(FLgBX@Lo`mPr$Y($IZb~MEYV=?*t zWZG|`)OYRBb3h{S&i&iwiqlEnk3h##+QTdGZG} zJR+KDlPjbU%YY$Y1Vk$-oTK~8zT>L~k>-ckJ=a4!W??AH9Zl;y?e2R>jrKg?`{=qS zjZWNs-T(oT%ft&4k9MeAWLb;bmP&iD^?hv$ARU$|tq_v?0j)nCAl%RgpFZHMSSAu+ zbE5jXXDma*`Lh`uFT#EwYzoD%V@m8$DH-fe;jfS9wg(%qg8`Oncmf}ax~(>(W#XpF zlO(usLM^kj(SgVvT}!$nM{nm&1_#bDf6KQ$O)KAcUZ^clKHs|C`m*Q?5pSK#!yn~Y zh9{L-h7mf#y&+qMJkE$ssx7Clcv*d+!J0c{5qn9fp_GOe)%bb9sWK$i5?C9IEbGi_ z4*NaisKIu~e>sN`&nTLKZGwVIcTDnw^9~NXzi2qKW3%hC?Lv%19 z=Ss-=i!}fbxJ+pfBDU!afzQ^+Yc`ei5j45u9i8rB9{{~KTL;Ao$u zyOoW7Fl5D}@ys^?3?dDZ8eaKw%hC*el{`xmwCg!1o&@{wX2|K=M%Q4pT(Tc1Hszi+ zo!+Y?5yO=>bBbXR({S`$K5h+MMvCuM_?=mkKA90f`WPuuXBlvZ$<*{9(xjwH(n#Tr za2dWrPzs&zUnGz{K5Uo)#7j3RKRzmfLJLXZ^F$<=G!jwa`}{$Z(pJI}s0W4$eiqSn@0G<3_h4Nbd2QEzjk@6 ze}4{PK9jw|Jn%ZaGw)F#d!@$U$3V_*8cXOvMFNxMO=NI7eGRz{zV6orda?#Z)gg?@ z@xDVnro`S+RH5E_yo!We$uIm_8YJ&gQN$IlMFRO>QXc4-ajvxxd}CJ@59^VAlfdb% zJ-xGNV5jO7&E>U|8|doiiI4!%wRjy?f0&w85f@g zT`^OMxgnb^Am{fNmu$d=PBHiJ*vEE(V#%~yK?>XP~sltRtmmw zxAW*tu&7SfUMlPPK_q?5?na)ONO&`}`Y-S5j%cH=^|s%1FFcAge;#OC``Y6jvT~F7 zwLn2Q!+^&M+52hjO6OEOaf6-pJ(!@uPAoKU+67C|j=tDD>1`qcAG!n&TgmBsqGTPofj3` zog#b*lMoQ*G6mXbFu9~kw!->ph7pSZ2~)4zEJS%m&&G0W9&nRs5DA%}1oofs^kMhQ zOtt9iwl9B3mXy`Xw)R-&LlJ?~l0d-kXAt?uKf6zI!jm%(VMHH?xei}f(ypbaU<_s0 z)xUQb>3|g>R?;7-@?7*B1b_0!q1YoYBdz`uKTa@_5fFE(-Ls;*{-H7Uo)8-_aKohA z@i{4d48sAH3AL_W8@4Y`YE|&Mg+QeO#fnoJl9syl+tH%4aHbPO}75`c`&bBXv6#Twar-l#|?foo!qPCB*HKmOxP*Mz zV}P5Fh7-y6(yw+N?}{G-dbJu2(>e&w;Rz5d&<*GY-Y-{O2?3$>tH=M=%ht%tNoDIG z8E`KkFF=JON&d#Pk!BF2$a0kU}I8ixiwXeB*g{!hOl#79k-$sPX zthc#z3I7!*BHCXj@wQTYCLi991{+AbN6f!aVr0&@iaUWptJr)MIJ2Ev6K-k0;i3>2 z3%;oly%v`tKy%>R!4xww51UYa2~R1ZgEtyDn{!84op&9B$Sb6SQ#jTu_>&?2_Zb|1)Sxw=EMaYzC9_ce(_s?vtmZl~n%s1eBg+6NN zxmiQT&6W8mbr`&kidNfVS*?U#U;B{Le237EeJ#Hn-(bw-WW zMEDFVZubeV%fa0;eQUUqOv4pGZRMH^?%M{j>*To$+lDt)Lc0LwVe4^07Vt#q;eEOR zowR(=S=SRwm&jcheK7~@M)cZ)6iM4iU?3YHhrI3SA}NvEQ{#9(kqLp?pb z&__x6ewkN)5BL|Yu@dYb;ORgn(E+|-CdC0r8oDQ~9y^bkIJ+vM)LrW|rjqKEJ+w<$ zwVF@PSuy(utmQHtBTLOM<1%gCw@s9@=@`iC2s ze&k{7EizYk2wfD79~`yRubh*YkYVt^ok{TNd8dO1$lhAcJ6+K&(m9~b4kng#@&{%( zL%f77doVBZId<|1mHq*R6@vJISc2>o9mY4Gn zmIQ8WPBZTuN;zH{KC2J}GasfWd)oZU=|#W(LlhfyBWvyU^BhrDDhY|$8f%~??;KC} z8?kLfh@1`@vx{OnfC$(&O*%p z5K4vMKJ5q%&e%TBO^}iT`V`@$+p}hU5qia4q_HwAlsxy~s9G{|haUCQ8IyOsu zNsASWIpMVs@B9b&^+XTwfDi}9ki{&ReA(?A!|7T=6d}S2v{6$m}x#n zumVGAV`S*8g`WXY6UK?xIEAvvO%dDRvvB4!Qi7ZjnLr@M{xSM<-;L1OrMJ4o^w(w* zZ!j+cj6hQ+_X+Qb&&SEzp}qwJ z7iAn*-vIs%Oe}v`5%2&-H#eRk14riNw<7zNc<;2H^Q5-=|nnRN|gxnS-!@-C!@TIQ4sYWx~&7aMbZ0Fq1 zU5|dqfAzI4mJcM}13iJav4*5J$_Cac&NlhK+IRu+Sw6<5ob$v4>i`1?;K%yZ* zp__F9XMRNApWO^&&9{Fqkp|w{lr9y6gJ@%Yek6bMs@r=fxWs2!2QZY=!jlBv-K4XY z!vL!}+u=X5rS>7IWrpT?n|Vgm-gWDrZQ@Ez9(68w`T1U@*iYG4xcBdK<-gl>5pN%q znt%I7`!V}gaob0})`d4aKzjxm{zO?q0YpiSALKd&EXm9aBO$7JXpA`C`~H z5PfEG)`inZHjO@dByf!LbHK0z-dHZkX`A3!V@FOBTWH?q|LBRru0P3ix6)AtmcBwe zeDiptpl%8xLOW+XC66owHca7&Yt7W8$UMKyOSnvZ>y42b;0-t@A5EhR;%j9UyFT3lhCczm3pO+aY{`Y>RRiubptISj3#9+Zi= zL_6`gtpWGzT;La>Xfe0IOYeQv{sM<|GZ*U*G{}FWgg7;X`@LnB8P&3*fKlPvTC2(W zN>taiK$K`1tV*Vri_W>DL|N=snqvtqigF+~T*M6pPiI}w=PcBem@?4@`%5H^FRBLi zpF6jAaz8EH7=PcqkkuowfOvO%!WH2k6+z@_D0V-mq&{UdFP7RT)OOIcsxVpl&oDbd4uaD(rhmf`(L&x&pEY)&Mqg4Z-*(J7EPC^B9eU{3$)BU0oagQCUU#{dGQDyv$I*p@ZSO(fUaN z_AldVrAADTjARTeMz;G}-lu6L5dj&835&u#N0x8TCQ@kI2;dyFM~>-vz<RQcS1Kr7JrE40Ri52VY)6qN06D1UPl z6xj)iaG6f|eP{~KvFGR)b=6s?Zm9YA@y3vnU3v%u(O_z)Bo!|13aOeq`%t@t1BT>K z=nM$JC6iOn(dbIc!)3_pkYbC&nYdw(?A z3)EB;EU1p}g?j|{5k1CBqs}6v3>u+)NZ78k>uJQ_BZE>;!luLAMSNo_K0T>fMvX@a zo+Plc_oYgooX`Ut-mu8}Kzj=Lx2G{%OivBcOG~l6XFfihj;{G`(dM4S-vorb-tMBo zbY4E(ngN~iUM!grA0%83(t6%_=mZh|iO`&~SVu!Fs@H$gC5j-b4W9q(5~l$4V;^Fp z_@!cMQ9Xn`xM@(9_xr&}Pl$<3S{n4HtEESgD~@#C%5$dVida4Nl;Y|4AagHX_e`iz z!Tf)r?8+=LH@z=nk1)s?q=NKsl(&T-FJ$^zEl_O0cn8>{{kZj5L00QI;{&@ilYJOI)>o$)}%cz|r62oN zBl#@3)4F<9JiHAayejo|CuFid2eG`0a6hO{MbLI*>HnK1_rgxCIkKvB#Qs5AAF>$- zPEJIZ=aq$fI7{&dRNb+liK9kRN*sRdpo@XAEXcj^f z3ICBpp8U2;9%~l#nR!#(YtSJB@GHolo4E2az3MFax8j{S?Bta;bjQ|Ja^6mGjGbM_ zLt%qZ0SC@+3f#g%OCQZWoq73Lc1zQLHlWFmyU+2bpCrYWkuf=#-%gnpWNS%Wxm})Y zUdvNPtGz1!$uj20c?lSFI3dGs~X>)#*onCc3r5Vh%j6xbl(h`hBj z0+4ghqDVnJFdyHB!HC%3BG{nVuMcLMM7pM~Oq<Rg65mEfrJF8PYem== zda#amJ_vFHiKOgIQJi*ed_HZW9O1jK66a(+2?Ohsw(-EhI^gXbgu!9z9Kvz9)lPh` z#AyOZr^tIi$tEUXRpW{$*TdF|Mzd)ph9*Q1sQMsyBR!H681ay ze%81T{M)!(^`2wy^9@$}xJY{fSJnrV*0rYqzWsPD|4t4)3U!P(Jsvp>Y{&$Gzlw`M zXM8Q`kSe<^u7;jJ0X-b^`j672l>*I3S3#o<_An9BJ12Ki5Wve9`k?ms_w<4qnLteY*3{j~!i+UkAb)s-wG&7F#Hs#6e zXTbxzChmxn%0zdq`|jwK<#oT>SMWF)?A?;j1;2fDm~PirFx!{OU-`T0!fcto$*kn6 zu0|qqYa-I2(y`00j_$@%N`E1%eoXa}#e=h}lNtVvwK6wh-NaG1C%t@SBtyg1*wK<= z7Uqb*%Xrbd=1_&$sNPsUjdlDe8K~O|l2m;lf+@-dnKB6Tuht{ivnO1-!z?#ER&n0c+ zUSn=nbtgYLNw+a+Sdj!wliMv4Q}1k19P$ydxD+u>8((FsnB#k&*cm)~W3M0Yi2f=; zXpxP4jFwDAISCzFbNRv_(lN6aT`upB9O~ICC;x#(ru2$rV9dAiNU2!O&9Lmr++SI8 zYaxFIlc1N~Yu`x1kED#~R9?l6s3x7xV)A#`jJW)MQP^Pv%(7Wh8+k37Tu&5A$OS=d>n4OLzB0DFBLmE3^K?Y|%^MCU{3zX~4h941!=55^P4U_9O z3^&GRp$Q6;=<7VF#`|1vnIq6ObqhE-BzMky_o!zaADtI6+;`V$Ck(H4|5?G( zw0d`{`k#DwvD4Fj18v^<+@zYcSL*4DbRP43-(iVX_2FcjdwY1DkXRORaA#-nNR91i zxK&4jppvl$=evl8=gD~$~?-{%l zL8B^79ED1u1X1Fvi;2pZaH7KCCqUOHU_1!a^|7G@3Yh>waWE>J56h23P?0FC08Wr9 zgvJWvM5v--SaF;L6(fn2!bwwQWU+EMd8&dURtcwkM@6-(n_b*?=r-t+1{0|X!f8P-VtO3rDde{hSj5DE{nqiON%&A8$u$DNY71i1XYm2j^9<#?f;2fz=&R7?m zEA{vZ>`9y()%_IK1LsNg^2VOV`A~iRu>LqKH6Rds1{XvPK8p>(g;K-9vFC6&YQ%YL zB<=z=DjFMui>1cJV-s)}sfkHgJdQw3PQj+)E>SP1VbgIL)J!6lgv+94=U}hka*5Qu ztJr)TnOZ=>7UGJi#n-SUxa-s#H?g;Hx2e=S*t@uU)cX&x|KT1|A3esF;>xH`%CS#z zE|U@LHy)T)=*SGa2G>o?dMTrKtOJ8T`Up4!leZNfEETWHw#xK?UgJGKMYNu_sT zyKz0#-ac$UZh-n>5c?7LiAwzZ1v`WrrjC5Yj^e&i$HuV?+yr%!iJihtQ)gzebGUix z!XkDF_no@@1Ixm(sVf}pDsGLs{u8@_`$hfz2fK;;OXY51w{icdJG9&(8FDW%N2f?OittW2F!_N^3OuT@M9zPs$dKPc} zQ%ZuFZhQu2@t21`CM^T}6k{ijFLG#)lg;Us=%YC7J%IeSA5_OC(I(=heWaYUQn8anDG!jT{((s3# zOxc<2KUv@)BdrZOXTuR@>1*S{!rp4=d`&{r29^ zVnpcbL(VwS$!o}Z^E+%2Nv4D0WQO(?pH}|@cZXvXX07kKPYxtktE*vW|t=b z`D+wyUWhJ>Jh0dR2=1}ezm&jD`C2M``2}jjewp6w0zCcE6ZT&v^0?R4USUs_1n zXx%H(|1`&g?1KHImrLf7keS%;n=E&dW+KV-b@bt*8E)p2lGn6u9s*8XrqTAd#q zE{#4X*Duf_**m2`LpkQW*eV#XPm8_14Osm$9XlH+o_xSw(zr0%r0iO@ckv@zhiCtf z#$qd7;YGBeYQfXaUl+X|*2zfMC|^v}mh2~$Sw?xwhXrqaqhJCpJoYlDAv- zI9dWMk0-9EMIz&8Ng|838wdQKFmQ$U{v1u}x2AA9k=qcnv92cQCV-{On|;F4;IV5< z*J=hjm@)XtTR{);?l z!_H}R=T}{n^lVTL1kz)<))TZRygO2X#w)-gC(pXF9e5S1ApC-yb4ppru~(Gk3uo=Z zCaWK`@_l}*th8l|7eqST6N0n<3iCM9CejO!glmOXM8B$(-)62#^m#>p zL>^O{bVAO3(ra*9ANxt%+|6T&@u`wB_*b_E*FPM>*npiHW6!keu}H*G&#n7 ziCHozn#{~Qd1r45FqUbzn`hcpAa^9s#IrNXKm3EIf^Hwry?CmQH_Z7)%491N8a^0| zo$aP60{9}Ebz2q2I4f_(XMUq;2H+XZcvH(Hf=5Ce(@#+uN1P++?I!KTE-3`O>C>6x zY|QbJ(F2u}GF)V=;QcjL128l_QMDvvFc;7**k*?mNz2|>Fx>W>=)z$*5)09vtrm35Es)r*^oqCtmvp5>3 z_FI?v4Cuup2k~(lyS*RP|qYdm#ljJR92q#l4 zjuPR7w{mjfB&7__Db6{G>4RiG%gcCdX`roiUAA?gN~x^?FQWFZsLO_)_i7YjU0XeC z{#4w^aI#sGAAVQd(w#6A(LkQDBWHQE_i{`uod|E+10t#m75QIS z?z3NI`TxD{ORJcX8FqjmKNcK7Ep_p7L`#+1QLU;cAwcCGilK>i%b_jKv}BQe#dnDs z)Gh)7xqJ???=b;8%N11T+K)hHS|z%uP$B`Qp6?(t!)dm!oc3_3?IpW+%vKEepTJ}K z{y2djWZ=Ksa(*3Cbejbq&&K@N1sS&e{OqtBjaTLzSGChc!YKv&z>CAX>YjjCYcUf$+7SE{T_v@`; zSNApYmt4}eYA!beTR0}pCrn!5(K8V_%DkxOl%7L?hYR(IoiK zq&Z0lCRmY-6J-3O0afMX-gPFlQrwd9dd`-dg{|lsx%=n7!L`j0j?;y`5M3}2+o>>A z+O?he>PZCC)F;vU_Pb9~>PdFu&n$*Bj)qw(rB(M4(bh$W#(rof6W4vWcOcby(mfCZ z>5XDYjx(>Jvm`jBP0iR9^75yPhZ}D{;U$n-;a+@fpY`EK30`xq_14~rpb6V=ybc!y zTBjbq)_GvfoDgq|lP5SXCG<}P-&7vfZv8dI@l5X0 zQUC5ZEDKjGV^FM!I^ltu0xjG+8WJ~!>xt=OlS42)> zezq&P`2qq4m6wF^dqlmX-*y4b6IFL!jIB`=a=t6Di$#bneSMsXZ-@3Q;6&@9$gj5{ z$5&Zm9cfz=zP9(pB)=eU?I!=b-fn)oR-l)aC$X?0@cbR0RS~bWybs?|b7>cFwLiIn zuN#nb?YLdBn1kfixU9`<0epH}EfQd{3nI_af#`xR2WjC$5~Y_m^dL{{Igdhm7vM8P z81h$mpS=sw99H4&X9pAPY+8pA99RD{kd6skiI28Cm&DVt^hnaSbWGYjWND9=As`|z z-;?>=qMTfl5APPSYjI__&s_Fn3+AE%Pp~)5ploq{Mm#>J&yo=Dk={;7#s9PPXX5|5 z6W)4XTRINfWY;84ivI^+3!K%1*rt}6&y8tr!-(4%2W)pU<>j&vV0p+ zRm46o^5BX4EIGf}m#wYhjdygl+uiY&v3}aJDhyClz!e#DjaMMP&<&Hjd|kgCGuV~~ z6=-H^Dv$mCE<2is^hiIr!71b*w`OU1IkU(rnJWjm2C*ha-u$cl2b8k@`S;d}?CJdh zZSMf=uyz_HSZk@#Mw|U^zc1yiLP$^h{W)ebBZdtD_8vb?%aTW zSUwAaUG=@2*Yy!N6a9~c*=e?XoXwiu7Jc)~5}4w=!Rjd675?kX^|BUB5xmDwY=W^( zXVo@=?BzALB3V-y?!N?aO+X+ zKTBta4!LIv*ZR(c*u5+XA>1Afv}{S%ISZPr)ckJ=*Ks~Dbo+&Y*B3!Q8psZqDjqCw zlm-Q?@n|LX(`bb8_6>OBTQNdDT%Tx z2Ekusp%qsk$L(DTw^M>Z*H?&pmIInY65ld04Nlzi7rf~N{WMdZ&~Sw`1S|jC5fS__ zezp{eap*u~#*ZV|H%`>4A&>XOfLwLlQcaz%0_L?gih!es6D!wDh`(xpg>cVFnMZR@@4r@X z+h=2{cO?TgEL+Y$K;;TvO{Sf=n_ejq`ysvx7H!Pj; z#m+va$MmQ3N_JEpT&_8=sF5kmr7^jjrrVR}NB|w5fc#TZVc^jAbg9!;A%A zN15iBl|r8qQ-t@40R^(7*`VFjA&^gCYgh2HLA=RT4yuEw3Vf;jw48An22`rvm~Nt+ zH3|9Q#N}Doe%K42&XQ)YXKnLuuVHHsLgb=l`R7>=n#urJbp>|Nfxm{*<>-||KoMTO zl`kc?lez9CxJvdgd-&y5A&j)>zoOP8Y784(=>`4G4Nq@O$GsE^D;F;d$()+X*g|)l zsE+bNa)}0b&KCo8{orm9N%-!^sO?R|1O}(&I%e=`Bf*m;`18VNcnP~cA+_OxcKkH? zWOe24^&1n_#!+wf}!)~@QE_jbx3=YCJ!WgUn3;fi_WT~A378ZdVEiRaPri6P_i zveyanH{L%l=&VD>1}a2mA%oNI=bctb2*QeQ+Y!Bd-{grMi6Q$rTHd^O_RMhOGN|lz zEKb6^-V`VE{f+4HvTHu4`p0pmTo`;ru zs=^jHFWz4PDHVLrYv?mo>C!fXYj+RNorH^jDRs?hO{gz{jGgQ|I%m-FsslPMTVAE& znNnT@jomIcA7#hzZ`UyE!HdpQPh-4!Xrc{kyQd^fopZFTIpFgcM!((GfQJs9Q+$OE znl+#6OB8}?uVtQThI9b?V>z!MNn2P?$t0aPswkcgwpy2mU!Nm=w9Q$d@9Jq}jubOQ z0_eQnI+HlnCy>K3r$?WbA7gKG*2vo(CJ*1#fvBmRfzY7Wl8{nxtq?RbBEO44Y|E#D z=X?uC=QTz7ex4u?1q`AU#l5xnx}<<`9XTP2U*TOjmX`Qku>$QE+GhmI6-o2~8*8V0);^ zk92qi8G{2>hBS180#1(n5}CreC&IqN?6jdK#pgC_(fh`_yAUO%|?*FiqS zYx`(0HnoY{elp(@uzhX!tt0z^%3H7e!eBW~j}NqOUnBo_MM-Gy81xr@Z5U*T=jhUh zJUSpu*CG(nXvB2O%oHfk7-mR3*e!UR2=K3yEj&4+0+}B(m%Hax&&0sv4E%8z8@aqd8I=u#*@p)4So&X4pWTWO?gZ>J}ifz;{y=ri&XqbCW{`ot&in1fnt(QxQ zJLocEnp%h@3KRwA(uER@TW*4{U$~QhOKY_3M1O7@VLDNZ2yw5V>^jXvO_J z;)07z3s?3_bnY8hVdnPl3KvZO6Z!LRj=eweCO3Ct$oHcpNJ&p`OwvHCARNr+ExpN2 z$9TIV9Kp`QUSbNC+ng@UtL?3st>A#KGnbU0yzj3$LrMHkJRab7{Bt;5TUvJ#+$hat zrv!<1xKY(GJyR1Ko^bg|RPlUZPG?;faa<2ZI1fy@o-pTu1nbrdytLD{lUt1T7oXdH z+taC^PtM#6bI3)mgiw+-UbJ-R$cq6_k%dhZ7`n@C6g}Pe9uAUBV~E$iMeCX(oBaCQ zl;<1kM&^~D3;m!Z%7F8`ABT`R@7~{gg!<633uAt%G&B@DShR+7?(1B&^spi#&!(SH{ zIXR_or6{?k6WoCJu8#fxoJ0fr1R}T3366Qbq7NT_ox;oNTR~}c)&P6#oawLEFPz18 zG3)&#uhi}Vxxd=wN6X(&m5&fX{x@0`8|YmO&4UCNtAiPcz19Am*D%&WACKJ(u-a3e z4Pw3LwLp-jSy_PUK)yYlxt3xc`eeX~CrneAd*svRcSGM`@TX}x0C}TmkJ|ol;YqC| z&}hIX-a^-wg3Os6gd*$y+1UYJ@oWy)5`ag#f=vG_R!eQP5k(ht68(TM{%&r23rm$} zZ{NvbpE1_D9qcn1f{Q{o-_G-_VH7pJlYr+31v~9`;iOW(2Ps@Xmo&q%g$WAK8b+G6 z3K+asIcC0 zvJPsiE}kzbK1_HghG*<) z4Gb_7#Zs-de{0nXB^T4vMdyB(37FPuo`w}n}t`(YH z$r=duH;eNp1_bJj@`Z*GnQ(ZxNU+Y7(TH&6l$+O3cckv|s6xpz4Rd@mrc%>zKS-gd zbpwlU)>4!e?X7VdY2{lOgAF(Lwv+8MO-R-&k&!czfp6c+iIcOixC2X8h&9K*mN?d$ zU`{NB7ZuXRD%r2rfb4ouagAhM>JdG@;JsgFCoTc6&3ZR{kT{2RKhMYGqxirqeB%gp z3J80&`Y*6Go!c~ITy#Z)H=5q$0 z{fPdk7egL1kuN&M4EE4K$MV_kOQ3;JVuO&eFH^Jnn2jdyRG(l^DA59*Bmi`Z+th-y z>%3lY*kQjySEJJC+Tw1Jk;5kCtaqWi9>?OFl??nn4mG6f92?R3d364T?VH$0kEc3s zrj?g;md?LxefvWBd(49+XHd9`td8t|_eg_LvX+pxm?Ec5fRT%XHcv%D=}Em4g6Q94 z(^wv)8Wg1y{0^W};wc3X)71zXkBLwjK=teDZ$nTe4SDe4Kl)ll5$U2P*`8O;);K>6 zk>F#by~1Zgys@29v~$QfGD}>LDK~!3KH#!@r0OjiUo1G zw+iBm`FLtciV^XNiYfbv7w{M2&bKQi1O{2D#VaUYObCZ55`=V%kcjK%rO;L`4v)uK zGcUl8COIp{qyTbh!iN3E!%ydHv7 zBF?wt(WDdqv%0**-}aQatQ-1^T$9dF6SxIp3uu7PK39Tj4%EF8s~Tps&Xh9wz4=3C z)?6z}G_eBW*#T;rQ35`1Pi(@0bsB!jV)1|hsqhf#Qx8w{mJ|KgvQ0`FEZ3c;);+nd z!DByNV-0%uu+FTmJ^mLzWkn}s%JtTL*9{Z2wcQ@-3B?9qi+xZ~Y3J|p%EE++a}15B zq{TexlSIKyp+%Z?F{eI&)>q0JLKXz#8M8D<=zhZ!{emZ$08-*Q?Jb({?Io|VMl$A|)~YEN~JtY^;{A}s(f?#rfUUO4Lou`R}00# zYoSu49O2)}Y8Bg~wnfQfRjZ((W?g!*+Ye$N-Dym+v=C+=>W{5O9UYO8*YTCE>@}Cb z+&tq-w0i6;2x+h`oE#QCXxlE+SW?1pK|lRA)wk4oX^_8Zg_Cm2{7|W8O_c{h8o-XB zVw6kKhwP5%YNzto3~a3EX8#}EUp2LyvxCdZ+0q%1>7kFd5aP_|!l78k=buA^CB%ihaHE)?O#0} z0)~D1_(3#3zoBZcd&%f!!&x*$XUy6uwAfC&n%(8M787N*eamodZ9 zG%h53OZHgwB-mCw*`rJn#{?3!V+>rNgVAczO&PmoEz{7YviC9j$!25AWo?1O%f(>R zxq@R0Mc*4Kx4^;w6EUI>(98PUTqjM$ba@vTxz-yiAXIy-6gk#5waWWGyRv?)Ag>YO zQoORpD`4;++udI;=&Ew9)1;k+qwRiLX9$=0t z-xhh9R)3{TOEzSA>JJU#kbSbj{=X`x!G($~rg(!W+;i-HLDw_>g#Uf0zRuMyS$#TD zvAzTN>iB+hOmD0X_)KCIJhD_vzo+}XZsC@*fx~j;CCeOr5azz`iic*enql@`Q^6IB zhsmo^kQv4d03e+6YSNMDXC>GmU^bPlKC_$bL(*e5;16dqRQ{B1d@sHo|3K(s(G*); zdp|5?4s0Fkz8+qx?qTlvp&9n4h5JA0ADE*Ui`LqmQ3soKpAJM#wb^F99Oip

    jL zABS3jaKw|&rA6`%-UfoUmd(uaVwvirCr zirUoJo+kINVgSYPBaQa~cKUSRsc`x7`|hw~=N8mgj!ALNVLzsdm#F;VNvmI$)-746 zyTak6GsS2JcOi86lHeQUeapFDGn7s11o!3K@TsXRiSTs^+ZpNbb^DUJ<)u{RBk&^$ z$HQe18T|C3d*MXjCu#kM_rM-1X`#FbZ<^^tJ}I9DB+T5%`&GbMNnV**yM>jw9Qj{^ zkm0vqzT4hWMXbx-z^(f!U0F{d`ZDUcnt{9b2LJ&N&>!3M-nnn0^2d3$cOOS640C|m zCm;!1iy@I^3Z(59U+$u>4h0Imlb(2mjbWdmLy)imP(7e2BY3tCTK$)fqgF=}D$oZ2f1iWG2bSLN|qm*$wR%LD%#uw<$3=w&`iz^*^@DKl! zL5;Ku(DdrIMeYQtI_>Zfct{}6f!C8(@GukPy5tjPfWU2op~Bq--l4<{W-rpvp~jtN;tN&W6G%H0 z19qVfWDw}pK~;wEXhT_O&I9aF@3;nj(9rr~9*mg3E`8?zgiCa!Nj6*aq!FUwq#uia zLta~p=f`XZm&brU7$Q=o8Xu$P!kCJnxe+pus) z)76n!imO=tez=SQ)bog{)3&c<0PnnZXEF9wp;&8BO5cJJ|E!S_|B4s5xC1J=Ru-@= z_7m;mf6tL54OPS#sI?CYFb zL`Hdn$3t0NL}+w_UaZXh6+<^*Gm#KYK~L25g}Rhh$=mLhx~s{n(CAkzzR=1v`%g!q z7W1iMMX#=T)qK{Ls0&%|4)yijkW*0wj_r<>fABp5sBjDi6$rsK@D8{OUjyY};g$)E zYPg$02bZvrAU358Ali+PPHPMR;a&aNd`aJq*UN3d7L_H8DHMdK7*V#nj>~W!7s>$7pAU}$zhShaH&uaj?ATDii*e1u zW)}D61Kwc{O=#95HXWh@Eg%L@Lc4$h>tEs+oiTyxKY#&kiRS>Y9Oy#&sE74}f7%3B z?X7hX@$Cjcs_jPN@XRv>E66l^bIZFGLBcwr6@JI}^M%svSgisNiu*)1@q@!Rs_1Qc zKbf4M5_n?vEdOARq@P|C?M`5Gs{`5d1Bh4yoekdg++`Cp9%7`wgt*2Hc32SbpJ4=s%(ayUZ^u#Gmh`5yC( zF&k|*1`gdh5Lc5lQTJGVaIP=J2my2yS+=}P^EIK$Gr zlm&hYdgc@o&^HSR>Tsrwe{LAkEUUo6samsAIT*U6xS@Qp%aPU!Y)vhWo_2B&6FX%D zc<^&zLK7UHi?Z?oIbo3ls_;G3lXtuH{Ka1K_K_hHZ3-Ju7DLYgNTCl8f(>4h{1HEp zEDsZlARS=gS_%LZQK9P!3EL?A7jq-0H3U@6_$yc})C!g!kRN0Oe{hqEUn9mui;@`8 z0{_N}b6}%KZ6|Aj)~9uE2iT0!Q3^SVXRhN~QVG140ds^3TF1Ke2J1RdL7*}3Fhv17 ze&XJDCydAt(tYM)j*Y+}iWb5!|GiB|>(?#F2t=_)zyoY|d>VDDTlldO_JhI!6>{4< zcYho6^NBmHb#m@tGNW8G<)Xe^K*hB&^50sfZah+7QaR z()WrH_QF;7?8f?~tA&wG>yB6A1xY}o-!)QChWi~MLwp<(0I~0m*2NSY0|qp0ecyqu z84Yd>-Cf5<=y8215WqcDQZP7JQvHi(U=9wjf)XuUqVWewsOr4&b@q3=9?t*_Rj#W9 z)bs)ja_n6(f6@p?J39h77BXV^E(cpX0R})#%=!vX2U-Me|A^uY0}2rUk1+*^kOEpv z@CfRSz+x~jd;?u}<%f_m2;ix7M8ukT$aJCKbA8GIp)f1m4KP-AfsxQ#g&L+P%UkPi?5 z2q{eVoc7|QgYfZ&5Qw2mCPza;h9*PIu>dUzCaT!AhNo}{CWIsStA>z}uJ7>bvdu3- zA$N;0Nc2p3kjHBO3QpSW36g)&X6(^c?gZAJUJlVtyEW)ZFEmb0z|n343{V~X2N@uR z9(5Z~e}){`7XwT)G%%OKHtUN9YM_JGqXX>t10>uy+zj*sQ_uaF{pni%CEe+fg%>&o zB=fbN*Sm$zy-qlJ5^IK6#k2ut&I3S2V$s?s?kr*OjfF@+fNJ{pQOjM5`UI2f*g-ue z1HD4U9<%|r1B&zEhzGq70sv)=$pa_>^aY2Fe=R26V7kusintZs?_n^vu`&zOVST`4FHYR!{`di!hiY zOL%rT#qbDiUInm|N<_Zi1%t-~)+FM?2=yu^c-`_)tcl_IPywP;-BN?b1ifJY<~vJe ze{zG!P%l+~yQBfDe?*)ABDeX2gZhE&7z8}#cO;FLuLck=sJH{O011tr-__##xX0l8e}wxRn^{FeFStP{MJ2I8y&wv8HI1K(y)glX z+(of`3{tmy9!a+1f z_t<{$n@foW@J2^TbpfbM{-t(Si{)Y-8=_~rKSI9G_ydOC`w?ADVTy%=Fi&X21 z-GG4riG+cfykCNx6qo2U?2`!~;j(}{8SbtH1_`5iK$i=`QG$*f=p>`$J%X9)JajoB zX);9&?y}%R0g!^F%7=yj*meJwlMYcH0kM-UQ9EcE%DSTn8-(t1kf3BIX~3B;2Ez7U<} z0L%cGZxk!o>3{e!2&w=#jr3LjxG)ilzV`pkfDi-oM6qLu8}o^Zo>nd0h>v@KnG20$ zlw}Lm>wi`hZnl620X!b)*MAIg9`K0=5D&pgr{4^9bEtnB)&ee+XbMyaMje6{(Q4TU z0z^u1-2&);Ua|`Vy>MH`|72;%f$TBK5b?$bwU`PO+i!o677L09B%yXwe-=j;9{NQ>`& zcX)Yv0LcL4YyNP43$;UIKeS z6-GKaty%)U96>J0OSXBRDBA@+=)aPMj{#LHdJ`A4=>fN<25M^m5f>K$A_M`h_ZkEW T1_1B@DFFZg0000;lciL(;>s2_ diff --git a/test.map b/test.map index 31dcee89..47ac2d48 100755 --- a/test.map +++ b/test.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:41 +Created on: 15/10/15 15:28:50 Executable Image: test.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0844:0000 0000e8b0 +DGROUP 0843:0000 0000e8b0 @@ -26,24 +26,24 @@ Segment Class Group Address Size test_TEXT CODE AUTO 0000:0000 00000311 _TEXT CODE AUTO 0000:0320 00005a4b -modex16_TEXT CODE AUTO 0000:5d70 000025bc -16text_TEXT CODE AUTO 0000:8330 0000010d -FAR_DATA FAR_DATA AUTO 0843:000d 00000000 -_NULL BEGDATA DGROUP 0844:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0846:0000 00000002 -CONST DATA DGROUP 0846:0002 00000076 -CONST2 DATA DGROUP 084d:0008 00000000 -_DATA DATA DGROUP 084e:0000 00000234 -XIB DATA DGROUP 0871:0004 00000000 -XI DATA DGROUP 0871:0004 00000018 -XIE DATA DGROUP 0872:000c 00000000 -YIB DATA DGROUP 0872:000c 00000000 -YI DATA DGROUP 0872:000c 0000000c -YIE DATA DGROUP 0873:0008 00000000 -STRINGS DATA DGROUP 0873:0008 00000000 -DATA DATA DGROUP 0873:0008 00000000 -_BSS BSS DGROUP 0874:0000 00000aeb -STACK STACK DGROUP 0923:0000 0000dac0 +modex16_TEXT CODE AUTO 0000:5d70 000025a8 +16text_TEXT CODE AUTO 0000:8320 0000010d +FAR_DATA FAR_DATA AUTO 0842:000d 00000000 +_NULL BEGDATA DGROUP 0843:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0845:0000 00000002 +CONST DATA DGROUP 0845:0002 00000076 +CONST2 DATA DGROUP 084c:0008 00000000 +_DATA DATA DGROUP 084d:0000 00000234 +XIB DATA DGROUP 0870:0004 00000000 +XI DATA DGROUP 0870:0004 00000018 +XIE DATA DGROUP 0871:000c 00000000 +YIB DATA DGROUP 0871:000c 00000000 +YI DATA DGROUP 0871:000c 0000000c +YIE DATA DGROUP 0872:0008 00000000 +STRINGS DATA DGROUP 0872:0008 00000000 +DATA DATA DGROUP 0872:0008 00000000 +_BSS BSS DGROUP 0873:0000 00000aeb +STACK STACK DGROUP 0922:0000 0000dac0 +----------------+ @@ -58,7 +58,7 @@ Address Symbol Module: test.o(/dos/z/16/src/test.c) 0000:0056 main_ -0844:0300+ _gvar +0843:0300+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0325 __STK 0000:0345* __STKOVERFLOW_ @@ -68,53 +68,53 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0000:6034+ modexEnter_ 0000:61e2* modexLeave_ 0000:61fa+ modexsetBaseXMode_ -0000:624c modexDefaultPage_ -0000:62fe modexNextPage_ -0000:63ca* modexNextPageFlexibleSize_ -0000:6498 modexShowPage_ -0000:6594* modexPanPage_ -0000:65e4* modexSelectPlane_ -0000:6608 modexClearRegion_ -0000:6720* oldDrawBmp_ -0000:6886* CDrawBmp_ -0000:69ea* modexDrawBmp_ -0000:6a50+ modexDrawBmpRegion_ -0000:6bba* modex_sparky4_DrawBmpRegion_ -0000:6d24* modexDrawPlanarBuf_ -0000:6d42* modexDrawSprite_ -0000:6da8+ modexDrawSpriteRegion_ -0000:6f20* modexCopyPageRegion_ -0000:708a modexFadeOn_ -0000:70ba modexFadeOff_ -0000:70e8* modexFlashOn_ -0000:7116* modexFlashOff_ -0000:71e6 modexPalSave_ -0000:723c modexNewPal_ -0000:728c modexLoadPalFile_ -0000:736e* modexSavePalFile_ -0000:73e6 modexPalBlack_ -0000:7410* modexPalWhite_ -0000:743a+ modexPalUpdate_ -0000:79aa+ modexPalUpdate1_ -0000:7a24* modexPalUpdate0_ -0000:7a70+ chkcolor_ -0000:7d88+ modexputPixel_ -0000:7e16* modexgetPixel_ -0000:7e9c* modexhlin_ -0000:7f20* modexprint_ -0000:80ba* modexprintbig_ -0000:8236* pdump_ -0000:8296* cls_ -0000:8304+ modexWaitBorder_ -0844:00b4+ _VGA +0000:6238 modexDefaultPage_ +0000:62ea modexNextPage_ +0000:63b6* modexNextPageFlexibleSize_ +0000:6484 modexShowPage_ +0000:6580* modexPanPage_ +0000:65d0* modexSelectPlane_ +0000:65f4 modexClearRegion_ +0000:670c* oldDrawBmp_ +0000:6872* CDrawBmp_ +0000:69d6* modexDrawBmp_ +0000:6a3c+ modexDrawBmpRegion_ +0000:6ba6* modex_sparky4_DrawBmpRegion_ +0000:6d10* modexDrawPlanarBuf_ +0000:6d2e* modexDrawSprite_ +0000:6d94+ modexDrawSpriteRegion_ +0000:6f0c* modexCopyPageRegion_ +0000:7076 modexFadeOn_ +0000:70a6 modexFadeOff_ +0000:70d4* modexFlashOn_ +0000:7102* modexFlashOff_ +0000:71d2 modexPalSave_ +0000:7228 modexNewPal_ +0000:7278 modexLoadPalFile_ +0000:735a* modexSavePalFile_ +0000:73d2 modexPalBlack_ +0000:73fc* modexPalWhite_ +0000:7426+ modexPalUpdate_ +0000:7996+ modexPalUpdate1_ +0000:7a10* modexPalUpdate0_ +0000:7a5c+ chkcolor_ +0000:7d74+ modexputPixel_ +0000:7e02* modexgetPixel_ +0000:7e88* modexhlin_ +0000:7f0c* modexprint_ +0000:80a6* modexprintbig_ +0000:8222* pdump_ +0000:8282* cls_ +0000:82f0+ modexWaitBorder_ +0843:00b4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:0369 __PIA 0000:0362* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0844:0000* __nullarea -0844:00c8* __ovlflag -0844:00c9* __intno -0844:00ca* __ovlvec +0843:0000* __nullarea +0843:00c8* __ovlflag +0843:00c9* __intno +0843:00ca* __ovlvec 0000:0380 _cstart_ 0000:0453* _Not_Enough_Memory_ 0000:0585 __exit_ @@ -127,32 +127,32 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:060c* __exit_with_msg_ 0000:0611 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0844:00ce __curbrk -0844:00d6 __STACKLOW -0844:00d8 __STACKTOP -0844:00da __cbyte -0844:00dc __child -0844:00de __no87 -0844:00eb ___FPE_handler -0844:00d0 __psp -0844:00df __get_ovl_stack -0844:00e3 __restore_ovl_stack -0844:00e7 __close_ovl_file -0844:00ef __LpCmdLine -0844:00f3 __LpPgmName -0844:00d2 __osmajor -0844:00d3 __osminor -0844:00d4 __osmode -0844:00d5 __HShift +0843:00ce __curbrk +0843:00d6 __STACKLOW +0843:00d8 __STACKTOP +0843:00da __cbyte +0843:00dc __child +0843:00de __no87 +0843:00eb ___FPE_handler +0843:00d0 __psp +0843:00df __get_ovl_stack +0843:00e3 __restore_ovl_stack +0843:00e7 __close_ovl_file +0843:00ef __LpCmdLine +0843:00f3 __LpPgmName +0843:00d2 __osmajor +0843:00d3 __osminor +0843:00d4 __osmode +0843:00d5 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:0630+ int86x_ 0000:07b3 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:07e0 _fmalloc_ 0000:07e0 malloc_ -0844:00f8+ ___fheap -0844:00fa ___fheapRover -0844:00fc ___LargestSizeB4Rover +0843:00f8+ ___fheap +0843:00fa ___fheapRover +0843:00fc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:0955 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) @@ -160,8 +160,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:0984+ __null_int23_exit_ 0000:0985 exit_ 0000:09a6+ _exit_ -0844:00fe+ ___int23_exit -0844:0102+ ___FPE_handler_exit +0843:00fe+ ___int23_exit +0843:0102+ ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:09c2+ __open_flags_ 0000:0c83+ _fsopen_ @@ -174,7 +174,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:122d __doclose_ 0000:1377 __shutdown_stream_ 0000:1391 fclose_ -0844:0cb8+ ___RmTmpFileFn +0843:0cb8+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:13fc _ffree_ 0000:13fc free_ @@ -187,8 +187,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:1848 __I4M 0000:1848 __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:83a6* textInit_ -0844:0cbc _romFonts +0000:8396* textInit_ +0843:0cbc _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) 0000:1860 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) @@ -197,12 +197,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:1921 __FiniRtns 0000:1921* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0844:010a ___uselfn +0843:010a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:1986 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:1987 __EnterWVIDEO_ -0844:010c+ ___WD_Present +0843:010c+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:19ab intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -218,27 +218,27 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:1ebc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:1ebf _nmalloc_ -0844:010e ___nheapbeg -0844:0110 ___MiniHeapRover -0844:0112 ___LargestSizeB4MiniHeapRover +0843:010e ___nheapbeg +0843:0110 ___MiniHeapRover +0843:0112 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:1f99* _heapgrow_ 0000:1f99* _fheapgrow_ 0000:1f9a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0844:0114 ___iob -0844:0cd4 ___OpenStreams -0844:0cd8 ___ClosedStreams +0843:0114 ___iob +0843:0cd4 ___OpenStreams +0843:0cd8 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:202c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:217f* __set_commode_ -0844:027c __commode +0843:027c __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:218b* __get_errno_ptr_ -0844:0cdc _errno +0843:0cdc _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0844:027e __fmode +0843:027e __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:2192 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -272,26 +272,26 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:2d31 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:2f7a _nfree_ -0844:0cde+ ___MiniHeapFreeRover +0843:0cde+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:3075 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:30d5 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0844:0ce0 ____Argv -0844:0ce4 ____Argc +0843:0ce0 ____Argv +0843:0ce4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0844:0280 __amblksiz +0843:0280 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0844:02d4 __Start_XI -0844:02ec __End_XI -0844:02ec __Start_YI -0844:02f8 __End_YI +0843:02d4 __Start_XI +0843:02ec __End_XI +0843:02ec __Start_YI +0843:02f8 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:32aa _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:3623* _heapenable_ -0844:0282 ___heap_enabled +0843:0282 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:3634 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -309,16 +309,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:4c22 __GetIOMode_ 0000:4c57 __SetIOMode_nogrow_ -0844:0284 ___NFiles -0844:0286 ___init_mode -0844:02ae ___io_mode +0843:0284 ___NFiles +0843:0286 ___init_mode +0843:02ae ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:4c8c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:4ca8* __get_doserrno_ptr_ -0844:0ce6 __doserrno +0843:0ce6 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0844:02b2 ___umaskval +0843:02b2 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:4caf _dos_creat_ 0000:4cd3* _dos_creatnew_ @@ -350,13 +350,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:5168 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0844:02c8 ___EFG_printf -0844:02cc* ___EFG_scanf +0843:02c8 ___EFG_printf +0843:02cc* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0844:02d0 ___IsDBCS +0843:02d0 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:51b0* _ismbblead_ -0844:0cea ___MBCSIsTable +0843:0cea ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:51cc ulltoa_ 0000:5309* lltoa_ @@ -376,10 +376,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) 0000:55fa* _dos_close_ 0000:5608 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0844:0072 ___Alphabet +0843:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:5655 __mbinit_ -0844:02d2+ ___MBCodePage +0843:02d2+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) 0000:5730* _msize_ 0000:574b _fmsize_ @@ -408,6 +408,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00016cf0 (93424.) +Memory size: 00016ce0 (93408.) Entry point address: 0000:0380 Link time: 00:00.00 diff --git a/test2.exe b/test2.exe index 253acc737a75cfc436fbc2f30899d040c6722dd5..25f13edb7bd90b756c3262e1c4e071f31852284d 100755 GIT binary patch delta 5082 zcmV<06D92FwgKO^0VPdZUjZ%v0RRF3!V&-f$_W4h00000000~S000O8vjw?zExzcH zB`G2d0K30{Rm)XSSRoAq0|`X500g4L$3GC006SU$K$QRhsj+Z@0Rio^@&SiF0W`CE zKw1WW1`WmUmz1~@xByE_l>Ps>1P1T800Hm)u;aSI1Mas80~R(65$}WUuz$L>)dhh) zz%q5alo$~*BqIYSM%o+!3O{GuF^|9rq2BYrb|=G>2{bV~94uBemBw%ap8GA~f9i{HL5HG@!rIa5Vu4-m3n5W5m9E6`^d|QuL&&=u zjM{%4?URrZSyF8lyVNHE(2I^4;L-tLRPN}bx!75^6ZG>amXNW*>wL@Rh`d5jyQR#3 zK**Fzg)hs!&d~D9%mK_Zj5AaS2`tZ@U6YHgzMN_1(#f;YX3Qi1VUsbK&5l76%Qny_ z0}I&DYeTG)&;er2&B{~qqeHCxJWs8z?4hk=1 z6(=c^h>}5Nj`A>0uopwTO$4yfDBF40aKUX8#Edy4nLv#_Yu^A1J!2kg47pB(~$vK*EawfDPm~uN^fp|4*SY! zk^1=rB1Gae%lHz_c$1N$?m;v2%#_#=DjPdyYj+cy5at243-*k`146~o!?02W3yTk1pr8P6K)&q%bLHxN z?m~)&6u;Mw>i>$^ZwdPU?)Hkqs!1)k46qM&3iGP@75E+lzU~S&cL1<6;?jdU%ESf$ zm!I8)j~DzR#eN0{slo0yF3!6IP$A9Q{~;j|)X?ts>gXm9wCfXank7S%2}&wwn&v8H zU_8h#EgRKV>RM%qtaX?GcnaN}cI>MJ*m&Lm07iq_u|-qLq7T5;+$Q*3Re-w3blWn1 zBWUv@p2C$lQ;$Af+%@S@pneux1MNOe?y6(hF9!;B)mM^T_JRLxTZ6D55DSEpno1mh zJ?&`!{<3WX_`7rZ1P-tz|CUL>eeuz3SF$+K32xEDNjyVPikm8x@2xRu>2A8qvR`^r3d31VH2ZuFx zhk*o0FE=J-VXchGGzGQk5SYGPvrhCGh_3&EjAlL`(dz-9xa;@hFlbP~3w)<%vy2Fb zXlNb*YDV_SUuq2{ju0+0jM@j_Pm0)Qp?_jif;cc5w%s2F1Fsn>7Z5=Th>{q%D(u+I zu*L=8y+^PWs2zo`IM&I9wE?PqF|1121!=kz#Vg5&S*gb61UC+F43F#!A6$u(8%!Y$ z|AXlRgT@2m_i7y7Ig?3DB!41L|0QYULyLpSX^R(0kc+Fx6^j*l!HOdCp^8*mpB34O z3TfREKs~yXeEK}|a_~S`a=VE|OMdVwFD)J|ZL2|r6XgXT6Q&_BLSUJB-G>ELj6L5Zbbs{d2Z(2JR&8Y$ z!p8aN48nOgiz_2~q459Uha@j<3iO5QMYZk(PCA1XUPuVDUDdmXjPM*hz6hHi=mf*R zw3~$@j4gc)Ispxo1`a=jOu|0B3tu(_2vjI)R`LpKLI)2nc*2MzIq4FGDG72r->3W`7~DT^kiGAyR(W|6sZ< zH_BV~=Y@*^wF`}k2$Ef?lP9-@t5~ZPvHgB($8|A-fW`hRyfZv;Pf1NFuSKSmA1a=E%M zh$q&3BKGwEPJdgsJnNidS_+hv7B4(mUBYTME8ncl{TmX`rWXK;O(Fj9^t!5)+sW>h zb-KxVs~uNDIA~=weorffp)@7>d3@HgsKI?j4*K=MgbG%C9D)Y>y_h{h!*L8pf(XGO z`wqCPX;2}x@Ptaop*`Xa)`uVl!KIrZafC^0>kLre)_=OU-;UV?N$jCzx2gbNTlpn^ z-T%cK2ZD^I6zIDjXt)#t!NkZiv8kt2AQshDR;agoTxdLW${!Z!krKDQJo=hmHzGQi z3X7}|);&3Uf@B1Z(DqX`R4N_;Dt)>AkwLDJGXO}1y1|6%MfCa*`lcGF)-Wq@$BPJj zI*HJV$A8I#nb7G?frIRigW=!^5oMe75NJ@=*9gCDQ3ymdDpatUxu{#Ajl${RcK8Gh zakHcp@V)vr(TkA7Y*E+IBItGKjDrdPe2eS7C8_imgHu;OI!#bMLO|dKy^82Q{m?>M zh12Zqf+-~{B`Fyc2~HTP|7?QG=pF_)0iK`pf`1ASq2o7IfpYAH09>2~%^YSS_fZ0p z^@R?OM2e-N+Kd8PAO@d8y8;2%U*Z@$F@ZnSfC1Zy=KvgN=nZFAus8DByRi&mn2|&6 zL(GcZxDXEg0|%exf^Iw;@i$M^GvyV6*#0t1Sw(C8Gf2E!5`-cdiMoM;jr zVt@7v{~Ce|3>HSI*FaWw*~>9CLlCV0FE4|`7y;S~72qrf?K`2cR0IoL+(`El%ApTqeY zC&2j}p8$pSSAmd{2FCeA)TKc0w)%C%ZGVCJ9KmLb)e3f0Hg^#(d!P(d*VFn>h>JAZ!OcPCL` z@`inr=2Y1C1%8Oe6aN=YN9(26$f^i7(!hvr*?byx>#O*&eiDPi0TtVFJ9lG$^KtV# zF0FFzt|CDi4$h!gpgX0k`Un>)YYhi&m-%iS|CqZ+mFs~5Z*vf8Q+>0GQC;Cdj0t_k zz*#KwFrbX=7jbZ%S%_s;EjCFH4xFtb?*02Bd=A3 z_w0?DrB{UpRn^9Pz~U{RrUz0=PZ;}lA>(N491 zDMokbfGOM%Jq1!jI0aIo{kGH&B4{fTfZF@<2zW*6B5-mAW3|t<01R5I>;&`z1vB$q zurbmgN9;QSIaxA-yw@x{0TsZ;F2{lJTHCYxy?YE4A_bx`HQ?!ckV#sB@ahq)z%WJN zd~03FA6POYu7HbMHGl836@l z3~F%yq}t%@my*$D@a)l6?o6Hn+E7k6HRvs7FNsdr(G3I23?oXT2Ou!69viSw9NQNI z2{VQ;qc`y!{&@{*gF&sM1KIckB)K@a4D016v-O_b=7jyehSF1p1A#fcWTLmYI4VTOo3ITT=1+K*SdzWB8H6@Q?iR!{`di!hj@7kG9!#qbDi zUIn$2N>*U|1%t-~BwOML4E2aR>+(={tcm$hg#n^dQfPz5DK>il<~x^Va>xcyU*U-` zw~7HbibR|LBDdXlgZhE&7z8}#cO;FLk+A_CP*PJp|7>#qi- z5ca6J0{{tso{NU!`|*pG;QI*sIDdC36&FDTMFgm^Hy{diu{EE!i!lL)h};$x41l!# z1t9U=PcIBs=ywis$;V)?B#!c);=zl)7hbmH{(t|DhUWh&TMGWq; z;6vq*b)~?Ei-2}@|CT-JZU0BN1#g<_=t+?72JT;Lu>*^8YAxXkDgsxY4G6biw&D$f z@Jd@3Qq$%Z*FE$B)>pA%gXnwP8FYj`e1900evHv>@F&>}f(6V>4Ho13lnxeE;PB&# zn(NA=p$LSz?sA|8Cq}@MnefMSSWjVmPy2Ez7U<}0D=I~s7b2#80KfAm$jFcFHr@b>@B5EO6Y-CcvXi%ja7Xy=&1Y4po;RG5pa zW|3C2c(-#fb$IZJ2SXDDCzlL1#_i7F-BKj?*)9$QHt4iZuh9Ee>H2K7jy-Mge62 z3;+dLUP=j5ga}b$4xA7#adLHI)pT{e<#PZ=trr#$Z|E&>Z)*iOdvkSg2!CyI2f1!U z&>3VEZ>}&gGXFC)H8wXmIbqvkV-RLDeM9O0uhG;0I~xkodf`Y z06}&E1``l$0tsw=3tLtNZGQj)9r*|SBnAip{}jO{2RhKLcP?VY?uVJT`X= zY5^D9JFW&V|9)5`?-nj}CjWyBHvN$SBPMSW76By20ZRA%BqB6-j(@)m2LY4;v;Pz> z5GiwNDk=Y$Vc;sA7$cHz7EDnA|0MwuS|JNBNdG$;A6pxg4IKXrjXW6|7!47(8w3g* z^Z~a4Cxw9jERjP2t}qb)G&glEIx!qo{U;_HC;%tFqX-rQ92WmU3sx&BI1ms2A|@ae ziuDf2+W|rtC+?a&Gfy`E$`l(691;{6_ESnt?hV^s0u}#8IssJ=8Z;f-G^%0HU|hG2 w0SJ8uE|YF~?E#}G1=~F;1CrZ?k1#8G6BpO%0k@_GZff)q7Z(99LX)Ce#~P0taR2}S delta 5078 zcmV;{6DjQ9wgKt30VPdZX#p+(0RRF3!V&-f%LxDi00000000~S00062v<10(Exz)R zB`G2X0J~p+Rm)XSSRoAq0}1H8q)U9%V^|=Q07Y5=P?Z1x6|r!E0Rir_@&SiF0Z6lY zKw1WW2o1&Wu9WZYxDxkF@37;#!UMMM2?OR94BZig?)|#e1MDi~ z%?X-s5P;)99i!d{z;-*YlnF>N zFkdKmOX34hxS5oY6J!(`StnW-tMTNq1ZJ&&6ooUb51R+Eh6R9N5yXMrNY)2#q-n+)FgX%IBoG^xgN7772{e?6a0RS>rLjM~vo{`mc& z_mf15sjk@Z^d|QuL)^O?jM{u1*}^MkCASvTCvgGLj(jcB04j8y{MVz{SvC{&?aJInu1j(b>#^ zSpSn|G0h`Ygw5a3fG74J&=~7Olh6QI&9ll?=mzUo{NxbMt7vme#ZX*G*U;%Q(5L=i zSz{v6bp^3Ql3|C%3gURAJ@J2%2zfDo^2sp7-EQzt#bv6J6MKa8uk(rWT?!!XejP`W z5RqsH(YT;I8m$2o4g$LCR`7v4Cm$udHL(K&UAqC_M)0+WQFo&-zzmKI5#Net2@TS^ z+6mLa5eitI`I-1P81IS5ODhvd7cL%u90+vz`>->*zyoHh?&t&nEdP-OVC&p}02-xs zq3(kzyAJzRkt_M=1c~+#(98Hq;o^UhtUa8G@<1f-*=JXV&jgxQLg{V=Px?}b#IOQp z)1KPJr2KdOS|o4XBqQOokaLO}EV5?u33J&CbK#2zTf%SunXv-X;v2%!)A)Qg1`Y%2 z#k&+12Tj$UG#AmDyY|=w_YIGK+VBHF6?RN09c8uwD=^SVOaQSZn+W2e#|i;FV4)0H z_I7X9=AjM&qk}_7Hgt+Y;zD|%|Fi>MMvJ)meZ|)zAX=>gXmTwAT}Gnk840 z0ZJ-=uI4IvU_8h#Eictp>RNe;z;&1acplxIcI>MJ*m&Lm07iq_u|-qfq7T&7+$Q*N zRe-wNblWn1BWUv@vci=(KaW0f+%@S@ppq7E1MNOe?y6(hLk9|V=~t#*_JRLxTZ6D5 z5DSI4<8QIoGq6)M4w2~oG0m+8i5|Dg;j zHf3XuP9Ta~E5*1$d{yQkV>yS8F$+`N2xED3lkQ3zf8Qfx-T=WkW8~mD4lB>wjo>`q zV)WcTPUazFcie#}j7#eR`^p|jk%|v`C1XC54sbJ%!1s%S6O$86Ar1b6=>vnt z1LD|0hFy`9K};lnHc$U0Y2+`9gUMBk7d4QJtH=S16?v_SBJz!jR9yzxqs56QmGpOs0gvLV=m&$%hqIQ83?slXU3O^M{>rR<~sr#tZ1@ z3F@Ms}fw9W%|Q17?{erV8oVjc-=Vc30i=Kmv0 zq@hV$u=AvkqNE>-3V#}2i`(bN2W8}LAC3?gpw7C5&&X&UOKwK>17D+trJD*s01F}a z;CB2`h2|2Y?fkk9{`S~InCO!LjIky1FE3Kp2r)W;EM`MsT{ZzNLZyDO|6p}4HmweOsS}7QrnJ{)iWV`hRyf-vmE)1IFqHKSmqZa=LRbCmrYq zy(08~|4yx2JlN};T7spi1&>2nUBVhRitF>t{RR^0&jWxWO^Eyw^tvjPw#n|@mb%Ga ztA|%mnoJoqUr!gPg-J+$d3@HasIXsI4u18mgo2fjs2l>Kd=9v) zX+YX_+=QjuQ2kN)jF5pKu%%`o(p`jWdJIs1_1~?!-@%UA7652%WvT$yU->2Xe*eWA zUGXWx*>%oIXtMm}+`kF4*pus=Dvx^{n>WR>Q zE8QP&ndwbJg9m%FgW-S(MrHJE5NJc|Z1k1CT2T;0Xez0#VYypTL!pJ~z;^fuA>yB; zDbe8iZPAO6hHRtdt|I8_=y-!N6MU14>%AqZfemO=u2XbPP<=u`!3K(Z=sx{Ip<2@m z*x7<9N>(K)G87V>7`tt+g3CG{0ZV{?XP@7Kf`qj=H{exV?4cA_oB_>;W(ZXGK)t4g z4vtYGxu~|F0+t{Kr$WHH0(D>F&KNQEfj@u&Hi_o|+8pQudsWS_^6c8X3}I${5Yg=h z1gafI;_%Ef6l;4ldvnXX6+y~6H~q)=?(>DxSir3U>WZ}d1uPoF1h+FiKdGF5ni2HAG?rjyA^G>!fi}t7 zH3bo{xPAhW;JtxI$%+MXX(DCm3(n|n6><&B`BU6%0|WU1yMsAK>S6iC>~pjE3~ML( z0CJxI7em;Akdhnu8!x3%1IoRB`qsp4`3Dn_fe-zFByu=I+pvH(w)q{ysyQ*Qxdk+^ zo*$0-c5lPPw>#K!Vs8ZQb6o|%Rg3n9e}mvFxIv$>#(y;mlWZ+xo_2(C6AoqG1n_fU5EF-Qe=PEV zPF#OL;P9bo*>^v?{KZ~>@=uW=W)jp2MW~Ko&ooF6Fb_)&!jk+yGAse)<9-9YU|Jvw z0^G~Gejo~aDEt>>6K0}<1dferE5(SWwV-7dYu24aPF)+V>MFBg0-gl}e5C%hi zN#?5T3-NtKV<-O$O-It}*T~ff8|J`7H|=~Hb#<%wzp)a7!T}X+ayzkif4KAWJD06; z?pGopjUs2DS5O_ftc3a(6*Ub9%We6$9RHYIN0sY=fp0WL+EG7!te@0Z^a=K3QizGE=$KZK<&NUF!%2l;Q_dt%rRreO` znl+_dg&S3}d{^QCwzCJBQYcSh``aPoXdJB)D7BV7vK$nD0|qn=ecybp84Ya=eeEem z-{@>95O6&eQVcj2QvHh9=njcLq7n%YXz?Lg;OdEnz!i?k&j8g7YpVpX^a2&m2VKB1 z(t}4ku>v_}G79kPusZ=)+5o!S@CRBDTmO4{3@AkzL}N+SzVdrpfLY>sgZd*C@@LoA4%$`Ak$DVg>;_Rtf#?!kK9 zg!m>69YcBvCJxL1#4RQ!+#ij4Q*eYPLL+>8dXPweu6OY2+08E@Av;?!r1U09NMmaM zg(uqV1izBeX6(^cg6?3R7G%**yEW(;FEmb0z|n343{f3k2N@uR9(5Z~h8)-z157hD zFgFC#&M-CkjNlNX`}OY>RR?2CE5<4$>j zC~ItgSF{0E&R{e^R?#gdqVCUOU3mnEKnD5+D5S26g8E78fY>jAw*x}O9_j=E0q)cW zhzAh8_5uKnUekC(P*}h$jXeE$5}|v#&Wi206}#_YFyw94i-V5PD50!DqF5S9QLB_-OenwLq;<1fq*!m_{q{b~wf02-{u- zlWIz>boK><#{?v{;s_b_5O)9aQ17gX`A`80qNY;NgT_)y_5bEOE@g7a3{b!IiA0KS z0ZWRB|2HCU$#;YFf$SIrc;@dUjh5v51grBdhCjkR@Zxu738}m;|EIVrxEaY&Gzpo1 zJ}1$2v7p`{3UxKcpNrlx0fyWlS}6<&P!Sb_ z1v_;w3|Z*k4sypOg1$KNJD$Odh`wKcUbf`_j`)V=|0?7r(!z_5F2?tM#PHusi3LVS zNrH8?YagX{+*XTXqZ{b-i}?TYz5oFOhQQwYid}4Bii0RH3g3;n{+Ksmu+6})#dXY)aj$>4Q(qRY9@dBrG}X8QGS={20!tr%b9B3c7BEMf zVKsV-cJ>nRYs46!!Kp)3fwPEBA_7|oyxssV<8x8~LA1bi`3b%v6Xv-90F9Uw`zyI~ z_y-7xQQwX9Rk$z_{EEKz|IH8u8}r@7U5Re6pT0^t*FZn47D1 zkygWar*klIc<_k_Lk|UiCZ{SNUqf>NT@(Trlr;7z2msKYLebl52m&ez|62m+wq6SZ zS8#Nu+07e&R7K8YEEpTrITR3xn+jVdVa%~50&O{l2 zWUIb^FflSSG&TMrbU?Fab>51BI^0hC4A0uxUH00LwYJp%v(lq2i}0006D zumJ{}5CUTfePRoL+foGpXaeu`2P6gv?g9T49uH*$*#H7fLW9(A2u$p}PXGg0TJ9i_ zUH1VvMHdV2f-wcp^~0spfUE)Xem zYAXLJmto*4ofspxk`_!+{{bZd5?Ub(FaJn88XsF5lnwtJ42?V)8W;`R5gP;w`Wykb z0VhI$|16P10j@Cr5HvS+EjlqA{#7R?8z=xL-=hc?104SrK?_zZDL4@S4*>^`x#S8P3{eCUIPCWMmhmi4;nP>9W<(8u+UsvjRC$K s2QJBO_IUxLCD>XQ`fBtM7Z(99K9iVQ$E~Cv`2YX_ diff --git a/test2.map b/test2.map index 13396a95..930eee62 100755 --- a/test2.map +++ b/test2.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:41 +Created on: 15/10/15 15:28:50 Executable Image: test2.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 08ee:0000 0000e890 +DGROUP 08ed:0000 0000e890 @@ -28,24 +28,24 @@ test2_TEXT CODE AUTO 0000:0000 00000186 _TEXT CODE AUTO 0000:0190 00005dec bitmap_TEXT CODE AUTO 0000:5f80 0000060d planar_TEXT CODE AUTO 0000:6590 00000275 -modex16_TEXT CODE AUTO 0000:6810 000025bc -16text_TEXT CODE AUTO 0000:8dd0 0000010d -FAR_DATA FAR_DATA AUTO 08ed:000d 00000000 -_NULL BEGDATA DGROUP 08ee:0000 00000020 -_AFTERNULL BEGDATA DGROUP 08f0:0000 00000002 -CONST DATA DGROUP 08f0:0002 00000076 -CONST2 DATA DGROUP 08f7:0008 00000000 -_DATA DATA DGROUP 08f8:0000 0000024c -XIB DATA DGROUP 091c:000c 00000000 -XI DATA DGROUP 091c:000c 00000018 -XIE DATA DGROUP 091e:0004 00000000 -YIB DATA DGROUP 091e:0004 00000000 -YI DATA DGROUP 091e:0004 0000000c -YIE DATA DGROUP 091f:0000 00000000 -STRINGS DATA DGROUP 091f:0000 00000000 -DATA DATA DGROUP 091f:0000 00000000 -_BSS BSS DGROUP 091f:0000 00000ab3 -STACK STACK DGROUP 09cb:0000 0000dac0 +modex16_TEXT CODE AUTO 0000:6810 000025a8 +16text_TEXT CODE AUTO 0000:8dc0 0000010d +FAR_DATA FAR_DATA AUTO 08ec:000d 00000000 +_NULL BEGDATA DGROUP 08ed:0000 00000020 +_AFTERNULL BEGDATA DGROUP 08ef:0000 00000002 +CONST DATA DGROUP 08ef:0002 00000076 +CONST2 DATA DGROUP 08f6:0008 00000000 +_DATA DATA DGROUP 08f7:0000 0000024c +XIB DATA DGROUP 091b:000c 00000000 +XI DATA DGROUP 091b:000c 00000018 +XIE DATA DGROUP 091d:0004 00000000 +YIB DATA DGROUP 091d:0004 00000000 +YI DATA DGROUP 091d:0004 0000000c +YIE DATA DGROUP 091e:0000 00000000 +STRINGS DATA DGROUP 091e:0000 00000000 +DATA DATA DGROUP 091e:0000 00000000 +_BSS BSS DGROUP 091e:0000 00000ab3 +STACK STACK DGROUP 09ca:0000 0000dac0 +----------------+ @@ -76,10 +76,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:01f0 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -08ee:0000* __nullarea -08ee:00c4* __ovlflag -08ee:00c5* __intno -08ee:00c6* __ovlvec +08ed:0000* __nullarea +08ed:00c4* __ovlflag +08ed:00c5* __intno +08ed:00c6* __ovlvec 0000:0220 _cstart_ 0000:02f3* _Not_Enough_Memory_ 0000:0425 __exit_ @@ -92,37 +92,37 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:04ac* __exit_with_msg_ 0000:04b1 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -08ee:00ca __curbrk -08ee:00d2 __STACKLOW -08ee:00d4 __STACKTOP -08ee:00d6 __cbyte -08ee:00d8 __child -08ee:00da __no87 -08ee:00e7 ___FPE_handler -08ee:00cc __psp -08ee:00db __get_ovl_stack -08ee:00df __restore_ovl_stack -08ee:00e3 __close_ovl_file -08ee:00eb __LpCmdLine -08ee:00ef __LpPgmName -08ee:00ce __osmajor -08ee:00cf __osminor -08ee:00d0 __osmode -08ee:00d1 __HShift +08ed:00ca __curbrk +08ed:00d2 __STACKLOW +08ed:00d4 __STACKTOP +08ed:00d6 __cbyte +08ed:00d8 __child +08ed:00da __no87 +08ed:00e7 ___FPE_handler +08ed:00cc __psp +08ed:00db __get_ovl_stack +08ed:00df __restore_ovl_stack +08ed:00e3 __close_ovl_file +08ed:00eb __LpCmdLine +08ed:00ef __LpPgmName +08ed:00ce __osmajor +08ed:00cf __osminor +08ed:00d0 __osmode +08ed:00d1 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:04d0 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:0847 __doclose_ 0000:0991 __shutdown_stream_ 0000:09ab fclose_ -08ee:0390+ ___RmTmpFileFn +08ed:0390+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:0a16+ _null_exit_rtn_ 0000:0a16+ __null_int23_exit_ 0000:0a17 exit_ 0000:0a38+ _exit_ -08ee:00f4+ ___int23_exit -08ee:00f8+ ___FPE_handler_exit +08ed:00f4+ ___int23_exit +08ed:00f8+ ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:0b72 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) @@ -134,45 +134,45 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0000:6ad4+ modexEnter_ 0000:6c82* modexLeave_ 0000:6c9a+ modexsetBaseXMode_ -0000:6cec* modexDefaultPage_ -0000:6d9e* modexNextPage_ -0000:6e6a* modexNextPageFlexibleSize_ -0000:6f38* modexShowPage_ -0000:7034* modexPanPage_ -0000:7084* modexSelectPlane_ -0000:70a8+ modexClearRegion_ -0000:71c0* oldDrawBmp_ -0000:7326* CDrawBmp_ -0000:748a* modexDrawBmp_ -0000:74f0+ modexDrawBmpRegion_ -0000:765a* modex_sparky4_DrawBmpRegion_ -0000:77c4* modexDrawPlanarBuf_ -0000:77e2* modexDrawSprite_ -0000:7848+ modexDrawSpriteRegion_ -0000:79c0* modexCopyPageRegion_ -0000:7b2a* modexFadeOn_ -0000:7b5a* modexFadeOff_ -0000:7b88* modexFlashOn_ -0000:7bb6* modexFlashOff_ -0000:7c86+ modexPalSave_ -0000:7cdc modexNewPal_ -0000:7d2c* modexLoadPalFile_ -0000:7e0e* modexSavePalFile_ -0000:7e86* modexPalBlack_ -0000:7eb0* modexPalWhite_ -0000:7eda+ modexPalUpdate_ -0000:844a+ modexPalUpdate1_ -0000:84c4* modexPalUpdate0_ -0000:8510+ chkcolor_ -0000:8828+ modexputPixel_ -0000:88b6* modexgetPixel_ -0000:893c* modexhlin_ -0000:89c0* modexprint_ -0000:8b5a* modexprintbig_ -0000:8cd6* pdump_ -0000:8d36* cls_ -0000:8da4+ modexWaitBorder_ -08ee:0104+ _VGA +0000:6cd8* modexDefaultPage_ +0000:6d8a* modexNextPage_ +0000:6e56* modexNextPageFlexibleSize_ +0000:6f24* modexShowPage_ +0000:7020* modexPanPage_ +0000:7070* modexSelectPlane_ +0000:7094+ modexClearRegion_ +0000:71ac* oldDrawBmp_ +0000:7312* CDrawBmp_ +0000:7476* modexDrawBmp_ +0000:74dc+ modexDrawBmpRegion_ +0000:7646* modex_sparky4_DrawBmpRegion_ +0000:77b0* modexDrawPlanarBuf_ +0000:77ce* modexDrawSprite_ +0000:7834+ modexDrawSpriteRegion_ +0000:79ac* modexCopyPageRegion_ +0000:7b16* modexFadeOn_ +0000:7b46* modexFadeOff_ +0000:7b74* modexFlashOn_ +0000:7ba2* modexFlashOff_ +0000:7c72+ modexPalSave_ +0000:7cc8 modexNewPal_ +0000:7d18* modexLoadPalFile_ +0000:7dfa* modexSavePalFile_ +0000:7e72* modexPalBlack_ +0000:7e9c* modexPalWhite_ +0000:7ec6+ modexPalUpdate_ +0000:8436+ modexPalUpdate1_ +0000:84b0* modexPalUpdate0_ +0000:84fc+ chkcolor_ +0000:8814+ modexputPixel_ +0000:88a2* modexgetPixel_ +0000:8928* modexhlin_ +0000:89ac* modexprint_ +0000:8b46* modexprintbig_ +0000:8cc2* pdump_ +0000:8d22* cls_ +0000:8d90+ modexWaitBorder_ +08ed:0104+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:1100+ __open_flags_ 0000:13c1+ _fsopen_ @@ -181,13 +181,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:15d5 _fmalloc_ 0000:15d5 malloc_ -08ee:0118+ ___fheap -08ee:011a ___fheapRover -08ee:011c ___LargestSizeB4Rover +08ed:0118+ ___fheap +08ed:011a ___fheapRover +08ed:011c ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -08ee:011e ___iob -08ee:0c94 ___OpenStreams -08ee:0c98 ___ClosedStreams +08ed:011e ___iob +08ed:0c94 ___OpenStreams +08ed:0c98 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:174a fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) @@ -203,15 +203,15 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:1a14 __FiniRtns 0000:1a14* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -08ee:0286 ___uselfn +08ed:0286 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:1a78 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:1a79 __EnterWVIDEO_ -08ee:0288+ ___WD_Present +08ed:0288+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:1a9d* __get_errno_ptr_ -08ee:0c9c _errno +08ed:0c9c _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) 0000:1aa4 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) @@ -250,13 +250,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:26d8 __I4M 0000:26d8 __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:8e46* textInit_ -08ee:0c9e _romFonts +0000:8e36* textInit_ +08ed:0c9e _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:26f0* __set_commode_ -08ee:028e __commode +08ed:028e __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -08ee:0290 __fmode +08ed:0290 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:26fc tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -277,9 +277,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:302c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:302f _nmalloc_ -08ee:0292 ___nheapbeg -08ee:0294 ___MiniHeapRover -08ee:0296 ___LargestSizeB4MiniHeapRover +08ed:0292 ___nheapbeg +08ed:0294 ___MiniHeapRover +08ed:0296 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:3109* _heapgrow_ 0000:3109* _fheapgrow_ @@ -291,21 +291,21 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) 0000:33bd __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:33c7 _nfree_ -08ee:0cb6+ ___MiniHeapFreeRover +08ed:0cb6+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:34c2 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:3697 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -08ee:0cb8 ____Argv -08ee:0cbc ____Argc +08ed:0cb8 ____Argv +08ed:0cbc ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -08ee:0298 __amblksiz +08ed:0298 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -08ee:02ec __Start_XI -08ee:0304 __End_XI -08ee:0304 __Start_YI -08ee:0310 __End_YI +08ed:02ec __Start_XI +08ed:0304 __End_XI +08ed:0304 __Start_YI +08ed:0310 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:4808* __dosretax 0000:480d* __dosret0 @@ -314,9 +314,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:4887 __GetIOMode_ 0000:48bc __SetIOMode_nogrow_ -08ee:02ae ___NFiles -08ee:02b0 ___init_mode -08ee:02d8 ___io_mode +08ed:02ae ___NFiles +08ed:02b0 ___init_mode +08ed:02d8 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:48f1 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) @@ -335,15 +335,15 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:4bc5 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:4be1* __get_doserrno_ptr_ -08ee:0cc0 __doserrno +08ed:0cc0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -08ee:02dc ___umaskval +08ed:02dc ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:4be8 _dos_creat_ 0000:4c0c* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:4c2d* _heapenable_ -08ee:02de ___heap_enabled +08ed:02de ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:4c3e __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -357,13 +357,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:4f87 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -08ee:02e0 ___EFG_printf -08ee:02e4* ___EFG_scanf +08ed:02e0 ___EFG_printf +08ed:02e4* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -08ee:02e8 ___IsDBCS +08ed:02e8 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:4fcf* _ismbblead_ -08ee:0cc2 ___MBCSIsTable +08ed:0cc2 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:4feb ulltoa_ 0000:5128* lltoa_ @@ -388,10 +388,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 0000:57b0* sbrk_ 0000:57c0 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -08ee:0072 ___Alphabet +08ed:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:5866 __mbinit_ -08ee:02ea+ ___MBCodePage +08ed:02ea+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) 0000:5941* _msize_ 0000:595c _fmsize_ @@ -420,6 +420,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00017770 (96112.) +Memory size: 00017760 (96096.) Entry point address: 0000:0220 Link time: 00:00.00 -- 2.39.2

3sRl02&a_5At%%RP8OGw%4F%+V3_#{B68bL~ zfmFF5HV%Z^Udga|*pfjxRPD!HsQn?3as^_}S+*A05k>cJ{ng>?3`adUP{*;VZwF!y z`x)jE?%eg5^->1iE!g9UDt0G*!=H@rgeZ8ru!7VYF%Zu9E8r9)V12W=IjSFjQMj@5=J?~;3 zitaSRV%+l!YV`4YdyeG|BX8UfsLIctTO9Za6y%Nk{LpaBP>z(8$}f66r#n*e`}YUrXnZ)p*b1_%omg47 zbD?%2^qhNW7NV4TJUY{nwq+Z~s_b*v+A0SQHQ4`7`IZ_hEQn^5)bErCI@DU@bGGt5{v*s!9m zr8vhft;ei^z?a?}mYT`E!i9KbC~!^exXEbfNiGd$X~yNXqk@t}F9^M9 zyc$C`+=+;^9|%NiOyTtI{qF=lJRs#evW)gGkmSbXL|gy$BOl1cB440Nm(MBY{Ljeo zd=Ug#d1#V1^2Rl2bG#PDdvW_CN+3|+y+E~lUrenxV-j%IL4*KzKgyn85xiUT@1Frv z_Pd3AG_Sy#oWMg{$5;7_h^+JIi8L(25kPjTCfTZw+|8W-YsEmh*k-Oo%_GkI%~*!x*R8h5-C+m#D7 z__J+|CNHa>89RE(dXx9j<+?rExziQ}u=u6+unIopG|s(8m%T3W#oyJA1B1B7 zjn|L5_1+Nb{QL8m45P{Q&Si`V_2%ff9)qAxkY~DlZm~JnVdgIHQ{Tv^ic@eoUyfVW znqTK3;msGVS2BFdf#c@Wl0{COifqls`@N9WYYv|VH;{2IsHHoHag=XZsgS6e`>~!t zJ=QizWYKGrqA_%E*^XK72lLnH1*l(Zd8iV)dsGrR4}bvixxlJ1E}1;!|ifI4oLmzfL0t z!gUK(0D!~t#0WSzwBGyR0lbs@vinhYqL%|*WV#~u_G4&5mz$}gg2<Vjos;PR?YM`NBOS;LpYnu=-vk0NYGY`6_M1TI=8NcohV0|uV(aru9pqC|0j??=0r9FC6l528k0oR49f%f&(IplVaB z`FkVBfdi!(dI#J>gW^MVtzts;u!Q{=V`4AbLiW+1mP-lSXhH>F!PErsc?r*nGmUMf zGY0K~hr5n?49TprmoTLWMRWR+7GngkE>c$b541SO=-G3JjJU1Tw@H~%s*-MR3=EWJ zm;3mL%(P(FIjVz(kJ@H(w%H9hy9f0j+q8p8Nh!aNYLOT!EO3IN#S8IMHD>3DrM~AC zX}md?$sEfMkn5QPY9Ai7-|A+4;x))g>znZY7=FoT^jFFBo#VZhy$FGep;k*+oZ{RQ z1{Gh1(Ma*;sqee`k(C(p;bBY0nDjwF+xy1Pub{>EjFtWRP;rf5jXyS&@n0^|1p%w! z2N>x}4+CLYmS4t`MkAzx4EJ`o^Lk*iv`+}bOKd}2(g5mJ(5hwl@@3`qWoUvH(N#%~ zlqy2;oW2M_WD?<7NmEB1x*hG#j;SIeK&7vruPrp9_QHTT@n92-$VmiJbD3AviuTQ& zAn|@#`YQ0um>e4TrzyjVfg=%*+N-lGru~&}94=n!eK@zp@GAW%MyCP6(a{nT zrr}ysLvzjxWE(&F?hn6)K`X}9%Qg}%`gT2rTnahD;6c!5%#~v9@NUtewite`X&d$F zky(YZbD;4v%7sQKP*>9yiXzCUq(n0@np12H@XrNem0jt*wy)M^! zyIz`U>$X=qJJwq-_-MS%vhFXt*W^HUwnNiHD-)e&!ItkkIHi)bySbh z`m;E%2P+$yqKuV_RuztG{|WKlZuh=}cYbOiC|P}+3&JBZ9P5$XLRHFXDB<@xmjr6d zIZaXDH@|YI;w>FjTdwabbGBa1z&12kw;Ast`7cb%KRk>vRbsGaIGUe4qaJNa&m4IX zO$N7tl5^(xoSu#edzyP~KU+fDzkh@U$_FKCykdy3_+7w077f(!ud-*azZoOvy~IKN zlfAk#`2khfG^RpWo81D;ubx_EoBe#pvVeWf+N$|Qmla_=g8QkG#vUQG__$b#FoY zH>8j3H$fbaCJ(CHrd_KYhLw89=Az_4g{6?5C~zNO8Oy8wQ7Y|Jn>t%M+N0}R8V^Bu z4-_MF3u)S_$KIYH8L*|A63$XdOL{g-N0tf;ovKI1uZ3AOQN>usuM+o&I6aqp1!J-E zvvrTl*!uPc`_aE+cBibcM5wnV*Xo7T0~$7So%Y{jhgsH%;j%xhS5<7ZBMm>jYNCRB zZd-sfG=k6}mAwJsFAPJ5Pw~U;&ivL|U8TVE^Js5K`3<@7b>v=|L{*RZ%8e9sbF*#Y zUv<}CRYAEBaOOX0!dnSy))Bo-1T?UkxRhf!%)SJ=$I1Dx6N#5PpPYzRDPGs&W+G+M z**U~#Yyvxr=wouF#tV9IpzIIUhX_*~cKOyhm__vdsEX?ubskk!{CIm|^{e-|>iM%9 zG*s#(0l+n+wwJVgnl1eG=Rej%!2Oao=!LGWW(+|o%hvo0+W%EKU`zNLxThLY$ENMlEd9%d zvMO=^eb+3254J(^plH$h))pYP4Z-M7J<6(TbDju8Hs0Bsm%ESgxL_7y4M_jDXOne} zq_TSjDi!73a;1tZA5~KIq^GtLo>D~o>0!bSAtuw*h_HW$z zc+zASLCawef!6799ub$KOE?%4+@fY^VQ#LsV`N}pq_5oq5ZsO*IZ{hdOyKCm+)u%T zgx+`2UX}seV*h7=Sc;`Mh|Bfo6fAB5BIvD#mH)x>UHFXlZ({Us<5Y(7x==Gu`7|6d z5iVX}fAq^Y>9bpK=E%?j$QV~|8U~+CI=~bKW31VqhE3Ws1D*lbs1n-!`CkiER2=2j7?@~sAB5m@xSFAEA9k!E@jeC0p0UyV zCK`|r`n4`XAnc!}Nu(u%&CMtqyXnc${g0k*41jow`|tZq$^*V_SVD;;4!n;GMOh1C zD2TN0LIG_5FpQqHR!P}}ecf)XBocXuhaw)fDZd$}S@2r=r8B|_X6J)AIAL8g7tXk4 z-=MqQav!1d;jrzWm5L0TbCW39`fVDxEEbt3ny?Hnqri(2&&OVb?_1hB&RneDQjAFv z{Y`_{3pWo8YM&Yi(=H@Aeyh}ov*MzB7NL>u3-`in zG&=DHaI!2(VWqJ}?BYx9C7+rjIN2ZO?fsL?^|5uXAY%-Gv}X+IvAV~UMKdgEqTz6r zk#F;mk^TW^j-xxL*$qt(+NHHN+g6dU>J`LzYj;xIj4Mz+B`}aY3Z!jPKJPgZaX^JP z>~ZY0O_7Wuy2%BT_> zbAN@s#?(d`OOLiF^9pz-^BviM*k#prLk86H1Q8hn2OAaYJo|f6s3;{6KPpz(nO!5* z>@-Rgd_!L9X3hT35(D1}M4s!8;$M|2c@s`_FV`T&!sj)Fk+0YkZ>VArtPO((q7r3b zMs%TdUT9==}NeQCdbrR`>FHE+QuIY(!n3n?R(gPeds- zeS1=fE+oHfU`8BcouzJPWk=Lx#hslDxTnJJSh6L<)9Bk89tp7b2CT#TcYaGBFWaPQ zf^(F$WfYDZ@DtldHTi(;Obz5~(z}~i)ptA4=`=d8+E~~P=b%u4Ash9t^zSi;A) ANj~q$8~= z$QluQjKd+QhqX zk=61dT;klhi}G!dZ=R8j3ZP=-@qrt2CY`s3M(JE#FmU5JSF44@GQ>9((z(HshR80k zRU7hO6KEbH95MtQt99IEnT*HcY~;ui3V0ShM&-NU5$zRbeKrfrX3gpI7K2^p=CutA zzow73y}piqnGx;%)%Z<5a27`*VZV%Ps`zBK%8R0*W;p6@L-u^uds_@?8wi(I^i>i# zNGENQauuU84SpW`+Pg6)Cg@bFk=brK!fW-?V|dlOT^4?Ofvsj+1<~7D#Y3#YGH4Tj@K7`{hyW9b~AS!tJ8zp80RA(rRPdF zwP3oB+Y^?>WGsR%;;_V5{B0K$v_k;KcT?LOw*Rv;CicG0q^kMA*y&uc>Q@`-cjk;+ zpO|>}n{D$N;vFvEJ1j21P9tr`y}4MnZZr))Zoi95gfLRRNnvvaF^+GA^Fczp{PAJ) zkHFmu&q9?E#nWhJrJ1RB=H)YMxb$m!i6ePime8wMDAH|e?lHVDbM%U`84C?{T3KH= z{}?Ul#cXo*?m~P$OAiOx%2$(SUmvc>eXJrb#MicC>2q=zj|N@&iA!IdxhD-s|sHV=Va9h2VAD@e#=HW9x-d z^vEw_ZkV9hE{UgAHa^2ka~e7wEU1^Vf^4h!a<}(&jD6_eQ9f)7-we8a&jcHzliDHm zP~kAOZWj45?^xBR)TC?P<<_Pmw3!wmpVa{WVW%VQu2HKycxH*kKR+1Ib82HbM0O$I z>r+r2b zSdjk!_(XtKbxnYxw&S4I_6vmI?_+iLYALW_Bh=KepT# z@SQ2}sO~I;+?yBd!%2>swQoC=r?tRO9#;2RWM($( z@6-}IATl}s^RD>m|KYEX6>rOj*QqhT26qUKxxR6G*i^ycztp282lM_$ugur<7%%L7 z|NFXb88P~Xmk4iETuEOn$iF9?{nFtpK_*kAGwyS$PZzO0=l#XD%WG3@dE<93as7E~ z>Y0+~GoGZhiBQaYET0OVyCQPm-#R|*Bqpym9Rsx)Gnm~U{~WSL`zBA(x(10+`ftsK zJr4s^)HpH+{deD?@E^^K3V$Rq1NMaug|892sdXKeBg;p5i zAe)hT^GOjWQ0wy+EjOlst?O_>EHz!cpwg8Ft1Jv;-`m^I!=$u}u^f2+Q$f8A%U~FV zY4h8*$VW~S#)TKB0;WMN#YlMRPxl4x_KP+p6ap-zkLsgLT~o?MMB*TqBMI}=e!jP zbY$RC2i>1dG$p8hA30iW6*1Ucg)ON*Z#t-@qurt-$Wi$FBH=2T4cWhCLo3we~x z0py~ZzbcJzF?>Zv&Z$EtlOy45uv+7Y`zTyZZ~4?iwvmV{LW);a{tzQ+`CDB*wojz{ zN3@bC^xGv?1x)bf&+kGtI@DG>SNc5jjm$%C!-54|u3dl84M}`<`0C1K^wq1j0l&&| zhz(J7kje$(cCzZZOWRUY_e+yXx)_$?1t9Nbu_W5~$-W4wbf5pMyYh)rV%3+YjSBHq z?$XuU`k9A8rug;UMU=%%Bg)^7oblavAkRG2Q=um8Mjmf}M)%Mc1;Va7d zgt@UAjprAHC;KtONwS#U*7MGqY6r@Ib;0;LCu1DwZ$*%mr7q1aDglKUg?^p;Vuijw zMzm<1Ig0$@fH()M@kjZa%pPW&&X=)2mutbyIpL5_Z@*PvyssusXvImy>a;UCkvJui z!d!nMf_wGSMqmGNFP(teWe8UUSdJHW(*FK85h|qq+K}YCCK32UV?$hHfn49;_!Y>U z7)Kp5to!S) zc)uR!eV)%FR^4Uis^Z1RlQG67aW;W4hQsmKr584G5kZKE#nYD0>+`#~Dudh_p6%nA zadWCM%ESIqc)dy7f}NSx_UEj{T#y}^Cz11W-XeHd{fJ->(!(3NirG}dFIQ?|>`z(2 z#v9am406sa6!`*J!=XtMmS=@7MCJCjZ7ezq3$viFXxV3fZ1>M|b+(O-f%&&bl|hN-=M?2#_Yt;;IMbLAYpc^-W}vE@U#}a4rp_h4yr?zp4_4F< zDgIe#%PMY6^*(Xxq&Q_Il6HtDOp^X>A<0f%n_)c{ zzH^{n@rNh}gis07A#>Hzw?gesu-fZUaQpTcZ6W(JxaDTW~4C9Q0BvOjG~q4JzWX2fuoIKOMEGuFMOC{u##L8R^zfdQL??w^n61&y!@3WxLPKU18-ouxU=jDTE#oTZs8Ox;UcE$3_h+Qfd$CK`9Q@cCG!PaYg9 z9}8%R9zIfrQ}@-QHpUIdf%YX4!-Y6OGf|82;*Qj^%75ktR{XW#jyf{S!=i6Dr|sy_ zH(G00Ne`mMBc$ZQkuaO`J12EcwO#(<{Lx`p4h1v`&H>3h8c1MA5|rBk8IeBnFcl?E zk1Ry8YCbE0aPn)~pKdO^)3}}oG}D=upv>TNsySUlA{AvCpmI^MFp*DLz1;ff?Sfr+ zTG$K#Vg3vIV>VGn`sC_`;WHPwFGS(4{+#=-MU9=vq>)b}Z}AOY=kQD7-hE|p z)7JZn5XDFQ{@axAK;+w_H8kVg(RcABJqek;Ju{M{GM0dRy0(gwgtaBCFcjI@km#fD znd&`KaAChXUPy)!Yvt}6ga+$N3T_DTd5w7vEYjP}JMCZsRKwr6oi6$QGB2qDA~dB1 zX}H{0^u(&;z^wkdYNSMuRpaHp7giGqGv!MnW=&@z7^2hqf&yYQz1S=f=5^3z1HshIEiTgQGtpTgGcx8wB|D5SjJ&&x*4s** z_v7k6G9EOz?3FdSweDsj%Z%7d+Xtld_X4~4#QMWZ`Bn*MHd*7hSqyV_;?@f4UC=x{ zh6+CiR3~4h5#sL2zQ^6^kTrS93Mes(TYB*Ia;I8)b zK|pl(+_(O>NbplDfjqp17$#SBK=pA^qIfHpEqANH!Vg<*od3F79*31tl9)ob%{Fo$ zMe=M#Bq~<@sLi{;N7hX2j2^k35wNi?|hBm0YdO5~t>{ZCNQe7wDo zJa7k6vNy{?x32z2jt_k0m@sm$vWyF4uAIt?j2{PwCFhsjIU$S6ngN zBN4tF{VPVuRq~Eca!jj!?)U=xmyh>WE3h0#(;oa@#l1hYrQDy7(ZCeDsYkQ>V&%yQ zqmwQSM685kj|ZZO#%%|h6a$~N!g(S1GJhYory$-4gM3pKFbZ(im@Bs;qpN_eP}~J9 za){xUIml#}KLV*frTjEKZ=YHSgL*Pz1qL&{Qpf2{D!a!aMM7h~4i6IpK0{-3yhk5AK4ybvF6V#DGHPXI<$Yd(sK7WX~%Xiv$Kuzq0OxA~NX}a2pA| z_^z1ckI_bV4JVSaFd78txF5~GPRG}^q6IU`uIGJCpeX)YJQTz)%)}F6VLTpkTs^&76(@F5&}v;`=t?8^ zL>Sh;?W?hH;G}6jRCV%e9@Z9ObHfdC#W0Qi_-k;f{0x2RBKhhZhjGx{S0*)w#Fg=8qGb8{xm5>Gqa7-|xAeu+VATCN<-D^sw9fS^V6`e3x#Pbo@;P8@T6y3F z9c?+I?A*xUjGXKWPh{P76e57YlioZW?(RjP`FwLw(n7R12!MWqok zb#K{j24!6(3JH{dFLc(uDcfNi^(Ljn#LLRKxx`x7k#LUM{eDID1CLTSM%8l8*G50mV#Z8UWk=4jH;qr#M@bRVxNwlWReaWB;AY74<0nvVK6zYCX1~=r z!sp-+5~R>JJ|3ikjA2=_%5!FtPprQ)i<(IIB7#WeP zLh_w^i=kust6 zqjKKV;@cCQsw14oU^b@8U6bTmdA*y>)ISk@rfV7MX(PWUSy^Hy|0tI)A4CkbE&<&n)$7iC8b~L*&KC=^X2|>|4$gIWkYJ*7ak{!KB^bax-$E7(x4k zb9GGb+Y7VBb*4d8<<9kuKxUXipn>+gz&LRWi%&*lOR+>D9jnasawlI6_FNwIqn_Zj z^3iRr4LnaYhibqJxu~Nd7C%ew`9%8n=ffG}tVJP~ICfh7-1O~~K0o_ba3ob){dfc7 zN6db1&eSZZ=da*N6Jt>s`5&9yWCa`Z(xn>@WI9q*H{Uq?7U={<0VuxC?|&_qlJMZy z+sr7o5z`K1^`5uQtcrbF;J)r@KSKp9f;HA0@+1A3)9L?mQ@i*tg_-xid^sz^nd?=~ zuTg77NzL-FoT2qYsuv_#i!LIToCRHrc4@=%|6A_x?*9H3Rvp@lEox1wU0pe&iP!%y zNh(7U#xe25otz?@fZh;a4;V4j$Ng?J?mbs>3)}Gvei%Ev*<51Bjar&n-kV7{sAc@m(NQ(XmvM9RWa$j*{Wrnb9G*n?u$YBd)MZ3X)Jpef`B{ss&K*qNLTc1oR4l(D zX*MMaO=TAn`i5Cu|43kkU26gXp%V5F2r*;NIn z&)JOW`*8;MWZqz1ug1Kb&cou*R^6#0Th3X*e2$y9Jx;>07}>5`F9UftY7LL#h{`hP zIbQJk>yUULn+2B`VWm#U3N`ln&(adz#rrdbIpX`<>2a|?xNvBzh`&eHKeAZ(9x3Z2WXbIvKp#w0t-L^cZ$X6O2!?TGKkl}WH+V3` z^-36rH-EBc%Ta2_0gKbNm?-fwUrDi)SO@*&(d}%V5?H+E`wwRJa7=&E(G5zIowOvD zJZ-a*kf#-yrVlP26kAD8hgwsP1Voyf)=Ki?n)%XT=^V9`(p$ceyVKA&po_YFmNTM& zc#N8}C*fFV{tavP;$+^nC%Rg8T-PHo5{CWy!ajXct<_vO*GlKfjQe|GL9(cYxw|py z()ta|udipoOEPBflLZfGM|n&&Hhj&WvBZ>SpHp-4mc1{7tvV*=v6_1I$HL+C+F8_ z!QmVFw_xR{4iZk1FeAl|u~`^ix3(xXzQR(b@6K5Ht+yexw5pC-<8j81iSmxDf;c`cha*t|0NO@c?-hATp; z;q0Chmu3dKe6J@9Q*&0y5x9R4Su0C%98CE|TelA9k2g|{xGOfq>o*R|iYefL8zI^3 z0I^te)uU<-Wcn3+#_$qrli*zA>KyQRc(>^S&t7Bs0YAp$Fz3cP{@yr9)_k>yA<5^O z0gbSs67H*eYqm(Tg&L$RTzhcHDVeHcFkVv*ZZWv!K%=w*`?VAx6Hr}coFe%X7;8^L zwpw#uI@-=0NZCiPU&c|aVjOk#aqO-Ws(rDh{qvEDtY0ZdVhJRnoRJdOcz0BdF#Gig zgw-G2$jD_!I!T2H{tE_(rkU>CwT72EVJt`#HSjF~G1-8?IDaRV4z!=w zKQ=Fjk%oJMknD5N%AzW;erG!)EM`}DTNM9*oB$ts6gloQ-98x8+p_9XUJz|f zF9E6vkdy9JpHp;OU9vmJCDlM?C^fF2ZT$LYvtWB#`7Y2){Y>ivpP~oo?YLwUYS7Q* zm5pvtQs0NSZAqa-+=orAl$Id8QRm~dSCZ7h_*yFcR z62`v8JG|f)iHv@yT*U~5GzzB`;anS7+Vs{uNh+#qFN=pw$-SFq?IJu)9Dxl+9M~g2 zj-ZUTn5jp?cVcK)RU3W1vA^Ba*i-IRUX+yw%s|;If$*9a=-iC!XFbCInb|T%a;!;J zR_`5(hMU5UqWG9=NB1?s4}fRikNG)=!D8OZ-YxQ`1x)iz2%28#UuL=8Ht2*Ubn+E! zIAu>txt{q#3Y#<&!y7UNV$X(&ETrjd*r2I@&7*{y5ewMPcsn}V9Yyy;7>>j>I(Ja} zQZT!ISo_;F<$*;ufs4mEmB_mc72uc-1R~ArI+7anY{I9lAgDV)th?P8rQvDOuP?qr zub7Y}v#!m}cw#|qmgR=SaU6r~XJLka$VJdczueJ^9*JXYm$kKci1$S=JLi@#)+@QS zJALrd$jagD-cC@76Xa|#h`I(T-4-X4w!kGR!=wB%WyU?=&!;`ehfz==8rG<)TQ1lR z5_f^-HvvJxqkzPq`n0ICP(k$BDZ_EHNhcJpkA@q0CTjtR>w3|=Y)7sxqj>Bg%E$&4 z*D7d(;x9y_jnS$n({P`{1?P(|YA((<{=Ec>J`Rb0boo2ai#x|6{^L14Wfl|nI!VQ( z$u>fN$Ekg;%W(3toMlm>^5n=e`eGa(SseUk7FiCS1jYl{=^M|G-fz0?$Sk_0@7ghF z#+PFeh}rvNGb|>jZuP1CYASy<8G|79WFmwp9CLB3N+T02t8aIUz#|{iP4jiPggj|1 zV~U!E;Civbw#L+x{CsHA{F4dVE*Dw{muXueZW z*V%lZnUxc7TPV;~^jgAx_ICvxan)Rfv#RFw z5mazekIM4rpCp|Ei#V$yo2T>#)WsdlfaQV8W*;s9n?Uk@0E4=w4`iK8amUJdXwSGM zOju;58Lq*UcLh__uLmp0ms-K5Vc;=~x_aM_7w@%i1~G=Z-sg!zHwDAjL_h7>J zhLBSTQ8N5{)1VFR`$q6jx>V?8R;*dX;+~@8+^csH&fGWWu|mq);kXJr(da*u(4p)K zB+Vo1NUN9h;9W@MfAn`8*+{^R1O|(I4H9H$>b86;=N3EUDo2n|P3T5yZJbt~yns35 zT`K})*=9AvEx#{Vr}~a4;2`vlUIs=TtiTjhAGO1^Iw)V|7EM5ME6F*p2f0cVSH;*v z?im;3CR<^+Fm86~&@0iAH?s}$M)_sm90$2UZ85#ZnQ(=Ma^&GY&Lw?g(A7g}ZB9VkXhHptYVkE+p+UA1+3MR>-|Se1E;%sW~udRJU$^^Q{x6BEV-#Ao+PUhs)jLm z+vJiWzC6;L)#~xkYsg`a;dq=2^*am|IPJ^%p&kzAlk;w#ybrc8jS}jPk<$>3lzaqA zNj#!(53lbQFbMaDE8N(A9`vXt^7p?m*JUJbS63IjUwM2tP zUp6Q0U!Qm+;L^=gBF)O^Q={TSy+=1jNzy>CG5VBg|VL8KG1S{wW2ufH~#jrX@7P&pEpDm-3uHq z`uCAzyS%JDsCrflqN&HLDebnLd`z!**aKHnT`aCUV<{wr_$_m2OFQ{WxRg>Ivg0#^ zQ(3HeD;K6clkg6AeV9OZ3$Cv0gER(~Hj*F|-{0?OITd}-M7_fvt}35ur($oJbu4x~7GF7^_#`Mbaw@x+AdI|Q|tGDr@?EiLk* zxPp1Ld-*TgNCgj02Vp=x!7vI}qc*z-6cBuE-k@AB06F>7%-4yR&9&6vh$87)tSgbL zz6iLZ0?P=yL)|?uO~H_{i1yc&J#Y?byb?oy_Yoh{J(K;FU9&dQ5d|+LWW~xGFd_a! zR0itB1_-T9JFsB`UOn|HUXtqd7Yq{evnE*(J|(U9mr_=vanzqlyt7x>IRKRUr{GV3z87w$C2Tgwfo1O8g>*qNj$9m>p+u`ByXWg{FCdtR% z;0LH5n+T>UVqD}4F6R<+=Y5!TViZDk@@n_l8LKX9cik;H(D=}W;2La!OF+V;%Au@l zH%M!cmY>wdOQ)NsXHgnk(BmYHu24 zZZtRwX&eXY1D>|JB+D89l-uWgZ`5FYqW1~lj(;?oY|rlENbK@}bm?zWIJ>S=yC7^) z>tBG4Dl?%K%FKP(nx&!K-wp2eI*g(}%mlr$#M4Y4?pJm7wHOdj{Cf9s@NUfz>hT$yO#laI3|7EC9mF1pJH_*46}-t*rULp%xpm08L`)onD@P(n7x{LVsxM(=bQ%%Y zAZ19Jz*+dm7!D)FoW^6H2`PY?4fT-f6T%6@2CXRn1O1L7{ABvVzUy0DXh?wrirgON zjxh3wnkGx3Mbg!mbYDr>4tWWi^Z;D6aX7kBwzo};+h`7c*)SqP`b4BNz7b_0U5_k! zDHvUfg1&r*x&1DJc2QT-+VQ8qlVSq~Wp;$afZ;Q|Iv$ZqRrf7?Ivx9YdIQna9Do~a z9i@yUg#Ec)&kV9S*0mbG-K8pMzY#{=D`nK0S9c*_L`$FX!m6kzsnuBkYPr88I`UqM zg*jbNd#NvT`s-Jb+SR}9GR+a^zE0XeWQWAX702+$!as_`)FKell=s4f=WJPTX^r*C zxixc(hYGR=G76S(^$M zD|p=QnT~y@46cA#ZTVd0x-_y_(R7qpAsZ6*pV0q^t~m3q-RnV$mC7BQeyj@Sc_m?Y zmrOVZ-Aq8l`@R%ZGuUBuD}+c*NNZE=Q#8${OvVrRY)1lZdBVsJPbRhI#^BVj z)Sx|sW_oHY;lHLFha(k1DFXy&dJs2GgM%mh`ohnmU-=phNg2LHfr~|68qX%l=7L{x ztgZm!)IMClRngwX%-^sd+gNg;l?K1n5w|&~y_Lt?OpjI|_1p@%axRRPj<0R?usFNH zs&}=mX}Y3Dzyjt?;IsT1SXDpYa?kTZg&lxzgVSy~20D~~ZqdM`}M zZ{O%L-0qHRQ?6=M$*}?Ri!kC}slAA?KB5M2^6?V_xD{J$dCorOJ4EIr9hL0(yOJHL z)GO{b{|pT1;hb)qU1p!kI%)xHw@P= zKWYHZQ7wDK#|u>nc1=I|L_t+5?m8d(z=h=x+E{nBJBA>G&Q&=ddozc#qb-Vj&cGoa zu|>z7C|s{>M(yI&M>g~BvVO<8qJ}^`U*KEAF2U-V8{Jy$%$glZ$e9v#DfVf~$q)oI z)}+hWX`KCvhlMbfiS1KEjI6lFN$|CHc3xy@v>aYMGM3$eC;Yx}Bg5&Ek}mr7O5qgr-R+ zp%wSjfHkX_@@hhFAAZ(f2eQN9yA8UyVywe-`QF0;>ComI<$?^a4R}fqKM$LR0)?1$ z&o*m<#G>3tuQ2A$PBA;E<(gvn`nz;K-&a4)pSD;%oc37l5Dd)+mpGTl5e34Z--u)U zXIb%}+C65v*ztdUw?Q^h?!PLyt|n`PCA@Nd4ItTqy<7B_6Zkw#+eDB5O!T-=K(Cw25I{6vS$ zqBxX$X{6aS#s11fqp~;a6)Aq<+<(Iw`_f#X6Zb!*{4b)X=IQ^MKhnBAb&*~z`oueE z-M4eU@nrjo>(COPh|gOb-6S#30-w0{k+5URfk8WhQdZOqxy(b+P3hgI;(*d|DcFNv z-R-l}SJfK5#0C+&BF(KR4k*kb(FEC?cPAJ1Fd`R)%kYPk)uswbM?bS^aP#Ja64sZR za8O&44y=pg`Gu3MJfPk)xq*#MAg$GaxuT(l2=hl-U=E21`Spf3Se?EmciU2xH1cQh zyo;BZj}MWnD6QoLhVg+cPfuR>AaZ%n;L}=^t;5_q(O!UFs zzSC_SO-`Jg4PGu=Gpg>F8cQ7(4QBi@co3qH2;Tj zAMj)mEPSYE%;+hA8M=P!p{UWxVKJrRBs|HEFZtO&?LmIM zx|wT03O~jw;IbNUufFoQkenM#P9P1{!77cmW&Nb30J|xWnC^NPqbsaI=(8o7Ub1+O zCpeel-GQyDg6Yl-VN!y++WsDTw4Jv1aHE%)H!LCbOHz`t7ODo9>ZN&&`;ZDBwDU_m zYLq}FD>V)Lb3cWVT)P5ULR#B0XJ@jmNeFbxfs1TpIUBV*8jECyigsvsHN@VzWA%CF zn;?;Z*o+hPG6c(i*8V8P`zJOlH^k=>S{PiagY!P!8P{HCc|s~HXCK@4V%lZ9N8Ox? zqf4cn6h-bnhLRxO3JY@*@(2b)UOul!&`3Q7x@4FozTy*^A-zjdY6Yu(7Wb>eO263P z|M&73o*>l8o;P8wN}pjmnZSdRU|#p`t^>yR*CrO7S8k^ugBlSDU(CoKrGGl21SjGT z5}n)m(ld`q#Z1)}n#(8PSw;CT?$QxCTf2GQE``24D&Nu!ozkB}@*~F{)TZj^42^xF zOE!u`HYxn3qY?)JiRG>rC&hFPmv>2W8G*hEsrPRP@_rztikA+yTEUETNn|)TKk(^O z6NF{oZ7pt>CNWi;cjh?EdBWw3=9&E6f^7`tNyx?UGhdrLC*5Ch%;Bk%CEJ=}{FjT` z2Bn~ag$SE0NdDq;4zd}c0|bpP8m(+qY%} zoPG1jxa4J}^sulWN*h)YXqAb@4`>| z2k|-71WuOve2B!rQ=O>npDX9PMsfeH&hc~O{u84|5Lw-8eq-M5vUlA9GXWD8*};31 zGu$$hT|MvIXgV0@yr6e&`EVs-6Ci!-i93Mv+wN1pA3;%(Mv#9@32jHTraS^ddIGBX zP$IMir&kd2?J#(DM-A?jnBcHmVVzLOsefilyecc`lXCqEj7!JdBeE82h3v>7XHJ)8 zn9Uq81awoRV!#OmKt^Z44gF46#jT|A+~HXot*E;As=?PE$5+e4)M2uZ^3=}Aab`*S ztxg^l&gmCQ`3!Y)-B(0id4`MeMzk7%hmhg^a9cL2aOO+ll!ka2a6w&Z!Wp?Fwjn_HiAg~Hll`y9%`xS_H`LJRIx~!ycJ)TDt({oAkCrS6eA`9@J z=Dmt|8k1NbS((@@O7Z^veeENo8b7m9(imByU00%xRf}SqlP|@L>x+IcBEeM~-0&XI z&K_3nOKCtOT;iKt(5YEr9%KxKhhx;mshy4R^f+ZTLU6P?*n#;BdGT@2yWc76MD`G0 z5|Ivsm$v8K%qjgV(l3v1xe^$rU@cW+_{+TYKQHo}@pU>pP6NDJgE4gs8$VZ=85vE2 zdKLP$7V6_y5ciIsMJSeI*2_IEbs)KfpZ!t14!rPCS7~lUP^A)Iv{HC5y`t=#(3Dp+M1^-r z-2Lp-s@D?k??d8TZ>#9_s&z-E@|6Y@8G!q_f?GRcd7kS(V12_0Kx?m6Azi)nYe_9J zKqh?lTF+BY{&?vav+$Ruh^xnX9`P#t;nnww#AIDV(cPM!hM4R3>MuBWENV->5 zT+QChQWurCz*D8dGMlbMOnxvIA8P%}_Fv>-y{1qgiTY<60O+fkV(?AMERm&Q*!R47wl{kH>~kB>O+H!w7(gN zhrxMQ=1`Q$$LS#o;#^xk&sWpJEAUxHWW5~Xx3zV|=zHQ>w90X_fezzL1LP5eS=@W> zqR-ZA?|0J~Sd>!0UGBxzt3zwUZ|Tu0Q0w^!$l|Nzp|9Tw)pwQE-)z6BM3J;2HPcJa zw1>^k>zLaV|NfaAXWb|S8b7%StXs0c?Mrj zEmO|oDFp?UUo2ie9--r*u#9hHxRPo<+2<`*y^{JmAZq#5Vg^mn4Tu-=!n!@h4j zw!P_NC70lWiwe@eZuvm>+1Z^6eFQN)V?G?hWBkXQ=gWxvn+_F#bf!x{{xd@>_HxN2 zCR)ir++8bv?qCbl2+t;{IE{jfpr!hYnWe{TM$Y*>jZdv05jk~!H>j6^4_s(|oCl43 z`nyyl1ap~f0htL*&+_CjBK8&9we>`ShErKmY~!%Ya>i#a@3|VEB0J{`Eb5U}O3oca9qEuP znCCzr_gMEv<8d2nVc}!6H7jrT#RC27yDV#GpC-v2qRXibu=?3E+tPX`l$-Ot|% z-I;o^5; zHTrE>%Q-vMFz(dpDC7}!7;W|`1-9+@Bu|P|Bx-&lx#ayhb97b_&$(u{$O{E?u**2SsX?*{9yFXLN1Nd!`#PiIzGY8OVzfEf zcY~{s#7?q<%FvzJ{x?;eNpCi0S*A`;39sG<6LGULip_ZOSO-)?LEX~{ROqWX@-Ho3G&!JVe-8HHaKvfE=B!j z!@STbSe(I{8dE9Oyb1s(bK>6MM;xic*bb|oS3E#2q50A|x658>@L9sgg!x*=i9hHJn zQDHu3^^gBCG{7o^iH8AwM@UqU5bZOv-_q6q0r@$*jyTZ?tSuqN39DL{L~DXp+AkW1 zTvju#aLUm?BwSW8wj?3WOrx(Th+S@xc~G5MgXG65-N4@_vOI^GJe6FhlbQN(reNCS zN;HWIaVH-;iz6o#!8v|PnQ3h0py;Aat%KTlU%-uPJ)upF5>Fq z5z}E_#sFZM^_~WGsG{c%2@s~EsnyyCIjA_knZu5MlSBRcw(wBuy&CMyoePiNM6j7? z-eJ+eAW4?yTff!2zCv%o-tpyl>x)^SYU~-uMKRS2kt-BcEEg;?3r)C!Yay?J^q-Sr zcu+EB+G4SHDVFzK8A6S|Jn&#LjW2vt_Bcr!C=q^1f%5vR9y*YWoy#9eUq}&C0hNoT zN7byMTm`+>QK=30e-{Mg5Slwd7wttpne#}dpmDkk%Sx+JI{Hke8cp>B{bd3Y&q5Gk9tn54L*Ci{`O9Jm?HS)q-C!*2o_OhGjG6ae zXLRhBA72pUh-Aj|a1>}h;D>Ef`~}x8 zvSy;5T41nLBASsIV!%%tAuSYf3RxAQxshS8)W!j(Jf~f61mu*ueF7g3Vo7;D2RwHy z7F2%n-@KDHG@n183-ENdTCEXvHB$;}yn5Fh6H_^P^-TAbTMlM5?1$&^T9W6rKJewg z-82rM1>yRn5Un#5@Z+yNmfoq=~7uRZfsa>$ec*+B7gN>G9 zODcRpGKUrk9`pu%FOa-Ni>uL_xv81GF@BBL#9*?5{ysk#1W4U78z)CuAaJL$yn3A? z#3E^7#<<6KnG^`{`5^9wvCRl6_r1GCqh@;Eo^9dkl_MiHM0VEU=(Qu~Md!4aOU(a{ z;x$y|QE$?;KWOM6o=tw&p}x4MH~UwR7ygrFJjZtkWQB_>9nUwf`Dyy$B*o7cAVMUgTA>GUFKZM?myU-SaZ}NF)q$eWd}YeRt-_O%Je%5 zP%O`J^io0HV?U6|UNf_JKe{TOC*x87F`M$mYekW9K1^U}r4O=|7M#?W6k5p}R4P~; zkUTkiHOoj1)r&SM<6PAuu|5W3hA!??#Q5H6jqYv+12L@Xmi&yI`wrw1-cGE9{L5)( zOv20s)#xbNnbE5Kv3z(#*>~g%cpArI*UtX!)8<|8G=6j4k<}09R_cEkyU*{e%})YH zdMn|p`^-7fw0azr{}B|CwZw|2=h% z{d6}1(T`9XP6U>~Lv^X(>xq0E(c#W>PS?yQ?c*u8g-HU@-aF?$^wRo0+~CO!-oiZP zZB9WofqU+OZk%FquSc&6eWcv0As5L%n{NOnwE}nKKGIfshS3?if4WpFZDuy(Fb#>b z@ZL?mPh8o&-#Y$x&r5M^8C-Iz@}$CV$^LuF7B$wp=I15Q!P+Zo!9_CW60S*3yH2@A z-5@<+O{R4b8JqTpxv$kl`F>Pa1wlvPmLq-)!v=O*LphOFG6hYX+$s$5{|R$Ks!9MW z+Zb1+UiORkyf(GH!=BP;p94-ci}JEh?&K(1+TWG2yU#Of-kC{gG+NUI`Mobze4|4S zw>~h#xwYxw^Y~4C+4DsbyO%O-fo&AhnG9FeZzaba5o#dN>FnNQ5CPOu9`}jQ&8qzE zztLS+@P_$ay4#(LXJoJ|k(FC@{=jb(wFaAx)D~>W=M@gBOzgrfZ5@44RGt?+?MZ92 zp0NTuO=_}0UD~9%J0gh=gLek`xqGaO#t-7Hhuusbtgf5HZBt9-OJvQjg*D*w2`(T1 zT>%eA#|{b9JJ~B-md<{oyho>9{YjduD!~mYs6q>)pA8 zl%kA0*&68})Zg4UV_qyoU&G;$p1b8w;D%c08Eh%vxqlNW!<4T8Yor!%d#7s7fM^?l z(L3XE7*;7RpN~%q2}loEM=huPl@En!z(bxvmVSAsDe3%Gl0uuk>^YO2c7K_2?nr(* z^WfHm-CLQYse?~eqcx%qM>1B^dIuyPd>*yOerC%bKnub5`>(2g#h7ZefZW$5U7U_- zl7>dUu%S~a-F^|-gJZd!KIN_@l4-S07UJvouiGfm%LPZE06mx%?;i(nVP;Se9;Ms- zHW)(tGbz6zgsao!5j(zIvH>PY7uB3nq9@R!QIoGQV#-oO`|m;B@4=9(knVSR4R9D_ z2#!!gzCsOgpw&2EaSd_vsPVqS4Ds=+3B1A%3F6d*UI`D0h^mRb5+9O~RFiszACi_) zlWmggRsrqIIZEf$b@xUFhk8GxkJ>P{#r%XQE4}Yk`|P(IeEez>7%q z4)rPU^&|R+o-GInBnE{B7leco&xM{Z2)jTG2SP6vL_`v!LZb^}E)ioxFBg!=#JJG- zf`tEwS3(mDlCBbyLxBQH3Nba5T5v6mm>!x@kVzwEh0+VMbBMX2*9-FUi8n%T7To$D zu^{wz!JWIrd!dB|_a6`+hCV7NDkheMmKHoNBR&azT2TIsSP@!T@VtunBJ^cJbq(=V zC{SBaS5IsRZ7gVNCbopO7QB8#Yzu8K=;$PNg?1P8^b-3*`wIpJiEl%P3f{dZehB?o zFg!y16#BVfbc{G2I#Dn=MVtjPz_u3ZbLc3!>#cOj_dOyHcBvDIFNe@ZOEfOVB# z_YKuQ;0Hl{v;0DayH0n09q)w;hbcXS293{8{b8y$XmqHTmv{XvD{Z}cK&rVo?IJfi z?B{x;fi}Ex?OH3Y(D*k$Ct*F4|7J5xuC^zqX#JY0@tPX%f?uR*_x7>rjiL$Ozj)zx zu$}KWg;;1Y_2@=kPuyBL?4A)_@L&GNk)`+_BBwN&q7>U)f#K$}j)alDAI?Zv=X-Zo zaOYs`KycGVh9$dXbJjeTBZbcM&ng>imURyVokudH*${0>j3R;5(w?{Qj2ctAzLXT| z^FEi>5&1@e#v^S0nl33SfR(v{%6k@bH{Ypcmu#kt?uAUFDQ_AMYfFJu{@2HFYy5)ZXUI|Nd5c$Oy?HuoW7@rX=p2+=;QUn3yD*_HA!KL z6}~`89$!Fb!?DtTJ25O}aD=Hq!?Ed71A|elB0KBwk%R!{3)rKuXVU&8GtDe-rNh}X ze7`>$p3*NaaG=~-4K}wiFG=AxPB|;%26~|-OlwZ_RxS6CkZf>7dLgNy^LRb+Zf#4; z(QR9kBiOE`$szlH5AD3mY&V)gCI=P`<@B53E$LI7A8$we+dq4?IGXEqq<%UL9G8k{ zzHY6X-jRi9ji!QnB#)c5#MT98M%}m!IWE0r^}33_BPCd)yyp(!w@GK080XmxXZZL9 z&QlQi>m#}IM>&`*PcYu?BwUlukYjIHTC$iY%;7?b?g0UzIG1MZ8d$S5CwZ5DyIj40 z&iXL4W1S9s^OG_UdNzKY_3sEWNlA@GnH=3qjfbjBgXXydK}l|rO~5N|Jf*g>v)IWe z+o1ztBG^8FO4-{=5c0~D7o3FU-BB04C;$&|Qfo+H6wC{LT*y}KaGLp&I^_(n7eDq! z3uc9UvUfU5y16WLyIj?LMRD>PkpWnOWRgxMHj}3*Q?M>*`($0FF||{Q zo=fWslwF2^s71U=PTY$*D{)ga5fx2{)oQtptD*I8?!DarMFE`KdPY#rTh#dd)JxDFJ+VW1Z z+i>|C=*bp#62D|nC-`fH{^JA^YY1Ggw*XI%q1SKR%>nO5vOa^%)vP>*q(s#M*~TLA zFqnBJl25*7=7J_GYqmKSp1tTIr~~N4F>b?ay{molmt2mGzP0jodI8cfe3?!Cm1gZq z@$=lHIqvgBV%O^jo;T=}-|Ki{L2Tr!pu^*0H0VMu-N*N4^o+2vf(>fxT_-(sZZe_y zPi}JtVF4NX6n*6*QzPdyL9FZZ482qP`0K&r>JbC%Y@5N39Sh#i ze=;q9%`CCmVcp$v_St!A;nzILS;%wW-W*Y!-zh?!Qr~H{i$tbgkwyH!q3e!^`v2pf zyU*=A=Z>@2*|Ik!IlB^_O|nxqnaTLz>_gX*G^~`gXqY9dq=iIAO7hcLm#<`2pP&EU zf4v`%_v7(;ydUrPb0Xi6+rHMg{W~r-8bkINB+F!_NF8xU-uJ29!9VF0WF^@gr_lnY z*`J($pBEm*H&=@9O&9lTN5A5Uy>CWQLhbqOg{r(Of7a{t0oN~=vqkz^oXzEqtHy7M zyjgo3yg@!fI53VpXQ84H77qiqf74O>r`Q?Usi^#|e7JD@5+^Q_>5gVhOhSE?iycOv zthY~fU5aB=y!=lZ`eMr_ufT$u_XfAV432`VgQMyxT)4n7S3)s5fbdY1fywZmcrD*D zht_CbpuEyo!cW*<{nUTr0Wa?0;{Lv5Qe{j=k%nTkY%@A+$G|&6=QE?RwM(+{bTe(L z`qD{KJ(MXV9~`VzN_C*zY2B;SVPOa^d|d$m%{A7(R_N5D9&KFc+%8ufg0;-*)pU%|Z-4@v$O>L2ipsPZEm5$~-ah2x~FcZ$vP)dR;+;9Ag{_TwyX)!iA`H z*ojG`p0sAM^hd=;MPb`UV{dPdH(%Ar&n{pyp8N$XbVv5-*A!1KfT%0TJ@1hGQO0C% z&4XBIRv*=*|AvVhetecMU|YZias$>sG)ifR%zkF4QPb@$K;EVfytJYoyo>ptZJdK;NN^Dx^Qu$ z$n?FnU`#c#W~T~LIu&!<e``zD;FnSm69KElg!k~Qk>%ijTA1nNLJ zkB2HoDc!gT9P)wa$L9(X+6d&)4sA<)2vzWQ#|1IaG=~^^y~LVE3)P_cqn8_T?qbF2 zj}|4WCP;06F8X@gHD&z!0Ye!-|CymvU{)`K2&WMTx!l1Cwrt$^VYNkaQ0m0=ATY!m z9N1}BT1&#N53Wnl#|B`?m)f|`D{~O25K-e#utye3bw7Idchu}Dza&?u9%#E34&-Vs zJQNY_3SMj1#D2=jo!}zwjOEWT?h#~91$;G{#291$ETG0P3~Vz2@R&!I1a!YoQA6*3 zg0^h`AJ*w0@uE$;-1@@$?kZa>uPIFmd8{gp2`NLzUKU~Q)FE#S(U_+r z=%$@C>BxKOgY@I5Ej#~X^b&^VMC1XH;K+)JJ7KcPiS%IbaaR*h2Pb8;04}wU7HLo8vIq^ z(G@XdU}cL7P=33c+{Rr_cD@kqjAot#crE7RP{j4IqEV`ZV`)7{iD`Z1zT#u|OcXsU zSMW_U63+aikCeJNoglTlau(|_FeTsLli5lZa^y>T)gzj3kD8&1-kuc9wQ1*7&8l4Z z1$dyo&fK5%Bq7N zNrv*LpUz+qLtAYMG4E{!!eZHK_I!yi({> zHTyf(Eux^ThPd^8Jhu;#c7}k!XcW)ul!4p;{4zZcBoV>Lui0$X)w z6!C0A=9D*av?dcs*VxIwbUzFbdl5MnUaxZ@aZhcgb##E5u1lq-FZ$pG;O!*UW5@dJ zg?Assx}Q;;*1VW&=J@_$E)d7sU>GFM3ViEaKX_o6sNI=?;jydsT=MpA4(buR^@A+42)7&iILX~91RX5W!~1jn?^>{ zWb)GT2}gl0C$c613AO=uofsQjsT5A0&Y28I^8D?7X03Wr$Q+jeOa^>K2Qf7K3am9G z7b7CSFd`_Q5`dJE0wawmKON9l>&WMzZNK&?2vm692R^m0TuA12xT7hJ=(|_?|AGhPZI*dA6g6 z!iSyA6}c1e12+8Cpi4t3)36S6pkc)@T;g!6%`)z+mTTJZiqf1B-Bd4?yFWwHYEt}7 zKDJc4{l?(9rCdhLS4F-UZ=}SzaYk1@_O0E<;N6yu%baWLt+JTgd#$KY=6OIIPBIG` z|4Xqs1LF8zoXJmeZfh0<4n5~S{o9PfeEiw`9P_jOdu!JO$isuN!lX!Q)-tbk7z7Tf zj^N9hDCytJp}f&_US%r2RoBt<yz z>5?p#P#htO{sN24Kc3z0*&_mboNCyk?j~paHAYz4J^mXsUA{b=+gR8&KKL(w!Fw_mV{6_%A5~LgBrOG;Q^=_5qeCLwvgG804&%Qhk5aGeU6n)vuzP z^$iQYZ)W8Ra!4$c_kG4ItKcj9eBZARym|E&`#e9f7md!8>FXb@Ieo9C9Zk|5`Ox=h zP{<>DPxk5BXKR8gRz6F-fdXZOgW*6xJ{*2}01@!+-D?-)v9eEpdS>+Q1YflqeBpdB z(q?upXu#p0ZxssStM|H-z<=4T%KgSK2~?l-L=s0^+X}Q%?s3JwyZK_UGs!cxYxO}= z{>lz9%}bT4E;8!s${jc6)wY)-gvj=L-0m)CEV5)u43-)|FtH6`vz+=QkM^ZTyMshB zp%xs6@O-V~cKFUiRJzZ9n{1o%TR-z_cCusyMMR{{Bq3bf-_3fx)(&v^I4_e6jKWFj z=84UKxYrsFVdH5_PT2k(?`S1^DeH~jhM@n{#3>G;{#F^GKdSqbqfNREXHKH#aJy4p zPd)PTP~-I%H=ZZ{JA#igQjqt^h}9QI6mG6`#+san)u+l;)Yh=z2ISE6&VOWMf66F^ z%s<}-(Wqi440X<)78wj{TSJAe^Z1uR8zEOs7q>;Jphkq6_KW?J$OgqLrPGydW$2m8 zf~y*o;uV8GmDe$>SuoMCz+$>0uey8{^g$N22tJ|A8kDa+E(Dpam%G7>@9bsxy&hH6 z6d&caFT($en&(**ROA>f70)lh1*76h901$X|gmy+*MDFv8w5#_`@9?^&->Y_e(r!bEjFcPGw4eGyh_FFH+cLFJ=kP;$ z$Ciht8jLZrQEgkmkoAMr5#q?>zlij2;D?#g2J6cdaT!1@Bi*Ws?UK~FS1Ze99wI6x z)6`o^DGlTE6W0iI3Huy)!-3Q)^Zd&n?Q7TKGvG!q7X2gTtEdua)Z^2%Li)@|&A3yI zyWlA|&c+Xu!kUCGPM!&d|J{2CA=5VqUc)GIy&_z4pd)ow3J^2fxHNYH?ySsF4eA49 z2k7D&1ZE=t@GLHn{5}2qV*xGK)kI%(3CHTPD#r_%IUM{JW z8kqUT&vqqnN|Jo>hf)0XsV_RK08w;j2k+0F8G-&hhX0qq8@%09WN7Au91F{e&I+uo zC!}6&iK7RK%RDJRNe%?|dGrIf$%BZ}w2BLFtmWZ2k0Vi{1F+Gx=OM*H`I{5`I>V>j zHk{MjR<@jhL2rWjvBUroY3}ZTpyFxat0R>5;JVSyRlU5UaQ`*7%<$j>@cI41`u81Z z%}-|Et?gJ;uD-4=loxtrtEoc-f2wg5 zg6O%7=FG)(!nd*C=&2=JAuGYGjt77?dA2Y638E?$Z%%6(+o&_ljXmIb`{gW3pKR3~ zeMZ7rMkHTf-23{XcN$7g_n2FZ0UHtNnxFF|BjUnL1K0>z#d!L9-eApv+pL%1^txm! z7X~*Tq8AsI;hRnI-}L?tOeyf^O<>_1&E$W@8$!X4WTg~<=9a^-T}WSl6t>}9jMmv0 zS$A(vjOq$L#(HM%WNjO!x;`lKUWmX1!}NR#Eyjp`u5ZYF#x|1uO8F#~$~npI`WCTd zKGPk|hF(=iyUs*KXU;s$=#z*R**+H~KXBK!^Ep%z(HoAPxhK9dBCPYU{kAqR~Sy` zn)-3sdT)tJ4OyqOQP{6l)9AI=Vk{dBBNj;DycI2!9V|ohZoG9tRb8Aa6pXXZLp+t7 zh&zV*Vw!M5XRX%7pQ<16OMXe{ZUnUxLfzrQiK=eGh8k<^iPi0JQS&Bpp2&9k7L;g& zg3J=YmsqnZn+W%q*0);930$n)+A8eeRZAQWzk0+=@FTj|($l-{BT?{o!rMRA72@7- z2nWC~MC{3Kz2Me2!7FLK(|2cp>#p~9*lo4=aBMj1mEuNH%1A~1B@S1C4~D8jvOfh& z0R%&e^+l*@wYzNiffY7!jr!Vb}#a`?0=vpQ@Fy2yyr7zY2?6Eqac5SBl_JwTh{2 zE8PXn`&AB)=Mq!OQWv0Sino`mPdK}`?y+a? zvtUeNnhUu5kmTyDBSV@=UAsOgHtgciE-Z+`cTc>Tm&QfAik45AgsL@>jS8@R7;Mid z^SA4?aX`*`)2u=^IlcI{C`CvGCKz7E+_LCsL&p0~zZcc{one-aFwFk}3`*8&s4moe zUI`cnUzm=CI6%KKfYm{!+}%u1y-aj!q<-uhAb+IHhMk($yZ$Tt!=lGMB)OnEg@KWL z*)3VgyU36D;z@TL7_1k=}LtU-{|;R9*4C=ScTn)2xp;ab%2vHsbAuE zTy}F^C&aLCT&}{ESSL|J75m>|`v7!INcxPn*HLaHBoxEIx3j4*E9HRpE21exXW;KGg0QS zZ?_>jR{y%c%$8I_R89}FntU$+HN}hdZgxd$Zwx{jhkv-rjOLqr+kIU=(UUfhNLHS+ z3ig3C94AC}1r0=-mAGK$EtrJX&wJa#xouzLIIh^6488=%xbyviFm`J0?LXCaoPTE= z8J#D7LJg`nj_mw!{0`=}z@tIPX+)HHdzPAck?I)630KnSy`B(*6O;}z zF`AC`!wFixDLKBvP`(vQk6sp93`;C;`}4x**2>vOvI4<`ai*|nKLzC9sYc>Eb?%Ri znL1;y+41y5zav=$fZ>IX#Sx@c{(Khsp0TkMW4xQ9cSg-k-GHDdeM3eJCHXu^M7|aR zyNpEBvwJgx)UjODNOxGrh=Vk4S66i5ppZ&AaKifg5hwi95|?+;gwWoF-=sbFndU4V z?dR4Ale901dCKbbln<%1p>4bDgAmp%0yt;8@aa`B4&9#D(KeSN?utwNmmt9ELI@%6 z%x6`<`E~M_iRQf+-hDX~67i@a-5p{{)Zg986hT&+UyVTC>A4S=w%J}>$e_8I5`tm> z^WAyOn>jDd@u>k*o|s(8HS%=_Vy)9ew2xV1qMHn5u=LM}p@;K(! zf6Xt$?s=H4)M69l#6NaodC@{t_)X&}i_?v#p7`IJr|=fIJS z<#}pFN9Orvq_-(Gkdvuvd>`la++aI^=8%f=#=Pp&M|vlZ1%#;Myx2)n-2vAOyQU1b zyAvL@v9REu&gH}KIZ-)bbd-Q^i!U}KicZSkJP~E=<#zL=iF*{ZJEPdLHx|djD#-5A zU5i~y$0z-in#|fz;-iMc0SZbcZvhQTsS;rn_P-U4Q_7Ox_~g&w-2b^e|L~obg=}Jz z&i>UyHJsj1nBXwJh(8An9$y@E>l2Dal?hTI-p6}BK86Ja;$~?CJ-WERr23>TEQl-#Zu!Ubx8m)_tkCIq> zAzgLB@M*#LuRL{a>DN1He?`)4mPzYkgm^)GXBe(;vWk`_Y$$J`bGz z$r{r-mcKt=UljCieSs;+S8vKfR9yGkJerMm;U+s^_6ftj4<6jx*hEDdxNVH1*h-}g zt%C{1FQjpNK8@(}2h&B`(X6#zl+N08H|{r5_Djm20``;?-W!0Bc@T^Hq zP$v}g>JszCQZ?-cVo>6S61*T6!jj2Y6h+z27Aq_lmCS4({3X{eWh%0qc$|uPotjtO z%hv}0u6ab{1zW)eP^SS96hQBh_06&ppH;mkflh;cIo-6184XV%gH1W)u$@B&TI277 z4;adJZ8Heq&91X^rZ=|PB~#m3+fu!dj|;oZJkvVUr9pyD7>ze5pJ3}CI&(gY-zI-Z zCo5njE@{<~-gC*DF})ft|MjnYzTU}hxA1`*XW-(TS-4Ym#_$8XSXgu2AkCW3Gk*hZ zV|yT8&gDB)liMrfT`jx)?*L+l}kwV>8^ z7}@q}koS!FzMi<)%D3_dJm&X-cs0S+#BD03L8Ygh;=Jbtf%z;uq~^a-#Ac1%<-YzS zeY9Bafkj`h zG#7cPBpwbdnfn+4M>lywQS&#rmw7-CZ#}F5WQWQPd_#yU2!(d>p?_sJS{+@v;HBv_ zG+V2l9gi6CZeZndlOx6A_EA#&OA0pzBbTp^>1I|%|9bp=yN+D6*|GfHw*J%WYD-*J z3@@gFq+HaYczM;?lS8FHQIWk-ruXrcW83T1c8qzbk;cZl_Dnvs8Pw_zk5ZVkqQ~^v zn#2D%74GWvC?zC@T{^ggL88o|c9 zwKYs}_>%zYxldeDd`MJNG@TEC{bP?EKM@=n28Wv{`vFH!pMnDu0wN>SHMO<@Re%lv zVEjIfPu6OO60{)zpg`D;0hR#O;;K{nxAOv2QTEX+JDr%0_&`Krax9>Y|7YeO z5O^$zZz+V27ZGI^eKICg$tX1uIyI4W+Sw-96nIcop&{?n0np#(T?>0HRyQ(K3WCW% zsoE%k1ROO!Pg3@f-%lsXn3Muyd4R7Jq8Wd+x$T6_&$xulD z&39#QdP82^>@NF;?g;Y*%k^&!4ckBXr2l-V6B$PTi*4Md=?T9E$$Xtq+B#N9P^60} z1p45GI>OX~K*YOKp$7Zb_yxgt1EhGO;tU#`1*kbHj zEoBz4zrmXa%1S0oA+t{+cd*_P;4yvIA$4z_2q$i5!t8=y0Q!XznJCc*Q@oRWAI_ydes{MH~F-R>vh_{O|l}EOG*U$h7 z!3V691(+@PCSii1iLp4~69`S7AqtVWcmX_DW)F2e{Jpxleg59Eep{ z9Rm&tDq}EsXLUfBlAvyd9wp;S3t{d?+pojVPlJt*$P!IRhKkE# z;-Y-_mQlY6lH&BJ!-(}8O(L>YE}iFuo8|>{&G}kid58M0U-CzYz&~0jh-E!I5jIjg zEJR_5%fOJA#05S{QW4^V@LAix0yvx`)Tl9!oba|#OfktqA{p1(dm(s7Ba2YtX^ah#6Kxp>) ztR*3;RnSYOs^H&q*=G>0YOr8;!5rddBqlWUv4oK#;wox%M@BB>EqV|6ork)rPZ*Wv V!vOpUHhSkO0RU9``TF}^{|7VJH|zia diff --git a/maptest.map b/maptest.map index 7a96c2dd..e63d1048 100755 --- a/maptest.map +++ b/maptest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:42 +Created on: 15/10/15 15:28:50 Executable Image: maptest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1381:0000 0000f0f0 +DGROUP 1380:0000 0000f0f0 @@ -37,27 +37,27 @@ kitten_TEXT CODE AUTO 0000:6dd0 0000080a timer_TEXT CODE AUTO 0000:8b70 00000235 _TEXT CODE AUTO 08db:0000 00007bc9 bitmap_TEXT CODE AUTO 08db:7bd0 0000060d -modex16_TEXT CODE AUTO 08db:81e0 000025bc -16text_TEXT CODE AUTO 08db:a7a0 0000010d -16_in13_DATA FAR_DATA AUTO 1366:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1380:0004 00000000 -_NULL BEGDATA DGROUP 1381:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1383:0000 00000002 -CONST DATA DGROUP 1383:0002 00000076 -CONST2 DATA DGROUP 138a:0008 00000102 -_DATA DATA DGROUP 139b:0000 000005a5 -XIB DATA DGROUP 13f5:0006 00000000 -XI DATA DGROUP 13f5:0006 00000036 -XIE DATA DGROUP 13f8:000c 00000000 -YIB DATA DGROUP 13f8:000c 00000000 -YI DATA DGROUP 13f8:000c 00000018 -YIE DATA DGROUP 13fa:0004 00000000 -STRINGS DATA DGROUP 13fa:0004 00000000 -DATA DATA DGROUP 13fa:0004 00000000 -_emu_init_start EMU DGROUP 13fa:0004 00000000 -_emu_init_end EMU DGROUP 13fa:0004 00000000 -_BSS BSS DGROUP 13fb:0000 00000e82 -STACK STACK DGROUP 14e4:0000 0000dac0 +modex16_TEXT CODE AUTO 08db:81e0 000025a8 +16text_TEXT CODE AUTO 08db:a790 0000010d +16_in13_DATA FAR_DATA AUTO 1365:0000 000001a4 +FAR_DATA FAR_DATA AUTO 137f:0004 00000000 +_NULL BEGDATA DGROUP 1380:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1382:0000 00000002 +CONST DATA DGROUP 1382:0002 00000076 +CONST2 DATA DGROUP 1389:0008 00000102 +_DATA DATA DGROUP 139a:0000 000005a5 +XIB DATA DGROUP 13f4:0006 00000000 +XI DATA DGROUP 13f4:0006 00000036 +XIE DATA DGROUP 13f7:000c 00000000 +YIB DATA DGROUP 13f7:000c 00000000 +YI DATA DGROUP 13f7:000c 00000018 +YIE DATA DGROUP 13f9:0004 00000000 +STRINGS DATA DGROUP 13f9:0004 00000000 +DATA DATA DGROUP 13f9:0004 00000000 +_emu_init_start EMU DGROUP 13f9:0004 00000000 +_emu_init_end EMU DGROUP 13f9:0004 00000000 +_BSS BSS DGROUP 13fa:0000 00000e82 +STACK STACK DGROUP 14e3:0000 0000dac0 +----------------+ @@ -80,7 +80,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:0e82 jsmn_parse_ 0000:137e jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1381:07be+ _inpu +1380:07be+ _inpu 0000:1510+ INL_KeyService_ 0000:17aa+ Mouse_ 0000:1802+ IN_GetJoyAbs_ @@ -128,9 +128,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:5adc+ MM_TotalFree_ 0000:5b72* MM_Report_ 0000:652a* MM_BombOnError_ -1381:0920+ _beforesort -1381:0924+ _aftersort -1381:0928+ _XMSaddr +1380:0920+ _beforesort +1380:0924+ _aftersort +1380:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:6560 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -148,9 +148,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6ca0* CAL_OptimizeNodes_ 0000:6d50* CA_Startup_ 0000:6da0* CA_Shutdown_ -1381:0930* _finishcachebox -1381:0934* _drawcachebox -1381:0938* _updatecachebox +1380:0930* _finishcachebox +1380:0934* _drawcachebox +1380:0938* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6e0c KITTENGETS 0000:6e6c* kittenopen_ @@ -161,7 +161,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:73c4+ get_line_ 0000:7436+ db_fetch_ 0000:74cc+ db_insert_ -1381:04a4+ __kitten_catalog +1380:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:778c+ LargestFreeBlock_ 0000:781a+ _coreleft_ @@ -186,16 +186,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 08db:0005 __STK 08db:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1381:04c6 ___iob -1381:0944 ___OpenStreams -1381:0948 ___ClosedStreams +1380:04c6 ___iob +1380:0944 ___OpenStreams +1380:0948 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 08db:0042 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1381:0000* __nullarea -1381:062e* __ovlflag -1381:062f* __intno -1381:0630* __ovlvec +1380:0000* __nullarea +1380:062e* __ovlflag +1380:062f* __intno +1380:0630* __ovlvec 08db:0072 _cstart_ 08db:0145* _Not_Enough_Memory_ 08db:0277 __exit_ @@ -205,10 +205,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 08db:02fe _big_code_ 08db:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1381:094c __argv -1381:0950 ___argv -1381:0954 __argc -1381:0956 ___argc +1380:094c __argv +1380:0950 ___argv +1380:0954 __argc +1380:0956 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 08db:0305 __PIA 08db:02fe* __PIS @@ -217,9 +217,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 08db:0359 _fmalloc_ 08db:0359 malloc_ -1381:0634 ___fheap -1381:0636 ___fheapRover -1381:0638 ___LargestSizeB4Rover +1380:0634 ___fheap +1380:0636 ___fheapRover +1380:0638 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) 08db:7f16 bitmapLoadPcx_ 08db:8072* bitmapLoadPcxTiles_ @@ -236,7 +236,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 08db:0b41 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 08db:0eb8 __get_errno_ptr_ -1381:09d8 _errno +1380:09d8 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) 08db:0ebf* _frealloc_ 08db:0ebf realloc_ @@ -267,22 +267,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 08db:1366 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 08db:13ab _nmalloc_ -1381:0644 ___nheapbeg -1381:0646 ___MiniHeapRover -1381:0648 ___LargestSizeB4MiniHeapRover +1380:0644 ___nheapbeg +1380:0646 ___MiniHeapRover +1380:0648 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 08db:1485 _ffree_ 08db:1485 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 08db:14f2 _nfree_ -1381:09da+ ___MiniHeapFreeRover +1380:09da+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 08db:15ed+ _null_exit_rtn_ 08db:15ed+ __null_int23_exit_ 08db:15ee exit_ 08db:160f+ _exit_ -1381:064a+ ___int23_exit -1381:064e ___FPE_handler_exit +1380:064a+ ___int23_exit +1380:064e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) 08db:162b ultoa_ 08db:16e9* ltoa_ @@ -292,7 +292,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 08db:1a9b __doclose_ 08db:1be5 __shutdown_stream_ 08db:1bff fclose_ -1381:09dc+ ___RmTmpFileFn +1380:09dc+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 08db:1c6a+ __ibm_bios_get_ticks_ 08db:1ce1 clock_ @@ -303,7 +303,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 08db:1f05 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1381:0098 __IsTable +1380:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 08db:20fd tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -338,31 +338,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 08db:28f7 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1381:065a __8087 -1381:065b __real87 -1381:065c __dos87emucall -1381:065e __dos87real +1380:065a __8087 +1380:065b __real87 +1380:065c __dos87emucall +1380:065e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 08db:2906* __exit_with_msg_ 08db:290b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1381:0660 __curbrk -1381:0668 __STACKLOW -1381:066a __STACKTOP -1381:066c __cbyte -1381:066e __child -1381:0670 __no87 -1381:067d ___FPE_handler -1381:0662 __psp -1381:0671 __get_ovl_stack -1381:0675 __restore_ovl_stack -1381:0679 __close_ovl_file -1381:0681 __LpCmdLine -1381:0685 __LpPgmName -1381:0664 __osmajor -1381:0665 __osminor -1381:0666 __osmode -1381:0667 __HShift +1380:0660 __curbrk +1380:0668 __STACKLOW +1380:066a __STACKTOP +1380:066c __cbyte +1380:066e __child +1380:0670 __no87 +1380:067d ___FPE_handler +1380:0662 __psp +1380:0671 __get_ovl_stack +1380:0675 __restore_ovl_stack +1380:0679 __close_ovl_file +1380:0681 __LpCmdLine +1380:0685 __LpPgmName +1380:0664 __osmajor +1380:0665 __osminor +1380:0666 __osmode +1380:0667 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 08db:292a __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -378,7 +378,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 08db:2d9d __FiniRtns 08db:2d9d* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1381:068a ___uselfn +1380:068a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 08db:2e02 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -403,50 +403,50 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 08db:84a4+ modexEnter_ 08db:8652* modexLeave_ 08db:866a+ modexsetBaseXMode_ -08db:86bc* modexDefaultPage_ -08db:876e* modexNextPage_ -08db:883a* modexNextPageFlexibleSize_ -08db:8908* modexShowPage_ -08db:8a04* modexPanPage_ -08db:8a54* modexSelectPlane_ -08db:8a78+ modexClearRegion_ -08db:8b90* oldDrawBmp_ -08db:8cf6* CDrawBmp_ -08db:8e5a* modexDrawBmp_ -08db:8ec0+ modexDrawBmpRegion_ -08db:902a* modex_sparky4_DrawBmpRegion_ -08db:9194* modexDrawPlanarBuf_ -08db:91b2* modexDrawSprite_ -08db:9218+ modexDrawSpriteRegion_ -08db:9390* modexCopyPageRegion_ -08db:94fa* modexFadeOn_ -08db:952a* modexFadeOff_ -08db:9558* modexFlashOn_ -08db:9586* modexFlashOff_ -08db:9656+ modexPalSave_ -08db:96ac modexNewPal_ -08db:96fc* modexLoadPalFile_ -08db:97de* modexSavePalFile_ -08db:9856* modexPalBlack_ -08db:9880* modexPalWhite_ -08db:98aa+ modexPalUpdate_ -08db:9e1a+ modexPalUpdate1_ -08db:9e94* modexPalUpdate0_ -08db:9ee0+ chkcolor_ -08db:a1f8+ modexputPixel_ -08db:a286* modexgetPixel_ -08db:a30c* modexhlin_ -08db:a390* modexprint_ -08db:a52a* modexprintbig_ -08db:a6a6* pdump_ -08db:a706* cls_ -08db:a774+ modexWaitBorder_ -1381:0694+ _VGA +08db:86a8* modexDefaultPage_ +08db:875a* modexNextPage_ +08db:8826* modexNextPageFlexibleSize_ +08db:88f4* modexShowPage_ +08db:89f0* modexPanPage_ +08db:8a40* modexSelectPlane_ +08db:8a64+ modexClearRegion_ +08db:8b7c* oldDrawBmp_ +08db:8ce2* CDrawBmp_ +08db:8e46* modexDrawBmp_ +08db:8eac+ modexDrawBmpRegion_ +08db:9016* modex_sparky4_DrawBmpRegion_ +08db:9180* modexDrawPlanarBuf_ +08db:919e* modexDrawSprite_ +08db:9204+ modexDrawSpriteRegion_ +08db:937c* modexCopyPageRegion_ +08db:94e6* modexFadeOn_ +08db:9516* modexFadeOff_ +08db:9544* modexFlashOn_ +08db:9572* modexFlashOff_ +08db:9642+ modexPalSave_ +08db:9698 modexNewPal_ +08db:96e8* modexLoadPalFile_ +08db:97ca* modexSavePalFile_ +08db:9842* modexPalBlack_ +08db:986c* modexPalWhite_ +08db:9896+ modexPalUpdate_ +08db:9e06+ modexPalUpdate1_ +08db:9e80* modexPalUpdate0_ +08db:9ecc+ chkcolor_ +08db:a1e4+ modexputPixel_ +08db:a272* modexgetPixel_ +08db:a2f8* modexhlin_ +08db:a37c* modexprint_ +08db:a516* modexprintbig_ +08db:a692* pdump_ +08db:a6f2* cls_ +08db:a760+ modexWaitBorder_ +1380:0694+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 08db:39e5* __set_commode_ -1381:06a8 __commode +1380:06a8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1381:06aa __fmode +1380:06aa __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 08db:39f1 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -477,7 +477,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 08db:46d7 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1381:0050 ___Alphabet +1380:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 08db:46da __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -488,9 +488,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 08db:4793 __GetIOMode_ 08db:47c8 __SetIOMode_nogrow_ -1381:06c0 ___NFiles -1381:06c2 ___init_mode -1381:06ea ___io_mode +1380:06c0 ___NFiles +1380:06c2 ___init_mode +1380:06ea ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 08db:47fd __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -510,9 +510,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 08db:4b14 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 08db:4b30* __get_doserrno_ptr_ -1381:12e8 __doserrno +1380:12e8 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1381:06ee ___umaskval +1380:06ee ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 08db:4b37 _dos_creat_ 08db:4b5b* _dos_creatnew_ @@ -523,9 +523,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 08db:4d64 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1381:12ec ___env_mask -1381:12f0 _environ -1381:12f4* __wenviron +1380:12ec ___env_mask +1380:12f0 _environ +1380:12f4* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 08db:4da6 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -546,26 +546,26 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 08db:52fc __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 08db:536c __EnterWVIDEO_ -1381:070e+ ___WD_Present +1380:070e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 08db:5390 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 08db:5565 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1381:12f8 ____Argv -1381:12fc ____Argc +1380:12f8 ____Argv +1380:12fc ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1381:0710 __amblksiz +1380:0710 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1381:0746 __Start_XI -1381:077c __End_XI -1381:077c __Start_YI -1381:0794 __End_YI +1380:0746 __Start_XI +1380:077c __End_XI +1380:077c __Start_YI +1380:0794 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1381:12fe ___historical_splitparms +1380:12fe ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 08db:66d6* _heapenable_ -1381:0712 ___heap_enabled +1380:0712 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 08db:66e7 __flushall_ 08db:677b flushall_ @@ -577,8 +577,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 08db:6b14 rand_ 08db:6b56* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08db:a816* textInit_ -1381:1300 _romFonts +08db:a806* textInit_ +1380:1300 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) 08db:6b79 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) @@ -596,22 +596,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 08db:706c __setenvp_ 08db:71f8 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1381:0718 ___IsDBCS +1380:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 08db:7256* _ismbblead_ -1381:131c ___MBCSIsTable +1380:131c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 08db:72b0 __mbinit_ -1381:071a ___MBCodePage +1380:071a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 08db:738b _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 08db:7399 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1381:071c __8087cw +1380:071c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1381:071e ___Save8087 -1381:0722 ___Rest8087 +1380:071e ___Save8087 +1380:0722 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 08db:73a8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -625,8 +625,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 08db:7573 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1381:0726 ___EFG_printf -1381:072a* ___EFG_scanf +1380:0726 ___EFG_printf +1380:072a* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 08db:75bb ulltoa_ 08db:76f8* lltoa_ @@ -640,7 +640,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) 08db:78f5 __Fini_FPE_handler_ 08db:792c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1381:0744 ___FPE_int +1380:0744 ___FPE_int +--------------------+ @@ -656,6 +656,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022900 (141568.) +Memory size: 000228f0 (141552.) Entry point address: 08db:0072 Link time: 00:00.10 diff --git a/palettec.exe b/palettec.exe index 41ac28918e26244088d189d0b7a94c804c90bbc8..07fa5a178321c33ee1410263ad3d5b4c27263b80 100755 GIT binary patch delta 5666 zcmW+)X*^Vm|2}8V?8eL(%h-)2GWK1h>@Bh+hKTIfo@{kXj>*!Pk*gA=ZlQETL`C9v zWvgg4lqO`$m30W&bN+q)&x>b!`~7_1JTos*<1bOLvrh=<4uAj(NRUF1P1YtB+$(o+5Dm)1izNOSoC`Ou>$Cf0#hDGLFyu4;p5BXhv4sIOWhU)3$NHH zUi#hjDxO#TEi5ah(QjeLi73F@(n{V9;y}F9aQ&|aG`3~F;d#t_&9}z30Z2%I5~N76 zV(FX08o2Qj#@kBXFeEY;DpBh`{W<$(7xc=pA7tWOzYQ9+5GIEzCbt$2usu+XC&}p9 zd?^X)ANk|Ycr-w?biAV$4fQOH^-jLOPKm|UVu~LI28%7K6c68mtuH3`c_{dGXFjX8 zX_gkea_k#)UMd6S_sBSV22e~bYH`}dtZc0dD3Y|n2v41YFHOnm=W9%_1(p;y_|PZM zEUT~Xpu{B0<@CSlqvB~yAmp^?6Sr>Jos6sF_WVyP&RUMo1V^8WFE26bJ!@NMQr=8( z8PeV;f8kr}PHQ+8lhZvQc;7wz=B=_^*FXA_mf0T&302K`z`N9*s4l+gTgb6?`5o2E zIEJ;-&CyUUGVQjneHZq+#I#$aaw}+Drt*EbQ}~PYCr=$GrVP9P**@-Id(_+RI-li* zeMm+Fd5@@K{dzo{Lyd!r%oTI{VC`kX!-?!gsvlOk{|PK|p>Z`#$ZehhCCTWV;)muO=@#C%!&M2WU*d7bC@*y<1<8^<3J0wHC|`mODa)mjri6S)vq zu?TCofANY0THboQ>f@DfY;ZbiP+={%`d{4LI<@Vic0!<*K$4YIB`@mRCOCL-XE}u* zYEi#>$K=|2*Hlxm0c8E@k-R%VrIzKtn)E4L##chO|4Cb_P z{hsZG`skN2qLi58V)u;I=2_%FvuUC23vQO2SMJI*!W9rogsDPqd&Pl19g?tyLL6SI zkX%!RJXm8RNN!aSr-yw=ZU1d=n6C0773*gAs7)yUVKIHp0^d>nhkM{K?JpuK~p`P)uTCwZaj!_l7cWGd$&?B^U zw_XPNrBTFUgm-^sIRmY{X$Vhpd6V(1e;o$wB|3O}UD{LgjH@%5L!FBXA$i2^`uK>-2yQekcxMO^2$9VL zfAoLA#4DrpU)xJo(tVUur4h4?N@F)3hZ1A(X@s?Qq&Q~J#u0#DPQ-+?$El}v-Pc)s zKyBtzYhcmk01jhcm=gc~jH+zI%<4D&@Y4&wzvG`LhKkH-sg-%_&en{pAGm%P^zRYeoKU-0<;{xXM$i6yB(6#%ahn)|(D=HK8IhXD$ z(fnntNdh2IbW+MEg3)9f1?_&6IE^oxbZa&dPDOLNLcHVoJjuqA?5t1h`uuUIqu!>g z>?nLUeF{o{IR}lc%CAf!&>H5=>_RniZ#ZexsC#upjl#jjAX&O|+qr9!oX2<5^H5!* zu1ExN=Qvi1HfL>hB`pPuShFO3ti2fK|2sHG=y>45VvOSUEhK|e=Q1{SO>5?Tgw^la z2H3V-&v*P+e>fvCO)cFwlpgo8d6E>z`LlAa&QTcfjR|%3D@r*CKcV+pEbv&V`?J^D zSG*S5<(s?k%}VH;=NUG#Tv-cHHXp07mvAU^&l!d1^Eg;HPUA z;ESDLiqvu+;r*-+-(cyQIH-K68m8v}MHw8%#qg1V#1M7oAIX0htYER+@@JJxVuWoj zz2PH)$(oSTsdTh0ek2>iC2w=+X&dkLhMRWf^h# z=4ETUWlG;VM{6JDStVtj?q&K?3N_=YRn=XP%x`m4zQg=6fjK8emkr=fw=6&y8* z$^6NdTP@O{dTuZMJ&Ea2Q~IpJx1@kit;<^*b}K3?0|08!*S6?nFV;F)S85 zk~(srYmkeHU|Kk!s&MwYR`H^5){hKEL(sZv-t^e)v)ftgCK_q$yKV!hkp6$#)Ppu= zI%3utyUbA`ZOTcen0LmvGL$xwlTUOgST+gbgV3ji34Xesz@Z>x*va*~hqzju(_ec! zQl~u?zwxJJ{Y4HZ(=|f}yZ7$!XvD&{ZfRLN9~_IOdrsl3MgKmW`1nfjCW4!KyPde0 z6o^m6IPf29MOEEaBhdx~LIaJWZKq5u_EBTT^TFKQHXFvId1I%N{nWvdU*jd9Q&WIPMgj&LKV+k1n z;_Snzml}FIrtvzCj~8x^+vndpLOCFLoOz2>l4QNF5VH8b=aDp5@sx1F-!ozP> z?gak=W>^}r?p4xH(q{`d8<NEFT^`7N^DvQf(y`M=vU|lkdxVvU;Dk%3h0`fGQJP8@>onPwe*sr7* zfbG~|MRd>fP>G!fX_FoMU zQvXf|pobJHfY&^kT(3k2y<)pspo*oK0BgXZaXU3vuNxQ3-z|Ugq>C`mrGO7Xi6o+P08WOHshA|^c0t~ttp_p+)W5IM^#kt4 zr$^qYFwKUTceVNngQR8X$cJCoeogsoD}N1Q+W6X^U&@A|S6r7rQEpKJuP5Mx_buVp z5BRc_+Ylo;|2mK}Th3TO4K`kyhpCAL* z!gzzkJN;;$`Zel_q-66Kig;1~dd!uB=jryC^!`_9HNhA@;HSN5@1ooMgY0!T(B9c; znV|GKLc5*n*UsSl&+QDnq^RFLAwsNy35azgeParQ>H+VJG0~jvL#yIXf zkd{>DysvCEnZ*kN%H^mId*3cL18X3ZHMB`IqK(dS)Ac>&))z(-O?kX0E-S*&<}^gt zaGo!8dmk+_tDCd9mDZowQ;AL9XUQ6guie>k+%|{9hvTgE_(`h2l0l3b?fNqb-N}eq zb%ITsuIg?h;V}%BdI!pzBu~30?!reLa!40ykFb3&q|t!cB&6WXR1A{)ROH08@#GaD zgCuN@s)cytkv^jpqH)B?IZ@VPiG{t_;tpRC_ul&xT6_ht&#N{tee&#pOk&2K6zPK0 z96lVopz(!tp1b>~&bLi%GG3Rx@D11_`?~QCUM@ozpI02B5z%s8M3M#iFv6Hdb)8_W z!E$pq*2j#uc20jhdtDeBScgzO-};7}DY$t$#ls1E6RxRu+Q#%Kc%JFpSn5ZN8DdD4=!PH0vUpQr%< zd+>(t7R1MM?B=#T8}!Av&820g=cy%rNniaWlb5n@X&Rh#T&Di`c0Dsf1$gC@Es%~l zhKK1&-sJs3Mo82FO%TmYFn6l`}9jLX1sJ&CGY{>-@CB6HYqlRVV(LG#7Cq zj)8~*fe9gDe}p5EQPGwf0l+cj%<16dpx7AgZCyQp2{3>b;OicpBnPdphxB0}15lDv zwnkLdcKE9^cWMqC*Mi~0h?j%A$5GD%uK&56JvU`@#NHU*f6(cWg-UtqU$Bq29n02b z#d-Ihy)aq?U_^^bs|xf00J9e)Qxuq+yDThsTYZA9ZEWrAy+)5Z%3myCj<_B^PIcJt z=2YXXR}Z+=E9=v`Qv-v7LqcVrN659r#ES4wPR1uB4j(yn8vgUld7!ddQxeC<=V^5K z#WPN5$0r4Qc>PFD037KRyu~q{;-A&_0rH%`eP7FPSgO|`=qzweOF$$6$IP#gG`v;! zGenduuK_X~;J5<#`)a_y(des`ukJT(0*YtnL1+O&y*Gp!5s_b0(B{4MqSc=fOVtFW zMhYYz2Ae5#^+L~_M_(DY_trYMeldR6`^LGd(&SdU*;FF*qW7Eg@K@u3-p|gTdX0bd zNk*a8p@;XL7X9};;`_Z1MSQUtEm7!!h*4%fq@Zx#X={~^(xA)XAyFB26zVku6>-i) zxlmqF{6--8BoUKd=!WyPtHzgm|8maBG(O)8!_INh#^JsG`=p#2A-!k}>giFO-#utX zaqkq=bWf`&F*IU#^>#vIF-~bzuP+n8rpE`PAA;@g)W6%P>TYWS755fh3-o1%v7HoL zF{Oh{s;tvGfx}&=uvKRZVg909*#1tU_daW9T4h59q06NqA>yhrBkwvQ^VpxM8d#R$qN;_n|vRuQ$i?B4<<95J^Xy*Johba?$p=URFR_^ zD8aNlV^J7r@$Psupp=Hdi0XVY4u*vWjFvM(jCp(t<<@X{g_wQQB@8C(d8<<&lAWm||NfAVfaJfj1CIC1vU^aTzhhl&WAZ zC9f)n(7|iwI^()v_ldpF1Fhj5;2%y!`G}UHtjrM)3FSEogAO1j40#p ziu_r7&36D?=NaK}-5T+sH4SQYVcq65O)VXfBpW%oXla=8{D+I~!F`M|mjl89h6FbG zkc_D1hYwQN!A-Qe1~})`DUTj-zYp!$WWNvFzmBtRn(-CGoUN86cmQxz1{lwgLUa?= zJ#OUAx(_fl#NH$O4JZ@|b01|y#FAvXLq=A5PE& z^;Sb*aMt6pl(q!z)IL#D0P%}tY8D29bmaRpD!5=o5UDp4N)8dgxWk`048QA`{59~g zgf8_sN)wNle+tv4!4sEAko>lkjR;Fi)0`fvqTa`lJh@FZ!kg)(=)`ZUsWShTR=qs* zMGep(;}8o2c~1>>xt-f*ZG4^8{xF(=4pPY0gxtL=HOZl4#_KpQ1m%#i;r} zM@c&FGES*9OgIfT#kYp#P@2gU{k|+_&CP0&0Th)c^4J#ZepjGsNXL8uFf?lg^FBxc zqX&#@X#wRm4Cov{1b{Sb4RO-|Ac52&88#Y^ZUHLhq){(Vqbt640HuR00qQ~Bgh8*Kl6Xc{>Ny*NT}wt zOZ{R|#Yu{ybMqI{lkHHZND8z7CE z|Ms~kx_(UzutN(2Rfm^vroeybt0Je^djXk_+PT7ZIQveOIGr^|8+g` z$@2e+hXup%KS_!r%E9{h^IFwIBEY`MtrwfsXzZ_QOyt(D^0C@xNH9Qv#IKWt<5LB- zy`_)_FQ3qtPg_VFgXhxw1%T3!zQM(Wof4{P<71yP+9bBIzQ~G|CKQ{=qT4Pj#mYkv(rM$1HI4EOq`?j_ia#5#isIG)|aj= z^OZs}rZJMgv8dj;tads{rZVeG-@!yHbvBfx{4l7XvuhW+bVYrNw-jN?>VM}YQnR># zsSK@{A;NFQCtQHWaEa)*%;YKOtp$`#1YPI6k~lpNPE}*4^RN zRsL3vwV3`cneX7S6yCYbity-3r&{K_*T$5+i9QV*b5)+RC-FY9^+xbne_b z%%Dd!Uz7LnOxJ6PMFWX#iP<*YnYfn>Myz)JJ{6cLx#;{jV_q+LT3Wzt_dUP-F+|`# zhkzv%HP=3mxgfppi103g+5Q<~O=QOlC{Z&qM_ zIUXth6E$yl{gbCQQ*uPeVJg8j{bf1oT2JMz*1HYyTi%4@CaN)zG{!;s?K~|e*F69z zQX4}mP(7nE%{?m)XZo=e(zIF5_9ZhTKZ&k<{!%}Bxl^_}3}U&tSG#%4a)ZGEO+5#i zL1LR)yE!si`0r~>Tj5)^G48xE+)(%)oiYK*neZm9N7I2d1S+ch`|E@V?dL@LOyyD* zlw29wa?f@E-A1PRJS}jm%d4M7-hH3`EwJXDV})&IPb0kk62dS_z-uXezDJu+DyIrn zEtHD2uk=D<)k{dWCO4p4agRU!sknlKa_h$gEN!k$@IrPX<300W4ebBE6RTVin7nk~ zN;JiF{yDEjn~-i9)H6~MWdVF26Ms9@W4Cy+&$sUx=vW7R?jEm5y~Y+Ug^NbMf!k46 zPU-F9=zMn;9@`SZirnxt{v%To@{;z>ana4a@?V>D3D!-Txs;3!^a@`YW24W2& z5>$8#)J?(_lT4)x@RY44Js^Gr+8wc95a=Q;#;{mrehOPk@x7SiwQb?6xn*>gIaMHHy%P$)KZZ>m1kLiVejvMrj8&f zg$#T!Ns(RoptU*?Cy_c42wG|322}&2u_B{N2Gg{TCHMn&aMyU$RmZ?vv*V`)K_ip? zc^3k|x<|pM0!Jtm>m4IwUl(aW`J;)nz`?;J@j!t%^Q&~Az$Ry8V*I4C8O|(fZ=jSA zASJB|oDqDmI%J%f^;H;iOtanluq0Aw+CUanzA-@6?>*_Ua$viR>)HXPQJs*L*C$zZ z#SVrN77nA;8`)|pElQ^2g+rzlx@PbKotfn^(oy-jjCu6G+9U&BEo14)VQ;dAi{&QW27R!SJOCVJ|BBSC`I~aCZE`jQq40V;&gqeN1bK?8r~+ z1J4$;9@qW6!WT^esKmqfCnV9G6niG~OR5O`OxpL>tZxEZ*&xUx+9=a5@_EL&=SdNl z$19*pT6E1}ue&Kz(0WQ6G`cK%b`pVBo?vEY=8)p5xNnA=og=E$^Z^`lPUrMi_KH}* zQ;mOAf$AY=#5$C>1B>C)nHHD)&p;wMVplbCJn@tl^qa zdT-E2LQZj>wca%~;jFGP zaHfYtM)}H8@7$d1d_DfS!X0#j5{-51+|G00wTQve#FNnsc1J*BaeBslN2tP z`f?nT|3=1tu#n%4`C3@`F(hrR8!qe<2`Lyva*eZU$<8Ic!`_stOvIrdJ+#bNBhuw7%8dR}_E%9)b`u|WjR3+@s9C95Lo z(qr!ynuTGWMD<4kqsBwLRJ)T^Rv>+yM}gBN64&7PDpRy27lGPvmaL>LKmbM8>H<{L z$ts-kBJzB{k0{X?z7gQvFY-~#CeF*3p252w^LC@$t{~So$gy%~t=MIs4ngGA+K$H$ zY&=UudU`z;6P*Wm5-jmY4X4iZ(L9#vG}TrQc9m>Cs_wLIiN(_J?8MKoR%_?S;8Nk!*MA&MV0_mK z|B(f7`}tHrY5UfEKJ@}XxIX#`W%U^&b6gO4dLLjjj<4Pt-nF*RFa7)-@U^05T9OjF z02EbN!qMV*jJhkX#JPOGyH@W{7_tMTS~YF;-XzzAeAbBVlh1d~QC5AY54|zHk$;ny zQkmwIn(`194y^kmie0ea13=m9hFt8r9K&6!vWDSP5?gAkeRn0EoqzA%O8}7kT^@eZ z)8ok`-E$_j?!Kzn`>#%av#qO{SRLNv?$Tl?)w}0jlEyu?Kn+nP4av`MV&&Op&q=pQ z7SBvE@)~4ZdO!Gldh|p9X*oHqvN?_7)Z1r`-w79?ycMyxt@$YM)8SD`bNwXCkBQ(w z#t%T&fAYd@&vMiGFZ^`Up6j?&7c8qhoj59P=&!-_F^VaD^S~sZ#glMps}{ z5cP+*?sPAuzXh^n1m6HZ$V;IL5AmF2M%;~s-dxhR3Dz}BX=wqYw16D;kkM8D5EGOM zyzk2;jC=L5gb{j2JP#8plxagL_Z;~ejFHtpjG_%wAt*Vr<~Ob+x%B4w*lt0 zFB<*L>T9(xBidIfX3T5NcwZAtjL|KQ!kQfS`1zOSk^RvDU(tdXU!r@W2$u}goqKMTVJmeywQ56h#S`yqMvDO7_rCkpWg=5y-am*uRm+;t2fm;Y} z>h0D>Y#b+94kH}K<1eBZG@s}{N0|B?Z^_?i^_zFjRSKbZ2|Z(r>wS=Rph{BJ$loq*SW0Q3`2OC_ zLQM1R8xld#75bm%QHegRma&kU{9OepG)Y25Swq=WGApJ+tkQ8?SW}<<-YQ&wR$|xv z61PJwGh?m<&#Sy^mmdnf@`eA9;&!rMTO}X&vjfc&M!&{yQ(~cw&Q9E1xOhm2?N#Sw zKl4eu9q(S@(`Z9Jc1Bv$UV%$bX&wN@)Xl@=;mCyrbG3)P(Sk_s2(&zIrU4ovOWT&r^dg&Zm8t3({ci#7RCUU{mA?V^i@xsklXtq- zO1_J^`ufM3kxO5aXAfWO3YqO{@(>u`@~frn7pU%6OY9ngNJ1k1ESw@o-dBdrk)6}; zhVo#;V|v>Re#hcdr0OaqbBfIC6yZ7G(O_=suUNIzjH6n09H^Gtip|*mq)J9M z4YKpgO~sO#Bu^kIoM~NEiO$T(OO?sszA|gYr}#x+E*0!eJGg{Nd4*Q39K}oi5hnkb z=d;T)R=e1s$l=(mNN|avNbU?|1YsHPIBo%sf<1}5dfBV|_gyQYfD1alXKTbcN zzSCbhsaLIWzweou$K6=kdPy||5G-$Ho*P|T&%8RaURH(?iz&BCwo7)akWm!sk2AhK zkf{$go*P8vrgZZud{9@11eZj!O_}vXze;2r$v!uMFvijS-I>`3 zb(VV*0MnWb3~R)GPn-tFW%C}_BeOApVXG_bJTkvk4}j3Quj{BR^_5v(io2dGd1Wrv zBr_s&|B`udeE~vb@w)`<_XEUPV%B`YhDmyN>5#O&d9_D$g%GSCLo3QMieeMLXZ%zV zRt1}-RIho8s=b?>Rc$AjS*x&i3BoYgG4wm-K zR-{atpO%V>g?Fc%xk}CIdKo$Q?Q?RjeMH*Wy>&_&KB;kT45#zy^&K00YP2A_fVAyG zqtdm2(l=tZq}_dn?FHI)Ul!}JL{pdJD|+J@b*sUB9E4U{b38jJb4>eaNsgHIXi(81 z#kjX_1Q&-?b~%J;7h4rSbO)gEOn zDlLp%3l_2kvwbr9^@1-GALH;;|GWQ=l4zkvUGKGGgQq8B9@Hd}yN-q9QS2y0CU4R& z9411Kqh==$Afe~=JC4xWF5vR|g#d8~-_EB=h^tjnXr*QLdI(KM-@woaF*Y$Z+o7jz zF^wmj;2o}yJrx%^a6C$pi~yXNppYX+k0D{<=G**%Lw_MB1Gxc_5n4K%x&Q-E1d@Q- z-P$vDn)~>A@PC6WWt5mIh^m?&tj^f3akxqocJV~)T;06f_c*iPoIY#SeZW=^aoFp) zZ>MsCYbN4-(8j}hztill-Ig$39ANg7CGB;~0YC@_DO5Sej-{REyDWMUrj@mgt*2KD zOZI}cdZV*T1If;T?dZhO4F?LtmGo3QH#h--LBU21p(c$HkrG`eqT#NX*aqkLlc)Xy zFdp@;3`(xBl4*Kvvf@{*=(xaAEci19U`e>!pjx%9rt8z2w1myWq^{7)4D+zi5|HO9 zR89hF%+yKy53(GX;tJe6z#tuHkOKjK06ZOzrfSx@-mq#a`1}imzCkF1n-CI5-0XqY zomQ8P{`4&P!UP3sEF|*Q)Vu>KuF3!QDzv4zBERW#=)2-K`41n2-7>{aO+l^2WBJ2F zp##OA@_Tzif0v1cVHTjrPF3HH8hU;>edsb4{-jBUyoiQGXuG+bBW7h;0<~X4h6c$< zg`qH)AzZrAU!V3-oLqCSzom2mjtm3<-0k|JR z-MXr0Fig&&QVXb*3{r)M<}eONHB^B0C-VtfX@I*#2E#kf`ly~0D>>8 z^r3?R>qbDC6|xBuv1m*=fbzMC+fc>gK}0mbeYYp-^)A^GtaqVE5jId(F~6iXEUNTh zXlc8io`$jsO@B8`zccy?1C8Gu?+11#A~3@G^%DofL;8x1e+^>HqR%MYy5CSLY?~X8 z!JKPKAU3ycV2%#29{I*cFT!&g%ex*43pU#^6QVzBzbh<`R9=RuY5!CZjvdE=*ToP8 zCE5-VDPa?YCTAutt0E(TfO%%x<2vB+LQ75p6IKI{{F8rK6OoseI^ZU%L>*9+0E`hu zS#3{Mbt#FZ{hH3ovP-ra?*Qbvd#K$trZ+<84{CN`*%|{T<}5f4v67Jqm!vlAAJy4w z&m6tEM^LFKik;mjMdp6^AWj}!LYr-a90%HE(XLe`kXq8x`w)j!T+$5JM;Np8NSfdV zAYrLsbh@|>607cZJ$u%bQMFC@y#u08rHYz)D-jWMl8Ks>v?O^zSOzV$CM&H-kQz!_ zzFYUJ%={7Dpst&6P!-&ob-O68B})HgPc{L3kz)*ve>kAT52S_$&KVMjrV#?MIszEw z@+e)Ae+_dPoO>#&Lu){3;PJ9>Bz+nj&Lu&z;u}^(4^0g--C$*P#fM@iHfV-;Q{6K@ z(W;v&jGK}w7hil<1-8lIb|U(+?%UL5wx8aFt$dtRj~KplIlM^{CrMe09N)u`QvV{I zO&dP{!bVzQ%SYxiS(bF6&ty_Ut3THUhbW24kYwq`>-pQ240DS(Nq2ne6^IY^ZaL|Y z=#+^+(tpMVRQyn|7;A^o83T{wzd?RQ%x_t(ew{&4R(_Yb(|oY(VpCwd_xy%369;0V|g1O`Ds$#?)jiu?=yzhwUH zf*=cYQ?bHnL05qj;iPcA6A>qYaB?(Bw}9dcD-kMe zEEqrS)CZHj)OBeiXW*Aq-q`QQ%Z11Ax@Sxk2~uo!sv!ZDPXm|^i0qo8n1 zO$MhMF~yJ*#p*CvM-U?7F^s^a!kXGFusZ~NrFI;uf&rJ*^04LLe_0uBT>xBi{efJ; zzdJp7hTOlS#d-xw*^y{`M=3>7af3))51+{kDa`#V6cQlFDH-dM;zhC;3EZqrFw@eSb?!a$*xqIe(n$BwOzQ~fc z{u?AAzZJ+>+kJlT_zq+BqxQRl$cL74{TD%JzF-#pJ?!pNdZvc}l7M^B46JTPwHrSR zlPGbYiftAIE*NS1*SSXF+D~vhnN0I%o@PS2hkbE3N^!9USp3c1%A1hQMmxBtdsvR| zlYlQ~dJnt;@B4b5LWLZ@#IGM;{_$qVl8O3{yV1nsLBFWGS4?9Cb0)AiM6GYLFG`MP zihn>XShZ$S-lOR5_3WqO;%FaKME0wuEOGSCA7N*>-Do>b1hC!BO%5&Z>nReBxZsha z_`_pK;u+od!cMy$<5`d_7H+YnG2sI5wYJ zI~Dp;Iei8vYwHroOh&SVBu%Pf(cFi`AX4bBrX4Wi!M}DM1H#ON^s1JCItiy4cL8(0s2)9*JhK|5&7hUDgXVV-Ebg zlL>Y`k&~IZ!d3jcE&*)eDwa+B52f-;kwo9e^7ACKOU`b3`CPj*`m9icoHnni6TN3l z4v@JGm8%w)%DMd?t4n7nSngZy{!;<2Qh|$J=6|(vDx+IF+-@m~+~m9d+)yFO605VA zjMkodbGd~s$X%J?ifMK+bi{@dh0ebPbG!ih3_IP+!#8ZSbA*v3k+y9yN0PkY!8)y! z?_rP>PR3flYcKJtv?%eYKDMjCYOV#@{72amo;c=TxeE#(GJ8a+u6^8VMd#HBa+@=~ z9FM1sWq^)unXCxM%I!Dr$vh4)M8Rw39m#>9V=cz_v~?sO@gsaNfs^cQwgA0*B)B=z_|#lw*9QL^Yp$S)htZ~7UULSn41H3N4x*_eJ{t@HYPFtR!!S1eEGEjj8ofjUw%M)z|t|H$;fHiC=X;gN`_c= zns_kvt0D=ye3+FX(boIJ^qHJ5+w{Y`d91Nf^u(jjLlj<_anR{p2xVWtiKTb~fV_5P z&}7rJfDAS9);&4clHIrtc10A)?F=aS)H=QcmbbG1Q&I|+$&zuLGgtP&Q#922(#r(h z+Dcl4DOB;d^l{PWWZ5p+r0h1CJfYHaSO+_&g)G^__6G;t5M5t(r54EVpzfkq1>QkG zVQ;!16yLfcsr@{SAL=11Xf%23Myj~XFJoxYFh?OA(!r0ARUxDbA+_${F z#yI@}9}`<@U9$$?t>;;}IKW8FXLlQ^-OG;$Z{+;u1_cQ#-_&oA>P;y&31sO2x~GaHkY9=dkWX zs?3xqJPPOBegQOC2EjH!;7S`(8(j)+T~(0J6DzmHb?WTmNQ0jTsqZRzWhcLPB-3~s zPOo;VQ(_ zC-WZ}IuE?>3`IQC9x5fmUbQaB8fcAizv5*OZ@zF&dK*3M6&fqBu7aP(#YU{n63RUewwn8%31{1v{HdYy-l=VFL-QRq1Uu`mv z#bMlU;59GOe&_S>JxBpSqd9eJ+H98`rHiraEXka99sbJ>PwJp|C7Ub3?2|MBmA-Wu zD=PtO-HT+Axl4zfU#up-pn^C&e}F5=xeEGeCI(-h>hx^eAW8MZt+Iq{%G=Z|KkuKg?-F(%OSQ>{StSS2 zs4hPs%bYln5WM~UsH3zGB3|TnSFlxeIA3=<_l|w)93KUAz`}qTHIxNB(dUGM{#cR7 zs2w^-3(<%hvtG4a{G8EVP<`cW^0>??PBp%SUID@EIizt*kzp)--Ru9dssXkf)h z;Z+CnJ9*vqb{M;@c11KjO{>Gl$eGowrQ$Q`9zOpjwr)-s>KzbWNeC|TtsdUuMt5oSvDDz{tSB(#!Wsgvo2oO-)?K79%TV&u!Fe+}7sGg6?fh2dJ z{$d7`p@4xq*i&*`$zlc1kK2+Y3CG}DsBh)J^BNV!H^1>?+Sp0D+{JwPcpvq^zZCpL zE$(I*YU8?J`*a7%Uuw%d0H0|jUnkZ+SdZpYrj<}dM-T2~+IDty@c(Krp6~X16}Ig@ zusD&S|5Ni}#a2*0PZ=*xtE7x^+kFi-e3Mq$1wcuozJ7O*&nU<@HU%%zl_N>!p|(gz zTVT|K1)Y+=EFYH%`45F7Tfc2m@=1v9dYPA8)Ge{?iq~_Vfd2BH%LWoEG~l$Iu7Mqu zS?&_VMcaT~B)^4(N!5$Mcp7+al10a^)Kw#;n-2>!Xo^Xj`RPdZx7*A4k6)h&+azfl z?~lpZo#ZyzYm+_;b@Jv=>M!g4_}f?n{KN&dVhLy*{cF1D$P6Y+XNbb)gaaxs!#=xy zfCW*!56GXEd4*;`_@({q3KSv>cO7oPqq}w_^D?gI%joOi=Gjkp@guZrrXWZ`%G8p$ zyZ2 z-4~l<_gwB86!+5*TPS%C@ujHrf+!*>38{V&RH+<|7|Ojc*1~__m_Sp>qOE!^Uon-h zTy0$1@QbqKB^1f81<`+D@y%lX>Cf*G1vdvu7g4>~55K8*DYeg2l#uMS&x`qu!fi3% zA|{q5Vg;-{I>d+WM^CH?d-d?H9}D~hcwBTZOe+m&YgSs|W&O!^uY34>)pE`29H&xw zKPfcema*3Pb{^5xYG7S!KhgBh95*X*aK9K$d57e`9y2baW}2~~-`^%XIO{_H6m)%o z>P5d$7JX7+fnsBcByR>CztoapI&y4=7Vt)CrDeXI{`AF&z{d3z&E5iyY0=_!Sfyoq zf@)FY%+EDgf-E?9cjM6DH-SosbSBtg8@~k;T64aUhKQ2@1*awB)ZpCCVK4P~_AQ`Z zO&h-?EG^nBO!oXMl3rOVe2*R&WQRzh?XMg|6sAX0YU(h4V;S;y&=qGb{!5!#$md&6 z6FV+=PJ-`9T$FlFzDd;?K-Iu|OF2UQvKT)`?RDTl&R^RxZPG#J3$PQ=z94Qw^RKj_ zW$678j9Wl}2vJcg2)gXE-MPEq&h-kRZzR_&UB3~A?=4y8b!AN($pLQKgfti=SB1)n z)(254my+*ySbU1hyK6q0nuWXkYhgQ)uN42EXJx$NCDE4QApNps^WjM{`05U zDh@r;VQtq=Nx-yVK7q;2w_6SA#>1WkHR61S$ ztFS12c%@>O?WvN#j#78&_&5F zys!z)zE_NK^kH653i~Z4rlT`zjC=P;lcR@rI@U2Zk2Ci8=8`QaHEu5uM1jH;bl2pr z4Rv|9G8E+tC7Lm@OkvYt)CKXQ-nwpwH$Ydlqpa~bEASH!>e2@DM?OW>jQh0-7n=Rb zs(FBx<2<;9fwghc$HtZO_Br*2NsAz!vk(a!bt*Wd5=ttX6$>DXnAfN`>+55F!U6*q zWE2caKpS7A1BZA6(m5f-F>rQ))WOft?<9V(@R=zOHX$q$mFY7(K~uD8wLRYl=@MDdUA{6)drfLqrQVa>JLGIjli3b=V}&FC}j(e z7cOfUzNiHFt!&7L11-r`D#%8zJvTJBvu^+D3M~>t(537{i@?ItG_{ksViE@|7ugBL z()W%-clm`&s}AgOUvV`qWB9^iN0w#eS5`k&tlxs3WJ90=Si z-h)z*oZGW<^tS#Cuts`D+yh@J@fTjB`#uQ^q|S9nKrS{zK=3PYLYkCM_t&dkk$(x9 zOA;bTW9-Y~k2gVYl9ba6m^mKm2N}C^8Y0D7B3Khpyov0MBhGq6g!NCyBYc;%={MeB z7#AS{aowpUUlr}V3RT^GP5J$kLP%0MdF_-dca!N~jg1?pxUtsNb*6^7l6JIx$@R)Y zAN|I=-x>csRf0JIyK1A^L9VJ*jrr7s_&wfZGu5dxh*{%SWlN_TD_dK~T&2?l9#fTt ztL;?gxocJGnhw4d1n`L$WfT(e*fbz^-c6urOF&jL ziWjWEs$dqUdcE-lQ>1T;E6i2NVCnu)9Kx)RaK*WV+CedCzln&n(H=L47JTMsiGAbs zNon48xn`U>m5)Tfp9@w}!;kFp*c3Hq48!@FrBa(VbUo$pnq+%PNR3cCcz=1FxHNc! z(-{kJst4_q8R+;_pfu~y4M2L1%URxvEs@KFx30pMXCgSL4umuL22Wc`I5 zWkT8uQ7`xBvFN=ZeYzZX)>G0RbE{+aQ072GR9hgU^IajVGs5NJG_-b>Qup05Zh((7 zMnXE0amiK17~xlL%qk(UV>MZz{(X`Y?Q-%<;0W=n6j5ruN%Ro7(TFuaL~it{JK$#2 ze!sDzO(4sM<8VD!+|SwFFXJaA54 z-Jy971A=8z1p1bg*?53GoqynS%zmI`I;Z|A7;M*yd~nJ*+sUM>?9C*98A8vs5u||N zMyn!{-PePARoQK-dS}9?^PQGGvnQIS^QpK{-O4>%0TsA(5Vhx<%Gq|6iC4KGAttKH zOmbSqNiL}c)`=fyL+@6KVa>O9R6HC~ZwZ(NcT=pgFvrKS@vukZk7oy``144n9ca$Fy?R*La-Nuz{FUZH%dt<2q}duC0T{F@4XJHE@wnf){coE*!V6 zJnj<|v3tB^8%C==P^FqoOf*w|ZgY-Dx8e8#JCfJ}g!-p)hV>Y?=z^=@W=9+Rnb=z@ zj>0hm77z;ZN*tXM;ED;(@T^(3DE~HMKQ3$g(bxN*Cf&m-R|NBBWY(X5l5Lo@f35lx zoam1Gr&y|oDTMVzepWeGee1A-FWdW)zDN+fjWEx*raD88?0nJJ+(0N-titH%jns6H5pj}}ZlWc5b| zcA)-p`a&;#%;3z_6ib4BVc6z^6j_qa*WS)rR!;z^{5bj+2gDOF;0$f=-TzqSNvr@AE&GYA!g+4jnMwz%sntvnl&7i-~hmr00 zVa7|2ss5*GLt?_9xVp-1f=FAWY>1ozG0-xdAdJN_*u)x{0GgT7@1Xt=u`2hyho5(` z8#rS1IogXLC4PMt6!k8O%)Vo$kNKYO|GAA3e+^v^jO)fl3Mwa54S)~bV{l_Ig!)O@ zA7Y^~ZS;)4bM52F@Dd5tBK);6kgRLzeTIhctr2^c~c4lfk+8mzi^$O zF?`4**P5|xwQMxju5G&YGl>VyiiEOHcUOL_4}~0Nl_lMYx6X639>9Zw_`~^|;C=16 zfoI5s0{gWLrKxw|3go@6(6tTf5im{^Wf8qBu1gX;De*eTS?kn3?)w2BOfqN{6 z;3t!kTu`{dx!y78JqrJ;F@&stbeGcz%4*hW;OWlWMe8If+SOTA2UFZ^UMNmNv{=Fc zTJ1J840mn{9eXppAT7W+BPJL>rf>+%)>{lbu0NI!c-zM*Z<9O283~qNnrdTeBUEX< z2@q+*DIIt3EOP8#t;j{{3Gg;coa*|MC>tRBizCAm#(bQhoOvjkVYl24NTjD<_MdIk zf8=%Va%Kl%?|%6milSB|MHsf0h{Q%dGtj((XHBuh%|J z(VaO9n%)OV{_p1xZ15e!v2$4b4dPsq3|h?ERrg`X*7UCVSR!AUuhhoa;5?d_8k46siFzjk#PgdS+*5V z88J!RXO`BY+@7sJj#vTTPI|D9J%sY4;W0;w4tS}FmO_!V{R~iV4Ef3YD1EE*ve*f| zTt~*@S6RVfpObg;VwRH@=?z{Vi(j$jbRl57zqyBypP&X-#gHHs^H;q%Oh~V1M}w^3 zm!)5sfF`>Ygk$7Y?|#wS-5DwH3}ekw=WAnDU4wMNvoEM z&=nza=zbkw$g_Lj0?3#~Bn$9h^@=-n^J>t0GBhq)xbji?K_FjyscGD_&rkNSN!3=K zhSjR`dqwuCXtAb6YV0pggS<2DXGhcM{ zjP1qiVX#yLeiX$w!w1!VmvcF1vrR0^E(1MtV;f2lmB)3F{FxgapW?8d4Vo!SB=Di%uvX3{#=N&sF}d zU7@u@;LX#6b0dY&d2suW=a;r^?Wl84RMY$D@Kvu-85@EniVx){eK!>C`RPt5WFa9p2(& zK@+Y0@!gpY!piB79dIT*M+l|dxhi77xgg0ir+vhV03r%WV7oRPw}ze8vxU8OEeGg5 zIMv(|?<1EVDL?Rz1nb$(a%Mo8_p^hft*n$__~ePC(~R+?q-}W7^o$bO1tqo%D-$#P zzWV*sF-3))<8mgKp`;e zhQ1wNy*WA91rT~q=|Ux01#e~uB8NYM2JUrSRgYGqW?DPcI=&_Tckae0sX@MDJG(^Y z=nJP^`j(L5i)HpbbK+uw8}iCWl;tWXohQ65%P#+9&!hKUa z;ngANysLso$yIcgwav#|CsL)uhr|C(HYx(9LY!sZVLdI%2Xn13?~tNMvq9JZE6{!E zm{61$I%;*;XTddDl?@f}kM@l{)Yf4wZ8>Y zRPmCQj<&b1El|E-f}`kvqpE*W$@q?CX-Rz8UL}Gi{*E?P z_sG)L^QW){sHgsR?mj+J+GzWxQTQdJyu&rHlU`f4%^%G!m3qd5>>!noK-+)5zv#QN z^M>%EqopjIjV^WpUB~=B!QCrVYpU`4kCc8;;lAryn;Gz9V#b^gikDYxCnq_7yhKmB-a+6UVsKg(C zxgGg-4YqL>IqKxF(&6B^#KptW;5>my${VX9^27`sod3MVci?pc_m$Vlg1Pf$3aqV8 z3MpK8EFL^&eAlvm6dqH@e>g?3PBi|>RS%c59pzBDD)WvrS&}gU9uBX51G=;Xf|9Db zi=lY5jGT9U+7F*&e%DoB8GO=}CK7Ic@J(;or`a*f{p{r`DtUdj7?h{Q;CuT9&LgA2 z=`L6Gg$`M$9U{pr`dFLtTC|~ZDzTPON=af9zxah?rwMtMX{5X|YX-^ttw3keTg{P5 zt%Y1?`VJ|%1|nzX#Ip@c$1v25Fh>uNutx(9ts`?&>)wsU1(T1^pn;&UMd?RSm+9V8 zc&gcx@f?TFaiXwnPJeobD!{m&(6Cm9K2kYanDxXd?oQePfr$wW-lYE zQ>=Z>QSngN3S222RLJT{n>BrKo_p7z&3;A*I;aZH%`yXNxRhoVnT*gO^FENA95(+o zN*BbwIN)ObUGADm4vJZHx4XkJd`tO#7Oztu$m*cWJ^6=}SK$odjlOF5~moo)j3YpR;sINli%kdv=l3ax|pHzXLrxxgTGTO{c{ zw@=8qF^oHBN)QAAD2Kwx8OjsE~ju0jgU0{&prATBZ?4if2zHUmmeyfgQkO+!+4LzaA zujOb~{kreqOxERoSTm9|5%$XEghH|*lKdfoPqIBiV9VXJ6;C^k6W4{Ftm-z#e=*Y- zyrp+mff>?e-bYj3#)XGgu&zKGULjn#ck_%48&uUf@WN=BVHwLC-6uaf-^g&syn~&R+ z%VJGG=COL5u>5(D(L3*bX+N@Y;l2%fZioIn{}*-n0VAIszZgX&q{fZ4?vU>QO}Bj`^V7^Sd&r`giBp} zUvLOcX}`cis_yZNR>^K5s;?*^FCJZE>YS8Unrb77uZauui?#C)yt>WvgU)4jnGytZ zQP?95!Jm0T5xyVbZdZVnHhTMk{l*5wcWcvzIC>vwJLIM6Gt_XkX~o;lw2ayBcOIS;Wh`#Ff)6;W+=x{F^g)~`AP`JZ&aoeVT$aZQ1&1x5YHir)Le z*GZE09+^yPoftF(1wPo+p}L3dbScPRR7`y;1DAw90!)vNOxn8RmR96{uk}BU<hC@bn`4bB;dryclf#;Tu7i~ zcmGBa$^8s61=4H2)ksq)!XI$1tFdybSM~~0G~-TWknSVg4|LeHyg=lPBg#?6bu-;~ zCdr6i^;?KYx}pNgrj#iwfAxCTW0ZwknT6MUKUN$HDpeAZmrZFCa+QSGrpTY|lzhp; zD!q-39h`#@N$jWbvPh)f6l5REL|I$Jh0kVna2CnuxBXiq^I>-TYfDE-s8gzeO438?l75y&B&2N zvc*UAH@j|NtIejRJIXUk_Bd4yb_Sn#B5bOk!%g|fEN_9KvwjH~eYqBF{> zh;)Nl)qELAOPzS=oO!m)LQu>V#VwLX3%H^axD?)@#sh>~An7hZc57|vXYQh_oV)dH zcq-pPr19N3t7Y@iw$g<(J=R;$sh7lF@+ZZKBPi;eb!tWHk-6XFd1Jb%?8>)lw$rK# zTuM<+%}It(-0wg6fj+8&9oX;LLswCdu+<5iHgH1@3NBCl077&*LnLHI_{du2 zKd8_}|Dp3?XMi_jLPo!q;rflkiZ0^GV{h_B+ZH`P#7}}Zq{B6jX`C7totf2i(?C5C z5H*x7XA_C-kV`&?Y@i(u4g)=oNku;xVi=hLP~}q0@T~8R^-C)ZSE;F#!_!+7{H6IU z$-@;tkv@3zAYSj_sG4OI#xjKpTCzMm&$nXUL0Zp3$s;g%B3;3~3Ob=_#|}$}kI4to zD7a|2J6!(zLhPv_Jl;A-?PUE(=ujgMCL@iG5(+FAknkz_Pnmo;G%!8*i9H}nlVVO~ z_9xANlD^OHEkv(VNZ-4Xb}3*_-5uHw9uyU02^mTftmrQO)&S-N) zHKgx*FlhYxTIaf$-)Z{oMOpD_L6Ex%0+p_QuLxEhzsNZUh_=~-u;jssoW6p+xt}fG z%0jT$U`LqL3#H5&DXp@>&3$`EgXDV+_44z+?Mqq26iD`{+#+S{-jwM* z`JgH$|4((uc`NGvZDH&Zn2GG+!A=3Fd#tbb#&tiVkh7D!Saqif0MFN{Rk$P2W)lC7 zske?sMo`-;3mptiLlTe)!x$X$ujlyD5IMbbIRj1Uf;tyT7P(q$mW8tj#GA)-9}H@1 zPess*FA0+1R!;G^vsnZ{f{lAccQ|m&%+v@gU)s&{R%+$k@ftE+#04n}Z<)Fv57+8x zTD!IOqvaD@sa|olgw;@D5L2QfPzZo!$8q&=Q>mVhBrs6lqu>i|@8Z_JRMd@|T!f$RK?lbp{L*M6Yp{a9(ufE}SKCf3{`}2sT-Bh{U`3nvi)3q%x#8{?BlE^x4xwzrz$vAT%07yN1&w&xAq-d)Ujab?j~Xis z!u>|dZnBU|M3*wb4xsn&4updOj@_=J*sfH38(5QS8AJpYroR<29t~=SD9XZbx5}nB zYM)jS6`*Vpr>=8+0O^47w0EAc9BL@tEiqaiw4Jw{|EwWNwCd&X zZ~y90t!}OD_}|c{mOa9a;^td9yTf;Wl~%%FA(=~q+~3qMZPSRSXZn$68jA8M9l^sf zKm#J!-rKBAeR-cL&P-xg#Rja%Fc)u7o`pB$Q`>?gv3w1eHRZhbXa|d6%MdgPs?hP) zz3QL4;Rss+k>*=;G{@UEkXbB#45?;`3&)oNVf9wOKX zS!n-~E|e{U*m9JPhdQRMY$F=I;gBlA2K$P2YO6l{eDBjdxqjtR3J!EC;&|4ps#lSC zMj^~z@p*hzNbkL#mV7~r6%eXdx~kSuZs>VmkSq_clgB^M36h9$KSM;{0xHC4)qZyM7+r#>AoV;MK{AL;495R=O;jUA}G-FG(n+S(2;NJ zzPkB3BskJ29yHB_G&$Duw(D=EGqlCXoA zyU3|sju60=63ClBB~;xkDDp+Y99|7UAo}0*2n{}4^hhdTzy>fCszD+657$bIo~*^K zUuKIoqEwu>W)_*t@$X7*TSA@cry;We^AX=@KaP}XvW7RF*?k+(uXn!enkJSy38GxG zm0FfI$(F^MNf<-Z;r`yiDV4x#bv|&|$&VID;SN(TaTM%cW@F!PUFvF4069i}(2-vn z;Vy{Xp2oH0>q}1Cn?zY9`uW5P_|+>Y&HC#;J@$4tM&9xHO9Ew>NhZ0 zja=TdvZl)UeN{%jcji%{LSCfLlpeQPyLx%%Hz`H_ZyP_VoPR_H#7nTgX6}8L+*Ago zFBPo@z-KLK&Np2Ac1CjAK|Duk1$Go<1#5Ot%X+R(3uTOcF1zxJUw{wNcFy|(6iZ7V{1AMkHMb16nY4X+W?+i zry(KI8c16co-=PLNR3|Pk)}V(P`M|#`trgf`F8J;Qw`Eqy5r>2@(L9W7es@PcB@v( zJjoCIVP9y2K2(JPUPe4gKyPu))i#yH$Ea>YFqH6mRh^EIZa``%s0|on6oH=(3qv)W zi#1=q&Q~Ey4wO0V6C0t39Ast7TLF=YDpszEURJXQ$sVoRCggN2jQ%~8(ICmLz7@26 ziCdVAS41>NlEdkC#6uo)w+z2e3^`Gc*2`H%lbc;FEmWV^$jTn4DqiFs;hu1BJ&=U5 zI!~eoJigN?Rj0bYQCZydc`Knb;-b+~ucRpQvbIQC@(~J{JD8i)$nztKSsf-1 zd^@SFX6slr!3D=%vvMNWvjH7wPm}1N z@eC7iNrxnBrF=8$jfyJ_tlXXR-^9<#)_g7QREory{dY7A#gG0605~ULd*ePBVJu5+ z!ya~MdJ}((A^`6uBi>$ikmff1vG+Yt+K4rF2RWdg&1~{a5mmA=u`JTfh zA5&rS8%OB!;&1d<$od@lyM11@Pje`ET;MZ&fKjh4CEBg(7*)Fu8a#xo~?f^>wF&@EhG+u~Q>pFks z&TsyEf9)rNCXl>38d}t79QFqDJpaCY5x6wu(({s&EEAuk{RY4fNwr2>p~NLI@N#UGAS zd93}wGaMuvy?R}kmb=QG|60%Vn8-@M>G|v&`(b{06Z!Nr&2>v_pO1L zqF%T>;&j%|BNgi;l*gR|?A~#Tf#`sP#B6M~?{O4k^93GSC@LI@Q@Zm}FziRu!ke}VQEAGnXu{6`uQvhB<92(IIzzM0h6v4xp4Rwoh(Lsssd z#yrPFEh~Fc`H2|xc@b&z=8sBMV_8VyY$FgX$dAkR<6wRGTU|J&a0*AvZfq}(M6)7; z_;)hNycE)3D}U0Tsl#Ni+gA@Mh;vG-CNj{2g^ZaAT^J^*{o|}g!xrKqubp%FjglFc znC$|-O9i#;I6{tmwxNHVR6)oHnZVV6bw0w64X7ej>V+ul&guWkq9<5rxdEw;W7b@~ zn;~O&gLU6Q0+-7u3Qi;0pen7VQ*F|Ir(=ew5Mc>a;53>VFDlJk#tr1e&!f^O|Xxc17?|^3f!DS}MZ49oAD_pkP)yKv1|yEeL5u>cNyo zc4oA+)0KzRkq#Y-dIr)WKUre%MS`G6B1CYrhocd}@`jA$LL-305UZ03$CFQ>loc1k z{d)iLuWRql9fcr@eecY5zNaRi`O6l6v2ArKo!vFF#d&E(6t6kI!fxA1cbyVdzm@z1 z%NL8_n3$@rgBLhIz}}+5#h<@O_Qrcg|Jo(3z!5AvVHiKF6&3Ro_ge&V8Ud?lLBT+h z_iw0IU;XPWWavva5NjV<2rf>{9xV)2CCt$a=~b2q(i$Y4(LmW(JnNov4^7?bf_B=e$Xv4MdKgH70kU1HsmCL%8Xa5z&TLi z9R3`{7Rf8i=w#5vyVEiaX~X z<3_yqUf8(pam055B^uPNPLc2Ni&8Y+Cbig{ZFOw!ANLh?CdQoyIm}sG-@1BJ z|FU|b7uWL5crt5S*jG1ToWBu(U0uE+}cSjRrV(3LyL67cIA47mI zyGhrqoJo1kJ|=d0Q~wR}+QZD;5YeV}|$cTE5MF|bhOU{I-8PWDgK zMlV2&ez!YyJdG2Qwl&4K6;S=0Co2#xqjd`?6)vt@+GM~{tJ}D?DoO0w-+Eee=>VhV^brZ$sZGQDsI12J$Vssq=kY<4d2G- zld8F&GI7l3JJe{Q_nliF>v{3q=8gi{gC!PZYpncfx20#C_>`E_+6{4Z*Qm;!r~)|a z@eiaQ+>UQ{Zgc0h>YVL+@ioL9oX!K3V;(Nypa1KaFdH1xph5AGTk>=_^xR_(eua*w zy-8u^^amPHC)2&;e4>Pu8n*6Vt#dx@h_1w(uBRveT%z#f>QNA^d&$ZWE9gJ@KOszn zm%uuZm0W!7^SgQtMgZe1BkoMRl1E&UJoCc_sPh9DvIFUSpAV0LL2khj0mul{Ek1Mr zCW8N#05(97@~;dC2?vNoh~5&z2Z%>V+>(?EkdBbKB`X&oAE9tdQ7J$lmRU{GVo+-F2Et}$Vnni0%vESQ#71nZjpOdBG>w#JTW z??7;@abh|jARMeY#B^~bxYZnH9&sml)Oa$zyeR~q8egWLKOvwdkQo$A2&oBW9t|Ue z*BoOWKS7A7ImtW~NjO~-#XJ*Dh^dKX#>ErP)+8_!Nd$6D5;Hl4aIWS&^Fk`&V$CHc zg-S@PNoUeB2$?mPnOCw1^qOoYBZrV%lgGT8PbjFl#=L%maI@wX^Y$IW-I_w?z54{p zgPMoT|B493H6_f_M})^UPnb{32<0^u%xBLDl{GJzFRKXEH8srII>M`(dS*i-p{b^s z+47q3rsgfPwT;kT)4}ZQB6QdEFnjw5{Wb5H%=d&3H6NLu1_*;SpP65V2*Wia%+WEz zc+CWpHA$GNnP$$+66R{=nP0yVzEf&`Fn_WMoSFqDcagAEv&{UpLRhU?WBy(z{HfVs zZf+6&)@(C(b_shmJSL#Jln8ww(fmM8t&=a^Q%(R%^}yJ@9RykISMdNW@o0F0wNq`G zxOb;u+ZXP9w$d;@z^+Jlun6bl2d_>U_wL{Dbr-KFv%D(Z77`~6_7|XFv>gsqCKaD5 zIr;?7UFLY=X6bFp1}d;3)^q55d}U2h)Jb#s`SvtlXQO%Ysg-wPS^3YtPY6H@!UWQu z6`heE)xQs;w9*IYW0!UvDnot$iD>fGZDfL6(ew+)EFr!Is)#A^k>?bY>7-L}#bsmh z9(NgC?{`<#04Dfw&Y$wPVli=pU+ng1myk^fo%{OKU4AnKpTBP+^10;?4d~2Y|BQv) z!gMdOEL>GcDMY(@7&g?5D6Gh9olCz*ca2=Vwm%#%ZlR^aH>@F|Hi7C_^+6L*_SN0X z1O~7a*J)TcF===DGFj9Gi}XHJH>ai_qNcO@;C_CWsM1vNJ&L1jz=G}k8eMy3k)c1< z_#PCs47`_Ra)cRNBe5lJj(ROAGv)zbKu`w0bVrC=! zn?6`_f|f(ZCUFE`fndL~aOI$QL3K?5DhX};k{tSn^b`dyKCVmW=?TwGoVK!&TId^bQ@pBrs0!1}=ME0-$4bGaj*}yd^|U0teA=!_V4aZXV+RUSD59 zFR*%0wTpsH>^12-o`9n}uHOGPX|RXFK9HvFMBamnna)Gn@TgG8g;#IhRV6l;#SncpC{tA(jzlNoam)kM}j|bED6u z(_IPukVAN814of=-h4eIZKuL!yaOP3i=I* z<eR@c8)!4RQC_?xe+y5iU10V63_HPI{(_ z^eIxVm2?Pge%BtA>_u%H`XKlgduYw2v>Qcf@R%5R?7SN8nK*f=I8}Nh?&t2F3Dvr<2oQQJQ|w7`5|x?M1qUwp!y3BL(L)#Ald{^ncMtDr zkDSm{02McapKH;ycks~}2)0@*AE{7)-;+wdBA`)!kP625W1YDsAxGo&F;yCtRQdQY zjY8)|<%SN}vH|V3(vb%-T7h(T`X{SU6DGxEGU7B&hNP_RzQNu%jSc=cf89zwVOic} zEf94`rho8OI`zGS7ul;SnVWk{>7)R%W>3tZ;aaOF!(=90&TuW}Qlt!_+B9Z9oEBsE z^GY#df79$&!dET|SGT_Vwl8LOd3RO-cQx4SNr*#?%%+hHvy(wVR_$#CDvfDgh%Ygk z0mp6?9n4dJ-Emt|Advc?xF#X;jss@)0(@*XEr&I(rq~WPOSp!x7jlvSi%R;`gDs&4 zpA25s?w$DX2}vJ0Ks{(oO8c~!(MB~r##mHP>>SA$F{kPnx1=bxK5%?Vb)FDZET@mS zQf-YfDT>(-4!@+HoIofh(nmt6;l|r3isv4Ly`)~4*iiJKFGoUYP1bO+{c(JH!3xJs zWwwU2jYo{A`;7Zm6eS2gf3fxd#rRVS-4dP3D`7lbe0KB|T7gc0A&nWOTNE-++U&@s(DMMu@(BB7DT z*Y(77_0bz~3ecFCp`jWoQetFJIKPK^X!IVmqg}^9)YIAL;K*zxtV~SsLV$q>#&dg0 zznTF~NF03^#DDU|;ZGP0m+gcybPkD-2*936XrZ`^*{Zm{6l`pj~?I z$n$&LgCzHg*wceC1`CqtfKtqWDkcqH|AF+uBPh8T(iImu;#tLzCyiiC`L{?Qk{GPx zT%}NK4k>Vt@TRqTGaN>^1kgG}5j|U2p`SDB!$03NAGp?}Ogq#VP!Ky~ZrVnls#<&^OY7=5xs8~`#w2+|Sd>{xEdIRVXH z`kq?y##k`oi7z!!gF0&(`N8uTi*$u1b3{tPCYB2@E|J;CjoFuLmJ!LQ5o*+f%p?8$_|9c z`hX}^TcrkaD0CeSMb|F|UcPEFjEotD(( zX3UtbiL`!#; zr@=<)9=FG_m2gX(_F8NcA!p=Of`e@k5BO^4q2In*7~j<)9-RxAaLo7~@YS^;ynG0W zzbc+b>=@#4+$}y@?YZ?PtaChZjD7bN$}d;H%)BOfpEop8yN^F*;17G-a9nNKaFbO% zwsC$zDTSYJD_PDI;!hI@o+@6zAPZpTcel9WV#udr*HP8HX_5!o!}U{lXMwN;u5c4t zf@$cQJk_2E4fz!TR8N$Dn(50{r|g zZmJ4NL*C{cU1~n+qLYSIO04o65vt?fKHy$}#=3RtTbMt@02G=Ek7Y-rkL+YKwZ?DJNC3?+k}`->Pt zsh~r#K>aV+&}4JhW#!aAl}L;F?`VeuxZ76sQtNZ;V`JkgZubdm&*jaR*5t-$u1j)5 zG~rH#1TXuuhT%A7$=}fbF#lNRjC=j>4$MGOga=8L&pnZs?&=rC`j_l}FWH`Qi+(+A zg-iWIJ@{QIqBQe6(DSv>4yQ%9^5f589gK*sW>tjpH0kn-T3@BM$0)_Cu;-MRC?5cO zt`Pv8Uk``zCM6QhEPV4NNi(vseOJkW8T5Nkkk~0~>XB}D53729I{jQ|8;U+hRE2ON z*gevY<~u!ph~klbRj1K=f7sB6q5vE@QtMyZv!$SPNzw56Nkw4#w20!CZ?uOdt!QB^`)r zSVspZ@PrDGHS8391pA2SB|4)HecDS_VR^!5OaY{4>_o*+tI8=BwO)BX$$C6jPyFaO z&v;f$r45Lg@~Sw8jmJ0=EIUBhnXI!ys^Br#mtKyjCY*H$$3A+$XXGMqfUs>T^i)q& zJxFccnWJf-%;@r0yFtulF_D*gHKq&AzXu7o^WwpY#s@!Ite|VFc~Bdb2;V>z8)Xr% zxEPzV(C_IfVy@)E0%DK#BQ>fI1-HL^9+c_={*(lTH;ZhW(i~=1*J}HUKVgfO?#OKu z&b1`gpa-GFxGkxOm6|^=Vr@&L-s)m(iE>NB_OgnplyZ+0t~qusj8jL7K*_5i-G0+d zfCF3k*NxsRK{=4HH7CDJ+syn%VX>4?X|wK~Cb>pEdy7uj6+QftlWl1%`s~<>oIYLh zjV-9OA`+LGJghpmVAfD99-QOyPko^b|T)O?9~AeV1AiMLeUi$6E6!g!k9 zK>W?)hc7kw-u_oUNN*mFr%YjXo#x zFQOQ5jWy$Ez%!+aopZZ;RA@zz+z@LmSlxQ?!LvGrONK4Lwp+F8t`~>HOrr8lTbShcWN^WFp)K${mZt_m|Aq4uNCCugzzN|a(;@7 z7Q{k-%d~47;8Fe@%}%HD$%?+IiM9JdLcrSPuKVIVIBc zdBgfLs)5)0S9+t3!V8^Mfu4c7HALIGX#ANbxV6(`$7bhwzba69P{WoPdPi2XV~jhM z_ii6D?}NT<Ouoge5Ye75{n)#r`F@rgYpg&qD=P48E6sy)=6|NOCDE+%A^jgMk zc7SBxtU0XOXA&N{?=Yv|mz01&G8(?a0CP|B;Jz_)$~l!ZVEU ztu86{01uw?3qh+se=>kux%;9LwqYM^h8X0P<=X8(dBqFfYI1k>6jv}$Ln+p;m5$49 z4X6PwpnsV9Qc>$oqrNsMNv$cqUy}H>`>5Ze?bCMt@>1Dm8 zxoYETY!cI7T%u<#H*N3a-1@i1fXSug6?5oGcZc{h(PwKXWb+BP&CJ~=Q-;GQ*I0vj zuzVvEkt()39ezegskNJg^|^_v!Oj;YSV?Zn% z(~f2!uXO+5rrAB(pWxo~`%%8pBMG(wbjFkYGq@dnd%8vW7uN^_0ggLTp!`t40NVF}bs z^NcDv^Y^QUSm%>Fg{xV-NdjB3n^2TK0y2q&x1mjTt(m(3Jgjz79oUkeK}$uiau2)P zn^u|Kcas7bJg(}$j(Nylr0M&9*tYV{l}hS#3EEq$bPKBL=)#b1c7C>c z1gv5SSgV%AT)aFWg@DUQ%CL$}&x&TjQ*KKHc)( zA#5kBEFJ)u^dhD{LH5iXo-r{{TRo9B1RQJ2^D8WpT6^-dJI3jq!QjsnV7{Y{2w3QB zuVlS~QUSi~mM@5_-?4fpIHS=AfkaGa&2GKX<~>g`Zz-I9%OwhCfiQ*9P1ew)2qoI3 z_|&b*tn;~X-uJb0TX|T@N#1Xe=l>xk;R8llf72~(hkQ_VXYXg(T%!d?9O8*{!&vY6 zqJZZ{*8#x0V{fJKb9X7i}y3)xuK1M4+Sq(=wpp^MbLvJ zcw^txl#kFl64w+T&wHq7KxH#LA67|6?K7`~!B3P-2}Wr$O=6#d$yGlA(Z(6!>rhGA z*_GisJn3dO@3maiV-*rVM@75A!K_;6{Cg)Cb6snMdQbcM@3Ht^*J37&^=m8pc3g8A z+{E$SeK;vc2$5IA$`Y9@oZEb_DQEFc{ajpg0ywDxN}AW|+-dL+g6Z8GmP0;s3yqnS z>Ey7Gr)gsQVb17$0kbfI-`}UBP!$~hm)!B|-2Cx}&3}dE8~%zu1ejXGN1Mv!HX~Ys z)BlPZFtwUUwR*@OIyp@en}(5RTX+q}2E%+_BRJ)%%*xG>+A&-{X)7;D2`(&bB3vft@H69oYN}Ww2NRr6rSO`!$r?RA9nwSM|dOl zA~69L%9X`8AEGG1gPaV_tjD|Yai|v_*WQMtr8Uvh=S6Efh?cY62X+Pxdh5Jy<;7e& zP93J`OA|tV{YNec=|yHf6MSm-(!oa1o$*w2f4Lqu=#Xk!PGlH~&&ba(Wa_*Zg%7o2 zi&_F63}r;st!}segXM2Pl9XVq2!r3^6KI=9Bwi(W-rOdeq^l^u_HvWK!WF8v1>pPb zRlZND3ZQ&dunyvGW)kU$#xQBA47Ce_@6VIn4dsK*3{a!(&=&?%da$>%th!!)t90PG zaOdf@ENp-Kmp}Nu#~EgYa)G;6pkd5-l)My4&*IAdA49*7(;x4LaEEMq=e~6GW8Cc; z$dNmjh4ahz>_k%Hnm}5WoMBWO^n2>Jp+|8T=eQKCc>7eY3xKZhe!eMyJVZc;1uy&+ z<$lSux$QH@lxloRX+F*%N0yoKXkQUH1|VYgttD@g;>!y+rROh!m;V_=e0k(shptE| z3jnv^R>$TDm;~q|UCqqv{Md+Q)`<3FnnkeA);q7oigFBdE5eJ!6skS^8vwUdUE4iK zx$iK8uIsG!VW)N6JWKd-NxWd82B~mAbwY{DIMTc&S^XIhwWVlL;V7M*lX4>{d~g|H zt#1rEFh&gEvoZ{uukL6B1!32}Orvbj!L{}^_b5pGlWAao<+DM=D(r#JpoeBJ$tKbe zwns&)bx93fV+dNmF^Ao2SW0kP##>(BO>q{+UQExfdROJdpXx=0%V z&;xwYp<|lh@%}W-T}4l>X-W4=bL7~|I5d26d*cY#RcZM+!C z25Ptlc$a=90g5~sYxma#L%ibtYm&2^{{X0(%b{23Wm<;AngYLuKB`Mfs;zctW(I!c z?jLTPSHIcgKR?;y%*o2}9OFIAp&X_(^n}3i$yl!fG+m=aT%?R4x}n(%Kd7y%AuqJN z7ZXP)aI${d3U|!5<8jBYvf$9C%$-@t?a}U-ij{4&Li%reI&^_`c=Fj&N|vvN0Y&iM@TI5fT%S!)$neFJ**q4JX&HRk_0vr^$^wse)$ z_&TrJF4jT~?bV{Uy;vtbcFAS*hWTPRr72m5zgPoaSKEq8rXH&%h%(~~6Cpu!6e<_x z3z+6!kbL5fU|Tk25-xs=G-$;%R(T>bx(}G!ZXx5^5i;&m82leh!qC_X<9YJTUj~?b zq-Hg)?dtej?hnJqevM%rcNskkl5{DsM_x*vi|~%yWX=mBjDAGPq~4jnx@G^Hwp3tT zvlQj8l%Y3sOS0Xo^UBqG(BOa1nFz{-noSB~5GgVJ!;&v?9tKe5rlsto&MA=k4di5G?d-TPQ$6K3&-T5TDOyt z);9YikV^I@k0YIH*gi;G$*YRJ+?9F&geNqNZ`nT-oM**hH3}zomcsSe1oq)4YkuMN zTrf(nhr@{O!gBr3K*bS5_J*M;ys=BH0u32{zNVn-8!yNC#`@15DcU~Xvjv9rG( z&D`Svew_6)<#2YORR32-K)OpHA=r6kFV^zaih{d`!{;AnuFJo`fx7az8Q$noq40h5 z%guLEmU}{$42Di#wg2kz?erF}H-;Iy^bPzY{toIcz>HqwgyqJ`OE=iwb1ixy*#lS8 z)h_J7=|-;BqptSpyKiVLPAC8Mi}2(0H&X7MRwSX$ z7$grioo1-KL`(8r&A6L{Hw(^pxb;fp^i0wDl2U|jV_-Q3X4ddK`2LLN3mp7y=*-a< z+|y#3ojIg7wElf8;KfhG)RE}N`R|Ag^OB0nT$)K-5lt;^9bG-HzQG<1RbvcK(K*Gg z489ysoR00z0lR~HrDE)^XI#$FK`I@whmkTWb7XXmZbx0XcnP2#tFSFJ$g@n4mzoQs zt6U0;@GTS}p9daC8BPyx z6SQX0dIixYV>mkiua>d?P?k(Naz{gG0@Xo8=Mr0Z@y{wVRC5iWC+KY%6b9r{ecbnX zz}^{&mZ038@a3aUi_)_SYnh=%PlVPKG&B^YG)VF`l=UOu002JC_&x~ii~W~>S}!i3 zA!tmK&WIjG8o;hdJaT&bjLf+ag+yvK#o$8Pw~^GzzkE}5h}>nx^_dbf=V>SM2lZav ztVHhDGx7Ch5D^EUMlt3nuYb3&XfF7WCLu}M!{rkq>yg9^__?CeA_8Ehf$HY88hF-3 z;G8MMl?6}ngSV8$xxzw69jTH;dbI$cOXrHJy2!{02^?NfJ|ZQ$V5#^K;8r@Fwz_BP zM&Z_tDtDq7ih9+C))8i05s@H4;@iV&EeC9v(2C)H4n3HP8ayaOnE&*NUt|<9Zy=Ah z>!=n*I24|R%QCwb{B8fD*S>)6WaL_jFpnd^J#!5VOX64KhRZn;|4W;97*Ud^ba8Dp ziA1V_n!tNf@9d@p#@M8gAu(8{Q}S4 zz{5qk{AM_3WkmzhCQ(X`PMctov@!av>X+YMm629@AUG_2bEq;e>IvUoO|Gbuyqt(a zwAq&XA(>O!=dwNVoBVhobBJ%^n39ls+8JVe2JZ(o^N3^>peP`pJ~Ni0PDgU zLsN(yyhM%31f{ZqL>MpxkZ6a@vru;`q#JbWkpQxT!ZCoCiy@&sfU+_mdx#zf2j;N= xfI=o>H3tDam>{&qMEpDSL%Iltz+w=3dvzAD;;2|)39Nws|DA$uhG0R{{{VG)+x!3k delta 24935 zcmW)m^;^?#7suBdD>h(A#8vGZh$DG1TnD)13{Edl@6T&N;g;= z0|Z1s>hpZB=l99(dhBa&dId`s|(FJkgybW_I?U zFkQ+kb8Ytp|H(@`nV;0&ACms{P%_|M67Fjf#v|Ry<34?QW{`?=ECgm?wF=#&Sr}E= z(L18Kl{Z0GZONndD8VDxtdqr}894_TDmZ*`Bb21zw7=jo zF131L$ZV@C9be~|Rc0ciu^jDkqP6igeHI^G+kZ?G8LE-H0`6BwjS`Q6G?FWF;z}z|NoYxL(Z76ucu>6; zpM6xU$Y)2uh(#5^OJoWOoTb|*?PvPK3N2wiT|0uG{c_h`oUX>e_$Righs+jkjapmW z+l{u&?wKSMXIoSFk+@$)h6yQ+y2Je|AvmTT_$?WmWpi&5IYEZ2r}9mnQx$pqfi%h$<~Ho;G+ z$D!}3y=l0@+DZxk6d_!9}<{O9RZn)&>%e~ zolZThN*o#9&a)CUc>Dek6PU^R%5>PxneZM#i#`70wNJmPhdGlS2|4giA21P$Mqa-< zpufCnkOwW(Pdha*(Bi%hQbF8+?sWAZt|@H-e77>X9?2dNPfr(rZKNtE+f9e{_mx^~ z9V>2uIMN|+=b2+SX?G-2V;eKXb0E4GLhCW+GCQ-U1xaEtFD zpf|#h4EkU#)ZAuYTxr@Dji!m+NECtwr7Ma+pMwl?1%R`L(g|DKPS+HP)y?V6#>=VG zhMr!o%KoSye{;Ey`&&(t9le~S9jg#$<9pQ9Qkzq^0likxUPdDT$Yd{dHm7c6UfJDK z!QQWkZ0%Qprvf_wb=YW_j@YG%7|p~ATA!t}i@e%E^-kCy_v!=@;5PxyTsQFBbJ=hA z_k<#~`qBEOTD3yT&O9%slGh;1v1+XfzaQ@Jg*rDCh8blWmn5b9EVr3Zkrf&5p~Voz zm$=U}k)zzN%B2-7u=!1t+RnAyroBv#6_7rAN-evCB~q#|h-vB+%3Trp((P0B0Qr_#jn zj#?DxR0=$sVBfx-04jyZG_+CP%8G7uNsk_@WO#pBxoxSwRBK6H8Tc~rUa)Telz7L> z)Q$ZO+a0B{S2le4tBjv?#A;pm6dlbzwf{kUE?h!(b(=yx&exps?AYWYNmACoWr3{( zM9DT8t^8Eac#uDcEq^i?S^~P&x=^CsqRRb7g5E}a<&>Se@+_s-B+sl8RD2N^GP0Ii z*$)w;YfV66-WKM7ERrdmiE6W%U9JrxJufLE@d}LDR>$VGm=kgvl9_(%bZvP1-9M(0 z2hXKNT0}dhd{#;Q)0#`_L3ZN+p+xU3!`*ILSRdv@r{nYKp-1dMjSl9gE~8o594i%I zOnx1D)fAUzmNZG@zocU4`f2qgzyNVP0ImpU@*2o+R`B&Ymik&q5Y?27imfi*U~kT~ z-nHET@mUI&_5=OS8$R^H8;zugi|NQGzkxZ%u5T+pkX!z}Zts@Fq7y)CS_5}-CU5vJ zpn?v-&j;_Ps$m^|tHyim`Z%7(TccXds zWX=(FSiCWPxsPKH5L79Jse_Lyhw+NR2obCf=1`0mJvRa+9i1xqz+`P zJgyT)I{a8K)d|>Q*Q`KtlWp7?D6Sjc;>80&`eU%fnX9i?++k6sm#ISGqvzE+I(p<1 z?ubU=@fTJT`cI>ruT_ePpcaj8`{}8ipBiRJkRgqr+qf%^CtKhQq)enFMJaa`l>_NO z&HalQfC3BJV6?Z0tg$%>OOO(w^5IA=4GgIt`yGukn{(f>Z4qpZFWf~bysHxCpCx^~ zqvj?SC7D0!K5a-nBD#h2l+_8(~2sF6dc-Nr*#^3Sls!oJFnWkShwvc zx+r#8>z9q*!>uE^`$2O3LXj1t-1Y@G?TzVJb{?SHXsab$?iw(H{v1K#7tTth9Yk$W z{rg*BJb8v9zo{n0rD}s%1LXJ3T>1tT(JlU}oy#XUwCz5ZVGArv9oaw@Lyc{>3Cb3o zBg=PqQ*Z~FV3D)m1L{TjVcq)>Zf|Ud)$TQGUFU~2mmMQyHgnrjcGV=zUwd``6tkN!0J&5~(bFht9?%0VoGAVLgu}C-^%3S#-;59RVfxj7~a{>Wa)~hc( z9~qhSGbf~Q`r_!!LMv>jyLBF}U>9|RQPGvUlcRM#AF7r1n!tX#?=Vcb9$)}Eo;S4w z5t(z?=HGD3JhtiHgA9vjoCZ_QRyo!%yy+QFrHJENz{mbji%o z+|^g@#Ih~$a(5r*OJ&iWtPsOLs}k^mOJzEDR|w$Fh{8$H6s zL+&kkN8s$78il;>XOE|>dARI<$UpKKIGm))pL`hU*Y&!Ox9{5K@`+Q@-2X_-vDF$w}CT+opYxXymk`cnoAfIHymLi-x%VhsX(G=FFQ6upufK4z$w_i;q3xnSXFf5EY8dTj<~Wi($3E$Co;AbDhU z=Rkt=rJA^otDleM++8&qNhA=aes9O{oQNSgZ*{gHC_oTLy9>21S0nzBBU19BSL`T+ zVl(mlE#gQZ{Z~ZOk-u@ZE$IBsN4cD?s;u8_d(sxn`m3I>etd;IwxL^F1?jSLA~|Ze zkgJO>Hwj6A|2||2Mts&ko(I}6;p7VNmDvZf}Y2L2!)eFc^LuGPR#OwsMD(LQl zm(hTY1V>&fTuSNzX{`!^j zmVh?yFdMa`mM(Qu1%?g=2aqES+Y7osdeFUP?hZ2lcwA=u_TFY+*rSrQ2o6d{6_vLC zrliFjRvwkHo#h|qqApu+I0MDXbdRDvqb|&M?sE$!<3Xy(OC|qaW_v});>iZ2-{OqO z*MRY1mA=dtw8Oqyd>k7SKH3qMz`c81$VOEmB@|zgGsqczbJGHp*c#~tqC??Nq}RqJ zuXknK&XkdwD-dpu2x1*ExP}r6^G`e0=CDCrQZut8w3(_t)moBd{mkv9D{#LF5!5n< zO&j`Cv+M_Ew=j1&1n)69V&8!ey-@xH#0x|;CrSy7sesl}XCa<1`Rk38nr*efzs|zE ze8r`0i=`#Mij8~i7l>tHD21cic~*xgUm|{B!71Kn0hNXrkj^xX2vb~@=64-7TdB=1 z_y+HmI32OiL(%yQUZc0^n>I2^ySy=0(;JA*FEZZU6GEwmlTc^IoYBr9J2|x%Wv{Q+ zfZPOigW$lQ%i_86rlZUbOwWerK`7&sG-Kh^7CoR%Y>qMb9-8?M^%VRN4Eg@zqcO@l z%vkS8`x;L~7~DBy3}W99XDT0uM-1* z_THW}l)lTkEy-*Xxh$=aLdcJFD;8aNIdb6u-*4PpY6pb38Bz$Ugs+fA4P9Sf3-9^| ze~>0z$Umny`0A7NO`+IIxjfITF!@73rlc}|k!mu(ej8pNdZY9>TN8o&_1uQ%$E9fI zZA@lTIV93BvG$v_s%3CNnPa;_j)(66RrF4d7X9vO+&4wNhAD0YvZ~%dCr_l(@<42y z9P$09t+Dq{|J9zx9EIf_+)(MD)hOUT7dB1&={ac9sa`a&7R${LS2N=L#P@?Wwb^gb>hSDuhI(e+bi@X|0>h?`cEGEm}vZalfUhkdLiWjsyT z`#^oHmhuU^Y|b1k{S$icm#L`N<5J%oMYP2)x^SfrRSZs zLg4rfP6QCkIV_E7O7kT?2t9K5e(@0@GsX0BnqP~&~oJm1ArG!q88e(dX{G} zCp}8}N__7W$h<=4Otdk35lkqzbJp2P=2l|I;k15I*lL?sXUuz;N649n0n>>!we%mz z$fSOrQ7Tfyh7ea@gn=BYt7j3xsCpVs|3hrQZN!yV0Q>kiD8*{svgt6xKzCwZly=*- z)}BYV@xESTn?-3%F6-BNTg~jDr^R@K6YpzrqGHjg!5D-_b>~;TSxm+z*qLdm_NfsS z&G(3!91(?vhyZVFdbl0V64k!+;H_X+SH+Xpz)Kto;4of$=*Hb=LDeQ&lB3}8A@9(4 zFz4DZr0AvTLf2cB?;~{ZlK*-aX2Xy7>wgEk?MEe&j*j{9|Aou%YX%!_#nzry<`*## z&gyUjXfuAV#0v=cw?iavU_P?HZ%~*vo+h zOamV9N@Q?c78m565WpQ#4R7!Eo1Z+9;C@~jUn9}mI++=ZPm>LYn!D>3rPToz^&I|}fW2kgbM!p2@9nbhKKYCu?q%Ip| z$&zV8icG^&ZDU7UV59^#^sZi!pwY~Z{9$X&TUT&CbKP{)^pk;OQEm4gKQSGc0?WRe zI(bPoS?EI(BTv`urs#o~B5dm=&e}dFF{>Zfcsg?mJJ#K}cC_`>KzLI*EJzvm6tj?Z zZTuw7>}0uX$hqC(fbHCxSbK#y6yA^~cks~#=0VPk8z6!Y$Qx67zLROjEll8f$lEd7 zR$Sh|AaLkYh5$m^N_L&24mi&1BhK4pK$5o^9p=Psf&6cuGbXVWlDs)H(Dj?CpBqXi zgI^c^(r}C=u%CTUf?<1bUtqT`=s0wtc}5Rlt=5*|nXYRu$cA<{iP2pmSKP zbW>A1vBHZMO;(OtyC?g;4vci^y5zUUI1VPX3n0%~E^91Od4)%^uDs~@yZ=qX0#;}8 z>wx{lS?j0wM7UWXZOz_M=@=|Mpl*~Uf$OqO9yS%X?HAbGSv2d6P4&5^fVx7MpUv@u z3J53*GtN{E77mqin?~P^FO=ZIGX*1fN2PhdzM6}elUm-n0NN^BZgHE|nW2?%?0s)MHT;DU?YfyVj{3eG>^c(!v>)oFg*(9HS$z)42m+ z*%GcXkA*43%Q;O|KZ7(uC{YUX%5v5Xk?d;ME$S!it2kzjN;@AV(jOw&C(>)i!js;rb6^~XxQ z^52FT{h<{mDY~K;l)M6gjHyd;kdIy-VTXkrxYZW` zq+dq8<1bN}*kA8V*<$_yfA#+krbR$EgqQ**optirhanSZn7$AnRJK||P+gja4OFnj z(P(&uW(I}hR^T%x?pkzJjjTwe<5-ilIDvJPi5+T!Vu#|phejKF3-_gwz68MgLeIu) zPAkx7CeDKnKqliJ{p8~B=-j)2huoN?#LA&l%${rHcT8;U8nutcsFqHPjtLR}%T^ruf&Iii5P%b-92bT!o+6y8gF{d9!6<#8qG zg=Sur&Em;#yk$c#?hH(Y$1XD8H&8#B)k(tJAj(ev3J=eIKCNAp36YOA>LnEUhV{C2 zNbK=`{hbE9QE3Gch_N}lUlq*fdy@gUcM>sYz-b2>7bY^{8OZ>y`W62j7oIoN(HQ_($0ER#kU!6LcDfKdh z8yXGoxx9UR1NrsbVX*Pr>0THBbcI=5aeaBX?hh2URp{bG-UGDwGx0k7n?<_(y+2gC zMG+O(**+7wN&@LFr+nO=pPEOAScn-)4g*5Mi|a0=6AM*PJqv!zE}nr0aB-Pw8AU*9 zMK;B~K|+$fFG(M24Mn!-2vPRam->=-(6cclM#gvNw^9i1iZSk4TC{Na^wBrm-^u&0 z#i&Br$`7CKwxZY!pa(Yl9|xK~#?ApI9Hn}dT$}j7WR!;4sK9E{F1Ui9o2b~+Nsqrc6ThJx{m(c$-Ygs>B`(bJYJE@@2!@?I zBoCt3UHJF5Cbb;`7n&ZJ^D3Bxg4=yw%v`dtWXzrDSLkyxhr5g@-Ywgj+rs2rBClHo zcN-@4=vbRttS6E2uEw=jl3kD1p(-as-v`Y3w&;|8w%g=3!<92{e?xVJnpHnvVQ%qU zOGUe8bfj=c$6F)bbrXuM9pz3GTO;;|Y#?-fyO4g=a-MTmd+#tAltPii3vZ;i4%w~~ zEnWOg`k5&`uGay3MeQzt%08`nNiMZG^P7!0V+k)I2-BSBBrNZBjYz|0C&B24H&tsud}cnC|2^nD-l<}$2m zhF6p}2|o_8JJ$S3>rRWaJVQ4he)eCiB+`_JY4HL$j~8=)%AVnPpt{t3Ceo2`e3*@4 z5IP!CH=?MFa^o>#(T=15MqTtAl&MeuCWCi&=#%KZ4x-Y^IYow9y|vBTQ2q-WH%6#Z z9qZc};zwTE&hIj}tb_jqk%oJAwwtGfRL-=m#QdNteN2j@zl<&=GYq+G!9 z_+KA1-QKL&zT~qazB#In%w@0^x<9n-bk{!y#Gua=O#*q4VCk3XC30<*cbxr#QadT| z$-lQ$bEyd|l9W)^Y+nnca`vP-5cc8ve&|7`W=VzpCYukrZFJ%JHWAzC6ZH=LpQIFy zGY>)@OSsHl2&B#Omw;__q!r#4;TZKiIobU)tGU0OA1Vi*Qa+d56KWY4?rmrhB!~k! zAL|mY>nGKn+};dbgMHeNW{+5pu2}opa7jgQu+v8zUC6YSCN{&Q=;gK)xCu3I>#|(( zCNJtqi*0qDqf_}bM!}jk<8+?Z0o9i@>cFLki+Gkg-TDJO zN$rXzTR&IQ2^SL2ki3=r^MmW*{0#-|CJnY2{C392k(2|8DjnP}E<6IM`KCo6;(#ho z+*|lQtq`qs#A#kj#n}4OVeyQ`X9f-XYEa2=d6PjXJ;og?yO?l>?9^{U)ypv>hEZ?h zI%CJ*s#Hv=X4^Sw?8H?w>?CdXQ2|;Qr&CJF{t@>0O#j2?$n3;h??)6`!0>BP}k0v0Ur(K{b4AZiI8&IH!%FyLLE&$2^aVHaVC2>rG9QZ0-YR$?A` zJEzjEg2dB`s?}_)$%j`!m9ik03CAAA+20SiceUH>XM&`I2dcp7g@*7W0@O5pQRXDX z72@eY%!4h+^?~8`>P*lK((Y@6-a)JBFB&p_fbj!ABV8uw6Jb z+RW5ZbGRDMtw3}F(JIyajdu{~*7V>3UiBw5kIM;h^;e1 z?=F|Dawm`f7zxqefcyLD!Ws2IpK`(tQ(ej-^42&43`)JE4w_BNcN(qh3#317g_rB_ zhobn<-ZEGld&BzIeJV5Bgtm9Lk<{^{bv(fl(mKmX+D9I%b~3Kj(YcjG2s#Ow)rdXS ztq1A-syx7_*>zsTH{Pf(S1xE9>!;^^6%wuBad0!$x2UyKcw5FB$%x+&eA6?jh(ZYB7mkfj6D`qg<6pF{$w&(P? zE?gyC5@)U{-TqhBQyDp^>UlMgXvvD7>EXBT(thV05OnoT%{xur6PN6eQ;qiz`p)eT zwO%mGDPFpDmr{k+tS^l)&BugGtWhlq-YinGI7(RFS8Iaz0||pDQ3jU_8~{!x+vBg4 zOi>|>wdfBja1VNd2~uG%2zyIn3)EjVE6Yt>?GkirqmG<~Muraym1SK69DM*# zz)48Q=$g;!I@9m8z$-VH$@A|2jvXibHGiS`E7&-&Zn}#5F~;2}$e|q5jJvNOpv285 zTK=N+;jM`_6|XpMb)3!2>A(BZlN(MiC#QHZN+>1s5J|;#c{ytBxNhIfS%AT+?AytyS zZ1^uX$T78fAp)27E`2mu0Q@O{kUhDFWqe>TJ9vrHZQxw!&lHckeA^VhXr&xl@1W9`oo zwJqHw)&^CV`G<(YUuDP>OX=2$axU@j2XrAT;&9TBqeVwRC7rVT67%tGDk2Q~c)9c6 zI$xRKWy9Y_v<=MJQzf3^B|txrvs2y&^yPxo0*{y<9GI0};MxB1hfwvf-Q6U;gds;0 zFKH{|j*Y_Ww@QrIoO1_40w)3xN>ASpjk*AYOYF41+-}8ToJ+ zsow818o|-LFY}i!`82`W!F|KpFGUkf%*CQ&j%-U)50`Xrego&>)XONQLms@0R8Mdq zo&B*b-{_n?%`BMo$8fQ=0+Lff(zB*SEZ=REg9e!fI;Bz4n=^?*8-6T8e7Xt%(#m( zM>&pWaB3n?KJu=gDgW~Q5%hvi^2)?p#H&OK?Q@dM@lq7tOsK4@b#LxZ&gg9oc1Oiq zi*NJYzZpl7tzPL+3f(5UES7%weVOBIJ9U&UI(O!U|^_@&J+jgafy&`!SS6B@wV z+;>L{U?YsYcZo;0vNoy+P6rN$`5$eRZ62)#9lA`n*5zyv=iQxRT;N?&SkNTG4q}ll z&J#o{yYmyIw>Ws^A_Ay-Gs^#)vh31`lR5E5DDF*emcSxh>Ek3wN-Xe{itLrKB!SsA zm17JhZoo=H^#&V;g$Q0cQFu_d!}?f{4YDxsXC}s}tsgzSG&D3DdE?Sbru9eB_!)<3 z(j|KOe6mBqufp@L;b;=yp^Xtm6HH0`TL#F)VSa|ksDrwWLM0<%){FfABARl;rgIZl(`c7r)NmU zEsoxs+A+8%<;}w4m7?S>GTP0zHSl28Byt}Xc^Y+ZV(;6%F%1+_eRj74pJWS=Uht?I z?YzM0Bj2+i>sx4KNIQD4z{)@&dI}khAvn_hjVbtlk-V^#)!)P`JCbBS zd@7+@3jU($%-Y)7pPyUUvNEgT#SQhv+Qt3q{RIGVVvGPcI4AlkfGP&`NC&u?wsUI^ z%WP;!yp#tm`-Gy?bs1JTy0ha4F2dby<&d?_@iws%GYX#vM4dlKgI;Cnevv1`2{T}e zE872_KXj~ z@Q`^l6^ebUfC1_u$0MZE5u$GXsIC)&Wh{~qQZ~>8K@!ym>Y=~eupxZk60_@D@YW1= zJAl!<5agnN8IB8CMAGnSW^Y!8Z$~5`o#HaZyH`;R`Q8Z=lN#Ib7WrP>$3J3tyX#QM zRMF%kw#`xLPl_2`moo)0{>)ku)MG^o(RiW|u14l%Up;Ns+N%ZcEjynx|4zFEL`Q_g zpRCKQLy*!d3#^T0SW)oF-g_;%CwW&2L0Mwd${MGI!S22)iTzOZC2S`Md^voS$8$c@ zmapZj38kpi$f*POdLQQ871r}@JK07|Qrzws%CY0b`ao^Q+5CYkOnNWV`o!hG;^nn` zJoX_;d}I0q3GwWE!Z$`6Td(4f3GNmKss)t|a`a=uT^HrlI!E_?qS zTFvE<0Y8ytHb(~FJGF(af|j4l{rE|22;9l5J<#&4FS!#Pc9)AjP)u1{Wlx7n=!zd8 zrP<(Ox2%>`iH1_dZ&zzFt9ouAknPk}m9+t&#`ZJ%svjkC8di$3w7yE`jgr!p=N-Ag z=uOs7V7&igODMXh|DMj577%Q(Pj?(_aN-lw&B<7dC%_B-su^B+aU8|JfC9B8 zuMlfsf4{Fs^qMND&?Qb(8l)#_WfxM)g5Sjv0Y7{f_qEvZ>~X(O{Q^W#OO zKG3l)c8R8MA(p|;SXunXgb8>$rMGmY+orQC+oQ^>-yI@Eu)SQj4xa}g-p(fo0bkF4 z5cO?Mg~7T_yC-~iiC>td4&hRW!tqr1C~F{Mf;!(NaWRhWG-#phf8zl}A){Uowed-o zB}18_;xTs#^eGMzXyb|w=gboms(AT}ze3^nAPBY`Y=%Zc&~Es5DX&+(`%|D!nki~ zReL|KZ)%~IRCzrKekLd*JL@;@J6Y|KAR961-|R359(pLHWNuu+piPz9c$6R9`dKSF z#`HfGBNEmjsz$rA`}OY!#nM7Qj{)#xLIzAXF5NnIbKumy;|D4Q+2<=pC)U)Tfa%Um zUplId+aR%?+KzZ`}Er34S3RufAy)u5fZE`@%a zU}5vYU%^X!?5P*t>de8%=yFg!@am~MT9a#}n6fD|n#4E;Ls_V;*FpyPJZBUAumCP2 z6D0A>Nfvs!C_&{_u6!4`p#SoLa6$fX`k{$|+M-oGX(n zMt7>9>9PZ@|CFU-t%dhE7eu zs~?5mpBbJI8@}`>tt#V#g*(5zb@R}bOG0x|?*zKSiqztj9GDZI>1ho4^|Xy7Py24w zJZ*3#&kKt?Pe8||jxz(LV&3r5M0wjtgJOX%Kv8DW6DGW*c!zXe-+boEWrMLa_X!6N ztv2peX<@lqiu(X$@8#9*hzBdH+3uN0(#@)Ey(>;w1w5!5g2CdMXpp^p{TF%rQ(mL8uVSwBeVgLvx*kU zLQ4jMNybjuKH7p;GyWU2m8bV&-M0F+Pi>3N!?Y@T>S0&yc!8R7zvj0JJ^lWwGX3_1 zp|^Wb0m$uF4!a~@^Wa*l^2!^#eMrt~8?Bt@U`x9OwaSkEZpnxiNx?|=1Vps_$QlnP zXghr5LDCto@`=i+)2owQrepNlmj&w~4xOh&^??hi8dzbEOJhWr2AL6$mE2Wbu zRh#-FmLo>IQleiKAtL&()R32m|NLLVeo+8jvdfhmV%N41Vslb z636^dW<5Y*f3MRP;>=ElmHbdFO2j^=H+4*aE@3T^Du0s& zE5C@g5t&<&7c_`oWf~7#1A36Cl`bLXSL0q0`wqk{_{tn&quIEOB% zIT}e#?Kh=?`gZ(j@zj5&OCHp}4r4TzYte_n7de%N_7FeDJ}iHu*qtet4uJz+?HdZNpFo{IWvePV<>Z=dve? z(EW>|L2_eUO<&);DL%9BHM~ltm*}<`prFd~)Az;TXOhAh6d687+H@%zDFCiwE#tDH z@F?jDO|j^^g;oUtY@r4pJm_RJvy7#%VXY24^L$gLfJ;zw_)9glT+7Hg-Lcqsc|gqW zoX0Zix!{Wx6h-XrnzeUTDM&vn9{A0(SgUMIaAepYA1%h2GsmA{WvNHifZU5gS~P7QUR%n=Zs)IVtLa1CD~+1 z5{k!H45`Vm9M+s^R8>=#Q=%kV%gAYp5zT%HR>K$Dd9`AscQ-l8;UOm)A;Zv_kQiUn zQ!CLY7T_gZReLSGcqe=KKUd5wK7%`brjwigo_I`6xdivN%;ge3^ctKyBaSKc;u$A$|G#(y1@t>b}fRQNb*g?abLK$Ol-K*cmJO=qVE8}d;Hs56BaA?r5urE?=_3Z{pXrf|5v8*+$rEI#ELEJ|f78yuk% z4Jv)4R|yt|_VM*$KO?B=E~%$%8k6o?ybKgeIx2keP`yQlu8Fn8fkj4^S$8 za?C>&RR9!AKVGC*%JeT{vx;2KV{-2^Jc1XN=JP zgjyss_Grb<)4U4!le(WsDx61Sc5{dCwx0#@L)2Q}9ms=0lm}rxddJp@PXnA8u6)Ur zF6r#3Gvmw}_y(OAOOBry%6eC-jZ5ivk#r*#t8FFRzh-yF+Oe>rT}_+ zF$g>aaSMs^6VbNr$~tCEirLVi4e(KQqrC> zA+opSrOg5=D zWto|i4^~rH7M5hIYHOB_E!nQxo^|Lj`AGFq)-eb2@oGnwlQY?+`UH#a>PB|2_F#E> zk-e*ZSiXK_|LOo%U=aCabucUB6!~;@DC3 ztP2;(3Dt?Lq)TLaHG`F$LQbu|%u2gLzFM8mVrGyttFu_yIpk~AxvaeFs;*>JRg-I~ zYgu*m=M_g43@-n}QYsz0zkej~r zA%CqNWDO0IN2*6zW8>tB>PgnrG+c46vwDm5Z=1YRz02C$Cj)O4VxSKugda%0P~1x(jD~iHSD?I%R=Pq+J2+TH!jyC1+1o{&+N_D(C3}F-@)t;4~G&hKMNjN(YkNfy2tEiUfRvD9{SIpA8WB$)kgM`oJO;4i2s2Du&%*l zxb&1RD@?tVeq`|;clj*ZJ?O5ZHs$Zbxr~4Gmoe=dSkIC3UHruxOz!KuG1wINKkty- zG46BiWhU#uRtJ__;3AXmgz+r_si_TBz?vyx1*OZaDfgJ9qpM7FMH0VIvFa3$GQab9 zK$gNy$3pVuD$`}M2T;G%xuY{aX{~%FkY-(nM_RwERpj@DM5;fypW8*WoKh9I=R_a$ zT##H-ex$aT2_40JU?2_@%ABdNg)wPc-V(N`>kQGVm;HB%+>I;0Lo{xI#U2G;?HU65^@U+cY$Ug#{h-K2~E zo9o)n1LpraI`e<1+qVyYKC>Ic493_Q`@XLw8M2jP$Vg;gZj~)-cg2h~W{|#0(w!_V zvV;_(>_m$#X&76Qtdpc6+dN*+KXCncUB~M@kN5jB&b)c@2_*Y&3A_NiuA1+362~iR zlHn4AGts%+#WHzq`GxLXTv3v<*qwV2a`3h0O_OaDA6G75)Dv2Yzw}@|i6W9E?q=^w zl!3{wB{?>Vyn3Of0!hnx)Tp!Xg>IWXH6hbnt1iFvyvbn%1sd9VG zzI22b2)U8gR)o0sJsD`1)+aZ;d*P2}heSd2K}Wxsa}Ap^!nOCdEvT0{(cWJd{^2f= zg-6?Yk3%zNMzg1Q39oVVGa#v?ro{W(f&%y0?6Kv=h&S$+I251mz`@E_Y{ySt9l!m^=-`7n@_Sz zuW}V?5;V%SNDSJia_^1ph3(&CeZ4m*aj!OQ6B1SLsz62UC@w(a+4rXY)*o19tQpkU z)V)c$EN1xO!f4234OBiqy1mmP?FBIOn$V9S*reC{;ES*$;^}B>T12G!$OXT6GVR)*nnJS z(dwzAZ8WK$<8G3f&n{T3=BQXS-Dl(jC;w7joNWW{{EqrxK9Z783|1NJwoY&1DN}k2 zv9|LJ@105BM#ukqP0%~Ooig~`7@uvw{GLWwn3j*21#7SoJ9o%i63d^^?OY2>c^PpH zU+xjHI2g<#9Vrkf&w8)DOrLl)2hZYjK10oSh*ke{wb9+;fkT`bJ_#ApxG)$d!=ZwTqt|FhiB(A0VRRM5m5Pwnev zYz@BQXVpLIS8J-NWb%}|>y~j=XxHE2%Qpto%Ij82Gsj&u9awp)NWAbrYt}UGA9?M! zoS5II(tl!E-0R$6zRv2gyc~@r-G9*55Zy4Z{Whnd4DjTbzz16EL(b>;Y6Pg*HaY#s zwQgT3B$8iah##ABtxD<)AX0BlO|>bl=p!RObviSx$&O)Z?EU4sm~+K^M@Se!m8oN5 z{f%E?h}QIoC-x1RLgpd5ed(M9uqx?Mj)fdkZ7rm~pKmO9i$B`Qb)$r$OyIWP7Hi&6 z*w?HVIjbt)Rlf`oK&iA}VkNFzNN!ubwmowtYg#_}$Nr(D>8WNI*eXs{@cJz1)URL& zNL>yJfeZriSO3lT$mppabXYy~HddFT0;+CR4(XlVGsfh?8W~#PVO%2Nk{4b?gr##5 zKLaKNJ>mwLFPt{^Kla3{Cw+RvE*FqL#RK%wOf$KA|#JP3CT>(%Wf~| z0ykR8G~r6D!bLt(T*8X5RYKE`c-X}8#jjd?T!aMg=6*x#$l|Y={Y8=bB%jeI&OZ|r zj+7|~_krs0!#>@-W=^g!zJyrav$yCEi#s40?tW{uNnCOV)#8DGGiq`2GITL}vXHK& z`t>c?>9!xnC`<=f$B46McgDm9SSC$}%|5IT!1+$p;ZHJg11mps@qwg5vdXS1EBC%- z9o~T1rP|lca>2WriLR^G^10RF?U*RlzI!YOe1I8jUG+L&L>)ewxvScDi4}>zY__$o z>WPib?x5m*q0Hk#Mn)q~Lq63C!?xaZwBk!j$7079)dBOMBTR_*}~F zy_@KfF8oDjxI216zPlxy&lDaNb10-%_Hexu5jABZJBqBPWoZVZmZ2YT-#?6pWEc)n zrJEhS$jYHOR4a*q1MA^f1D2~w)jyV7DqKhY?(e>EZ5AJBvmK_2TzrvScxlluWMxJB zLWjC=$f;m)n&AT8-Ask`a#%Lk4X(y}O(WD&L;h#R!<*HJ;Bm6Xsp?_5xt_VYtARJ= zv_j6#)!Rbk^(6Zwdo3^*>LlO8LK3N2b1NJ2b5icIC1f-8R&zq>q^H7#SPd^=K>lxz zVlR-G9p)L*5+sjt_*_#mv4whN7MxaQfU!6=aqY~jj(1;*4txEC+)=dLr4R=qzQA7u zWQk;T5U`IH1!~Mjug!^B4E3Q&(^a#ab}+h}Uq9JGNALE-bKTFRx+Vz>ZO zpsem3i*fB2jF#ccvb`UZ9~}n7h_g-|>6)NZ# z8QLCVEJ8uupyKYaT>4K6b)YnFxo)7kN$G?F zn1;j@M@JGq$}S}4HJZnFWesL@CQq7E{QSS?`TZo;@*V8?^>pDr&?SKz-}^6r1cwZq z@~mhQ_Uk|#pp|@-CCz@WBP^e<%Aj;nouv^k42z!X$X983??_VFTA7H0kb)x4g}+%f zhb?>wDHHzN&GUVbiRPPnvNlC*TYM_Jr5m_czU!iL@gwqTau-BjS}R+6NHOdyL2}W= zGtTY3dIJ9&8ZvQj6sq@h+ub1b#N;v*cF%_M5rPdj;rG-cbiOjEf*EwYCy!{}JS|Py zk;l3I0yc;1HK^_man&f=k6k2ffGIyDT=s|;>OyMN=X3y*g|E`;o$Ywug9~{Nj(R|Z zs*-r_7@(rFP={8*S1Fi0W!y3$l_yZD0G51K$im~&W>LqZ)KDyA@Xo6XfzX=EmW@a6 z__CU~M+upuj9t?y=U)q^+ZB@uTTyD6glVA+B@&^Ynk_OrRfU3=jzF~UaHXYc;ZIpN z5d7KEvW$-{PiGe|1CiViaiolVqFd{|#er9Qi9q7c_SkTEIx8H#wK^6JgE#!aL6(bs zzsD!e;-KmUhhyP7j%JU9%cxjb#+-pR6{$sMI0IYCE&XHtBRPh zxtLvawXC0n@gx_afLw-+AvEqF(E#rfWMyoo^V)(s>ks^x$9LqS^9f#S54p|N<;}^d zF%5UVcbqO|?KP!I;cz#lF=4QzFFnwQV7eX6oA`Gr0u_4bT5kN^cP=FhL@M+COM08v znLDNBS7<@|OW$|D&sJQW>mt`?{juu!{-pZscN*VUaeG>g-k152KQji2h7G#)>P~aW z=RHkohkFoist~oef^mK;0QwSu6ApbHS;n6pjm01Kmy^aRrt>9P5OPa+p5%~=X3HiW zJv?C^1mX(uQUoH5h^^H;KuGiuy>*#r8*kRjHVq6Z;AfQJK0K8Syt~9Bd z2DGX2pSP&dJ*}FftGXg9O9UWPUk^ncy?{DTg8<1;Aq(ecYyROO#SU81{=pZ!Ft}6C z5`6eo)GnhENDe~+Nl2XYA1$;sks`dO0TfWK7CDyNU?usrlLx2*Wg3s5GYcfZ&1;(X zhn2@H-N{~kWhvce>c9{^&9gjJkYG#3rOonQA{C{k@>!h(X-Wmp!^H-L@45LHl6*Sq zZP-7YjyHJy1bj}6>{{eLl?>7z&~jx*>8htZAJ$o-=n&v=mK;zEZ*?dNMxgKC} z?JkL;y})T2IWaJfUVEzKvpaF=dLt>0`7?QkD;Klgco3NFI%5>P@e{qG-nQ$gK@wEg zl*6@l(jwW-IG-552rWwSZ*wxNd`D&H$QpG_e2HqqR@aB&)o#*9<*GFd4|Rkn842SJ z`_^ej-D@uC)j)jsy3(*QQNnIeaJ@LB`F#db+ThqHkD+674_11WnwdI@$*A_^i4UotX#MERY1r4 zDS4JY{HqWvkdgBpDSBY$$CiTCh_k#xlo8{XjK12~$b0zc83gvU1HW zD_G^I9&4~+o;4EMnEOpgVAd{czrQ(e1zjOx8_g8y7j%uGnynj0ByIJ;GEu71G!86RA1Qrur+SqohRH# zAqpxEw^RDCj*GfU@gtUKLblXvi*;tz`7V%H5@i~C{8^H3=x`hw+bI^>EB zw4}azYF-GpN}W$%uIFSzlj_4eAK9^BJ$zV?x+0f1|Fx`eKYm~O#kQoU*@W+9FLCM2 zGM;G!o8DRe^0;O*rUr{{_+2jCyY(4LY9w&8)vx#s6OYpb>kf2#x$cn!1a57fT_ZUk z{F_!Y*8blh!pVQ119$dSbw;k!FLb)|q$dP?nyIDEn1SzpXnt+_WWBLA+BEtCyweUH zuA8ex-7S)TKq0q*5GOgce|?U0XhW_rluB9(^X1%>msan`u2jI7K2tr3jL!$6;8(Nu zdgGT9O1vhq-4xm=D_W10Apcv~Az$!zC_`3!G2NBm$DV;69d}^+ml#M`AI?amJ>w+S zbEzK5lSCY&6`=$*?(%9_$4rpo6Dvwlx6aCqGk7L3OR!Plr~pndcmH`kWUE}0(hTEQ z+$KAqZJ|BiUwo>3CUu?aKO@PX5;fB^wLt`*`_B9GHcljJ__H-gQwIL* z>oc++=GNpQkP#8L{Poa)p12*bwLXyaiUNx6%b`Ep=eW0ua*Zup#Z|0J>an_?*Ic(w zlZ_&`Pt$_i(MiuYO_WG|E|!>4TuX4eo5hAsI!-=FW@KntV8UT}^P6b!5$amTI_inL zn4WNq4ky6-1)npe>|A)}IR8u`o!M6GVtYEd{vv}I3KhGo)`UZ2{zqzWT%nLV&%&2y zY{!LZ)v)qv+3f3mhN)FxyaheVdu*#244DB}O71Lhp+qm z6tL;YdTyE)XFq#OxU0_jo*no#!jYSL9v_L|6^tdB<+fczsu0zWYZA}kBws78!e%QS z)J-Cgw#{qcV!Y+HDfzh!{z z=fPyk8YVc6dUmKE$|V16GAuaZOKEKR0fsLixK+c z?Q`T@gG@G#x2V6PX`uIolww%vAK7|mG>Pv0Xft-#2~fEUDAqJI@pG8l$MP3)0iD`B zZTL%6zph43(3mIWQ9>=(Cq~Pd<{_b0z}rJd^?6c38+wS=XJI<@#q_yMNbrB@Nyh)N zqG6U9Bi=Ee0(N{6JbO!e2kL!kyqY+)C+ot;2zg^cxNhu;J3c8dk7s;Om|GTPv z!AzrjR4fU9*U3(d+ISBn7qow%hTiW|d+!}tP?HMO07OHwto_4_Z!qL5)1yt(GudOj z;|7L-u`Z)04Y=Lb`RYvitWOWPFsqQbZ8$!(haPeKMg2i>N8pZzgE3`*BRjNjS zw`v`y3XpV_ehoy+oIk*9{P{wvUHr%(1Wy*|f z@Po0Vl00W9u+A$~tCx;HEKJ?(-H!h0N?3AQ-CGnW@@jArQ4I|o>@fFmL0FcNQ5Vjg zYY$1FAW3)mSWeNo{#0`=a`8j!esqj4@jBds?~FR3>iGlGM?vOuwr+I6eoZRk;`5ZM z*6gnA4gvseO6;}TUOcfUVKUr|xrI+qzka0j5LgND3UfNDZ4<@Q5Z{=(mE-Dt~ zr|uZ4d@*VChIcrUnK=YHKP!9ncJ!MwPj#NKem>g$ZPOpj@?o;YZP;{zHE5bJ)fJ~~ zc>;Ale%|yq^_&8}*z?pC+vP9ry{HOrzqT}ik!#|vr_5solRLnAVI@;$9ChONZ&UlK z$O^VxB2bAdnmGfk^j2;YMV|6KLxy_(m2BeX+pgSRPPB4+BWK^4MAqu+vEYgKn>h^{#xDD3^z-v<^=eRDOo$h!!b-8r_nqEQW%GRL%?Sg-xL`kr8n+x`J$GMO5E|O!HF3=kbVP!*owEjFL3WbiI7bJH}+S`=88Z45v4P=41p6q4w+8n zo^TZ1iIx2XEJ_L@@T4F_=AP0$1SU2VutCh2sX0rSKo>74pqBq2VLpNxi+;aKx-h_H zDteg{CmlS%x9D2Y4BLPR`89j$4nu7DO(ETf^7UG@kRqm_jfiED+d(;+`xHi{R&Xt2 zEn{2OJz`CMY1U6(<66iuJxs(i0S_O;vKe)vr%|tg9nN#?ypOdHD|A7Ut2%D7qWHhvp`eu^SGG{0hZny?3ER@aZHNy# zgh3nlAcKg)(xVb&NtuI55|4hGPO0)QWmK|7d1)E*4YWMh`yvnK(gxI#I*`Ayx9Gl~ zI+_0T23+trT7inCJ4pV^bMe?2zZYO#KUhMl9ST)u6MPBa_S4Px*3tBB!R3=o3zHyY z2~Kya^qUHBq@IE$7QtZD$y$!hRv>W`;4HVFUpRg<5rA+Dh(7Hs)u$GlKw{zmRTuXzQ$XZ+w-1$U&ne1B(wGW%reD`r>B5*^naa$0e zF-zwb=9A*@L4Xu|*W3vPaVbC!_W6zjcr9+Z8exwsTWN#>JlsM?+UyQvDQ{+{rcxN! zZ*PIN=BXuz6VWk-{tp=8x?tJ_fWFN?yu#lMYByo(7Fzft-`YE2Y3ovj?Olu(ZLj({ z?rwG(b)Ks>Cp7HK$ky`TOmG3F$1>nHw#R8Pj{|TYqSxA@xp<_4s4-uwNCsTVw3wrcvrMC*LP{-nZp&*v9_kJGm*6_ zUH@8UrVmAs!r>Y60u2hFm;%q;bND+82Go^Y9^zL^`6FWNXK)0n6zp9GAyu_q=MjyK;pUkwhH`Bi;Nb;J3&(TV2)6gdS|}s(g63BW;$iBASU%VF!NV0+ z>;LmebsqUNPs)aaqZPF^$qTDj#X6#oUc=;>XAXs}35}&CSxa9ceA~(6M~Nh^QNIB) zdha|-QG6-v-pC?7WrMcVyYvb}O`N`^zI!jN;rK>NFhbMVx@AjeF5~ZJpsza@S3VyV zV8ZxTADmNkMmK<-LAn(4fvk8*PLSM&g`^`4mKq^r>7E@rD0P%wJf`O`iD)03c$#m|N1QbhE|Q;u@PA($O?S z@swXuoyz4e^y1aA+dE-@@~~WjJtH@+&;YLF=mf#!))XojIPS(>{`U$Xe74K3%%s*T z%~)vo#lV{06iFQ1W-Bc3_>x zrE-mbBEzUuT{e+?6+UAEiQjujsn`)<--I{A^*VSr!`{Mw;AcJx&BCUOeyJ|BJ`;G_ z7YE1RZ8nxE`g-!BW(|jm0a@of|&R%g= zQ=S&=x6{RPv3L`ASzc2N*GN%JT$E2hA{>U66yGQEh=qWg52w~DO`lCGX(zZTaKROq z{}D9sRKZv~KBET53u;`|JHDXEk5{-oa7~|w*SP+tFwZJDo6ai$vg`0#yezU4W_1@- zMWH0A%}d}-Dh4V^u;Asos;ipduyRVHMB&7JoIc7}`eJzY2|NNgO*7 F{2$Z?+(ZBX diff --git a/palettel.map b/palettel.map index b2a4d361..e7dde3c1 100755 --- a/palettel.map +++ b/palettel.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 15:23:42 +Created on: 15/10/15 15:28:50 Executable Image: palettel.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 11a3:0000 0000f110 +DGROUP 11a2:0000 0000f110 @@ -34,27 +34,27 @@ kitten_TEXT CODE AUTO 0000:5b70 0000080a 16_hc_TEXT CODE AUTO 0000:6380 0000158b timer_TEXT CODE AUTO 0000:7910 00000235 _TEXT CODE AUTO 0000:7b50 0000765b -modex16_TEXT CODE AUTO 0f1b:0000 000025bc -16text_TEXT CODE AUTO 0f1b:25c0 0000010d -16_in13_DATA FAR_DATA AUTO 1188:0000 000001a4 -FAR_DATA FAR_DATA AUTO 11a2:0004 00000000 -_NULL BEGDATA DGROUP 11a3:0000 00000020 -_AFTERNULL BEGDATA DGROUP 11a5:0000 00000002 -CONST DATA DGROUP 11a5:0002 00000076 -CONST2 DATA DGROUP 11ac:0008 00000102 -_DATA DATA DGROUP 11bd:0000 00000591 -XIB DATA DGROUP 1216:0002 00000000 -XI DATA DGROUP 1216:0002 00000036 -XIE DATA DGROUP 1219:0008 00000000 -YIB DATA DGROUP 1219:0008 00000000 -YI DATA DGROUP 1219:0008 00000018 -YIE DATA DGROUP 121b:0000 00000000 -STRINGS DATA DGROUP 121b:0000 00000000 -DATA DATA DGROUP 121b:0000 00000000 -_emu_init_start EMU DGROUP 121b:0000 00000000 -_emu_init_end EMU DGROUP 121b:0000 00000000 -_BSS BSS DGROUP 121b:0000 00000ec2 -STACK STACK DGROUP 1308:0000 0000dac0 +modex16_TEXT CODE AUTO 0f1b:0000 000025a8 +16text_TEXT CODE AUTO 0f1b:25b0 0000010d +16_in13_DATA FAR_DATA AUTO 1187:0000 000001a4 +FAR_DATA FAR_DATA AUTO 11a1:0004 00000000 +_NULL BEGDATA DGROUP 11a2:0000 00000020 +_AFTERNULL BEGDATA DGROUP 11a4:0000 00000002 +CONST DATA DGROUP 11a4:0002 00000076 +CONST2 DATA DGROUP 11ab:0008 00000102 +_DATA DATA DGROUP 11bc:0000 00000591 +XIB DATA DGROUP 1215:0002 00000000 +XI DATA DGROUP 1215:0002 00000036 +XIE DATA DGROUP 1218:0008 00000000 +YIB DATA DGROUP 1218:0008 00000000 +YI DATA DGROUP 1218:0008 00000018 +YIE DATA DGROUP 121a:0000 00000000 +STRINGS DATA DGROUP 121a:0000 00000000 +DATA DATA DGROUP 121a:0000 00000000 +_emu_init_start EMU DGROUP 121a:0000 00000000 +_emu_init_end EMU DGROUP 121a:0000 00000000 +_BSS BSS DGROUP 121a:0000 00000ec2 +STACK STACK DGROUP 1307:0000 0000dac0 +----------------+ @@ -69,12 +69,12 @@ Address Symbol Module: palettel.o(/dos/z/16/src/palettel.c) 0000:0056 main_ -11a3:0780+ _gvar -11a3:0838+ _bakapee -11a3:083c+ _pal -11a3:0840* _i +11a2:0780+ _gvar +11a2:0838+ _bakapee +11a2:083c+ _pal +11a2:0840* _i Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -11a3:085e+ _inpu +11a2:085e+ _inpu 0000:02b0+ INL_KeyService_ 0000:054a+ Mouse_ 0000:05a2+ IN_GetJoyAbs_ @@ -122,9 +122,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:487c+ MM_TotalFree_ 0000:4912* MM_Report_ 0000:52ca* MM_BombOnError_ -11a3:09c0+ _beforesort -11a3:09c4+ _aftersort -11a3:09c8+ _XMSaddr +11a2:09c0+ _beforesort +11a2:09c4+ _aftersort +11a2:09c8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5300 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -142,9 +142,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a40* CAL_OptimizeNodes_ 0000:5af0* CA_Startup_ 0000:5b40* CA_Shutdown_ -11a3:09d0* _finishcachebox -11a3:09d4* _drawcachebox -11a3:09d8* _updatecachebox +11a2:09d0* _finishcachebox +11a2:09d4* _drawcachebox +11a2:09d8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5bac KITTENGETS 0000:5c0c* kittenopen_ @@ -155,7 +155,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6164+ get_line_ 0000:61d6+ db_fetch_ 0000:626c+ db_insert_ -11a3:0494+ __kitten_catalog +11a2:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:652c+ LargestFreeBlock_ 0000:65ba+ _coreleft_ @@ -185,61 +185,61 @@ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f1b:02c4+ modexEnter_ 0f1b:0472 modexLeave_ 0f1b:048a+ modexsetBaseXMode_ -0f1b:04dc modexDefaultPage_ -0f1b:058e* modexNextPage_ -0f1b:065a* modexNextPageFlexibleSize_ -0f1b:0728* modexShowPage_ -0f1b:0824* modexPanPage_ -0f1b:0874* modexSelectPlane_ -0f1b:0898+ modexClearRegion_ -0f1b:09b0* oldDrawBmp_ -0f1b:0b16* CDrawBmp_ -0f1b:0c7a* modexDrawBmp_ -0f1b:0ce0+ modexDrawBmpRegion_ -0f1b:0e4a* modex_sparky4_DrawBmpRegion_ -0f1b:0fb4* modexDrawPlanarBuf_ -0f1b:0fd2* modexDrawSprite_ -0f1b:1038+ modexDrawSpriteRegion_ -0f1b:11b0* modexCopyPageRegion_ -0f1b:131a modexFadeOn_ -0f1b:134a* modexFadeOff_ -0f1b:1378* modexFlashOn_ -0f1b:13a6* modexFlashOff_ -0f1b:1476+ modexPalSave_ -0f1b:14cc modexNewPal_ -0f1b:151c modexLoadPalFile_ -0f1b:15fe* modexSavePalFile_ -0f1b:1676 modexPalBlack_ -0f1b:16a0* modexPalWhite_ -0f1b:16ca+ modexPalUpdate_ -0f1b:1c3a modexPalUpdate1_ -0f1b:1cb4* modexPalUpdate0_ -0f1b:1d00+ chkcolor_ -0f1b:2018+ modexputPixel_ -0f1b:20a6* modexgetPixel_ -0f1b:212c* modexhlin_ -0f1b:21b0* modexprint_ -0f1b:234a* modexprintbig_ -0f1b:24c6 pdump_ -0f1b:2526* cls_ -0f1b:2594+ modexWaitBorder_ -11a3:04c4+ _VGA +0f1b:04c8 modexDefaultPage_ +0f1b:057a* modexNextPage_ +0f1b:0646* modexNextPageFlexibleSize_ +0f1b:0714* modexShowPage_ +0f1b:0810* modexPanPage_ +0f1b:0860* modexSelectPlane_ +0f1b:0884+ modexClearRegion_ +0f1b:099c* oldDrawBmp_ +0f1b:0b02* CDrawBmp_ +0f1b:0c66* modexDrawBmp_ +0f1b:0ccc+ modexDrawBmpRegion_ +0f1b:0e36* modex_sparky4_DrawBmpRegion_ +0f1b:0fa0* modexDrawPlanarBuf_ +0f1b:0fbe* modexDrawSprite_ +0f1b:1024+ modexDrawSpriteRegion_ +0f1b:119c* modexCopyPageRegion_ +0f1b:1306 modexFadeOn_ +0f1b:1336* modexFadeOff_ +0f1b:1364* modexFlashOn_ +0f1b:1392* modexFlashOff_ +0f1b:1462+ modexPalSave_ +0f1b:14b8 modexNewPal_ +0f1b:1508 modexLoadPalFile_ +0f1b:15ea* modexSavePalFile_ +0f1b:1662 modexPalBlack_ +0f1b:168c* modexPalWhite_ +0f1b:16b6+ modexPalUpdate_ +0f1b:1c26 modexPalUpdate1_ +0f1b:1ca0* modexPalUpdate0_ +0f1b:1cec+ chkcolor_ +0f1b:2004+ modexputPixel_ +0f1b:2092* modexgetPixel_ +0f1b:2118* modexhlin_ +0f1b:219c* modexprint_ +0f1b:2336* modexprintbig_ +0f1b:24b2 pdump_ +0f1b:2512* cls_ +0f1b:2580+ modexWaitBorder_ +11a2:04c4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7b92 _fmalloc_ 0000:7b92 malloc_ -11a3:04d8 ___fheap -11a3:04da ___fheapRover -11a3:04dc ___LargestSizeB4Rover +11a2:04d8 ___fheap +11a2:04da ___fheapRover +11a2:04dc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7d0f __PIA 0000:7d08* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7d26 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -11a3:0000* __nullarea -11a3:04de* __ovlflag -11a3:04df* __intno -11a3:04e0* __ovlvec +11a2:0000* __nullarea +11a2:04de* __ovlflag +11a2:04df* __intno +11a2:04e0* __ovlvec 0000:7d40 _cstart_ 0000:7e13* _Not_Enough_Memory_ 0000:7f45 __exit_ @@ -249,10 +249,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7fcc _big_code_ 0000:7fcc* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -11a3:12e4 __argv -11a3:12e8 ___argv -11a3:12ec __argc -11a3:12ee ___argc +11a2:12e4 __argv +11a2:12e8 ___argv +11a2:12ec __argc +11a2:12ee ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7fcc printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) @@ -276,22 +276,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8328 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:836d _nmalloc_ -11a3:04e4 ___nheapbeg -11a3:04e6 ___MiniHeapRover -11a3:04e8 ___LargestSizeB4MiniHeapRover +11a2:04e4 ___nheapbeg +11a2:04e6 ___MiniHeapRover +11a2:04e8 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:8447 _ffree_ 0000:8447 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:84b4 _nfree_ -11a3:12f0+ ___MiniHeapFreeRover +11a2:12f0+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:85af+ _null_exit_rtn_ 0000:85af+ __null_int23_exit_ 0000:85b0 exit_ 0000:85d1+ _exit_ -11a3:04ea+ ___int23_exit -11a3:04ee ___FPE_handler_exit +11a2:04ea+ ___int23_exit +11a2:04ee ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:85ee __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -310,7 +310,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8f95 __doclose_ 0000:90df __shutdown_stream_ 0000:90f9 fclose_ -11a3:12f2+ ___RmTmpFileFn +11a2:12f2+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9164+ __ibm_bios_get_ticks_ 0000:91db clock_ @@ -321,7 +321,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:93ff fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -11a3:0098 __IsTable +11a2:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:95f7 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -356,31 +356,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9df1 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -11a3:04fa __8087 -11a3:04fb __real87 -11a3:04fc __dos87emucall -11a3:04fe __dos87real +11a2:04fa __8087 +11a2:04fb __real87 +11a2:04fc __dos87emucall +11a2:04fe __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9e00* __exit_with_msg_ 0000:9e05 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -11a3:0500 __curbrk -11a3:0508 __STACKLOW -11a3:050a __STACKTOP -11a3:050c __cbyte -11a3:050e __child -11a3:0510 __no87 -11a3:051d ___FPE_handler -11a3:0502 __psp -11a3:0511 __get_ovl_stack -11a3:0515 __restore_ovl_stack -11a3:0519 __close_ovl_file -11a3:0521 __LpCmdLine -11a3:0525 __LpPgmName -11a3:0504 __osmajor -11a3:0505 __osminor -11a3:0506 __osmode -11a3:0507 __HShift +11a2:0500 __curbrk +11a2:0508 __STACKLOW +11a2:050a __STACKTOP +11a2:050c __cbyte +11a2:050e __child +11a2:0510 __no87 +11a2:051d ___FPE_handler +11a2:0502 __psp +11a2:0511 __get_ovl_stack +11a2:0515 __restore_ovl_stack +11a2:0519 __close_ovl_file +11a2:0521 __LpCmdLine +11a2:0525 __LpPgmName +11a2:0504 __osmajor +11a2:0505 __osminor +11a2:0506 __osmode +11a2:0507 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e24+ __fill_buffer_ 0000:a073 fgetc_ @@ -390,8 +390,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a533 rand_ 0000:a575* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f1b:2636* textInit_ -11a3:12fa _romFonts +0f1b:2626* textInit_ +11a2:12fa _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:a5a0 __MemAllocator 0000:a66a __MemFree @@ -409,7 +409,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:aaf0 __FiniRtns 0000:aaf0* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -11a3:052e ___uselfn +11a2:052e ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:ab54 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -417,9 +417,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 0000:abb8+ _getargv_ 0000:aee8 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -11a3:0530 ___iob -11a3:1316 ___OpenStreams -11a3:131a ___ClosedStreams +11a2:0530 ___iob +11a2:1316 ___OpenStreams +11a2:131a ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:af2c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) @@ -432,7 +432,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:b309 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -11a3:0022 ___Alphabet +11a2:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b30c __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -442,18 +442,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b3ba* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b3c5* __get_errno_ptr_ -11a3:131e _errno +11a2:131e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b3cc __GetIOMode_ 0000:b401 __SetIOMode_nogrow_ -11a3:06ac ___NFiles -11a3:06ae ___init_mode -11a3:06d6 ___io_mode +11a2:06ac ___NFiles +11a2:06ae ___init_mode +11a2:06d6 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b436* __set_commode_ -11a3:06da __commode +11a2:06da __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -11a3:06dc __fmode +11a2:06dc __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b442 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -480,9 +480,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:ba4a isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:ba66* __get_doserrno_ptr_ -11a3:1320 __doserrno +11a2:1320 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -11a3:06de ___umaskval +11a2:06de ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:ba6d _dos_creat_ 0000:ba91* _dos_creatnew_ @@ -493,9 +493,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:bc9a vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -11a3:1324 ___env_mask -11a3:1328 _environ -11a3:132c* __wenviron +11a2:1324 ___env_mask +11a2:1328 _environ +11a2:132c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bcdc _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -516,7 +516,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c232 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c2a2 __EnterWVIDEO_ -11a3:06fe+ ___WD_Present +11a2:06fe+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c2c6 __flushall_ 0000:c35a flushall_ @@ -532,19 +532,19 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:c588 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:c75d* _heapenable_ -11a3:0700 ___heap_enabled +11a2:0700 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -11a3:0702 __amblksiz +11a2:0702 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -11a3:1330 ____Argv -11a3:1334 ____Argc +11a2:1330 ____Argv +11a2:1334 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -11a3:0732 __Start_XI -11a3:0768 __End_XI -11a3:0768 __Start_YI -11a3:0780 __End_YI +11a2:0732 __Start_XI +11a2:0768 __End_XI +11a2:0768 __Start_YI +11a2:0780 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -11a3:1336 ___historical_splitparms +11a2:1336 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:c76e __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -566,22 +566,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 0000:e014 __setenvp_ 0000:e1a0 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -11a3:0704 ___IsDBCS +11a2:0704 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:e1fe* _ismbblead_ -11a3:133c ___MBCSIsTable +11a2:133c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:e258 __mbinit_ -11a3:0706 ___MBCodePage +11a2:0706 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 0000:e333 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 0000:e341 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -11a3:0708 __8087cw +11a2:0708 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -11a3:070a ___Save8087 -11a3:070e ___Rest8087 +11a2:070a ___Save8087 +11a2:070e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:e350 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -595,8 +595,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:e51b strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -11a3:0712 ___EFG_printf -11a3:0716* ___EFG_scanf +11a2:0712 ___EFG_printf +11a2:0716* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:e563 ulltoa_ 0000:e6a0* lltoa_ @@ -623,7 +623,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 0000:f147 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -11a3:0730 ___FPE_int +11a2:0730 ___FPE_int +--------------------+ @@ -639,6 +639,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020b40 (133952.) +Memory size: 00020b30 (133936.) Entry point address: 0000:7d40 Link time: 00:00.00 diff --git a/pcxtest.exe b/pcxtest.exe index e8a7873ffe24922ee9e94d2fff4e4342353c03a2..d8ad309823741bfe8390c3c87387ce73a30e5a1e 100755 GIT binary patch delta 24288 zcmW)mWn2@C6UWyZJ-WN3yQFKV2oe$lDP@R~!ZuK1qm(f~EI=`c2SpGcP#UF65d~2k zj7D)|fPgqAvHhRV|6bhZUf+wm-`)2&y$<}i4pemua0gfcfB+C+TZqG{;r*}pf5`lo z;ehk-_Ko9Rlv}DAN<4the~CV$J|6yxd|)tF<*)dUXX2dxE$aek;s74K0Kmwt_#t5W z9W$Vo)W@T{1L-^Y;|o%Sl;724Hfo;iyVxmobW!L|Do_h(e5VE|E2Vp1=#fG@k9y~P zIY9cC$)M>t5bsDfp7*BQSpeE9zAwM)pht*$yjWK55mpf!KwT@#$(=4Os){Xd_j>eJ z^zLh0&}#w0h<|YsEW=;_LzQm-?*ptv#(w4%tmOO~n!Z@kP@$!?2aX@B6a3MFiIZjQ zyrmqz*8mn3ga9+&Q63&f1m97tlo(!BtdM7Pcu5EtNL7`Uma`@91$n=Bs)!YNN%6v; zY^CRmeSNpC>XV;KQ|^5dCT;{fu{Lt!G~)BRVVp35+juMAk2XXS>UGK4k*q*+%IRn{1$bz)_UxMNmj+xcr*Xc9E-2b6xoGNzUk**kz#EFv9^Uz>UI*z{)d%@d zW~5aahzWh>3S2R6aE zBX`APiDxK4q=-Je*FYDKB?w5IfAdpU><=5c@}pa%zCR_J>IAZCm1F=U41%Bhuv_Kr zDfkio`uac*YRcv_YW&vv+jZ;uH>zb9QS&?ji}sZPVO{5nOWJZ#1z+f!L#VIL^DaN$ zeqA%VW*GBDAmj4ufEt?KdcXz!y8^x!CM-Mmas6bT+rsyOrfOOHk#NG?+Zn*;85F|4 zY-r*8>looZVmNSLJcfAsXS}ONkxmPHN$6wD%;N+RvCB|k{n@3u*0+3ZL*OqkSh-Wu z3*q?A827H>_96R<3GrBw5%7YaIiLu7WTBt+8Bq0FkVGJj6TcG}6Cz}Z<1e`E|9}Ec zke=_=iy@n<)Vl=kco1&$@IBZA?JeJer9<{sz$5`&tOt7knzp2_FyCteI2J0zUZX=@hs(2-l) znHzse`=JK|_IZu)PYudvEEHu)TN@~$c*l?q8XvSMbo4l9+3r4_z3VT{yHYCsh6`?& zg?|s0Tx9I3z2x4w^N1r?BtYiBEl^Q)*E<=;1m8GG)nBy!+p|_A(C95pX>t)?<9aI+ zS1893Z;^NKCJcxW4A5j*Dp}@aa?M^I(jPUm0v^8dkjEE5>aV;kCQX8G`8a4eMFb z3OUgp@{%58J5#hk?ilS4<$LagE4?$yBB+{nTc2Q&q^o~U?)c?R6Cl%X?4kgaCJ_^~ zITfw}oBkQzaiJgFX3GK(x<*6yb)*1Y&_i&ETOgaKEK`mzkaAQuXI3U3c!yWr(oBwM zqj24W3LJ&2h`i}Vlu;pX&+7spJQtM``0s|t3US1yxHM%Hr}#|4?^{R1K@jR|MJVJg z0fKLZ_3*eM7}?W4#!Z59T`~FN?E+9gVozs7`f{9g*9S02r<%-ZgiNwj1gyB4@s6jA zOw)5)A94T~fq|n+qEC*iZDZd`(HS?dl2mM?;wuVHm~G<-(o&*8ouRW80i+`yEygNe zG>vMdwOtw0TG?VysndGegyZvVf?#UqFZHpY(B(Nr(^sIE@mk36t!};Si8=31399y* z=E=J|QMZWQ9v>JmKi{pBPR?iMrLzVgfgVvtkwle*e*r+VC;D7Pg2kn?n1cl`hh&^m zwyVI(g2a=&CG|QU`1v)lz3X@jx85d2RPf*H7f;PRHtN+f5yWd<5w)dV8=fF?dqyvOSD!jMV^JoYm;HSXV? zPyPmt`PJWKb9TmnsV?r1Lq^T6{;YkSv+okR&>$+=jp2p+>kIct-kEvk2*5SQLVY*M2}Ida0H5WrVJ6&l z$pEO@R5&b)g5;?U|BIXB-$2fFeH#d-#!ZQPR86RPY&>*J*pvPmymR5)ZX`l@H}~Ho z+VjC4@s{|%{3V^g37$+rnMaE2l^O%1=c@TY4#OEAtM0oDD06X0vkOF%(ryvQ^EqWVwA*698?R@JHK^k_(W!5jfZ>U1k;Lf_q zY*FE&ILot;uM9=1_G=Swh$pm4o>#dMc`)6+q@iziaUkLj_q&93s z&s~$?9hM!ViYX!#o&QyDx&GFFoY5BURd8aUJ6b8^aWr+#c+dnjihmO~a76)amT{q$ z61JZmV0kD?C@+p)AniG#QQbcc_OnX7UsF4Ic){4G=Nw{gdEn7v$8eLlX)fpov zj<+s&lBGlcA{8{v*Cu_|x{1BW$yIR0(1(}7@S}M`);ECVH=cIOr~PFO03)qEt$xXU z10}a#-6bs5oOc8c3@4I9#UpDbh`6rg4@6QQ1bzjlE+9wSoIEJfkDOZc0QIKy9{hx& zwD2CkG;Rw@UndJva!_nRcafVh+MJ>ZtiOUl@~>&6)fHRvDM96ouL+;=97$!`ZSAkP zF#;dzx>cr_-qXx4jQaO+U4FEO>9A?)^ZShaS$~r}oN7*P#PFXA!)g$kWXN|%himRi z6A@i0OOtGl2NeV%tTb%jYS1;t_1H!StSNIaxdP}jDu=WKc^}1W&%Z2 z;1+R-0K>O9!N<%}fjUws&a99dNQVx}R>rcqJSabgot=5!XtWW5qATC-6QWMb;6Ez{ z_EVYXz_ZNZwtlZbD0=gfT9MYP+BZ_KqehwMV$Tbnxu-m&Vx{?-r(LYSyMXvnffzBt zA{;B1P9?st1SdU5xB-FIpl>gb>Ztb@_QrYbcA#9Hm!Tl+YtRW_jZM`e_!h&F;S&~0 z6n4tuaSYStE)`aQ1a-m*65*mn;w086u{&xQ*^`OAf6Y+BHLW)MeC>DvPMQ ztXi&Ecs5n}$@@pPl)*feDDun`VvMfBoFN=H_MR)^QLr1r-%|@8Rnc*SuEPdwXD+`{ zpyK}Wc?Bkx3`$XY16SLm^c z;FLyp%TzmmW-DQ%a0unz`OYsdb8%X!F0D3%wDY)`{#ALBpY`yw_+79K)&5iM*TGpn z$0TX#9gz*P-ko-E{Y?5bzSxeohqk)Ql?NE$yQ|MzNaEtWJ1K4aF*XvtRk4y|iYDj8 zK#S;iOK0n-x0b|Un5y_|!+(9gB4XMac@IrWz7cmmXigD0Dg1ENxg%b%{_3I{ONkqx zQ6ViWZyYF!?GhIkvM+_&^3q?j=h}q&;n{SvMyq#-<7dPhKeL@m>YyfW0X!3cVr14r zpz}D8{n{g+BL946qN;c+25NO+F_I9XBIDVV+RJk~tM4!Dd0)E@+oCt=6xpZX)nO3K zVgm2UL$J%58A5ihi@1@xHAXnjysg&C31}8+9{7>OryspWI2Q4RJ4(Sm@Ul}h2$!5; za?Xob_*Lff&k5WOPw073s-H+*I-|y79RH*v1hQrE8&`gS@!?kIFs3+e{AAwtsQ~}h zQ>hTKom`<*yH@jwI{)#(`msW$MRk&H{iYOw3;`woA&W@Uh|6&&wPP)olZeL_t{k~-@QuVqq* z2*T0@(To>;2@#6s=B8IhkK~{~FVV|ig^B1f=GR)T0F3rPNl#Xf^Ovp~ULD|X?I76U zK@!`V)~W|0Q+BLFmIWnI<(HKPWnwvw8}C70k^%mXOsWA+g@$sHm*F7~fc>X%jSR=M zbB5#Kl?_AKo!PRl4EjZ$cd}zHIYPKRWJq)_U6xbTA^f7wGDUDJ!=HRQq@b()1&Lz zm!mA3;?=->wOKg~AsPjH(j>pth}Hhutf=w0dt@sMYDAn2Uox-re*^*ly*^UWCgJ0u zgkn?ACV%VWI9cpLG+3ezI#LxAjh0Ru5J+T@DMIGkxhR_lyPWg1GZRG!Dc;?h@sV=4 zYQn&<(~L8{);LyLh)`X@2I_LFcseA8S*1+?tQTEIP%@6W9?vTbEUxcfH!2?5wE5K~ zq6muVKOy&Qh(~cOI+LmL;4Aas+oy2?jO}YNIQYeLLARS^0>F1dK0#m)#1IEuypZ4g zzz`&tP@04Y6Cxpy^EnzO)dpQH5pWTc*`B*VmVb$1L?`SMc>fBYnGPF|*0l7TA6|!W zIF~(c`zz?I( zps}Z4c6bxEE&e75f;<-b+`foJQ~Pk8hNu!#ex3CsZmqAnFRqi;1sGca8V4FblKP*< zUgKO_0@A%DQ^pyYGviO})Id-kJ(Zj-+-3K>jxd>Ve)mW9JvnX7fg4HPiWP-0;;|N9 z*UU65Me7T%hTa|}<3RRkZks{T7|-L45*0fYX-5e9Hn|w{NuXZD4H_pZTLkPK*D-Bv zX6J@-I?gj1icoTGnV_ZP3V&9{J_04W@8*efYXm-!=|Jx!pAqz@x$SHGZeJQ|v*G zLg-_y{bA=5yU&*nHO4x*g=BfH&S`6+RD}n+?ML=(y=v@0)%!IFtQa_wD$fx=jR+X~ zvf#sF+-Fp2bQDE~*V)=Yb`s`(w1M~&hfnTqJKzRJ3U?U2+I{+zss6TB1)9!N4&m+; zP5s7V;Wl(Ca(3u5W$S1z(X>#&IL=1eAqloo=bFA_(U%l3Ai=x*ty=N~FQ46G!z9Rv zc=WMTA_pBf1zydvA<^a z07E_`Mz>{hLn2pmEcby!lE@xvjPTm};;AtPJ&hx{^m8g_*7H4y;z(YSl2NQ2<;Cme zj|_BzPMBT8jb>fq)$y=B)?~?fS+_y}VqLH3tg~dq}_4hlY}b#4T6@SRMrKyl%4y;l`z38KQCa zD#4i#4Sbd>vTt5%d|#C9q3zksdC%p+dv;kx7$;0>hQ+flP3fX|jb2{_#Q;fA~(fO;$PJQ6r zc{75kRU)q4BUT5j@JeZMTsKYOa3@Ay=idw3i&YH0cs#Q2IVzh;<>7yjLaTupVU`<= zeM^@sI#Rr`pWP%ExvBEswWYF+zE7_<$gWnPCHhyQbB=z5@8ySf4Dy>RWgrK+eM}sA zNC(gJV+ljf(h9W8Z$SL%zlWU*??DTGkV<*wKlp1|E5lf)LUo18${RpW&d-1ttUi zYKgOf0TN$4TT0Vf2gwp~as`8X|H!wHxwEFztaNdgZp&{83ji;kSDli1IuelR=ti*R zRt>a`P!E5azKW=1g7lk;tGpr{k* z8KzP*bh2?u_#`z?-ii~MsA6?G(c6l466a~zU~5XnX+|@X5XM-Cb$SF~|A_G*No;<_ zHWC-6#p9bi%PfZ76ierztgwj$IjiV7+-b|>Am3?OSp#C1BI67rJab_KkFdHnh(!n5 zOT{<2+F+IKf;oeY%fdy`LEe`sxJ@m$jQKM_#suE1%@2DZYUPd_kI1MWw})kO82tt}rubIAvZG``HPEByjSeRytT&t7_%T zaVn=Fz!QT%^JjXq`V0Rs=C&mo9e?;XF7R?g;vnIWqf^rv)D(U-rsE~Ze6ZM3aT^o| zGt+mHo@cZ>=AGR*p)*8sA77|VoP}UFT!&ELvQ+F3JI1y{#pwnAXyUnZ2b^QqU&1M7lu4~{i(Mo{w>#UJXY7m zim|*G)gb#Id=Ades^N}>{rCHP&IwTeC|_RhRLGtFx-ufh)Q4(gz>$2Q_S0U})iN#b zII6*b>*6YbH7_xD@d)qB>f4X_M`{tGUk~E>xRvF?S?>+r>{7jaQZ*{zL?uJzsO~P` zQ+M*Ar2Oes?6KsxZBnj5)5s{!y>X+)-cDVt%R4y>T->-_i`-Bw&yNQf3eo_~dC_yh zpUr1e!x>K>6+83oPM&A^+wEC~C%#`>?T7P(3|x^=FH(pUQ~?#tOqIil;+g zCn=&-_rovx$-_qczhOPX&Z2ShYzUoZc<7z~)Jyvar$i)3H~Yx6H6gTo`9Y&dA#IUY zVtFM->ELFdko^s9gkTK9Q=)oAXqLxEVX-AzIoSI;fbx_Obo}cn3imSRkux0Y&e?x~3;fMio(j@=zb;5~6n77AEdzftRW;~4HysmF9MLEB=5M>pf zsLiqRNYt`&PSpHk<%rebD{vB)w&?h%)IiCF3*`oN(YxcW`O*0uc1I&6s5m7K zyOL#vi>pZu!6jq=T5b4a|F}=%yl*C)0w&WN__7@ymPbh~=$?nDzk)9wKgL=hz|z=s zcbF2>oMGpBOu|p^UZFGS+8Iw<%a1C=_c9juP6_RD>8vs(Mk}L0BBimHp6o_*=-k%h zQrYKI7dYSYP< zmQkMqA@sLCm>|M-oV(~&>>A`oax!P0UP_PRKs`9Aw;A~ip1p;j>sdJqwSCg;90^ks zBg9b)7yB0Z>d_kk1^V>dk(Opuz=W0_IT))kc}I&ye|?k`vM!&MO5n4AWDVUquW+TR zT-!d)xuCJ?ySx?#qCrW{?13czBnL)XWKwi-~~)# zkX6K1!iA*pOl7e(KcV4sx5eLwfF8hnp8d~IPo9bvpt@~Pl(`xt(?RH3JNoI5P~pyyIZwz z$W&p_3=9%h3-V}}?7N%X_;=eycv(qIYuNf+rhR=;GtNNwGrVI%I|M{|?tb_kGk1~p zj`iT#^9Ad~P83dBw2$x4@lGh~Okk&?={VnGT6ggTF?EX|<$>eLjF^*;L6Wy;4rm*D z+w%N$9!|Wz5qBUisUl&mKbTjB1+9TNoiaZ$%nAaf-69B|=h}7{&-faa-hxLlFQt0p z^psPyBf`w&xoNL+!*2*pxGdO}zY%xhPDf?za?@`&G^w_n8U*#7bn8z>#ohpD$Q6+B z&l5JhO$aLuK(M5cB(Egw5bI4o9hWk4-yW&&PDwl6e@*5eO$w*uwZcvPixM9ZBV+q| z9YsZJ--dMh`IeBnXO9|R?z)O{geUG&xk96@dNKnMsR+_l5S`a4LVPv&_<*73zO zk*s+BxsN4+x+f3ux2l)Cm&~L9zOKJ=CQ<=$;wR_)qI9HHCPs(6%vGC|dc7v=*woIidr%kA$ zMa_ji6~tCY1YBF|(R3Q;wE3Fn^insy_}4Q%u7}UiXrGLT`TlG7vsQf%~~k@#Bh10QP_6>rJtxP`#}XrDCtc)HztG8G`z+tacSFgi zZi};=SOvbG?JYtDAhr*gRyK!P=v*tKrcVHZD)r`V^cX%{4~o^P#^De5ZwGpc#Uw89taT6&fWvZmwq5gGe`u7a75v{uWt+_LJWgrlG; z&Zq5PN^fz0DI)YccgJe=cu-efPFtWx*@it$VDkv^cKZxY1e?Gqn~r7k0GB$-q|2bu zEqiiAil0iIZJ*rH^k&)o-xg>Oh`NZFjv^^dy=p!F7`q8-04wj8l79sCLp|mYqY&`f zT(mOwPi}vMO>Q(rPNaJX08Dfx9@%JuzzNn;_GW~HSNt3X%t|Ri__E}MyKxJ(^EKf3 z2X!x~^&Gr4JJ_WE{-uqHRnV7;6S2LgAo5Z6P0nhb_1OSf5>TeMEks?#c+a&kZw!*xz4-5 zkrq3zm!G68Z6c+|)z=ih^1EFX<~G{AMf_k_9)CnGRe$oiFq;z4h#Pz5^+<=HLWI0h zvWqsYf^=;$Hb^XexPZkgr-0 z@n#8hZwy}riHHR_1^#|VWA+i%JpdQau*Ta;<;+G-Fq^DUzVDU81JxNb{~a`z749`L zV&Jxb(8z`B&8J2FNYshCUM9$yy`f?=`NS@8NpUYAE+_uVkG1DHdCswD(SgL}bbG#0 zG^>D+PL|0U65~557&QgCxbu)HX5K^UkqlPKVu*c(Mw%;bp{^3!x(=kaujfjoQfq4oJd@}|D(RrS@enS8OQmJ=Z;X7YAQq8 z6D+n8pD^gMe`Sy{543JOn}5=~^P2|n!95>(Bm!M{L}1+ak@L#(s3VX^Csx4I`x*U; zXY+&q^wP9VE9GF{;cw4Bym|IqVE!5B-oy^)&TRrOG6n&<$Ir9xQjTn;%Lma$Vcvx{ z++e;NR5v?0c**w_SZiZLrTw?H4qSQcAZqiry@#dh{+2aIE} zlQSBHaVb7=5`7BeT723K?T$H9?BR*_B4E6W&-$Q!F@DAVXmkK3u=rdMIv5jD92$l` zkGW7BjzLFYE*3{#LSM#26-URQV=-~X@mJ6Zn8ac%4voho6(?UsU&Evnr>3FPF@$1b z209ayRh*rJzK*$3oJ&IIVaUb#1?WP|&Ele4=-Ze(#dq(ai!mj|rT5VfFod$=hmX*Y zF;9xiE6|mgs^WjD(N8hYiff*uYcX}jFY3`RG5;1fG@@T&UKcktqgybo#cl2A4oqk9 zo44pLOm}flFS-xYUpz2~9>NS4kGw;_$BY(#7(;)=d@3HFK!3(e7JvDQp2B=9rc9$} zFx2ANIrKbcp?Gl#y^L8Q6o3DLUd8+@rqR)B7)J5>26_|ot9WZ0y@UB(y!!{u#OxKb z{-XCW2gQd+Xf}pZt(xERNa7JgPK`^z(-)|iKM;K5o|s+DDgP59FrGzrF66ndOfXtr zoC%>k$hCSgmo{8S8oI80cbogCij?TFc1!;Pe86uKs@wfge}s@fS(Q6vSNEg)^7!@e zjo|$P(4o<1(>*g&h>bdFQemMx?@0mx?=O>&_%X%r_4OD}2qvp;Qp9n3yytkY&REN| zxSN|p!WJt}Mzkd>x8o?kPc2vWVToSl(+Vj51MYpzgk+=>XozQ2r4s^KY$`Dr$?Dzb zHvN?<#ARFAn@sq}Ou=P=F$!v-?JdX|0<$LIUu#{yfm&`&B8u*KJe1 zK_K?Ia6mX>gI2BQ5L#&w4$Vy^x(PO}mx(q+17Tdfl*3T^X*JQX?S3!ITC*=hsJ@o~ z2im{+5+ZgnhP=Yrnhbq@k(jLs@{8@5nyTEV_iEn=5^Bl&rj5E}@xXqgeX6OYNW%DU zZSOvt5aT;^@U5pMJW(R4N@Uk(pBCx5fVh28@+7&n*TCpqvQZ#z#E8TANS+`M#|jy7 z*dp)9EY#b)^za?h$JNbiEnKzY$7?!yTgQpCNwe;}8{BWWVzK!%5-d%B&hzIk#zF{U zbfYtYBfipO=Nf2Hz+GAH`E_7@5H9Cx4dxaa5nJ$VBcfs2`6^}7M3 zM_ku-VE`0Xf|l?RkW?kxlE5!E!+*16J5?43!ygCbAWXyqUmy6s>-Spn^kCW0uA8R3 zX5e~K&TA>xHL|B6;t$mk-iF`4F)^`yEB}x9G0YWPXzs(j@T=ku&W@@eYeRl%N zM&ad;e?)krSV^9?KlkSMa)KwH&GX-dipJ?YV~l%Vc%;Vkg0M%q6Zqc&SDCO#pUUr+ zhC9!7dyQv!&$hrbKCl(iG`r416u%D%AEYbq4XfdB^1P9VVZ8~C8|RtlWBd8;1zpAj zBO*->;c$cBnJ=hlu`I}6)oJp8AP1X;9~r_{Sq4GXwvIY%d&M>AUlN3J5932#bHF*@%U z?9EVU?~EM(>M`CspMTQPJSpvtBybax-JeEGwQV-&ao?q*uG-^r8aQAVXM1{tbww!b}>1mz3fp z?6(2afXd%btA)nDwCg3@4)#zVtS_$~`}17k(WP`wk|z+8TWvOpbKF;QQ}AeRh_U!WEkAi=i&ZYe75pQquCyQl~5 z9E>VT8ZunotXtVS_{V74_Rl=fWz5FbZ0;l^ZR)>nij#@>LNuXg^q=x9jp|UEMY5L{ z@m&k=vBd!Kn<4utc(@1t$v;JSY3X$hyo5+9ChH$$s7u4vBU)6cQT0Q?JXzIRk&4kY z;)2@}n|{C!rKHfTb#AO12kRv4bT-3Ml^xdGpUpiPNJkvedpr4wRj;9L zpO8N?t9Yfw&k^u25*HVxNs!z| zMN!W=LQJPt>=BCjYulnP9eja{bpm-J3fO627hn#$TjNyw#5hR$+V~96S4Bv7T9>lf zzId~uN_B1Xg>$U0`^=8U{hw*l(TNqwb{iAX)HKs%tz`L!EXDiDt`*Pk-${*a(AP#1 zS?x(#qrA$BYI~O?mPq0XW{&>wsGH+A)L?izVH?yp)8oJyD!1ol<=QDHyemHuW!Gm> zzN?CD!Zn74H+zdE_UUT@R&SPAdOZsZ(&WRC>dYWR_`M z`$=4{T+GDODs5W+BZ7O1BTenc=Cz582WU>XHh8QB; znP5l`?R6VxvB61_9MbQJ6*Rh5?7w%$@R1l54pRrr zN`pE$kA-*QwIdyKQ9JyNr$nSUz0&_({#s`4A+|q7Pn$?|xth`2|F+)Ur4KR>ZeFJ! zJCp>v3qCd+Pt&J=IlOoazI#MhJi0qgvW;PO(w80;T~;_}F=Et9@veU~YE3xOp?4p3 zf!p#nj%b&-O&@gKvzbJM8;gV)P$$wyH;(>B0FU625*OcJ6f>~NSikX5jyFz6J$0B~X~Uh+;@Ye8ZDXOS~PH0GW}k@ee^B-QlEl(=rp9jCA)ia}To z+pD@4Q0Q?2sA20UpV=A#kM;Gt#`h2jmqv(P4ukux@0Asa>AcnfFB2BZdh)k?izAg8;O9`oEByIB7yDEiW(>Ok#Dcxa>HrIf(3 z2g<39&bPKA=&m3s_UFzLoDREY@ktBxzA9(DrKq#~diwL?U44I}+;L8Mu45OEaiqfO=(LVErSMTLIlu&kOxWzaR6p+rIvBw!;6Js6) zDDF16-dHvVN_I*v)IHr>_29OuLQoNkmEkyjLz;M3HWIA#DRoU85iTLhxq-2Bt(w?~ zSj&q7hx@i6S%g>?0wBki8~NevoVmKa0bMniGr5p1frLKM-YF?M?k=hWVmK91<)Vc5y z+_6;HrxpgI0q(p1t9<$qt;uUhXI%fS;4VR{M^f}Xq2a@hehT*o%d?b?{!%Rgxjgvs zaSfjuY=|d=N}o9Z5!3fAnv>PoaNRw8)domAEL1o89uRQ;vS7!_wlL=a{@nkJUivdN zuFAL9DbLl>rJ<2TQ*#{z<&9Gu%=(a%dL41*K+O`GD)}GM((+d9k%qBy?`NJ`SA7u& zna?Lo_jB-x>9qZAI`Obasyq7+9n*7&lO7(YS-d-2$o~j?fp3dK5UB069#!;>xgDla!TLw5+!sB4nPe{y55cJtfWHT5 zlFDlX3g)3o1;d95w)_KvLI6J9Ug}g7Ax1FZ1}eC-s&rYQ4oA8B-a9H1C%}WOpOj~Pum(U9%n~BzcMs{C2l;DIr}%o)Lw&X2 z?lnZ>VdAApZqd+YYUuIchMon!sqP-1zz*f;sS&HRf#2_EdM+|RecN(FZ@PF0bBHV3 z2R$O!xj%OwdMf4orKc-%%*{dl)`J`On4a?r+jeSNMq*g(?Zez_GqaR1cnRA^it}9q zKG$zzcWLHOx7?zdCit@2OORZH@ZuQV+oH1$EAu?5@=z6VuPsvWnhpcf)UfFt8M!6% zeAih&|CzXOj#?Jj#bcJs<`@BPO*DWj#z1yn>hh6fAESopIH}IG)d^qPE1tR0gc-fr ztf55?V+H&+hORwZ7(8{?+#YMS>pfK7W&zaRxaW~@ze+IU4C2fZ^TvI*c&us2+^&73 zp{Q{ru{pV`dvgUgp|iXAd3itBcMHNr#c-7coKsq(A>yWEIP}<90w@D8W-?EA?9}mt zUTEk~lw`v8@*Z&Rjwaq_MjKg2GZQU#!q?k(s%LM_JYI*-#UnVShU8mG9Yyi1A)@XX4j@Ql<%3k1_y{uAY=dVXy)>>BoU36!0`R34D zi1s&bn)V26bZSb7kY+u6r|5|`X>TxAC}UXl$`Xly_*mmUas8BrhW(YGKG7Sw+)n53 zmB(1-$=J=D%MI2l2|E;)X!i(-GpG!jFjb)?_DpENhzao1Qsmmktypa?vJk-@F$ctRTI1YyjseVRb<3 zyj`?}RIU`jck6EeinTrs_blQvxY}f_LjIEn6#Fb?68kn|!b$^&oEFrFB@Lkm#8lKo z?Hqje)d=|^AnvhGCH3C7Zx2Z4148eonBA6wMO-QeF4AOg_gCBT=1b}{%NM~LJo_#_ zJ8HNk|3%vV3Pz&blyj?JV*L^hV*nd<{f@kjiW=QR;HsVR5pHe)K6RiMw=%_rAgNqP>D4}!c&`~l2EbzpLxH^iiXsEXjaqCCQY zq}ca?9p5(Yx)Nli`I1ay?}a>P)9r)xpgfuSu!|wM@<`r!&z6)ej8ZZ8X0xmFgouXQ zlE@#6VM25plW=)L4Oj~R_r<^w_Bz70jZj3s$>E(ougkv}sF6rlN%D9NqMrLIH=jxV z!Z!&0h|M@M&*CVg$q3$vpaE*c35uNZn{N~o2;$o=jDtxAni1CUQfG0_gJS{mc=;iD z-+COLCitU5sGGV40sc$r=tFHicRl}Qi*r-yk4^?clK<9CgI;9Zti~`9D1COAN!Q~P zcr!^T<_+;n%4#C{ib$C=Uytg97W>BX_-^#k*{hCh)pz@qp-kdx-(ecTO!yW|6|tJI zZ@BNpC4B3ppS-{-){ugYk6QH*s}NlU!Z{9wt2#q{zMHEutn@Q=g;J|5bg;#~M%#sR z%Bwr)F;c5r3Knt|&1d`8-tCNC2vgoswb`c&vE`QbQOw1vx~2B`bawvIemjVRNDwGY zICP8Xty^04Dc(O7vqP{BP(dzz-?_g7e6rtPfcVg-umu12P62oJz^L($9lZ__x)IkZ zWj9}*mQ|2lvEF~BPoUa>l?CbaFO$DO~Ds52rgWcgT&Yt1|Y%S>~&}+Y((;dw^5Ct_E z9W*>%>?2j&Ay{)9)55JeW0q-Q)*m>jwIOG38lJx$`e!a!(~oql0mYV6c`2N`^Y)R~ z!3K-4Ck|;)NAe!!MJn#7-LCIB*|Mj#MHPb<#Kd-s>b%@4hs3>h8)f)X>D9y{8=RJc z5u%_0@6-trdkL%FeehxjU0btNA0GD(zel7n-f9}wBs@*{2V1EFn|yTsxhS1c+^AGQsI_!fEWB7Wc~qQ?{mw{ntPcYf>`m>FZB~e zc><{UnmeGQJ<_vJCz{%R-HUJ{nhRJ54CJ!W0Zj>Ka=kZ(HWnaOHh}Hfyv6EBhFA_4 zM)mu3O50UxQj)xqf{3-IGIGEd?j?2}F>)>WhPYpwKaIb$3j|E9|eNkac>jSe?Z#(l zp`sRgvs?Y%1V7_KZoM1RJyhP#{d*z79(x%-ODr;e`JUzMEo2Sb@o+>n)k{*S6Gzh% zGiMmvulUn8mL~vvlM~}E{Fj(ly$BO~Gg;FdQiwEOG%#}j(BcK*T6Y8GTlSA#JZi9g zBQHZU0EBf~Mgw#O7~&X-oJdyJB7}AoY?dJsI}{?sck<=kw{K={?5YT<5%Y0G|cZUp;4QLv2pfe@Sgx z6yhYtQF>+sC1^E8vcmZ*RSfQFN6p(ktd19H7`$x!zXs0xpX$ep<9FZd-ivEoTduvb z%1p9CC0u(&_PqAac;lvUbVt#>XOX z!jiVR<8~D(r?R-5#Nc%i5A#g!c{;m3#96a9{kK(+x5C7xKd5_{DeSW{XFtE*uw;WZ z@ZePYc$Rf5D;QUxgJ*u$*!s@4@x)dR}bAA_Mj^*&t>BGDfz-RJ@M5_Kld?s%x{6`*uQkpCOi!C(x!xS}8)Td(%pk z{09f~T+O2@*q(WV!h=pLFvYgM@~E=yI!^vf+~d(|O}GQDjwk3g+pR9=UQm}$6&9(N zmHw?#Yp|2E+~D<_(&m#UHqV1f0p%hBO(rR4F!wueECB7SIF06w%@b~86mw@Ah`X#S z5b}N(`%h-^!TH^-9SIHYpORA2K0V-+Z)b-L8k$`YC=N@!?A)0i>L@V#4hnt!wxRV{ zDR~o7x`jaRe;`#|?98-$$(>QJbBNe6);j?2RWm+~flGI@XOv>(8Sh5`unAUJwnk^% z!|WfQt;&@F$8ycH>5ovB9#6&JJbr;9o|tuea_r=X;E7sqyV#FWu#B#{43p5y+hRib z{}!;Ko|lDu%CybPPOn0uap%!lV}rRrY$Ia`*^Vj(Xw@y7JM#=F_jAT8O9~#}P~#u$ zYdw6UwclO%MY?KzQvUZJ_Bj_2^<#yMszON|ROU`PxW*e&Ni3q_ zq%sYueb4-L&awTgp5fBe(w$Tz6g4J5cGWu#P$@`_5#SnJkCEf3KZm>XtojYY?%LY* zi`yGA+ejrcKljZP96AR5NiKSl9lMe_+O#;RLZ;g68@;CwCsBYw(B(6&@`U+l{Eh&w zH^B_TV~r5WZ@4(Qy*ELfg!G}2!^e1q`12uo9=H}`ZAblCnL%eZ zoc>H>be|j^@v0O&SL~?aW#cgK&ZPY#h9s`Lo`kS3oQKqhJ4!X^^ME1VJoWy=Y;e|Z zC!QVH-JS{8L4KuaZR#v%vnX{O9Wn&F_iY&R+hhf(nmDA5w^|x7_^^Zf&=Dj`Ea)gX52$N|mEX&_vN!89i~L}4^mfV@5<$M^V&FjEKXQoxK(zhsNLy(pO)E#%#{|L zH5e_Un{p+#PKv$RAZ3;K5JAgI7Kxu+n!CgfqO@?^hpk~FOMebWmiWg8Jf1fIHYrl^ zryC^EQ0vNXr!u=V)`=wl_LPY?{(xno10PK5_E%yPmD+*3()Obgu@nzyF69wV0T|Mu9fhSy<6K)#hkcj5)k>0xr@Up%&~;q{q8$loE+!+E3i_H*Qc$B{L>@iRN} z!KCfj+zy_BSw|9GQ|>6<=q|wy`sUXS|&a>#B6rJ$j=(8beW1}yY zLdTZsCSX-70`b~Lm^Jr(Er{?B=>KMH>p%1PI9vK-9CSf@l&(W)j936R_}WKqSJ89F zooWO|K03UuLsnuXf$pvr_HA8cl#VM!{FJaYDFmbaTO1wmCVMOalM8S8LiplF$*R;i zCT!(iPnIRnF$R1?_2NiQy1IiLrP2tL1Fbl^L_KvubQC+(G*li9ftBy5(U-jLx~%dB z;fjeN76C#->I_QFo*daROkBnZtH76ZeA4K++1kCNbHE$+fLikLhi@dx7IGG9wxW_G zE>UV1&X+bxia3ktSV8Xwi6kJBC^t+u$ubfzKqtvMaqgDYludotQnwhXAo_84h@=i0 znh#;(v)m{xev){rpNUQ-$~z_^==y8uk&Q6S4ZkEF_4J_(Ap$ZkLk<4XXrFrmJ#NED zw=d-ZkVbr*WlQzz2V<#>=Yr`$P%I=AF~8MbraaG~)@Gb+s1ROx>Gfg6^yfUe#eb>2 z(3O^ouFnRM-=$?C${`Oyi6&A08?g}MTI-ITZ<-KD#Gi19T1P0pyW+!t#-5}MGT#km z+OAGIhTrXVUb5F`LoHG6=Xo!j$xwTJ_L)?`uj%mh{^HBC)s-`H|BhO;tQvT2dKmep zqH`_z&0pwHTaQLx`KIE+<%p)Y5C~`-7DMqNrG_fzc!ZI{AktAJKQJtk6zS{f6Sy4e zuS{1y&z}-XNgxIP5RW4T{Dwz|wW2&jeJH;BByF$oa1z4Bo30wj9vdaDuo~%Q0iZo8 z`;;(m&uCw$^HjC{o>yRWi04TIlfg1&E{_64`RPKg{hEgfPPRv!gPug`%bo91H(m(S zk1^_z2VXTtJJ4yud4>P0PDroTN&QDNn-HuvMu>27suNvQ-uYG>Yapxj#>mUN3GT#- zCnP9Xd@3PI{%y!Y>ynPgBwtQw22eTVj$4d6bKghg$$A-U99a;Bbp4%Bl}AQ!l@B_E z2qvfMW3bcmmSUY8%~kE0wpiI0N)-w0mXIPN+OLq*@3cdB^U1V48H6N{jQ!>2cnnXk zz)|L_3*7HfRkCZI7rm4oj>Zc4V)hm9T;m$eS|yA&3%iXwWG=iibTO{+bUA|pP+f2J zL$(`vAm#w$!$BhgwFWjqplek>n>y%lCL27842I4dr!maD&Yi`X_pBFVbNT)~{54z~en)2WKvrvujD?zByd$nOe3ZfI<6>*yVi1v%cKKqD#ejuw8(Or-@BK*=1qXAZAeA*(d8yBfc%;qbU- zs3|MCE)$~lSG5hFi|3lj_G4pTYMO+1$FC|Zl;vmbF5KdbUd!q^dU-HIc`_%MzmAE3 znCRWAlTB?+a6e|%i2ca#AUmo6BvRn$^Um&K@BPV~tDhgu}XtSC5u?p7TR#?~dbSLi6<$PF)lwdP8O2}T|=9hJz zsG6`SlM{Kg!PbIm*tdD%rH*eqNx~=&=<;$iZ}Be~oq>G*A&cfM3St|T$YQ}wU)bA) zJFNcpg#z`8s^=u$*?3E1wC0Pkama;Yd?~Ekcr1Fcm_wmdT_sL4+h`scWpz6O?tb?5 zG!KQah{Dmga^PfFRHvy;8$yZ3NhvCVe9&rH1^fS7{=+oNzD91<%UuSuC&JkNfy}M! z&WiI&4fra~SnNi{E^+4=yoFg*+sIpWe%J>1!hwQFJ$bJbAj>Z?+m(Vk^Mc8y-1xN_ zQDJ9MJchJ^jOLbLx!cqPTb5 zRGRBidjX_CZzjjAalyi@#4LI)+*^)bgTDr5WDmp1THcsb@J-5 zfZ0xqwgDe<`e*j~P(Ta?9~V@h5sI)MZ{iz?tCTNQ-pE<5lza2#@QmkggnGv^pmoWn zHS*sL8UlH{E+pbP@BDeOcmu)F8U8 z7vPcg>%+Ou;8W@noZ1bi&mRSWe}nKHWGCCaG=73X~39xaCdledoRJCrI093r#f-R8tC0(B>nrd z(aUm#_Ts$wRr0(z1--=i%dUF%SxBRtQg55JK>>rrG} zVa0la^CeRBrqsM&$A!wNa9!*ZwIqB$80mVSh5fCqD`m>bFXQOxVy26xWTXk|j?& z#Av`Z_j=l|Pv6lCu41_vgrd2xO#?kHqTHcFsec)r?sH{`pi7vUsO{K&YlOmgHl7fm ziQ{lwI;C8M&GYS1G_S?iALgcc1ohRfE(xLtU9 zs}Sw(^y;O}L03vg&g&0lncui51Rwh!-m(5_TN31V<-zjZM!&U1-C+Z^{EgcIC18(EZR-8-lEblB`;@~A@RZwC^?M1+ zNn?HG$ZZpjzq4F!B!_U3aunBx2tA|DaJ+R#yQ+8+M`QENvy(%PZe^dy2YOL}Z(bUy zs?3ix+=F(_=ZM=iHLK(+^FkWimBSSN z<&R(G6L8>5VNNAd^8e8sZKCaF9>U_3N8qH)KXbhcfAx9a4(BG=4t*(2Bd4wPNAKFaR&;Xh49@4QZm_4v>U zMhs)al?_btS@|IS;*YeHsj1ew2_PWw^%)SzB_<)jy&(x=K^-G zz&@d4@_$nOpBR_BN};v2X6@ZpC+y<21^UDNGPci#`ebnMS{PXBlayqNVDCQ=C?hcR z3fdve>r_j;o=l&3Rt?~j%>E(m-h`F)aSOFMj4U0cuE|T zC~Qh`PI>lA0NQ~4v2}FKE$=-Lz4YZUdOgMy6N5ZE^ivV3nRwml;}bkLeS)bb`{2+# z1BH6qbhULVH@Aa83e#@t5j(qdq4+?%YPh-mc8bxJcf7USTF8dA;2nm~7SQ+~wBRU_#?5;$Cgw_QT%rO=(?T&XcfzW>3Jf)h9;Nob=I}gY_0T;J$J)ed zOxm8uD}ta;*0kk@vBC-SMVa<#yT=-Y;?IR8+Yqz!_S?AC3Zb&s89XMV_HFY@Po4os z;=3dPRHMnpERvkvRjcS=%tq1cxX4;96%;L~w454|J+>8htZjh5-3^M^EP-8fj&)NTMwp4}!e}}tR2|1Nbkm`Y z-N?aDENvGBI%tP)BWD(3s=H=&0K2^NE@`Y~u2*fUCbLPwZh~S?CnR5|(p)vx;KVeR z8~kmLxZ@)x%rZOtBrGNf%8(>n$-LxaYEZJx~P7l@LP zOi(45Z4(}zDPtu$W0RHlnuE2s+^-@fE8ZHdwt2O;l0C?z<6ZuwT^I~A;^>IkZb_6 z#SAYxeI(V&j@8L}k90#Dct@%D=kyU05qig6xFqfH$pn0jMl%p9U?F44-N;!CG50 zyIo^+hWz)3tynzJ}URGw^f7Qcu{j)qOo z5XpJhc^8NZeI?5s5{S`zme8cQl{YAJLiuVV9Kr?`(yq<#(dO^Y?h*FF2dr#}%7$1I zwrX-12^*u~{e%{#2E8^#I8MYPE}Y3GIEFq^0bb%6x*U-UpQ;?CKCKr@G>1k##5|v)+ZW?67%CNki z%U~lT>ykJ55oAuQV}xG=nQupTrqr)VG9PzR+|%1MifGPH<}|e<=#W{ybiv3jMTSS zsyUx2(aZYPHzhg;0e?pB)ut{KBIgCkzOX~SXiGA-CWHfz(jrnKW4xndD*yW(1u^9h z;G;4UmBPc2uG&%bm~c#F2s-rZS;1@{#dCnF+KH3u8i$%%+B%+I-gJVWV@hC9FeT)N zcz8tQ(7CXUxcG#sEBAHbkni{1vG@+if_4F3$4T%U#93_OKBOlTb|4Cc+<`cz)&uZ1 zf5-$24QTFgl2<7RW2H1s&LU2ZGQ0^c7@dUX{3624=U9Lz0j&BC9WQq3kpRA zDahaRoZVA+EFgJP2(Yk*N<+Cp7jc}*Ib9(!&{g<0y9oZq=>tI_0d_9oRs>dL6#Bjm zDw`p7a6I1_`n(kd!*g@QgQ^5|Eu&LvB8T>}GQx5Y*%_c4!v_}u`3!Lmit^foJx&Q3 z5>XArv3j6JeX}^6;)MwCX*5*44cay6j%$WC%yKv>HYmJOsR%QZeQ+8)Y0fK*7vbjJ z612TwA=qZ#e1>xg5R7z+N7eBw-t^dV*{y#EPyZitE delta 24298 zcmW(+^;^@A_g!x=I!1SijFJ|R1{Ecxr4$rtVFQ$SjZ#KqD+VEoAbtSpiF7$p28zIF z6gLKlh+`7l_x*hD5BIr$z>V{qbMB{g@bo%Z<*cs{$P5GqK|sHSIGk5J{}ulq(*I=$ z=pwRx`}q6i5*2kt1c($!@F07*cq{Nip0kC4ipwFR;dEGq5 z8!hC;P*Dk&_dd2-gpI28G^)kP6i0Edw#x9;Sojd({6FBY=%&2oH9@b5&HiE=w`d`2 z-w`2S+t*D{5}jIGPTclYPI-?6k>dXX&TYslgZYE)-ybMs+B`kjc|pSs5*G(v^t~Mf zWPCr=hq*o3M3po8udsX$nxu;{Q8FywrVLXu~M`2=rpF%R~36F$+y`H^`LNJArL7m;fz(IFM$U7g-&Z@Sw-M(BQ=wBoa2n<{0Ah)W7MJDt>4IBe@H9 zAkq5-XRv?>0x7PmrG*3dKZ<+(%*OQoWv@tgRov~XOQsTRJP zy|^BA>`Og=xW?ORf9-YjMIAT(+?k7}Mtk_a;Ji3tcd+W1YSbPQkm{W20L|E2p-PPx zzK_0rFH8sl?`?;w4QM&%K-!_Zl?b~ z;_JPAajTLBOXg=KXQYA>v2)A*jgSqxgSO5KFD4^7%O@Your+q1z_(YR@4~o{0trDM zQY08Vu%0&?ZchN&V*Wh7om>3ncVgTTOsK&ADV;_0q-q^KOa>^Gd>pjqaMGSi;yycPIDMZx zEgnO#fGlWb_8}O(TgNqe;z&C4xrkK8uXJ{&6i%!_GW6AI4Ju%VGYC`Ztr{}jpcQgl zGUZ%!FIo2ZuyaOfQ{Qtaujo-E6P!P-Q3r5HR3Yog798KS2fz0k?YX=Gr-}P_Y=)>) z5kEtWE?V|oZewjg2OQzqdy=gn_yLrB|13Kmoe?bS*ELl-XH+WJ=*M$e?V=H3?1Vhk z1x!X>P`=-TDkV_wU(f=T!!AcF^0|c+2+eRXTzy9$De!h1CVU`=>_cF072t_50G7~# z=%%Al^^EBo9`^)g??>g2b+_}^dv%M|N2M*BFTVc>J*U}~*8rPfDKq)axDsq$>Di}E z<~&~KfcV=E?+{LBzp_YbSSdc`+~}6V#3?kI;)U5r8wgcH5pD-+n)S6HqBR)htC1v{ zna1y^iq@72-HJ)gGiwH%4hmq%Fp~TvSY5dP>Zm7pxrg!A>zG!v4C$mhNxX`hy>`lN zyPzbI;PR2dMtFIivbA%TlFID=0Q2M3iw%Rt-CYE!K3mq|Dn9mr8oHmm;B1Xo6i9bm zSy^}{cwWr_9f@4C-u-lA#H6K1!9MTb*vRD>cfEn03kF(Ux!;_xK3M?HmL9ld%a(J3 z7H$i(n5skoq9#^3VXD_sh(CKKSGck zNdjqpo%6M?+J=apfbuuKm!t0d!xrv4@-9>hTe=4aS~W8~@TSYM>tB<_sDec6_+Sd8 zAVAVuZcDv5K;d=OPiy*6T9U5HJ!`{)rWl9^gt9qK`JhGM=@+m^A2BUbf9N(}^bOP* z)z@@l|C}yU&DwhdFA#}2S9GdHofN>6FF3qf0zyL>`HM2ZBXNB#@jvE_xnfi#W$Ve; z)tW1Zf4|P1e6Q$vQ54#RCfoF_Yn9B9w4dna6L=?%I5f4A?#&wS$7}T>YOM?N!@= z7$&yo-?#gJ0N0C5!6#Yz>+0U*ysGqSh|7@vr-w-nSzK2+vpNfi2j$ zTxNNc+M@t7uv6P=>74OqwDGu=w4LmV%nEdmq*C<$=In;~bPfL8)48AEP9+8NL_=TXoVSmLU-KuG$MV}=m5t+x{=(&#o{vWp zU#rgPFUr{&ym3^wzR@IYv(bHoT0bDGlcCuB#nIWh9io)byj47T#KDkHjm{W8j#*f7 z%?rBl4|Wu}Ho?8tO6U>TnOKDm8hi{`K+Xf1-$172-`a7eeUqgiJ$RZ%pTypG-BZ6> z@3T}m*&_e~FOp5&OAx3g;Ej?#61s`Kg-E=bg6tt}V!wD)XHwb)Pr2YBo4 z&3ZGwXwPIY>K?{;c}>vL2-N9U53e$2Z+JK0Z5y&LiT~XxKL%;=Id+UUSW|1R2`vBH zOaAEcI+Qn@V{QdXL7Dr4SO63*4-()Xz>1;d-XGX^M~ye{xHe=Rgs1pk66G01YENHO zVUSi*3p}Yi7(cf~<;;9$dCL}n4qz5p%&KOWb$@&*lyGQ@kcGv?l&FE3F}hHkRjeRl zufs%bCRqm)v`^*XlCG9F&-j9}tQ+-><^=3$nnlFhSe-9{$5@io$5Z8FdBE1ngbkB; z(C#t3;9KU`2mFylJfiTB8w?+i(X3#9;F9_=WQRhxs<#m`!0*0A0T0haOOGo|`B5X7 z(AlR$Q*CZRew{v7REsAyYTntrJxgXroxd<5c$cN5Y&Llmorvi(DjuRI4o1kw=zi-qh@0cVW zRoO}Er^|5$K4Z=+T&xT^mlVixzpX9uMjo8`(vyBBDf1t~`&E0Orb$oYX-b|)SEE0|gp!c% z3Ros*4hoR`Mb6$Qst+?cc0A@voH!riJopn4T&J^^4P27sE|8C~3Xigd6yehm=WARE zeP72PQ?~GnuCGP?yhLJZ8Pz5eV+kGXK$yuNe3@LR2RER`nB**-<;!b-@EC%v7PXtPfA}l*B{{c^I3ge@pVxL7!Bl?uR zPtQWGsANSzP&d3gFN`(swY`LjR(;wsnZ%nP{U8UxP2YRz03JMv3u?|hrio|~6w}lJ zl_1&-8x`Vy!mU{`oR>XrMlZuQikNH9C#+os&FeuDpZ#3rJOAL=)HS|)9f0Lxg3WKZ zxoyS%BTLr8fyq?7vW%Jf#uR0l`yjz3Pa6D3E36v4J{iQ}Uq+%Ifth`$@eCX7^Bu>a zD>BC#5wr1MBN=q`%E)NFgHQurz%epshc3hEzzf$FnTjw5Q~ugjw2;nAdO&1ebXL(N zIm**tM1nSdXP$6snZdsRet#pfZu~2^SB|n<7rsxwGVY`wbATL3m|Z8fHgMrOWU;$L z;07k7?Q|EX#954)%7m(#3{?osGlv>rQ!@Om0oj}w^)8S=7%vSof$11hoTCUN>?Mbfyr1t75Bw`?+&m&%|q+Q9$O^i?m^BiVgQG5nUaVv7K?f!J*Axz3&wW-ccuU zvP**sQKK1I%tw!yUEe;B1pyz}Qzio)t_#^+-9!UE(liYA2Z0Ghaq*A>E<4CmzFu}BpM*VOqT7>AP^F63<+ zmH($2YWc@YPV3#qDf2a2jjdC|L6@ubpa}Ma?y2&M7%*Z7nqa;1*Kix^NYqR?up}7t zI&vA?bgucyJ`#-J=rBI(c85f~S&2T$Xsr^BIBpDVK^Y^u-KogR_k4`^j1!-H&fM*o z2i#5m#R?Ktn8@$gqrBX3JRQ2j?zV$_T4oZ0JO zy1TZ-7$f8QjIiaBDg>?_tGrc*cXG2K%t-TbJ^5PS-B#PYk(j7ZzEy}gg5eo+bjD3} zXz-|K@2*Ux?;pOOV@#=!>GGTv#YCO91km3m>J~pY^NW<7trKO*6obb!Ra+^|Y#xr- z1xCG_J+y5$19DvXuk`4ri{LJy+>9UGdVUgCaXfEd2%XTh=y~GgvaJyK_~VAd(0Dd(=B{(~_ds8#*o3BAh;kmzCb6 z(G@sCmv(p>It%(HR`kN8EGr%WFE{2WE5qs8ec@t`njmnn`tjK9Hj*AD+m`1kd@pS1 z)sCpelKLnqgWF0b&kUP=Qe{fGE5~FP>9$yH8CX?~!eK0v!{jb-md>C@zxa8ub{G#C z>Tw;sA)=QpVASonA8qB3_^DI0o!{R0;ganEM$e62smZ=JjQkEwlLO4$p{dQ@Ec^|s zo17INpPxFEiZ?8L!5@=(!a6AN>qd;@wn^_)qOW-R@}nxc1P}PF<+15Rm>BPojML9L z@bW=C6I(+)4<;Y+2wd^&*koAUQvh`vM;;4TFBX@2Blf&zKcS-Icio>~-7~x59&^Ml z(}bjWE=)9cmvy2@*zP1SY94v|aFm|PNfG?1Gf8s&K+Ks+$&-}US0GzuC$RE|Nf4qD zKc4Iod?nkZ%Hq9XQi|Q^>mYId?m|Mq*8f_VV{$yEg+vQwXWdiQ@>!O)XHV3yF~!vc zoJjpx(h)83QFk|PXUC%hf>Xzce_(@~gF-AZ6BZ7gj_0xKZ3c?3Z2b~F5~CbY?5>;p zuH2=lsNqAgOSVg{A?HK6i^Ah`wglnXYetG!Eze3>f4maGay{E=~^^znL)gBfx^M~YD) z%eo`4iO(iRNC2NmkbnUPV?gT~5gcN|RZWq^`t03TjQifv$ujq=)hyKP_b%Y>>E+&U zRP9epQq4hrDzsPkQi~YDUsq)&tEL1+lzUx%F(d?^QB6Q+kq&U!C_dAmuy!6F(UZEf z-F%8883T+TC?YSq;O$IB)ch4Bu45_3TClf&g7>-rP{zB(A}No9U~j(+pPM=F3r{TY zjKMIne^K`a-~{M2x3BR`zEBiOHe+fj4WnsZH=Nh2y3mn}G*j`qic8zcIV)2TW_fQ( zXRN&IJ|}>W@eZV&R}k>&4TfZ`Tb>c&{;rg73k*!`(6nu^E$@oAIOBge{443f3P4pUM+xYkweUg zU8dXabE+Y@Wf_4a1=qClMOaE8n=#|jibQ>CQB?4@yz4WDeu$Ky{Ws0uB_oWN1!+w%k3n|>!DM-6<#=eU^3re#poR%`omEkiMH?UcSd*A%f zb9ic~nBz2S#HKL5Vp{j<^7s!rp_5zvBzaI?m}aTmB$cryI}MDxWwqE#az^_E-oJ%yJ=v^(5! z;}_2^D)`BTelM21@jZF9Ua4u-$5=dSCHpY{$8Je}hdAF$MFC@hau0i(aw2{|Upsox zPHI=477pPn`a>DA8-1uE)eRh-#hnp8vXG?{7jL#^k{EO8iFtotW$eL)zYz)fcIj9Z zaCou?-(XTjD>vm5@?Y5Jfydg`PqlD1!cW}S z_1=Tjsn)#880dV#z;mWt-WYexw3!yZ@rqo4Fc`dkS;bd+`h_o_%@x4nId^TOh1$m# zh1`J*6V=_AH>!lQ`!E#!3a1J3gL^6^ph1?}k52mf6*&V5I%@H4pnt8H1jZ^Gh@YBi z5T>5Wl>^Me;+4(L9LBqwox-~!>ys@E@kb-0nW#g3oHhLt=-D3QQ6dSmVi7ig4-Q9D zlbH7iy+sRY_GY+vU|-fO5`V_D?6{w&jEpM5NP*!z#t8A-NMNDNuH$@at)eBHxK2bX zgNPm@!C6XybU{M9rM=W3iVE#>%V~vKV~~1E!fhzRTAJ zj&Yx=RMLTIx;91{A}Wu*N^fnd#JL-7lKRH?MqPkD@wm~WEDKXts&$xT~o z)_l@0<=7shuGhWIezQwCg z$X|~!4DPq02(YQtTz*WFQx3o9ZN++{Qb57W)X6(Zhj9}M2TL{)Vy?5YM^Z8^KP90u zfrSE`R|j`^tHEz~w8HPY&nq4jQo{L+wtp88a&JHPyeaMkYyH7#4LLBD3wG<{WkURr z?B+~vUc}&6nC5>(uaDfENQonP028 zBb{QBT>clPc{$hbA{r8Vv~Ug=M@(k$F_swF!Jg>&AEAB%x*$BKJMSVJ+}q=`y3=vy3;ceY03qyAEljGEi<>Wo~#;^T>1Flg}6{487opL>?p8%95N;4YZ^A`pvLBmgZ^9@4E%C=G7DX^23m&^-~1tD7D(4GI>j z*1;~LG*OkVRT4r{Xpb!E7G9;?fS?;vF97uMuTA^Byjn~_ATUoZGwjC(K%W!VDc@&& zJ*uy*4V);DoKS(yIcJJ=^Y2cFc?yew%C7m{+5fjy+tr)VcGlszt7p0DijVmqr>uJ@ zRu*$@|45(fSOQ8BXHryldPu}p+$Oqvr>+Pkw(F09is%bX2xtl{<=RRL7WS zmhn%6ID<%3zZfxnVrUHbbP^HnE^5_>=y|h=kg;38tRpFPVNEK+j1v-%HFJsAFk{=r zA2qYVsh1a2*j|?EFj1t|=OBdy(eLSXUDs(|bUqKBE=Rf%oGxlTbr6HgG{fU#QqcIM zL)$Igdy&hbhb?z~*bX=NOTn*PkGdXBC`kCa4MJyfMu$npHp9NgrlRuBL+;TY z+B}k_iMCer040OtMDn9Sn%5$+v*EJeea&PICnnC!i=r{A1j%m8s+3ZD1Q|qaNf_j# zwvFuQX6(6Yfutl7hyH-x9l_~xNx8+yXE4ya3peUB7uss1*f!Y+gC0G(7OqBip7!B8 zg?)MY+@Z#QLM2&6{niAaL4SLg7$_r`nGU3w)WZfNOXQs@^|7ebBMlAhmD(gi3|Rv;EC3#a&Dc5m2&T z>-8FPyp{3cPap(gwn{B)g-Si+F1Ago}edF$pV?k z2b|%WMbHgnRCd7hFRS2ck$Zs?_atIX-F_Wh#=&nOtmlPbJd<4-zwiRWr4R({OpUyk zi-hqV=Z1f>4vHbm)*3@Y=j*LJT8iL zpClTan>(?NJ#|{eBsD%moe;EPZp zB_1V&1o8Y3)#(pSr`5Wi`lt-tLtdz)iT+|45Ey7uw6m{uR`z)^ND<#|DfF zy9*ozs**ukZStA_7Of#wWhHAi&uLCy4)4rDIOdd=f`Bi1ZtQRu7SCEhk*h>8CKNHJ zu}tJn%LOKR;S>>`rtGX6c`WOjm3N}2vw8*{%A`p*Q~qmE7wMD-Vfcef&w>js9YFG! z{%1ds8RmApWo;&|qD?cC&dO8oAWQmMa;_E9tIn^p(`(r8ZaH;P;Blt|#cWvxp4{z* zLIn#Dk33o_b99XLyu>fFQ-@$A}`mNuvK-zGS~+#LVBEn&zHl zqNa0mp7ZB)^?IUT^G()a#evy5kIG_7iIWj0IJ3B$vbUs>Iqm*W0X z4D_K|qcyt!x=>f9hfNm9$GV%Ky~dZ?IacYH*Z`+=X*!w>PFyOLvMg?JLtFqIC9?9`^KvSX7BS)uR zg|@hJ1jHknhgHRn`~^Yz$>30&P*uyfwDWfoz{8eq({Cg< zOixHjs>#Uv^1ZrNF0AKYLiqC0lJ8LPLA=`dR^ik=U#-!aM!P3Ky)q1=c#_wUPW7ovw;MR41qab_(>6vFDgfZRhey{C% zBvC}>XP|4e7kH|$+RWh2j{?K zEDozXE(D{BNy{=##o|^yl9^DI8Qq^+QUt@-qY{A&dg{H5araZvy)I%>gbJ?7=eR94 zo?9pqr6gR&{&LZ}vqO6QF8U3rJt#mWv3}5ccUAvA@M(aT0q?`VwZ| zs{?g&M++P}tELhg-8PNw*;YZWg_KMH<;Q)J?^R%$1+pN89kx5)k9W?TQHLP(T_WMo z=;k3fYA|qWU4RE1mX|#kE}*c9=Qu$A?y9X6e~zNNa@PMOaI5q+S(jSzn{36eJY&%R zRY>zbYSgfE_zb_j_J;;~^Xl!O2nqfKU_9LNkacs3sm&u(I4jWU^ge}i`Hp{k25H!e zZrSed>z$8_PM^=q4|8sdKhn|q8{ml&Ks7@6%J*;%0vP(CoIe@iF4%JdYDKz<%sUdA zcP}sRd|ph%14TQj5xGP1D5!4~{2l`7`&dkc!yuvXdkCZtDwOLUH`<3MlozU?xjc3Sbov_Z0E@W3X0PEg(j_l!y^=kAc`}ku08qbsc1F(UOLFC{I*o%!JIL>?V>S6z4Ys!NHM#B$_J57_Xb~SdB zdwQ{bjs4_-LF`cDFnQzymfZM}Jo*Xyxp9n48OKgEej$II#C~g>B2Uj?sg1Mbxq0kD z<05%!8M^{BekcD}#r|xhk?Ct#M&mkpV-x$Uaf|$W8~dkmhy0g`-ECx%|LtM-8xP2b zY%Hfqr6vD~_}mj&)nKkgS5M`9qtJ^hpuWESjAI6IJpI$n+6gWR^b4F%vDC z8>%JN4=RoSPXB3}Az9Uq^o}S<_~UA1?{uKE0}SU+2dR<*&mRC9>5(c^&n;x}oxgP)(~KP?L9Kuc22f&yUlmM>RARiSzvfcfZ*iIH!Lb zTB3iyCn82fk(l=~yPx9*ffH z9I`!2{IofFovXLz_);~g^$|g40@1aUd-I)@R&@SMCJXM!c_pgqkl}*jZLk9}IIPWG zrPpD9S~$PtzH>NyS4BSBMsZ#}!e+_|)HuDyduUv61wZx!=`7lR?E(oOf=^sWfO7h9 zGI5_ke3eX@xL&>)3LpD^iVPm1b-V|XjS#DS8@@j^;ugN-VsV0Y1Cc!U7T+D2tYMo_ z%YLtEu_^JX4Hg+OERs~PQF{#ve>nlBMwuiLK@#N+gZxFM*p zyC=&bK?CoFk+*JAj{h#v{hKWo%4Z?p@L2H7wTwmU>PK1+Pog@Q(w`$jt0mY})^_zt z*hocK3#Ymm_L8PCTwQ$f{Iuss0r~DMt+vS^9s75RV0U-qUuKG!yAyDv|Agq5A0h=j ztnfs&pIf{0y8%rT^V@vzj5^J=my9v&lQdN~7@Pcwo6iSq#zc&Ih@3aQvF%~3r8<+# za}Sxm@KHYX===V2FyrqF!f8soL(!^ux$>}dacw$M_xXGNXw(qjCgFfPefqpWWB zIK1>0*7?tL6SLzTIt6Hp0}a z&f5nuN{;0vFXJw;mg_w+jp2{9dulG-7h~-)e!8@kAUqEs#ijn z;ZAQ*;=rw=0kDCcx+;mk&GMR0(zH0P;NPJl9Y%PYkiAp#HJAqOvP+!HJbP}2ozC_* z*G0f8FZ>+qwZbp(l{7b4NmzZ`Mc#?toqExq#ueYl(-MHt;Nf{Jn#*^dgb*bTrX1V! zNL$%|j+jofmZbqbpDS55GG=0{e)`g-}J=GG}qy`%+|_Nj-`FCW9y_4iD68zZYS&Iaec9hEEveCO?$$5k*{0*P^Rz5=&SDIjX#!E&m?|$m5Ac%e zG!EzNnZHfed()s?S6BjEykJt8F51}AtvcuKGkgEc>&ataPi1Q@KP}VEcIl#WkyMpU zj{-YSs?)am!}F;dQt{8;Y>g!}!W{<3xPO;jRV>~N9xi^=vs}U41g#vERQ6vTvcl9&b z9B85h@egH1i^F+26hz+zr$?WnL8Z_FkoEU4ke=$3p0lk+da#riKB>0mr_4JwQX zU=MfqEk{3rX$??y_yGwFCC;SrgC~whsuOix#+bc(r*b^47uM~(sB(MppFjDRq%^ww zM}h3M)T@m5D8`eggRJFFc#bHCxn3jN3dSbb3N>6o() z{mbiv5;pRXu5fs3xmnHy^WX$6}kFu?||HPb`wU3K2_JXyIw>3+2xFSN6 zwKXiG;uFRtf)fe~m-EMoLTuWuc(M6xXQImWw5a5+P}=SML{r^hff}}V6-d`*;3W8n zMXa3Xr8Q*qXD{Bz)<`HS3KML3=(Sr~oQ-MGgr)!srQW&nXH7CMk6aMdKcOkbvk;Vi z8M3f2ldcBsRSK!{{vuC&pXH98F1psdCYH>GrhWD1}#pOmFFu@ESPQy)sVtW2d`TFY#ZgIye0b9V=_ zpgP@yHxl+aIypBIVaeYM3gI5Z%MK3%Gl9iu77EHs;r)0{xOk9EZ!-6DbfwZD-*wsT z0&2Uz-DqM0-t7=Mj>foY&#gFU{VhSDf%|yRjYVo449fid8?K{+uC!s>^!Xg?Hq5D0 zinO1OyVdksOBu8)X2=1?@VaP9!Mm0u$LX=?zwuGjT5wi1g{MgTCuwPfCn-pQC@kR&^>y32*D%&RkUz~yh>54|{iaV5 z4kX30SbyoE2YBdE>X`Yv_d;FNWgaA?hV=;~9~UCjsikij*D5?X==R>Y1=cZ!5fV7i z?Jn?a(U6C{YSS(ieHUiT)9@Vmqeb@1s z`}(6nyB=<88&tqvu-?~xH=yNeY=QlklV>o~av#LLz=HbVNa1pUFYZJEmbvCG10hN2PM~~W~a^|kFi%yaL%g}SaSwZmNN(XS|%bD zG=r*MH{I%Rls)He8l80@l6#t}1YcHWyAcD{;EWbn{bp`d*p{^Dk)0R$BFkbS+1;7p z4zu7BDgbE9To<&V0BhdXqVUQbc{(?Qm((V$QaoE|=sBOb(3ykT%s~!g1r*guCt(W% zCT|(%Xqn~i;0DD^Kq?!#cljQkixpHy9XK;@KGZskGepnPb~KMc^y>(iq}Hy@l>r3h z-Ol*(9@=w@3gZgpiYPsA>rX?SosQbz(4zs!bUMg@M7JSzYkIX@?2CsoX=kL*vfBdydyMw^~~2d`^H}F_AB?QT2=>or~>(c5G$Y0jdk1Z zx4bj+BS8=Ojcx@=j$SI0H>1hi60Zsa=Vxjf$`zNb)%RjwG7n4SBr~lduZlMzI8!C! zsaNo!1lop;ZJN5BuI`lD?lG?X2^s2OkE`oi|M0>JN3}p4@b-?hsK|UwSWMG6Y1nGJ$YORe1xD zWmGS+8l@uKVgX0#8r(Vv@AVI7*l!FwLi3JSqeQvOukqUFlfJ>|oI^IlIFlovS|P1- zX^|!>2Eg<=MPdqtfc0;V17m{W!wAOLYf=|oI3_g^(T@vB=3~fI!Sm%6eqCEIJa~%L zVZU|i)%6QcIYqF)cID|3;K7oj9!1{SMfD-D(z&!Dx;Cd^-koUA?7jK@$_3RDg-ikG zM~BTqNsF$dR&6)w?31e@?DjqVGfaV1@dJAxRd}(aM`e|7&wlT;)iGfMuO7M8Rh%C# zmUq7rz`+E?M0v~^&)4{2>OSNW89^-qXr?-Jx zb7ku#>FwLw@_KtqeFY!I-C|5p&4W2V6JG_M@0CL9^+&k~O0RwhiTcDfBD&-niv5(D%+{P-G$I?*znTTZ&j_>B@ z$Y5mb&nF7jJvF5P_F$>n!6}nF`^g}(qI?{2QA3MBy2JTNY0 zHM%q%+y-5V+>B7wvxyDU*sTD#e(%WS3uXmZs}MF+hhWGIRpc>v;5Yl+F4dqZD4}oa zKlWfDZ_CW_J%LLZ$$Z6yNDAh3@dhrvBMDzYT?~1faGUKXoT)hSzhMyQdsN=d09Nvw zkaWn3@jtdt^7m48-)^qr>i|-TNT?qEekl4*aNTdh{ZZ%Tjg;9ydd;IiF4L&D@8y=#Gy)Lojb}h@H2u z9k*)?wnXbfM)nhS35?F8h-#-7PS2exvJov4ml3YQ%b?e}epe9#yS5;XCC(Y5#WlgI=t#KjPuRAgWlm8|9u6iD1y=p;FDk@Ei3b#H&N@jgz=1<(%i;GF>FUn`a32N8h4h|tyP{(+{Do$8d;9{e-Ai=p?N4`2fW4D1VGGpTE{D z$54L~roT*fc_|-OQtM{9I8youv`ZatS#xr?#Gwd3wY@%1DG?|tZ>=0V+RwW7!by?s zH{5`zlU#Sf5n!&+6CDuYjA<@?%Z3J2=L)zztC2@-P5_e~$tCj^=9npb1mX+qPF;cc zW83p)?ziVce*-w{w#Neb9BRj7q5R3b1CHrD9%Z_h2s<=o#UIVbbO{qxp9&R5i7j{5 zX+bKxAbC9;S(^sPD{2vfuMnq@H~RhnSWdqLisR@=x}I=<^2@uFKKQwPXFq*^Z#t$b zr!|I~HSIe@h5r?}00}(In@C?~3P98Mpj7;bdz7q8z5uxId7Av()b!>7e>bQO3W+_W z9Rct5Y0cpv-^9NxC`;+|gEOmdl~;Dbaz7H5jK7T0g}(29$XtetI%u12^|=E#yb80q zZ!S%DQ%TS620FUzj;H@LSxf)5aBg=Yb7;>J%}GNqSWu{x>3zzKGvha3XexD?0-D&Q zj5+X)Gq1UED7!P6)0{vdsSQ!T3?j%a3dS{c7LuRPH~RU|BIw--X__vmmy{3*(voF} zk;Tzr@3$6Vv?IMY@5}W~17+V0b-2GNTqrPdJh{e#F<{dO{iYZrZV)*9xZk6ZKZ@ofd`VU^#Y9dfaS{rZCpX z|21&l@lZd09KU_%?%bWdIeTxJ$;v3}?3F#UGmavkCM8@am-}nFT$K&;Sy`S$F;rIznCC{jDnN|AnihTi*5z0tTCq`zc`SMb7`19^m zfY+9?y1@zX4q0M##G?F56|-&}_AE-?5lGSkN%k^4?-!m zj)zYiYM+GpI>~F!8$}ZXYHXH7PH{ljCVBZTPw+ctUQ75;v01EbB1g^5V3DB%&t;f$ z*Fa*$)xX3s0h|b9NI#N?`@Iox_ca?o^bOU zOdTLB=8X42|9aLNaMAm!@}cxoWW4~uHVXFkwRP6DXw2TT*{-6SV3D`2^8aE`4zzo@ zPm>;^T>EG5P{p;Q{!BCmJ5BZ`!ub1gMlD| zj1_$|vriy>&i8m}iq_b&y~1M1*rZx4FFyQ46CU4k3m6U^)_<<>UzX>I!f8c-AML8P zW3~T1wC>_hg$I_vhi}WKf^~w-+CN2;UOuyCbUNQFv|0oc`Td&8lY(=5T!G!P^zA|# z7R8xdDIZ*y3A`wyB@0^rHchS|Oxobw??3HBIIjy!*loy7|3#__n4Y+_i$f=)6-1LJ zb+OB%Qmloc4vI799`40RTsja9dRs7+nzYnMQw4Fe{b#Kqc21;(k{P?nzZ3M8Nyq?N zK-`8m!nXucoQ2C<{Yivm;d`_qm7A~G%GMs*|H%{%#?7ntTYoo7V7<)F`C;Y$0iz%9 z5`=>Qqlpx}a?d1lf;21!4>CeemA<<0u65E~xdpKX-=E^?PvEiyzAF7mLA;=@Z;QCw zccqetO{Oe6L*M;LV8fG%cx5&bfcNkWC;s}jJcE{+Ir@(ITDx*2=rE_X@yI#CaGvw= zV?O`K*Oc}e*zXi=^-<1!UHx3^Mp@~a1hy^Jk8s%w_X#`Ljo=ORQfDYY?VHa zZXSkx@*ja%E(0xf6U_1LP6tyKzw(|b8c9J_WZ}5Xrx~Zxe7yX#Zl>XUq81NuOSrWp z16*?-QEID;F*P3p~hGFKTXXogv z>8J_tTp<9b|94lY8Y&n$f{nTo1`8UxZF1qg5AV3&ki(Y28>%M5 zBuKGkPs{i@s>4$CbKU5kSUGhyu2-yXboM7 zx4?w*+k1oY(lmGfvakauF9HUpttaGI9qLX8NpeOR=cqDcoTh6TD}1pC=6PAs z?~{w)IpaapH16*~XXod|Uk8f^wYp_ zAWh%h8>UD3=uT(RyVcLZYts;msqn@2qTBguwCKPd6VF)YZT^?d7UZ$HU8?*bRljY} z@O}Cq5B+2`@1edPG}_PL6*3K{bSfw=X2_dI^XhE%Dr$YAy7CUX3#Kb}(mkUAUYjk9 zqSiyI1Mgr6B3cx5j-acxdF@ZtA6dE1O?WgipdxY!mSBhke zL4;fL(?~(BQ&Y1T-83OI)dN8A7#?FNMDZNuS!KDz$b0BCMhANt2)pEck8X{pGM@Ao z9znm`rt66r8QG$!%@PJlWj`7W;+xQ1Et+Ew-4@%&7Mozfb%M32`Z$c=i#^JDl zF_(8=_(MV+Zee_k-1bpM?y-kADQs?SFL$(<%`?wI!CoitXJbfg3n1OsA;M`ijZ8F% zj+QixaU!>1^d+0n6;GAVdSeXX?{`S=snLuhjpDJ6ev~PB66O%`wUV4d5_MwS6&h;I z_Y^=!LSIUt5jt^?6KWN!h=$;*HRq-lgFL-gD3Pk=e2w-I5yQi*x`y3yO57>Q>z)cq zKItmvr&ZR)uB8Lyr@9f85tXO0Qdj5awHv-9rb{J656{b0E=r4rh|W8rBE3X^B7Q{| zT5X!%kg5WMq(4jAc4X9Vn#5H4j>|-PVH zm5bhRdVwhnOLx%0tBK{cRYH>2YvhHvOJ_U<|dfGBqpFk zg>p7_TYs9>g+PDxbxRF-AhcfB{P~Fw%;Kf^6lS*V7+^5IzE6t(1ieFi6XSkR>~)WV zbUoml0cc?d%TM+m-8lZHR^eZIjULn+j)hVZCMV>aR45@RzSz~X#a$GV)lhkim(V871fwv0&jIKmwAbaiAe7|q zt5%=Yae$d;ss7hY`*NTLU(wDimLnDN$hoDrr%Lk(%C}yFfqMcPeGBO0G4-bcHgiE#f=wo;Uy4%)7zg+XMw*`kG8)rq^3}ATxHX7yGRU5VhV4fv(s6 z*lO#BuW@{Z^H1TqvOCw>M&T<;&*wR6Z;&9$ouprR6BU{i-vA>$EvJap=vT5OGC~6S zR+B&ZTsKp{dbiT%h0mcmz}e_hM;?Eri#D{m6|co;E;)~^VMl%M&PVh0Ohm0l4Up6E zeSR8Uk37C|mMi49Sx5M1(?Tk-%E`x*TcI`{iGPJfoh$#GCY@!-(SE%?RmDB>;cJG7 z(P`kqoriAS%@PHZ!yE@Q+vU~?lFDY6ImI_wa(`vfZd8$i$@0}d(Zyz0y{Gs;%eO*@ ziaJzBvaU2b>@8PLc-`dLOxTb$t^?|q{RbAL)ZGzk7vGy=iH^*VGwUCR(g)tt&c#J( zSX)}raf9+@QO64&@P8bM>L1p9AHWYr32Eki`0l1XGZY|iERj28Xc5&l#Q#C%-RE=r zh8}yp^V*Lh{%1ScS0sLzXemml)5Q{V2n2Vro4yYHTV%0aew+)Wwj$C`=4!qum7=yM}c z@!n7dakqy9etlVr}-7l!eoUo?Q`W=?@#Zx!UzxaH@34>)JpDBj zjPaqDlY{TA8O##q!=>nGdVF?pc^&rr|7t_Y!NPz96Ej9@G*9f9_N3#Z@WYmvd*~>ZuVj!CrB!x3v%6yA^ibTlh;V^JJcE+ zHt~7mp!O{`Q(}0Tvtjww-yc~Kxf1XPv+E%i7c8_apjPf%{3J=MratkIA(ps}t zfq-~>2rT;>DXLk5ih>KhQ1T^VQJ2U8t&djT2iGA5XPJ-KbJb#HPxZ(8bDE$v=QZD) z{DM4P!&?M~TzLHO#{xox5TARIYAvD0h!AeOz0#I}I35!6I3byt+vdf{9nEaMJP-fb z{JW~i3%o~PgwtQc=NkWt`s~A-w~;Hp02`#CEn0bOf)R1}+5?tAb_xa)QmDIt7#6=J$h`{nJ5CDX2;xKDuWtx2f@TY5G40O9M99 z!{BeA)Nhd>=sYq=id_;|yn43faBwY)uLW2i@my!0GnK{8v58;+ zRQe<~X>&={a;*lDWGJlo#|HN2++Y8C_65<7Qp|Y?gkWd-xPP%!f$DimJU-$wD#=97 zj_=qKZd(^&=4#eodps)l_$+Vd?sjPZs(nw`WSmVO zdt6=T??uS?vwsSP%#IKxM{%7o{wzO^M~aS0A3+mZd)t9a_CGCZeBGvqPX7EC>d3lP zcrssd`ziS-{8P$|ciZ$#(HB#>u-wq;8i@Z+oNNZzM-@RARER{ht5=lF9#*voXT?3O zsvb8}%5=|&SxXy?E_qXZ%2A2+gS(~U=)W6&dev>KSY{V#%s4qMD+EzEwB>TbQpqK) zTKtS7+y{vD(>C)2rwFU3k}WsSlm5A=S&lhZ5rjgZ>1f6f(mmza=$S?PY&vnkC;U4G zQV_a1NiNA<&huW**>=i0j#uKcwSJEE!CWxHEmCRQT#};|iPqdb|CFPHi=&T-{nScf zv1WXnN_lp^2QR;TsP5vE?;@9xWKTJ7Zu$z952UeV}r?cg5>VWjH6g{j>+1p7P=mtJI}AxvO6i<3oz zE&I<2-qOrmGND0Z82>@)MOo0AwuQRiU6aTxa5$N`ih_76-kXbNYk%hc-lCH@(AW;} zi5gb!XT15lku$R5SqmfNKiYHRr*-vET6PLe9{%qpx8{p}Apx-0o8L~=%6YsZhy83o zGsZ%_*!Km!Cm3oS#iv5EgWn&UW(??D4G1Gwq=DYL^OM(+O1jP&5T6oGM;hlGGX6AH zXxjYa6pJt68RyRDsjqPO4kYE17X$EI+Bvgws-b5`SiWmV^bRCvtJJIb66(zk;N>Lu z>Aw%Xo-sS(!Ct0E?Y&C+^$E&e-MSMyDLjt;J-Wz5o!fyD|HPPDreoinCKaF2gMyTu~w>iqv{}s zj(X;s-5FbSh`o^LqHS-9#}|iA?oa5CvLGW- zu=5AOd!JgJ>K9Z!pJAh;Rc{0F)Pzi)sZa#FJ28NVwAblz*gi^g=z9O_%#NFZHR(IS&?2jrd85~lgA!y*Y9)FyP=@sTQG_aR{AfdSznJfdyu40k^9; zI{!|#H#??RTE}y9SPM)@DWA$L{t1C||HrRvBEomJYwR2*k#p*!=Ec?cVhf$;y8*t9 z^=p{S-GF+MP-$|q!v?=htDfZWSdO}N&8arr zKTMh(1$U{E8zn4JZcf!GW6=;^6e>b&cjkxCDb6W;q2i=flj>hZk}=eo@=D+N%s!<4 z0)fZMmD@e})i17J?n{s#x3_9j#A$O%wM5hGc9NM`WmDayRot*KJH9oFzICUE? z1H|gb;y2-ng9q357rhTIO>fpa-4Z}?Z+H)mPdSCZbPzqu5oO^K)Cg_(2(2)!AC8e< z;}1-&b&@eP)%d4)&oU|hANR8T1k%_J{?fcp9KqWxmcQ{m5B-t|gM=3LOOx|nH3k;; z+qVR?eLSDv+QPRU2tjVm_LJFsUx!N80s0r|5XMQIupr#Gtq+yYP-)2(UCFsc$->H? zlZr)dZKoJjttQ_UEUqy9iz6&}gvPm|1{kvli0)^*(+r{|a z5A4pTYgFWHu-Qqv%t@xhR!V4xE6AggGQ39ln1xIt!9MjJ9^orjM?lLdYa{oBn);tX z(E0>(JuG(l?e5;gM$IN@yg}2oGN9Dq67K@*(n<)2Xi%f{(X}JUSEx#Ltw_n#GY%F- z*{sU{`8t#?$tPtSoR+gRySg@OlOXB9`}~5b+P`G5_bMr}zo-Qlx1_@=T_fYyI-=^~ z%=t*~@4QNgCqO+XS8QVQoNyNVvX7ecrv*$2aTGd6t*5iZ(prU*j+v!jxLPnb9LtXX z(vx+?g%kOsYM0Q0S!VyB5P9DnmQZkJuf0vUt0k|~b*&7ZW#8k7O^3V?urnBX%M}Z3 zb_}7s^_bh|HRc&RYoUS7AcHe>=jYZe_D?^ezd$${Kx0ZV2L$GR^W)|HftBK5OTU zXcSG}fn4g4Trh$_u3w+wRpzL(+YZ1m3_9I6-C8xc_VPQnzz-xRk;3U-Uqs^J^%_ke?LT1J9itf#D`o;`P+0N;XK_rTWSAV)6cP$?TLowUysT>r z!bWp$UnUwwBP?(MM2owNL;~K-JZc7wCTfdm6eGXj8$1dcaPSaFpri6L7|(4O1ooCI z4Gw`zD`q3gAV?a-Df`4onke~BXlig@XuRbNfvljRp@_*n`AzPbE#wUx;GS%@NA4f) zrm8Cr*Nu#Uz0|_ellf_U7iLQ5cw3A-Yx+OD3He2b zkO`u*9~3FlF}>XsuMAe#_T4&dI(q-#Ab3I|RnOCO7A#n#^;@@ zP8M%U2=||WcZGgbS_$Bj$1-zOzE6C;%r zuN?%=D%}#4zBDSVUI&$hg52JcICUE%VNk+H@3DeF2p> zmpM==v4B39LBa6c1Ta;Dq@!nUs0jk1Zt`*>3K03Y*BE{{D9Ue!b5~Z>-zO2JttW{snKt<7NAzr&@p_K~4NUE_CeE(9; bMW|bTT9Zwk`TcL^kz4`-)2PzyXOd?|sgFKKX0Fv^5~{f_oZ39{>b^09zbP<{R|C;{PG|FS7yy z;0*}9CN=_jSNK&8Df=@N3dkLW+Cg($j<;VNDUm`sura@HzpG$lV}psnai4$yDu(3L z>tyRH#tH_r+~#HJaJa5RooWL3-~mOg0DvX)3c)v8iE!1Q84#fq>6=fi1Ev&c1C@d3 zf-67+e#tkCDAvQB+%a2)M5cD`Otat?Q$uxvU=>)<1e6qPEZod-9#;K?=(Vk{mBSSs z*%w1V6h%SMC*@NGCqO=6e*rJZNT{R8-&BA&UbA#iY`<)}KRrll2%LC$k8^FzC1goTiXLe3lPn5l)`)HamvNv-q; z>9uO|AuFd~S6=Kuo&7Yup^JE*eCKz3q=P$ULL{*uY$c?|UMI(=QU2TqAKM8w>{@L$ zcWI7@M=YSmUHQF8n}VPlV#3j@e|GuDzHTsQ z8EYaO-=G~=O-)0BKg}@q6v_K_NSO_ElT<tt`@fo!MI*B(2+3l)bBzV zO~wtae*MeBbb(FIYB5I7O!psWMs+>xr^VP!<1)*Tqa-8{kB+Y;_&o5kJK`Ie)ub3S zZ~p!pP=4*l-|hh(3Rr*YWSlFJ7-jyfj8^kEFK^}yQhH4D39bO)g`iW>ht@Z_u@x@d z{~_~gkBp!>nk}gZm9Colo+`c`j4vQSudox(!|4~lYMNQ+(wT`};u8%5h8u}hU z^BRv8R3=dCBH?=_LH~t|3fOG+y{1y!_+S4F-sO`$H%a!V z=t)5n46#qKgPZKIydZih?kL!?!6ii_fk4vjn()V(SrIH{qOk|)GFU94_NM5XZYN)| z)cmjQE}?D?>arBG>iagS^+v@Wph!u!<{HODcVx4h*lo6uIexxXbEb_j_*-|TZKY_YtE-eGY`O$OC`WH7Kr z$@_A@r1jvYm0~4V+|};Y35g1MQDLKHXCq!Jn@|4g-K|%N6a#uuTKxCKw#XKz@)DV8 znPna3I!v^i2;~7RE8b)>AboR&xyKUxQMwI`zV_d$WC=HezzDESFveEmbY^GCCl{$L zsM{RN)6iRnP>R!<=FFcbHBbW+)J65>i8p}9 zK!lN3!=FP*2F_FXnLV776<98)bJ*}9<~;D_H#rvP79N8 zPWZ3ja3wD#7aLkT3hULg{=@r@JQQS9dyB7)9Hwk^w`($*gvJWoS~hLZP+eX=I4;(! zgv58#dm~tSn48g(*u{xWgJn%o`c&ZA<+f8yb5`_D47q(-z!{Wl#KT^ZWHwM*)YOBA z5Ys25)LFQgdWNfDJV)+}R-s~}D@poscr2*~M0JV)u;1Jw>m}+(<6$$LK>8(pUq6Y?ST(!l`?cOU794`Xlc%!$#|xkzTY*Ct?n(8_qUe%DUi=yQp|8F?o);Bx(5P<# zek&Joj}E8T>LT_oQGtAOH;y;h?-Sb~X%j7m%`UO0_5ETFi}f$#TO7E`LEpm%joOUq zQZGOPn>%5Kj8eU#M@3X$tf9;a{q>HGZk-aie8)s{3+?s5USm0Uxx)o$@%9^ezF4t{>3wXi^;+K*kCfEPCgm||W zK12GNQ%#$2U`}+{nz=)P?>ry}Fu!X8yx}RS9muD5dD`7j1#nR9jU9lsdAR)UdV%>h zY$BP;#xR-Vwu0&RR#=*Dz`DX}_T<|vx-QAJ^K*^rK3Zp24~<7CGTouMV$Lru?RjKBJD4Or{!GR>27k^@iQJ;@%p)+$ny)H;Mm z2G!?fcN#!1R;RbCB=#--2zl<@>)!k(ihZiBX+|{=ofCGiAcEFLPha z*~CYlzU+8TN=`6RoR_tDrak}14i<99@u$UM>*)e8AQwh^*^qZ`4|_XEyPdODY_;<3 z!6v6OitLipcm-&zS!LV$;nj_uERhIy6(W=7LpqAPan_e!RwAk3)9q@&tN<7+ zG2`}LKnF;YQ%^PxlprxsoZ;pSEXQ({%2mrZ7@}80N9A3vPW=*Q`KoTPqL_}#Z?#HL zU5~iBsRv_T0ue$eTJE6TbVh6pxBObg1Yb-X#}l)%WSr_mj02i_b9=Ix7tI2&n`kGl zHYyftN7=Rv70#~CYK(GPA%)`(j-2DfPJO*w5K&2gp_@xo@wySvFU-@TcYt3%WBk4cIr?tJp3aay7-cd{SBP;9LwFUesry7uoeE4NSf#AOoS1Q-EFSa&_gVQxk1Fh-F26olHt^qT??;2RDqpAyTr z@sXy2%k#_CW3|OMvMG99(T&Fo(YHQ@9)02Z47BtHw)TWRK2rB8z-E_p6Jx}RG4#f4 zdkioCz@z^AC+NFM4z*v9OaI#ExBc0my5&CHrPaGqu9w~R(SkxN+YhW z@p5F1_p4*>l!8}}z&|YwS zzq|@wSX&B~jUdM@f-bL<$*IQ`jki%);FgIsL2Jg7)iXrniu%D|Xjr-iCtB)4i0WUjm za5omgqw9!mD!Xk&($Yz_I|w|w|(Ik-PObAmczk$jSN+y}1WThYJ4hDHu zkeg5XI+r}926_ED`(tvPd}9p=UXwy?OI{4oSn@vSgS4eM9B)5VuUd$uAU=rmG&_p` z<6w7_@NGF*A5LM>ne*By*g#W;mt#HF3G4mv_u8ZK+C&k3!oi7d7Xcjhpfd>+s#sP> zxNndP`T4RR5z2WY$Q;E}o{zMNhkqFd!jT#~sBqHiMIe@o7w)45K5Q+hbh10PA7R3E^@KaB&H$1NeEj}~SYKWK3tlKg=OJhi0rzh4Ipz;LxEn;f;@ z#-BEDp@N}+*AJA9hO-25Ih$iejxj1IxYzT(sIqSvao^+92An z0fmasykP5H;AnNn)(ly{{@x;~l6`v*&TL%>C^-FKp+$0ob;fdl%@^G3YW{{PW0Ltq zCVP&Rbj5e+sPJO_47Y8)sfZcT)OK%#u^&P{IE0xoa~x`LgE7~K*jFlW0X97i8Yiqp)dcZB&@EaR<%U2?z|?`X=Ff4+m-)Y zPOjCfQ8{N!EByn9+9#z7p)*qsRNe`N6}hu_wnFkr&9-0ZFc;^|v*s2Trv*|wARe|M z>OmyQ=yw1jQ2Ut*OCEdS{mFpC8GF-fc=0rA%)$)sv#dFpauodX3T`)ppnIXUTFlaG zKjX@|@u%vo@|x_i%_H_vH=fJ{KRQYiRzxvpAkR1%(&Sbm$bjc4S>($VM&`Z#HvhgU zhg;+q1M!)e8tFT}q@z8M51NVUP7bh(x}&60}tZ5%F( zpMb9!{{bvxnCHkZUQ8jFmvd`dm8Bcbp?im56V_C$$KOxWp0B!F$xDxqOmaaEMb_$}E7cc!n6K*KiLs|_8;RT(lou6S%vXtiVFS=mae zmrr)?l6fZJ5|}>gFEqegq|~}iqfg~ohHhpLtu0-3`MWYSWzxjqSIN!S?1O%n>dQ$| zFR1>{46sr)1$AEMi3Xg$4-nAXVBCURw-Z%?QbD=hdX^Ar?%a3yDZw?dsl<^EuiA)V zp5g&Z>Eg%Z7Z!K5hP<}AF75OqWOm-MAJSfR@+5~w?hD=9CfG69b)J~5TF7Sh*1zBf zrFVnBSv|1Iv|?dSa?SvP?~e$y(BI?ZW)LxICqj%bgN4BzU#cS8CuROA{wg@f4Pu zu!_9+Pe)4kRr5z1_lSE^={-ak6VH`CLBN)gO7YhczLLmo z2>3i+MnstQWAZRhWnh@tVhd?yv>yIOX3MYIZrI-z*UF$sq*q#=h}+19i6}7hVoO|& zF`FA>qWbejlsoKJ$*&g&SDAcPG%1C0JnJJ%eRPVV@`V)otBQ!bi7qsqB-XLIR}biu zysja5F6;C__FI38)j-y6YMiXniX2n()j*63haB4~Sac@Xz=Q}$*h3h&1Bi86q5u$v z_XSTXqKS6Y*HSyUV^^DYq?lkG$ZbOETU8#DilZ+i#^*Ti;5-uSB~!D7i>GT*UJQ1l zok{8R7QX*dya{?RVMs-E{+bMsp}G!^HDL#g9O%ki99Id&9SWdX@PeZ7ltzT{-M$KU zW~bLqg?ERgi0Dt zSj>L;c3YruvYYiJpvy?kgNpNl6N6$N6RZqy%w+dOy*=7Pe2PyxZ&?2IN3#zXdKqDt zF88qC-II{iAC^PK;o7xxNZ$@bNr8JrsUmPfERpmDZ1ZXv0sIfx2zrC1$$Xji>qi^U zZq=~_LkXZ*(3#*VS*g5fINglyg!WMZnMa~R&6L!UoDjf0Pk1OV_iYf9{6U-!28fO_ z+8}^4BJ>)1^ zqM(HwFSdvC@Eo;rThUJZB2u6e6b41~p}IioB^#JkPmf-%UEmhmjQtnES4lCUCH0a) zXC~*s#-{A8E4=wFM~LGn1BFlyKFxXd;YZEG4MA-3Yq7aqAvQhrE?KjR;`hp5dykQ~ zYUY=RRDLY)4Yo$!F)(a2`9Ab!(ssZsu1a9bhBBtypkh#wRCHq^y#8^C5piYyP#SpY z`lDB59v`0Wt@>Iv!%5!KaQ54M+?p*oB{%fkL&u4xd>jr|>T(0UAL%qi39BNtAKmjL zZAE{rVEV+b!KIFWputOQ(im}M3LwAugOG>?l{=P)XOV*snuWx(`!$)u61X+ZsnZM{$~Y?+Mc#Xd&2rGEVKnzNK>YULeaJer8&r4J3%sZscj; zHxhYRWu=W6n1i$wtud($HV1X%lT$A_og&Q*eH$FOhL5y!=2j%S)Nz&5%a(Qf35`r| zi0(GN2ovDcjFh1dZ!#~iQTiI(LtM{AB|w6_2j;f_wx>Ic zH{kw)Awp;Lh%PEbxs137nTu$>^f<0Vgq{_G!0irM;y`{w7M6VOOn*AKT!eeiC9FJ6 zU5NrjsDt93#VoPCy{{H}oz1$@IFcatC&i$|?(l-~Uc`~^XiTo8K0|hNU>t=t1VP z5VP?#XL+|C7rm+LuZ5il^%AQSi0$R{i)DW1?Xh4kmGx_>hBg!9_?Op4$b0Skwzn-w zJIy?1_JcM-Ge_`F!fFG#?lKFlQP_328_e(nor`K_ieFS>95TSty4_fdPmJy=NR<#K zoamL#oR)0{UU*mV+KW|eHV^Ce zfa*R!_~zKkaZezCx&5-wmi10t;_S62M8|8JBTVy8x)9mDes0Aw{?GwE^LPlv-IEnj zBY5e1$iwEH%e0Ga19|-59XXB9r{FBnQQhWR7R#@5!eJb=7bn(0GSUuvKgL^s0AMg{ zH}F}o#Ek3JDOF$H;vMxDUANXZ(woEpm0@R=g}d6sCi+qsEDtpqNh9C;TZwm1h*i3s zc)J-?Gnu3*MEwAPEWvP12p04agbg@?WtrjNf=cV0w>g%OSj!Es@?tH+Mv@B|Ov^)9mIAMxDD%*b<{g4|se$JR@ zNtW%hAlLFwn5p>V%y%qO0= zmR(M9f(lYPtyF}YMmn6-*CPZh(aF9WiWSoRq0Q^jB|=RhqARcdrS_e9qV+bJ>a@HV_7PY;C+@PoOiMHhUhc;W3$D|a#h4s{JLsxUIqg3d)Pn?!OYZ--HZ`#D zIXS&On8#WX0Q8kT9l2FcRmY{9qn|<#g1TSra2w6Z_EA%iCPEZ_h4eF0qnyo|y1Q`u z*)n7A7i9=yEY3JSNaQke?mVjRr-#$t4*daLHoB43pZkgtWMV7&SA8)kX7ns==Z`D? zbN*H*y)~mvV7R>=C%QvZWRBmV$xPnN{R5~Np5z^z9z7O_(aL>^iAXgx^@$r=i?H6( zZ5xeq=S`k}_+pt44g6rNHWmlrVLg>{?pY&V)CW!cvDw{vfA}F9d)1?Hoo-Sn258X< zRSTBQ;}v+z^ZfN8p``Io#r8(a_%5&06uDWCa4m)f7mjQ;jpGX19quy-J$Kx9x1{l1at3ko5p7#szqWp76UTPr_d{tLHsA;YSaZ&5nfq$rO0iT-0OL4V50( zgOl6Sr(V(Th5N)4?#UD#%UB*{#k#9!dA^tKn7JmM3D33EdG7Kgq-W%Ybo`if0x`Mx zt;?1B-PTa)Ac9ib>QSshn66%OQG<$`lZ0wZBpW3~hW?97l&ppNpfw&wxf&0TZOlwZ zv3DSTXxuPmP2FpgTpt8L`hI>Mw~KVZ#W`z4xYKzy4mv}jB=nf9PVl%YM=e5l6qS_b zs<>P6nIes9Ow5AoOL;~m4eVqRHHC6Yj6LR5icRkwF}>L69|2929ItP<1}aiDJ>{*# z$56IS=<$yonlh^b+F0%`jEIJ25JR9M=AMO_9hNlLg40%?m$n!b8V# z><`ukD!*qc1o!w*zti)GJ!!BE8!Ivj8~47lY|boO1;x$_naqhLSrTW{A%DD2=;O}t zX~efNak0zBE^a8}2O@mSrRSdzsx`kL`T;c7-XDpzGK zCn@8+K=b4tAju?`I2NjvC8VR{tBGF1xqcC8J=?vL$F!N8JQ<)5dDJbhiQ>Pg+#Bwo zwuu6QloTUGhUqJz+|wViR29;fX#p+$m`S{Ccp+GHOnf#-$x!rYKTHJou1aBGmR_$+ z!1}(DNMnSd*gid^mBHSt&yQ+2pP#q=)aVd9n0;Y3JxqjHxX5qHx461gnY;AQaOPd8 zO+Wsnwri=MNRdxyw=4!Wo6&Tc{+WrG9LTu>N`|{=8oDY3EQO zq5Gs6G5STize&Uz0ii#CBi60Lq@KT8%CJ$wSebdb>JR6cP$h@eVEnqgbn?bUAbo%! zK&*E;j^)<3!S}ZW4)>d+rV;7wbWMHBF?_Q-8tUOt>Lbwtsk473Gzz|kdVD!OJ)fM( zc-tMHHQhL94#X0(p!$ljLWBAe!F7`7A%r^x7*-sX7Ym$n7t%}j&~Bz>Et(7(El1g(5)e5jHnUz1k-q$A9_p;=bFW$W$k-T?8e4f(s& z{bI?>_(V6})KgW{oJ^Cp-25(h*7uH!WM7dOHw>O1(}J7uHQ~fsolJyfVQ_OcnV151 z@iy&HF_$$P*I5<%O*9k|Tn)U@w3ptKV9fe$0g+0~NsM)AM3&VJ{W*`y_*3(J!G&r; zh)$W?&x9p?_}-dV{|Q3f#>OgH3Df6yH7oms{KMfVsUJGz3G&2n_+F}AqmZ7SCyXFc ztsC37p!+1U44$LfIQ(k4Vt8V?22?4;do4)E6XA6yacsM84)?az;N;>k?hBD@AyUIV zT>@)d8K+tgHa$u)9$gu+rJXA+34-DrF}2HE$Nj@X|M6ij0FlP5koljC){jbTsx4;{ zgQSR&PBoWKCZfLNooZep^#JKjPOe|d*v8C@WT0UkkC-k(c05G{L~wPR(GM*EfbEZx zIL?J6)^*qASDC*rw#0TSCwaeL7h&qT@iy;r*%xV{za0PNxry4!{l~gHLkc{3d+Dfr z*t|mT)Is_@KTGA<+jYy6cTTPw5$}rro^JU}lTQAV#bIDMnsE72ORtQUk$&agjU@%hPii?CUA`I{<{PArX#AxFQWyi=g+C>x z7=3tjJ_#8Lq43DXeuUo zUZY&uB|uZy*>+vEN48v+@9myg=k@DnyQ{g)34h7(MLp;(y!Ul#70mW_8-ZpW@WHll zT)NfH&lV_>)u=OdDgiV;&55;pC7Y}Cz62Qe8ZO~vabMK)Zf>|wu2 zRbwY{VD;oYAL%sCVCiz|+%--*|ghsFhWwNU!`srw#Z0+jg64Tj;ZhyUc3M4o+VDQtmi+#?P`o@|2z}dx_6+G@X-VS;vZcf6U|vLS2>iY)-}g<+tF|!@wX+d_`xOw0G#;s ztE}K(Ad#x_J6HMYfEV!+cp}@&*OrZ@%5LD2&_0Hp zf(A)i1U($%PC==#)m$>jHOpJY~K%|HLNw>`&GnA0`ZCJJLJH7WWUD(CBUaLP7$1serqam^&Y!UpJ#N6M<*+y3DEE%k{3SUM>kErw_U|O(C2wTFZ|ujF+1(|%kH;b*WXBpy zxMRE9D~o!r`IrffP2O})5($k8f1p9?f^o&n!*F`H28PA(vZu(>ibLi2^AF@EoHjK; zEl!opSODd`lnW|o)9OyHxqLC9HoEW^d>wN3LV8%q*P!1C*Tbe!_r9KZ105#^e6Z;ealI}{@A+(G&W%-C`w77!U>DLynL%?eoIG_bP~ z^hv%qM^oZ9-{e}d&WaNdePU)KRpOGQA@2?b@5B-a$ebyT=?4mPvzM!}tbWW+&(nJ? zxot3K{#n__=v70LnV1x!5F>Z|fxlKdD^cWCXKl>6c~MT5w^njpOvvqV=Bc3=_o$Mm z0Xtq<>CDoiaZtZ9yL<%Hw$J$Supcv5W7R$c(UX%QF7jD6CK@|m&wJC}sfPXLml;Y) zMy?!<8ACp14Zc|9=!`pHz@m?F&zA&V4{UJBM?q|@iPUb0 z#5>@h7%B%KMHtD>&p%T$Uc7hx@2_ZXic!B;U;Pt^$NbjRt_p`0?x=lvo=kv8l~tA0TtXkSrzf`1YlIEHBY_8>Yo35lIV zi`vtakj8y1e7=1OeT5PBPu&GiU-}N~&s3P)qZxD8Je%Q|%aZDNot1t%b#*;*x*nwb z`kHF`=0*GO-R?GEOc4No)AHw<3kW?H0pL^cxyt|FpOAvn5ry_z=! zbZ>GF2e^5hS(~pHd@4(f_^#x<9-}Is&U5Cp5=QAo(Dl1kD89ill|{|k0E_lOQ&461 z3i>9)INKEB(ecG@ya@(M?U$PH6^c+!$O2M1pe!Cbs0i_AUX0x0Hg!#|#`5sI;^2~1 zX@L$V0Gu~$Znf79HwGrZN^JZImaax_IyU}K1RjjZ`=*vc>pa=G`g|%gEp5L(?A^hSsWgSdaY_Y z?6v+K?wz37Rx7QA#zDSjYMJwgO$u0UI{wwLgv?@;q{pbD+8Z+AXWq8f!A>)J$#CJ| zc*y}XA8|k&@uk!x&=bQmK5i_|@zr~;hS{1Fxe%{C}8}!g}i!i z8OGXabT6!)lM1k=ePSNhLtq>A0N}|+$4Ru7yQM*zU$r<6WtTQf8PLSl_f|{=eu={VBG&%e<&LLp(?L5pmPE}ljvQ}(!?%V#%ztVr&h3Xnw zrK8{+e7B1X*GnYcq`Wd|D-p>aA7ELT*(>&l7L!-J!;0LYN$*O*O$Y+n0AF+ z?^hr#HKbLYn>Rw?`;&?gjskU@?%g7Hilk>f!{W2JoZ~t4f%8-62 zrSFTT@N%wM)zKol{CAMGR%h-_RKwEpU1I;~6YrjjMZ7QnQi5aHkjnmcGG+^z!6qMa zw^VE@SHd?Ft9pU~SNe8X-Yt>0GLb@CqeA7uCi$iC=aK6a)(1c&8}KFGdGkpa<+jL_ zW)=7?n7RS9+^qSx-v0hkX+fhW*s}szj#(SW!OC5$a*JG5`TJ^%;g}8b=X<=I#PtiD znUkaI!c0L#?0)60dSeSX(0TnQvT-dqD~~rEz;A!KfBjBGi9mkCRZ`yGH;8C{0&DLc zV#nP(T*|DXzVO#Cq~(Dq|bA_3;ZX0Sr#a+Lf%rklUaGeE6iKuEjO z@ta^vZYL#2=T)JATA;j2#~BxU1*t&9`g}1Gy0ch}1_gD64=)w7rI%VN=W5Mnna;nx z7=8!rb?WX^A19WyG=XAd2ZK?tp!QLF2$WKRDIyi|Xg94kFn=|zteX@Xw}oTzyL6*+Hbq*A5uq-ZRCtAf6-f&LkN8 zRqML8(l;uE`;0o_qF5##tFg{FO+($R(Bl+*$YN&Cl4+FuW458nK+PJM9|!nh;k7f& zGh7WkB+Y>b7pqjV9uUR+-0uK_@|W%gsOX0&Tdp=Ltw`T*utwZ32OztitPxfrCf8~$ zQ^%_;9KNK0-B%_b*%6#5r0$AXwb~?!ZO|-ndvc+DvAX(rAdReJBA(68 z>t8>Bu(e4s&w3<+?@O5()ZM?g+;xRnjFxlirv^R_5VZ?S>@2EpGFig<3DE=XeA?%n zC;c!Zfif^LwRz4JF*M^bY4Zo+3e{Z z4?~-@B|jzNB>ms}!cs+9{no`k&&Dk3iwnX9Bc=RUk!gu@tpR?3CH`-I0ieaI;42bA zci2k#oY;%PNtVojBIA#-Ol_I+C_ip;?LJ0zddPXtLGilh4F}-pO(Y=oNa}vTi!2Um zn}3gw_;@PzYm?Fkn;mrK2vhyZCPid`&iahrx=UP03Cci$|CF;!gd(vxwuM<^2oEw8)ZRF~VxAn)odzHNe31^GsyEW<|(m*cZUwOK^oCION?Ozo= zOd)2t(a9Of&UYpj!L@~3x%b?jr=Bc>Q@g#DE9|5e*ns`Wp4Q*^Qv-zpQLbu-_aA38ayLrZi4R!gqNfGh>tIPCD(*|eb0O>_f7YT^F5>V@r){l6s~cvU%od+BT2siK6_mw#FyzT;826_$#!u%^K5sUC1)CNTGa^KY zWwQv~I}edfNpwW-@!x?78L^yCH@tyr(t=PwRL3~qH$O|0xWDUque3cZ+^zl^E z4)7poQ-C$7oGip`&sPO9aIbRLa;~c5q{!^@Pp>k6n0sLTx#Ro@S5z|@#5)agAxPV< z+%+LDzz2t8p86n|oO2&e@iimC3hV{E@_=!Y$wN9I#%a$$j0oVa6}XY5Kznp!jIsfpU-1N9fY=(!?lf}W@#t9(FKq02S8nD~ zW~1Z$+J@;_tRZ!9J>egfTh^Lr!M698-4nqb`C1Uj{w~VE_HjF!E3!3POoXle@HV^F zfXhDw$lTBxdKh06aT0 z+>siQPvIgdwJJp*oAO7BaWH3SQr&v|n|#BGOT{>S+U~i!1AXE_u$Okp${14NjhTTv zIi3Y_yI+%WK~s*~=1S2uPd`?V1}}B!W%?Ax^U{#T&R^`o1^!{~Gx<7-{*VMgazD4L z-@$U!@&#+v0Xa>|MYaW3s_F0 zOq6sGNcj^);3o(;;yur+rEYFQ(;U;@~R8!34nXbxSsqL!38V~Lk1-1=`;c#-VMrC0j_HbXRcnaYw&r-Tyf;2?p;2;(oi4U03#_Rxdqk-{+TU*%y2U zNN4=#mUkQ0yw9-Uc_khWxep9F`h^RHshc(Genkw-vgup;=`0fap{3s*N&vjc)`YH^B^xpy9plI zfc{9MLPr?Q)Hd_sqmT8W){3spc}{TS^Q>!ju?5fknDFJ9uP3qiJYO^DYw3kddD-~d zo3779135;=)>ThGJaJ8jX}%v$YtEg`ZA*>-wlsb|HeWt>%&Ie(LA`@a;=a+x#ZjcK zNZhDjd1)D*J%}s!@qMNE!CsJe>Un*>VU>w%2r^V!vHK|@8o? zIcW>BIO4*#S!6&hh@kJ#g@!<-UNO;y?n1Ki6gZQ$UPCQ7w1PLZbxnF zDOE(TzZHkrqdpX+xTG3YzBx$YdCA%U4INO@8t2O#t{*-tQ_`qiNaerLRK~F#;2+ zPi{p{xc7{urQjlTAEWjU#K+_m8-t>58ae7M>ATDf}He(h7tG?GQo5ZcjW_lLxKf zdZ5d?U)8whM)Z7uZ@Yl8-J5Lg$Xcf3CYnq9DM+F7nyzCWhr7?F`ASHO3j4JLeoww( z5jDi@TXBy=O)&TDrm^ShXN^1=&$;&wS6ebYs;-aqI%rL|X=2)`gb%6kkKiMbi%b?? z6~oFdhr8a3Gx=Lp4n)9rmU{&bH52IEsGAP6x_)NR=U4Oeu>dhyHAW00HT;k!cokLJS114s`ruF~|E?<=E1hfy!i?(E(QQ02-;`0}m7>atjX&*a`~p_=43{eyZH` z`xB6CAAHx^7#t4X1NTYx>7YAzz((KiA1@EOpGPd*hA z>QW7K{^p}$>^z_-Q?mZux77}kX$(7np2~Zhm2z;FUNrijP94~^Q@8|D$dWa=oe3BF zv`G-yi_*?Ef;a&&#Qd^Q@tW!2VmZ_N4vKF;@uZh|Ho^Ea?#q1t4!i60D%YV53n zkuCD-rUcWg@@!4a=e6WD;WM@_?}qpGQ>u%Rw&M_r^nI>~K7vlCFoM;q7FuwQR0oBo zj(0;ON9Peff9*7BTSbodF7Df=14|t20WX?>&cxuACA~`l(VzKj|H(GeIPm5NN#>Ne zqF-tqQD#+nSZv^txDuW=E1L6~%=TrL%u z-vPg8ZZ!db;Ea~(!z|BG->g(Ql~Y9Tnax-&3M<9tKSlt)iD{zEJFsqh?VG5b4?v;$ z$T9rZAalyXO0Us5y6Wv^TLCXqP9zaY|9mu5?O9g?45(pwaJ|4rmrIFubyb?>pPy8% zufBc>3galQ zDVweP5V3NWrnU~~T@P8W0#kx5is$5v&pn-E#d*)w&ZoTE^f0xb{jrpKCp;I*Ps<65*96GXr?yYPt00HzbrNxM}hV|$on2cr1?FXAY z7hEs;CK&U8$+{0egA_x?cZf|el(t>Op2{Nm(|*9PFB98s%d6_&Nm-~3%Jcs=aW8QP zPx%@p;Z3ZYJ)h@V`F3+SGhCw{^lJDYmWQ=I8aYqJv8Sm|bZS!i35ro1VC@sr$-f^l|Vb5y% z!(jGd%_9=0Jjv|!yX2=YRDEyfY;SX7qYS{sZ2xk5l;FKJ%yQQ+oCn!|hM)u<|K+rWQV zSQk8#?dHAJN7lqVoDxFZ>PM=zKhF2E6aSAs#Y#5pfT26An-zEmpbD^%+B+rffEBaL z)Ol>&92JfCi^V(tV#EL}d8%{YH&zsScF()RCLgpy6w1Yqt|cT7b1dJ0r%e_(Y}Cao%86&uGwcu z3L>lb0sfi5Bq1Q+3!r?0LlrC+RI~z%4M5gYo+U05Aw(ar{RdbU^__{|h++#{B}{X$K?*2m##x6fhr^ z0|2rDfrlUj!h#FsL!j6KKnXd>1qVQ!lMM49yuKO#1i#4}jx<33p0#i*sIA4F|7k$g zrqBOLAES6&e?Z`j>43@qN4k@!L5|+}|DF5meyUM_L&yJ-4yLZ48RMhQYvi!nP605APq}AyEY4I0qqw%t_J@vepn>$7A|z|CW8zW3s{u@0kae?5CII4 z(g7lG{~jw077Q6ew-X5}8Mh`K76ITS0ZLy#Bq20+|Bf1SYAPw0Vg2ALofspMzZy+Z z0VM(d5?Ub(FGxEY{~uc$lnopVjs83t8W;_K5gXqG3LMn|;Jg7RLMHw!kwXEe-v$B< z5)S`(Fc361LOL=3991VK8z=xLZ^#H11OFTrK?_zZDLDNQ4*^s6d6-WO&MTIT|f_0 z>8%DO{{a<7Isse{8um0DG^&}{&_^&)?gIeGE&+ad0i!701wAUi1CoW00aapqtxj!1 mroRSmY7rL~E_MXi3EE zDN-bK5fefaF;vO@=kCMK&OYv(Idf*uZpJFGU=>I@<5K`I0RRCYz@`9~Tgm&s;{QYP ze;Ek~gEwJ}Tf~W!ZRzqla&8|K3do;;x}|(U=x1bdRvLXU|=$E+y@MR zK1+7*cgK6lp}}At+>y{xmoj9?Y6~Em0H|sQ03^8=h(YmM#ET)^&{(awpaN0@F#Wax zP#Y+F`vTBZ-0(H$5_*3t|2tmeI@ch7wpDVIdt8@6w7Yt{1*jBVW>OP{A(j%P$-1SzB3G8>l5ggJ@9vjO7rG`q z5w0(+Nd!UQB&K~Bqk2NK$Sni|I_Yzmp}(Ua#fM)gV~s%*45g*;C)YjrQ}}*tGt)c# zj1H9>YLsikDf=;klC(s|CRxJkD$stn`$?d9l5*Vl%D7n@J}*5F~7 zY3yolt#C<%%yc5ar&3#{pyRyc7sT0F|3TKsE~uDP^&%YN`*S?hrt;P?%k6S0+zF1` zHZ8w--1avuiTJ>1{C6^z0R3=kFM$U$f0)}zhjq67VJd9X>X2!zg7>P?qk#geR7X&~ zq^j50sKYJ^PH1|cR7*!Hf!Aj_n%$J$Mx@GmP>W_VwX#fm@*~Ha!Q=nim$%zL8@k%b zCZz`Q&5P2FUvjQN*X>Ob?g@t!=obiHtKtaV^}dn8%lFGj%E?bx5q+@}A*Cmz!wHN# zF8@_j>Y5%$f6OFZT13KcLs0GoXV$sbBahSg0%r64yZMPSgUA%*4w8jl+Y+(Ort>;X z+z`(6j21?k(hh3PpfP&sdr+@bJ-;71zI>lRRe=62caia#(mGA_&U_~K`gE6pa}-Yx zfNeC9o;bn#!9bM?zTjDAu(M|>q8J=$DxH)lWabs3*W{OO_|SK9D~>d=@IW}9RIj_H z)xNP2w5Lh?H>RP3VywyRBox22k|jzwZB#^4XT8NM5QcuIUAZ@lng9Mtz*u>wxb+qa zJJr@PD(A%)14;@i=U0M#;7ZD~Vk3c*6xEY4`^d;7r0->B3o5#!Gh%}Mz?p8ttf>8m z(T><-O*}trxev46^>Q(^*g8!r{jIqb=yD0JAPdX@-h#f4(fg6ZLn@O^Ago+I>Y^%`==c|-1Nr{obUKdud@Wyew7N<5rHi#!XkPVF9qcR zo=W5zr*qr>+?XUz&>^_<9$Y^;f#4yVX{CWmu_L@8P>@t?H`^o|iXr)kR!5vawySIQ zxES+gB1KI^O693N6<$@`V;dMSFF<2$f>e8wOGI{4H>2T@#7W22z+cg?l-MvE`T3)! zsDlI3p-tMkPd&wZymqFVLg`7pPAlIPD!pWXo3T9hMd_FV_?^w*a_WjHyYQOw^=ln% zX|F0(a4Op@LoO^%medP<4`69>4LQp5Q78Y!DGXiu+B8tz`HMpFmN1Rgk#OR3RY=Vq zE7tU6DQ(iN=@80ytW}P_im-DIT!2xU4nu>EI<-%6f75y8Hn*WvcfF|D-*qgg#WE`8 z80$4);xW+DY`f{V;v@&REd7iIe@Je4-OA17dh+G{K7WH$cT?iEKQ+B2j$ll#ueXo2 zDZ=qpC7bGtj3THVW!Cd1evZtGoxI5s>NL=0 z?ftVaVpKtTC)oY5PTUO#n&J({h8&Bf$A*Qi*|UytvDUG?2`?xtafw<`oY@Edh!m+I zslt!H2_#4+)((R=@;-{B}(#Gt>o>zexlvxf0|)6${`u*>o^AS&JvY1owgL zb0$GN(rIkhDwd>fTkzNZwj`b^1gDjz!}151$5_0Z7dC?Z?2oX;uIon|)TwuL^r$VViHp84YJ0RsJ$5Y!!HI%Pzz`Qn zLZRU1F|v`y8=iYscLMNqulF~0f~L&!gu=(vHA2Cx8Gxy3y@FNM3_?2XU|X&OEx_oloq@I=Jb%SCc_hzzMXa zjc~nJ(wv!_{NXP>NO~t3xd-gVCMyIXeOCfL`VguNb6BM|;3k!e>SMr^ogYGZF%c*U zZB|eZoqc(Y**-|V%5WuQ*~@qdaaPeC)?=q;l|1$ zwogI3Q8IPyB5A`Ib`C`3MpE^CK$)DxrUYTXRYs&((qn-Yt1=u$cOt zX+VA_X?mqu(*SuXYZTHjw{jdN*k*^^PTKRBPm=p={pxmAGP_i_O;&>PmlkWJ?*(co zk!I&_u=QA3pVTjn#Ji9G@piX8sBROdiH^d>iL=4b-%QK zu;5(i*OKPAdlF(S$yXA8@k(9{p&2ZyUcmg3*YS(Ieq|UjujVVqVGys*l4DwYe-c+k zQ}@z(6UE+NW9r~&`J%?rcmifb)8E*LAjM#BemDsH^a5xZpbz|rdK`4%fB$wyaWJV@ z?tW1+yV*66uSfh*{(bUc&>e!?rz+&qxX^^Fv3&!}78kL&QlZq7&E#*ddL~jJ6}=oR zazpMI;?zoCtRr%GxS2bR}@OZVF zC`CioFG*p3Rd~-+pg;dYS#rj;6(qet3bjt;-73>@`7tba^xldJLg9nb$BjPZ$I=lh zzZHBJb#_`>u?QHnZF?Zsm!5F&ZR-oO=P^a}MPp5Hk5B!la96>#S_E6OYsbvHR+5&7 zlX|st%|ktvK;C)nbx2&*=!OJ#Z)xCNtL?rbDy8`EUlNjYuo8?--46TGO``M$wt0wT z4*l|ovAkFGizb}Wc9NM1r*&M9yZ!6gD~OT~8Z_Z45vllfpqc|Q>=Q2OP=8;ei6+u_ zJf@&QTWfQ?UO~*u++RIs7bZj(Usv4U4CA_$2aR|~d2mGab%&NWxW5pCFERiXrx!0y z|L6pC>s+lY9EHcXk~(xcNaUsF!&=Z75;wdM|7~!7*tfYwf>uL}*138PbzBNb7DG8I zGmM7)ZK5fMdjs7|Or_zT;6e4gNA8IzQ~&xYsU;DA*~BW!?&AkbvPt!#(A3%qDaUJ% z1T6;nd1g6#+r%%d)Cl+-)!ER0i|;mX3YC@E;7eSK8#H>?IH685;F=H*je16i;0NK| zDg0556!{bs=Gv89jN*!{frVfpO9)uZ*__#&V+k!9LNE5Q*u zE_}Yh#TT-dQw{aVP3l;kiw7ozt zfI+sme}MbEAm@kr4O5VLYZa1IM-_)rFR_VnYu?g5*Q=tKQ-Yc(*B}l*A8=9e^7;=& zgEmFd`aA|$^o?9P-~Xds=@oYL?E8wZiaLl}Z9B=_AGq6Y4_^OJ#Gtok1BX_Ez+Sbd zxm_xvPtsCy(c}x#K?fI|qZ@^{@Qs!-$4Pk29S(7qvRAc#-omCD{B=UXSyC>avca(x zXtdI9W}=~0w0g6xCD!`E99upf@-_dKLRxCJrwlh_7(OK=UkYX5{QP2;0tZt)Ej z{rBDt#sOQ|uWrnm&h0MK;1v|NiAg)uaz@SvgM4W&Lqx{X?L1CS?~c|XRYX!yPXhWy zUT$5PDFLfWepMWEqfwV~JoiO&s36y#~38u3; zlLOh1_E4MB##@X7r;ll^zR7yPNu8bM)eQc zZD-feoz2E^ynL+{yicB2HNM3lG9eu9@ zHgLvm@+M^nuU>f?{6bi}1hQ8aK19`ggn%`HHDAw?-jc*-@mbXLCZSX3QQW{8T6#~j zR9W;jcc`wC7U>yE3%B zx!D%&2t&r2<(|qdo$D)oTsRTCTWdBT#}FItN$vspixb zEJ8$RC_-84@tZT-R-;k=o9Cjp5TLC~Z)KR}jol)+xc1%gdw*PlIs8vDj<2YC^;1hz z%RulRT>};3}l6 z-tqS{SCckn31=d1;*P;*qegf-6Uh?Me~8RcVyAEVHlWb_<#m^Vn;rFg#d13@B^@mV zKA?j+0h8A?8oVSv!j|o(c2at5ifnJ2>P^ue=Zna=S8?k9YNEE({o~2}OhkLj7{MysGM|5M* zgLq@WFS5_s#z3$5(Tn2vtS?E&^TvGR@lDMopeV1Er;pFc*yz1+P_@jel_XiMwjE(q zbxZ4{$=7{zaCUA2DG=*6Xk$n0^f4+dcHary><<@e;Q3vM8hm*Kx!gc*$8L=*fqV2m z`_IB>(#HYDMdMA0#+_r20LP#y>YZYHi@Nsf4fl4GxS1bGFoIAfV~>a}BNGfReOCjq#)i~4k5ac9Z5WT0tr6qbP z^6{;I2bo~ZsH*(dS5w~%J8`?j;+Z}ggeG*Atw~4PH|@zZcIAUuPtOr%3Yqb}{J|ym zRF+pY!MZv#O!V(o(NR#f_tB&1AWg05TA=K2Pg%8v6)-x2Yf7>JBqL0H092BGoGd_& zFULy+akAwgw~aBp73q?3{@`13ZJ4m=07m1ciXk-$MeS-BP~A zhdlr3|LnElN5!f(l7Z06L?l5$iJ-7km8#Q9G&p)1z7yi$y@xHa_U%fByFZ8aFFDJ5-nv1D?&UtP|h6AunCI2*vFxM}N> zPRp)=^87I;AHt7J9>u?x^;~p8)^~%TQwY>xkJ|rq{qGe&-~Ll^(oO<$S8NpHl9_4$ zTw_kr?(Y1L{S=L)485QSd=8I@*p2YIm;fv8 zX;eIwH{S&|*Wh2~&n-9r-1uiI5Y;a5RF8j5wY7e%36s{an%`sVME~fSlQ#EV{?+x0 z0LtdmI@YMd2xjN;u*iSllMIWm6{Fo#XsaX%iA|wZs`l+BaOGsmRdh^q<6|?D)m=~b2!kP_s99OuNVdMI9O;&+|}M)_fbT2V>R!A z-o0`1=4Z7r?llZtY5E^8SZ8TYc}E@zP%V;>k~!`xoY*2FB4BYJYR1cYb2!~7&M;Ci_Nx@d1jG zF15++D97}UU08WXljfmOd(vsDd)~VPKbX-F(FJ9mKG}n%uLzx?w5s-TZFn0@72>^j zC^Nt>6tqs%GU-UzH)N!&g>Qs)6o_y+RqvcDIe%1g_}38Oh70t(Fp1$Q^eTm2W;95A z)*JxYF#1C%+z)j}s&Lt3+%p&|Z_}8MSHtOK2yQc5AlbaZaOjoSV0Yy}KF6puNvm>A zoC*P5{!0~-V3HSN?fw8qL&RT#h%lk`HSiqpmPX4ueI}anR_q0!NS^K zjK&D5rl0WtNKj*v=cW#+EG$W7$C=kSDL@EeY=@{L3crB}L?Mx^;;6n%@8Nk?;kzIq zZT8G^{UyM$ZBWv)r4yL@OGmGCVH%pvi6GJ6YNks2LSyDT2RlX+35x3`suM#Cu}C7G zIxh+Q6P{EC919HOUS5C)JOp;0CRDSnuAe(P2>!8p6h^u|^=OpMx`6s9HDH%1K*+%c zL}jz2xFyYkuU{Eo6Jq!L{b?gyML##_4qAOt%3_IgzbgxstijVy<~dC^5MGQ^a(8z1 zcx;dGwOSCYhLxT24))>Q#1%@zT^_CGOzCZMuRaH4aJrQ%;4Ht+;eeHmYJ0K1oL)vX zM2Zhb@?Xv5s;;&IuxKgV2&lQM*LMipLgde9y6nHYRVT)vxs}iptf-9g=*F zx@vyH73Js_O{ft-ZlA`v|6ypRD55PO|RU|UoOGAYU@Jp2wOz5rc1$7hF2_#I57 z%7iwttK#=`cAcGr21BCC)?H?LA>3+igr(Q1yxh7!V8kEfPnqfW_NL2qOl$k<@dK9y z%bv`W6y2iZXMix~7MSS&Lw}P5E~mt39`u-#JIZ;&4X=Ojm3HF~h}2=PeAR7>yfg6Q z)HilRrSOSZ!woQd{iALhyrBfcZu!nW={@Y&Om{Qd?TY6|;X9Jgd~>O0bd1uEir!;j zG?QwO>SyV%vnYJk?2SlO)%BlA=oeS%+^axVrkmLMAUkc+(mYui1SM%{D{crADxC0E z5|S8;6Hn^f8ing(R+Hooi}GPdK$E-b!W>_uCVx`$_+%*%LjggS@K3mAKPI z=HrBsx%#hsLbYdvTZN@)z(GyOR72gNKG=`vzlbVx+pCRfAXVv%r+){&=mIINITrEA z2fl@3O}ySOC?|1dbENVwucyUvke0cU?tXi|z<-zQ4?V6JZvZe1z0C53JKy3Yvr6Y_ ze`u(xPQa<<9DWc`{hGHV)%H{{ z)SHqXcVkJ7TZVIV#Ovc7@V0>vDe{n^?Hzrrl;p@G(aIi~b`qV}>*7`V!1G7AJMB9d z<^AbWO|qXmtA6o4aB^N=eQk?*z0QmkPT-SFVzT78JYiRPtNrsjM}9mAFO+b(@3y)& z^rNpMwKIb1a2G(XQhgG)d5$iIE6#*H1?|=Lp3T{MHm^F+PDjd0b(?f(JXeYmY_*o$ zPIuiXGuJLHBOwwCai-zbGTb=F%z?^p?t44z2W(ZNW>`p0joB(>GydmbBWNYTK5^@} z2BGiN<^sFDvjaWWNo|z9%GBgW|Ho8`xsm@H;4wCpI~+Ul51pVtlFt`wak9!XDPpz3 zVbf@(Bgse9d|;t8QH+=T-TdfE5=12HNc(B$WvNOayzViSR=V-!1RhijGQcvaR%knNz*PTq$^h#+ zw}tAJVP+(VKE|gg2uk$qM9+KMtM zxoy12^MYfq60}yCD3U=uNYsdyG;SMg67^Oq&~0qP*xXKI=lsM{Bv3UHlhHOGF2WO= zJF+wRGrC5^ugak<=64j6h5?YUZ+&Hxjc%wU5Aj${HfnvZz8gx0eHW@ToD>ycAfzXt z9Vr@`*DCv{%9$n)5nEMfXy;{uuUqKmaw*{|oLMJyX{* zZQSj>K4t@SII_jm&eAC46}|%|!Jt+oJ!r9v*4>3{Tc*ZsDZ9ReKg}^rpSKx-U6;5H z`)8xEBe14valdpb+9!8NgC?tWi6q+KJm5N@AcBSPE#?5L+nw_1`bP>@JXkC ztZSYw3kVm{G>{o%*Dnjt_+#lh=AkoaeUr5W0zSq8EE^?1SF0r>dypJ0Lw)O|!JK1H zX%n$J zDUjq!@k5Yog+{vWC#J(eCBu4`I+Z1-#(YKVTr}@ruWq2)#=`SHbU$B}p%x-%znf?g zE{4dw7X$+*E-2MWzI)*#X*FVIkM#I%rt;v0B(jBVq`W=*MwxsD!a=Yua%-Xe$mA;j zY7<76$mLh?ib+V|S<-lS7wsDz=%pB;YhPC_SWmLgk>Q$zCn%aYChWPH*b`hZRU9*Y zf?Cux2XTBq(UKJg*fV24Ou{AoFbgN-1dXB^E_3bzZ)Ucp*qS6JNN<}&5gd)pPtb!jOhlBI38n)kJR(iz)G1fs@k;<|5qc>dS)9qeR?@5rj2$!PF#YAbTi} zL~K0tOcXY8A`H%jMGjh6v}Uk7*?J}`wuDxnEU2biRf$3%#Mkwi)C%}AwEA(TWkFZ= zIH&iT&5Yl$HJ}xn12xf1WJ#GQMEEK?4nu(5M!Az4>7BuU+3%-CqpW_qH&pW?|EDWL zh9UpH7y2_W%A83ni02Hh@oo&103)Gwwyh=DO;*KsRs}S5DYZ_sY6%UH#8rWwHrv@p zi9gNRzp=NAW^qxnBIowG$Sif~jN>z+YGsFo2kAYSRq9_UizNOZ!1j8!MxTb=N~h`x z$@&3Ohh|9c(rg1mBIQdAmHk;`{5auD^BJ8K;f^|=CtdmuO|z=U+BV-DY?FrUohV-g z?hh(9pTF*%n=a%vL*W*7^cM8MZ7y^ml+9Op zTRzA@U(S>0S^f6;`r{S7 znAnsSx7!6XtNGeaJNE(P$Nwi$>!uxhVOzXvNh+icetM*)Q=OGtV6E+o|H3BuRd z{U6NOBZeSTgv_e!5{QyI_0H?7XqSu6yD=!TL7}@v0&P4EO)!dzk~8YNcbG{qYPHEF#t%XDi2m@*R)%I8sw-d2od5jOk7aGKMD{ zpnG%{N)Y@}kDN)V*Zc zxBB7uVU4#j@^=>(kJWrnNS2R}8d_8?VJS$4NG>|VB1qnnKOw)P@@%)vAIg_<&ntYB zb7)Da-ZG0W@f%l+(1`K}C@jKZ06ShvvtLx$t^%*=k86R&O*=yIa7GsVkIQ>RURbiz zaa5*tI2MW!)G;itE+*3nyU|H9j>Nqyt}W$HtVDVLf7{eVNOSVB~YYz&|> zmmHf4XjMv(4U3KE%EpHVgG@uVl0ds6cZ8^Dr>lL zJ}yp{Ke9w8`XZWh zeEj5uvWo^j1STA_dWF`8$J!1SMSF{pw#a6PBh$~FNSzq^Mz$M7P347V*_Go?Aj>q( zU-jhghg#s3W2rP{Y@VR9doum9f}p5M<2?}fKtxBj{_Mi4)VZ`a_z^tOBgybimICF? z3eV^%3F5fNqI|VQxD`~lWvzIL(Z8mUsp+t|Uv3AgSO*%5gHxqXK%t`oE^Q=UD;-Nf zEE+4ut+TNo9n}8tpU|zJ$oV5vM(<5PC|DSvFcG%L5 zT`T@Bs`$wXtp!D!)qwUeHF^KP8MN#&|2J*qy&0PjMKh*gHZZu9v=J|cKI@J~pMg5~ z8;$P8(?zX~o#GM_&Spi8#ku}IblPEXiL@_zmAYU5vHRK5o*ps!gV~_W_Wo`nLfSRe zEh5EWkFD9pKI&3uMzMqGgVXK=?q{83(#|ROB%M*}J$Grz4PH@c?^4|Fr$FT9kWWb3 z)pMY$>G7P@)z74J8_2>kWkMS|#eL$~Tt4(-RVl#y^cW!Iv4~X1fp3CkXafQ5t)tO< z^t9524$4dbsvB?LpQV!}6|gVHC2o2S!T%7HY9p;p6}FDq+(?CA!0&)>peJA1(k)yy z>rm!p6yWXl_omwm6r#B8n7Y`)M2pe_RgG*>R$9xiL;8veNOk;GEV4Yeow@WrKqj(+ za@Q7Kao;<?z8JLH9FnGKN@I`3hJZ#8#Z%B^Nt;(;Y-294A{vgxW zeIxL=7qXt42;g^&P+CQ8*a_rkXBH8O@ps#P&aF|-=+s7kKJzP?FM38U{`1^nfLd53 zAR~I=2&w3AN#e(G^WQkUtHo1;D~S*T_iyG4UK;r>D3D12H_`n(34n=3$WM+c7XgZw zBTStX0%<1qnDSa>Plc9va)SRy15ZMnDvFm<)Tv?YK>5XLR;5ub!t zm(IF{_HX5U%j8}w1o>!#lwu>GV&=_{gSkEVD>)XCVtG39-(^%2FxYdAqWERkdj1s>2f%-6&pVeY&JV3;UW$N_ zc<6z>8E;d733LH~jVERw4bZp=OVYF^O&~7;bde!$@@+-Glj6f2n~Lh?;>=e~m1I_A zFU7%PWcjK!QSXpOhH(wILlZ>`P;b{x*hR}G(EttnM`c3*0Y}uXHme$kw5eh)T2$$} zsnT>+SLEbm0U%P4uaeHukQ%?^A(GL8nJSL+!LdX6JWZ{&i?jLt=-SW?uhnw%BFfx~?k+Uv*+7 zW^JYs;I8egnU6I(eVVy-i{m==U zuy7AhuM{ty$>F!8Lb@V88MnYd_>e(*kW_4}b`FqE7AW#nLB&Gc{I@vy9m>aw@4bql zojo40Vm%?j1(2`OtY__d;pRZBc6swRaJ@2eBj;Z5fz}rFhUjhcR?_A5^nH0k(dO=D zzUD5?oUW~P^hR67!SXxvw>7cy5L3WDIev|fC1~G?@qa+By{>JxIl?@bt<`ju)Layk ziyF1HJmJ6A_H{i#?{0^3yFMBBAUj(>J=#~oHfQ7MaofVjd{BlmUDm)0N{Gn4^DFjc#UTYjAQWfXSA+0kAhd! znihE~A7TOOt=Qk$6UDlCzd&Pyqb}jAQSC|viee&$wv#_e!e_TGPx&;G7sao_dAM^? zx19w8)NY<4FRXa8qWG0P(}tW4eOg~FR#PFBIu)5M^NZ8)YN++f758LB53@#f@|$3AfM^gCK?`kB(HAQK%D!7*{VSmX_?MJDXYl9LDT^5Q-tY1l9cDc`9-l;U&0-tyYu;EmJ(Ql zYJ|gp+rgs5fuabF8-~aam#v?AevOdpg>4$?7hYcJlsUeZo{O4 z?nM;9;CYR0Yt-YFz#|xF^O(m*DltjML62As2Gi+4+m?;GY_c(rPFpW8FMn)K7!M2G zJjp}4*v_A+QM&86^GI}^Qrf5fTu?+r+DsCW_;0uBSaTbAG;A%6NsSJVDA#}ieu`@n z*Lc!T%at~kUZn9Yq(OLS*unlC`}yUs7d2Mz>0%|1>FR+_}SnWn>tRU1mA%Kp-<1X7P$|qK_d$i9V5?olA~Z4 z_%gjhC}aPTQh}++kRF{=1=*IkxzPc(buT^J>9G=84^*GfIhFG>ELRCJy-gUPSYEU2&dIWL`Io=U&6#NB+2gL|bWT>-Yfkf4@iCBpDufqzu7X?E(gr`f z`n3oM7sKw2gUyqq`3%x{iCleTn^+!__+WxrAJKmAJ zc|qpVlsJq0-wr5t8hp~Jb}blR_N_#9I~yK+N~!;ND+rm(@Aoni4X!3DXa%h->cudpeT#kji;!B1BfudKD{ z%-ROe01eZF`{v>?p6X9YVRM&fy0;onIxSfSuT7=abA8hXWru^;B?Cl>q z;rKS00$esLnYF2|cCCewZ{=da3aQ$X&eyjKMbQU>Lpb;Mr$vfDRV#}^sxmZ=XG z#R7$}xec?5iCavozzdf=qC-VGdUlz-9ajvf>IzqjHn?+vil<|cTbau?b!!l(6X~k{ zrwX0_lC}dpHgqI6TM)4_C6HFW@qi3ku1&>t3@`dW7{)BavE$?iRDeDNarQ{K$0qW` z2E3oIXff;rRw8h!h%Nw~DJl{Q%iJ3-!U={IEx3nIJs^A#0uwQakQ<|x?z|gE!zH}G zfbH;s>y7#;gE!89lg@2#y`H$lRd9G-Wba!=`t$r|@pBm}k|gd=W&G-ehc3p*An|R=YEn8JmX=ui9CiblEGj9WQs_$ukBsn0? z>9RD!&}6^wE}F=@DctVBF)agMPlZ6L1j;MtJHk)x*2}AZ{Jg4PIs8iz$wf8~W&bxq zvZ+=K@l3i*yr9dW3|K}MmQEs8=Xm^47tBN&!(mfu9=J(O&P(66bw6AsiIXit-diOl z89)xrh~OT$nQhT{LwvKguF516E)S}+s`NFPU_bUL%Vd?o1@>(0yuCjEGZ6S1=;nt# zV*@sw-)jEPz5e6c-R0(wTdz)dW;l|TJzfhEF8J}B(!Mg9Ja)GX<-Lyi+xG9Ad1Zzq z1kHcxs%ggf9B;{JMxfpfrg8rk1t6euS845kW03d;&$S9qq{kMVI|6Kv6OVQOTRM(V)A7(uS!*I)dtLCi z_Z*Mf0`rGwgUQIAzq^6RstfXbo46@q@R}o}V6cJ{Zm(8BxCXw|<{a(o-*-%%D}h;! zIcM{B3tO=lt~FL+QQ9UJBAYx%8La3{8oe~gI+L2d((gMzhu{x=v=vx@A7cARW$whe zSXig2soDiK`C4G-jahNT9S}+GL~%mdj=)~DdN3_3s^sUJc;+&5*7vAj@@_EugHri# zH(xjFFDYkk;w>A^VcI#&lm8MeedI=ns;amdMP~g*N+P;M} zi5~{;sf3jYmpR9vfsQcYZT`l~nHZNdKtnfj{RvRo#*jr76C@R|kU$dE{g7%#2A-k( zss~6iW%ZiRRZVP80;;$^1i95Y7)teQ-onPiV`(1q$2kf8bm8<9|Hc06-TpJ3IqX%L z3d$LcIFO$pcOr4Sd4J80Jr+pP{V0~U!}Uq)cC4^HJC3j4=+`}C^-kMQBt5qi7;PsU zr@;gMk1GSAc9%)siX&44?5^lta9^-D{LC$H zBSX0fb#Hy&U5p^xxl?})dOYf>jKUtVDzQ(j1c_&3=-1GY z;9cu|G}o)WD+SBZX=Y^)`untk127iG(288_=_7w$-t2H=`1~o|z8_OuSpuO?-G*l?jV{mr>c8-=5zAN(VQm z_F3<-PXCi284lBV{}W14zbf=dz`z0pUXFW_7b7~X@v5z(+^5FXNVNHRV?lDYrq>uU z>WpUoQ(`<;&^Q!wc_SgvQc$MVvnz$R$ADYapK?Q4<>{eac&zge&%CoqZB$K$fT zf=^lT@j=kw_qy&fbInNhCzPb0Ts~?kNL4(Vjbmt@^MC*zZ|zqaS|GgxST7uJJds42`t!%wp)&r}ntZCzYnj41&%zbA*L$)e<>)u?Xun;_ zX6}8vl{@TIp4c?Z_~=dduxDiwcncn}>s0Sl1Ux9$Vnf9JZszg5)AV}hH%skEN}u)r^zx|xLVmA0{~hy*m_i|$R*Y8=h#c+-BMUv2doB6UBo8Y_02Kydnl zQ;g{EZU1=oi5|A-)4SqrfSsTFHfm*Ay!1_`pv|az+k$4z3%KiPXVHCv+_4Qw0ddVS zQ^`IdUf7TyXZD9!6PnIDP+%+kR#UYRYl?KuGDNxl%LClV#yqqYD6S{`M%?tsUWSvN zN^z2+q`v|QqrgeX7U;-@Xum++VGFwnV~|nJQRrp1moZR%lI+LW0Q-=k-1ldBp@?^6 z>UU|Svowg${SE$Q@wP4<|74h79aJXmsNoxgZ>!$A8_=pD)erct@3{F>zX7-Yiy&Y5 z-YX4m7xX(W>z(W*uGOT&!5at3&Lpm)Xf{|g$Spwq<7~m=6F1;9o^&@AMkMbgsRXw+ zqh71u;iqVqu>ece5Y8_SJZ7EAKb^6ojFz%;HSPZa{TX*cQh{427-y?=lk`u(o1eff43DBx$QS1r8a2 zd99H{f$;mXp3)Ltc*$sy4Dhi|9hd+4%`UF62zSl1U^;jQtY~0pz`F$&;(opVkL7b{ zKYg{@#YqH4`J;JZoKfTQA>Zi=q$tv}x)$jDg*;^LHB_&%Mh!BlbP+I@72Ojme%_q3 z$HP{hNPFrCp0VtfhUEB?q;memWy5cL0KEg`Eh^Bg18b}D7SAvOn_Ir*G%|$OgUBGx z#z)x=+zsod;2NwXiJ4FE7Er;{*{|)T`tbp8cYJn{gLUe7 zNI3QWeV6z1M8odO2z`I(G5z z>R2i_4!soL#}j+4=09NVqZ)f|v%FYudg{uMDCEbI;`_Y2i~9n9-e_UPX(Ag*VNh?> z7NVy2_(y{IW~?OX0+=*~zR)piRT3$<#r>`*e=cM>?c|OtTA`i_b zl(cqhPEyV(Q|ksEwMVGt-i3&NENMuK9av^6_N{@}v?Hs*P1Fe7e5M*uy&9e2n^2#% zkXE|ki*g-FTT zasY3iB#f9OY`b{(>|8ne7q6#V$9zUVCAsU=}5=f z+6h+2>QRXt%Z)Q#1krYjh;mwuE{}Yng|Em=b`d@9e?Y6n5Ox9fE!ZbZ0Mci`{a7LO z2_DBC9fb9)k;j&Mqs}3JP78-SfIX6zw=nHTG5AAyDpaXC&42yegT_0bFLZ=%pd8)9 z?yJoc0rG87nRJIs@C`~1{5i<@pa=^{>Avld{qTR!2mc9N&P3RpZbbMFMIb^!WQcM- zgXxa~tHO1rxd{8@@JgO^qi6ClWm7ISBw;ky@O^haJth*h;8jNrHthB0d@( z^Tk>$Cq&(gq@#QE*m1o>eFHm&geSxKK1+2#t$0Ig{6C`xzy*K|=Qp5Fg4aL*5Wn0C7ywpy0l_{1-~d2d zLHkqJ;$=ypq|3pfal#^)WZ5G+I;#H{RsyO0A%-g^d-6*F10R19_D#xY_K%5LGAm_Zk8UF;o z$s3L|K%W1#a4V>-#hq#YK-H$t|4AQsMp*wq;Ed^j$w&XXlc;||j^6p5|NHBHs!>D7 zk^c^+uAmv?qel7{40VBq-z>>UP38YxJ;hx+i=LAITAP<3iULw#>BJ>2l3(Yp}1r%aXRp6R$djJbF z9sfNRD-$6@D>{GwGActv4>X>R_3TnAZ2p;GRAC?+OFTAr3u*xu+dHlXFaLg6B<~h3 zbSB(`3>6nxlmY*<6fO_}43Pn)B5(g5D-0G48A20p2`U*TZygo^B)|bmzds})GCng&x04Kl52o?hz7XLvDRx2qu z5D)hvCLk3t{|oTQ0Yb|QzzZvtbN{4t0U^Kv(28Cc%>m$V4p=KHZmch=-$y5!JTw1G z6dMd25)@w8~Kb$HzbD+pb*v&Q_?b39>f}+nuz(U0_a-Cj;=`8;Pclp=|J>275F*=KYr)kdBXQZx0OBj1{E z|Aq0XzM>8;U55V0dz^lF%07Ts6<{0=$x1*3TsasE%1P7(O_<&fKU(jbrKAkJ4oZ~i zYw%|_`HnqwfW}Zln$+vX>jqWLUfz;Z6Kw8n#BDsO-j8+HY>-Z^?$Z{uHa8K3XH-0S z<@l)9(lAl7YE+w`UMJd(Hy3-&Xtr{xn$o0i)iX+RoG(c_w!CEc%|Tf*?iMW6zVoGN z;%Wh)7T#&4OOVp2TBUJ2v6LQ1^xKay3xEuv-llp=m#PNtXQW8^CM%6yI+`knMHAT# zNAc%h2r#TyOG0k?r$_NwvvLvRFX`4V#Y*d8D~VFuBeNwFbln@o>i7d00=2UKmv0ul zf5)qLXE6sxesr5mkRHo6J0DG!FQdacekFpHa&=dv#>AQ#S0*TxgV!W(NA!)JwR-Fk zD0wLndy`Q&_}D2_x@=!UMQFZoXV(Pjx^xNgSiNt3%|5Mj;!w4r|C)KNCfJM3HNysi z@4h_=auM$jX+Dnd)yw|;I51n#&n=UUXUJIxO1#+1S%NX(j3dVLxu~w|;v4?m0xp7} z!hhWoNuo#<7UVFK)j|klSnM&#Q2Hi)t)nay$ICXh;7bz`YS!zPtdB~`_kZ0i3$VmP zICY6@_;c6CCqmjVJ({fzF9w!ZL-iVCNP6bY+%KC}@EZC3A?pbuWe@JxR$%gnk0oG! zHryWWx~SWi|LuE_z(l|4poDe3X+HR-Fj3@fGwu?mtE0|n6f@3qZ zIx=)V(eZn6#A0#D^gBAH`6FsU0x!UClw%kx(*)I$S!gGI%&`Wtve#g=*Ul%hUzAsH z2Gfi$2MNM8W*4Q^9S+E;ewLCaRm`5ZZkjdG>7dRtTIA8+-M9?c#uBnA2AoNjhm1L2 z#f~8ThkLEgZ}%F~hvYICK2VpaCR)<;=~OsVW{2_6VCHu#k#A>{KImFuVD6Z`HCGBb zb1y8J<4JW78JzL$*BjpGGVaCg+L$9L`_BjDSe@nyK*@5l1ASe|9dL?B0{Z8(;Q`dR z_ByZG8u~BX`Kz)nq{0L=b0%r0^Xa^nkmv|A(n*6YEFQ?$Jf;nemAuJxeskWUK{;aK zoID{+Ro{u7We~EP|H|lM?Q(*cl^xNgxw{XoYA%Zk~2TZqR0V$bz zU5^P@_(9AIJ;33$_V6*SmVfy>uKuDMGeD^PJ&yk%#*Q+ySPTBgcU<`M)9c#T-HDti zx$)=1Ef#fxoSav%l4L8steZT)!r3AApGP9x*MA;{`rI|iYrDgOWS`hYz3Hfb)SUR= zSFe!+yl(YwT^?~D3rP{5c+C0nSe~Fm?vMJGF{#uX_gM1^4l#;_4NSA>s7o+alIj)y z<5Ip$Y6E}u>RyBUKCfA+A#AMhfM>UAio)sVJR^Z>fB`_vp!lR&#A_XbvP^JZouXh2 zun(aSVhr&>x!Q70zA|?4y~|rMWHMY5v^{Z){VLzoZuLFnEf_GrD21K9XN z<{vfipn<-WIYQC>banHVz^H$RtZutN))xEX7DCiyW&2h;`}^)TYaLj+h3SFvmMU1g zkfqB&6u^s%J{B8C26(B_;Ry-Jk!l_4X2$VJ$wZnZNJ~E!MQ(_bxn?P~8YA{HO1K%T zNr3WMbE2cn6N-oZq^>3{(Wy&6zjJ$g%V3obzVQWa-G+H_Od!oAO%Wr%K3 z5E@h{Do1?hKnHK_$;Ob2=@8}l6UAET5(ct568Y`iIL0c2;k#b?)=PNT14LESz^l;L zsg&G|z-m)p$So7PNAsj5Vs=04l{us?;K(bq8KlFKZ~gbq%PY(^!S)zZ7j989m$yPy zhaTrlvT#PzdarG4GH#1yr6>x7^6iBALG>CLBzw|<6<<7;6f8ALUHvr5%lTOJhEDO- z_)YDht9=&T;(JgM%IyVZi+zPA#7RZ*wz%ttxt+ss|Dgo)dC4e*dMOG4A))QAVlCU& zP$K48X!&8SW_ci(gpDH^UUW+-xC3|Ok%bB!AUS=|M66>g;uSQ`_xoi?m3^E*b2iJa zc@jPO2V0~qKL^Ku%^0PBz9Ok+qWkC5%;b0;kAj%X$r_CX!_9D2uzp0>gmQeo6>iU*`_>J z)}@1eNSwJG?~vjGif@&@$Gk2R@=+^6;ZLmnr{_C|X4X*%ARR>Vu<}+$kE{}S53_QsBh^pnP zC&Tf2ykr#`@Qc0BQ~TQ&DOBN=z0A*lI+saW*V1gw2qosOJ9iQjjh)?%_~i- zfCpsV*4GX&$V+E6R${bbw@pf+yzFHusQ2N-XUnV1uCJ^O!8QM`zZDoXw{fv&?`JV- zzGztJt%y^`8#EK2F%7L@47U$|J}#jB;=<48fU5}$nm^yTi`8KdS1{W!4r?>Arwu6- zsSi^zx;MZID2=Jx>?<1Q z;EHd~d?*^KaHJ?O-Z>slP~3tl5BoLEaeZaZMHu|lp*F%wlYg8!Vu^0L23-U9ycU`j zx9)Atk9-{(J(?Qc88)#*_#AK2Y6pqRnA2>I+=t` zDfoe0$!PjzL>U2dDSP>D#cd9D?hNkF51T0f5Qj()W z3)RKb1UnI52je4V#@x3o22wNdTn~C+d`TQV}9A=g=icqt0+?#kGkhde(;WSG$H z^uU-j4GW#LR>v%@+5Kk?ahJaM@`8qljgq`9z(4wZ^G?hh30hIAP)!vULUiihBI=82t_N1)T{^J z>KgT4gDA;k7sg!;wJlW_oS!O^=2yp}=L72&#B5n>zSFXg<^Srm6u2@Ce~%rKfqX7U zxc%eTZ}gQ}7&DqZv*Iz`0!JM&fa`F5=NGH4VQSAP^v(m13}*t*=VV)lBs$B=;?R5t zvt4D##@OPg5M+_5RCsKXT0lfo{Pkvp@Hr$BjCu44$!SD%ZDdX^kjsXhoROM*-IYP# z#iIa2=!Ms9&%|HOrrq*`fCNLHM;>RB%K^^Rc=xR3-!nO$EE}9AzitsoR(r=OpP1X* zS&qw(fzbDmY-b+Zcv8d?N*2XvTAfK3&7@573x_LbpL;8p%kvGC^mv9I8(Or~ng@Tb zsATb*t)%z(I8<71HXX3DIoG9%F{MppZJj=m)0P?-{ZmAzTD{5`$gAsH;P2{~S89ii z(UD!Ud0LfL<84`cai>)5wyxJ~7yn3%fwrp=$%E`KPj-7YU$cjIm#}UyE$y}!C)j6r zQDW(p*X_?(Cfx&WMVuKr6@Qr*{vCW%OVZ5!{subNr$JbAPH3crHhdrW-kJgrHuhkw zdfo4Px-08oPC0bAWr^8Wd+ODa;r?At(YW60JnCn<#MUB(&}*#p3KqA=c~Ki9l4Dcd z#-{L?dw9H1c7h3|BKFK9b8Yx8zb0x`^Y>0~sUyZkq!_e&J2+r~>mvr#f+q$1p7X`( z+UDF8!jA23`nzm~9_nHz?1b3}mquzcJGjrQBsX8+c9^?F6^(6EEIeV|H$?uzHYOAx z$b0SkM^JE6%gHI2J`i#=Fjuktpm$u%4jE8O%uu(>;_(Cav;0XG{AXOT$I*qobXHSND4rj($nYD(-r^XL186|+Qqke z6HLEAO%jbyw50ixj1r)R4F+!x>}mt2Z(p~(`Q*ACFBk{}zyRPV05bgnzZJkGDZ0rw zu$CN?qzW9KQU(sO0s!}qX8qxaQ_kmzah@E4^egY0xGT8R z8U}#N`xk~9-BG2$>Z@#__WJuYvaa%T5WL3cBp|Uh^nGZEuKQ`I@UhnZ27 zxX#N22(v!UP3YpDL3WDQ_hS-q9gCT&g)n?e0rE@=O8|28Z@9o2q-p`IA}vsAld7JQ zdTzLWjI%>FVs1zl1^_cSU0&h22>|kB2P)gOgS^8Xi{y>zVzVuqLw7>hPCO7{S8H}6 z^>Q?!?1K3&&7clqOb!8ZlberQkoFGI0A1FN3l_@(>BU@WsGb5P??mk})ny|4c#%0( zQHk~Fu{hb!49=H1_Y>*$(k`4z*n8<^Vz=pb0lxYwRc8`c7a{8@%PH&rN(B=i zpquU7LJw{oWMX)he@*toNuc&2fAglu=ArfL$#z?JZVbviUaDw+O*+3mPEF>gnp6*OA3NqU)OuhOezClD_39_@;m9D`I%=p=15s% z-FisWDO}kx_|teg$3lj_C6q1xT)tIE1F3a6jVPPB5yEld<816Jn0>;P?63rD{k-!= zVm7mRy&+uKzcE)=$(r-&77$h)R9a;s7fDA^SqLx}(!cgjc+GMx+pI44``Q@QH~S3? zB3eh*7J1Lt75H6)BiDr=YEPRW%j9fxQB*#bGy@&R6|gS;>Yads75@o~FNtfc)+9De zbQN!K$JiP?*!I!`7OF*~oRf}D`E5PN=Esy{!xY`A#p9gIHu+c2ZXK>^TT>@-=)y-q z!3TRSOeDM7qXcg*NDUuj;Uoxnp9J(tg$lx&ot=j;`((Y$qrC$@3o>{22$Bc^3c}~! z0YuPz-s`c8&qK6F7FEi94fqZY3m{ypfzV4!D?5&`?B#Lq1DHd{yDs<_8_n|=So(YV z;Nm?u%U5JXWqY^jZ}Q(^)0xl*!hs-(i_Nc>Ii$mUhPF?!(-lu-A#$oq!m2;se?eGA zFx|GFi=Xj!6$48qSTx1zD3+iwo$xnCu;NH@%of+R+eIHG$F$M9mMy;AR)|#Q6dZt3 z4H$A$<_z3_COc8auMy4Qfp>A_P^>!<1slrPtJm%3`*8}pP2ueOa0b5vUfwD2+4XR?*d=;mPw!;x@#J&2EHix=*9HA+~ zN~xW?Po3$coUT#2`1Ti&{<}2a;q2!M`M#9^-?h=>qU9LD@#-AX3A#AOQKQ8}dYHc1 zzwu{?UHsJ{PYsV$I^Hz@y!ts1QsGh@6squp1H&$o`NZ1F!LRz69U#R-K1 zWArV;!PO#M{-1aVzayL6+&YWY;2y_u$==kd=@xBL{I&w+~58f+t#BHgxLv&zWWXODB z|4l^p-&238!0SPFgq!>3Sl#Q8k&)*|fUDEO4`y-BMUOpMTDl#sH;kQ<49u-&JW}fy zGqSgDTu$#@uH%F2d@{H=w*oFXBhUvV8FS@I+Ol37#v&08O@R@hyE(Vgb1)V`I0y%qpMgSxL zHh42fG0AZ9B_2+Zlu$Q7C~r{PWTy~D-|7HjDh}eT^v+m92?zEeWmtj`|59mf0%6o7 zzm9-&zWj$qIPJy~p`CVT31wFAkd9MwYsEMi8hAb84jW4nVv%+6CL)F__twZhT9FH=*GduC`KBu@b@33*cul= z98ge(2<$3EcqekfNQfaF*ZT(BVRUM#0ahzP)C|9LP!9fdkcCDmI=}eu@TaTDfoIo- zPfj?ck2#iCkB2Lqq9dJ96c?0^2^6qt@zgJKxtF`%vdN|Yfw>DBItenr2!hff{JiWK zpMlCp6!AYVe-;fFC(A4v|M3||d2p`o&6F;7?JZplhQCU5>C1R$WafGI6`y#pm+01$ zKkf`x_Nl8I+jDX6HBp(SudZP{S#;Ue#g?O={AgT06f@j1gqq(>2zaX|&u9pY{wRqbv{I-uvfMwJ zj)sF<+Pr%q`rL!i&2cyjaZz~zm&1TQQL^)G!by4DsHvuhI23*Qh;-5`5gx@9bqN0b z3CWw-JPok;`sF_zD0jn)4;$)TafZD0AHmq*tnd&W(t9in4>iMsUC_R_9dOJrua1`~ zko{HyvYW8__6gMa`6_PFrg{wmuwagf#$SAw-v+@iq%O;FvhBHRJRS?~7#4s1`&*5R zFzxU5rE6-v`;HefVbAQN+tZ51!`o20-&%P=Us(`keo~jKZEdk@0rYqv>4MQ1X>1r? zDKQ}uZnLnm{tTRSGO%0jaxxJ(Ym}7XJF48TIyPo8nlJiyfn^3L+(~CS7|^8VKexCg zDno3Tnm8)~W$5k!(&XqN?E}b3h&ix0C9jMz*QI=$e0x+;eF4SN$JkEIa_w!Be?i8` zV-V**nj9Xp6xJ#%6~3x%(>Q2Kl!Piy|E6~`*2nC?fQf-Y3%`x7ex9(3$wT)+W_@O0 zt`#Qxs%=+iy2WHk2OrBYxFbTZ6)`o~ruknuq3v`xnI`Om@dE@{sKdR^Ad5HQU84v0iE3{aUqN%8}$j&#_t17DeW4 zfc(7h0zO(UcwV8Ue-_DVfLUsEkyD%Z%O}0BFGa+5RK9hS{63S!$<_r;=xLpvH8t!(KNwfxjRI<`s+N0yOyv&e|*Q zSkBte50w1J?HK8$HA~wt^fg}Z61Ygp*-L!&fhmx^2UE~t62hzW5kmPTsAPda=Pe`$ zdqp@einFkmkGosf3b{beJ=_(dJJ9*8K#42xgM3}VV(GFY)C5ETp{kwqY4w%~MH^l^ zNhFUeoY8`LxGSc(eF-bV28YwR1HbO$bP7=#0 zD2TuB4ha1n>GRri=3vUgr)|w(WPV|Y#6ka>bQN0q!`BXzStbtE$M;Vq@{k!}B8o0j zb%kwTOuWQFtR)1N`+Qli1B*@U~oSQIBgpaF+NL7jc$)c zL}w&RMMtGI5Vgl7)O75Q@5jhc$;m1c$E2g{QeDo)g-;|!SpjLf1~jB`BMivocUeut zgSrmxw$Xyzv}p2)@kqBBd=fd~Wb|6W)npF!~||s(mt})M9My5 zvXsLS_f1c2JtKucX-Wz;IwtG+wMsSq?(_&s3ZVL%2l%^tCq^e)Zm1+s&~6j%xsLHd zEbYM?d`o?QVT2X_CMqiNW?J3dG!aI_iK+k9 z&rOnP{tZtD`V5jGR-I`l7ex~j9g>c1+eJpk)dGs+v1!~HRt7&1(G-qtu1>|Q>KX_% zdrO#c-y!G7o(HJ0(I2Ml&cx3Wlal%0hq4rMfh&YJ&0#0M_aUY!^AiM0fJ97?lO|DD z89Kq2B5n6f;YZF8Y*6>?npi&*T#blMj8CRkJ&+DRw!bL}U=fQbvMDODgbsO3Nn&cVA4XIX|5*U#*QCpEme{6BXU;bj&v&jlqyJetZw% zX?Yh>u3=${<`WACznwkZ8HIj!ph%+Nc3EEZM!2x~gmhrHZX#}qB<2~%aGmS6D;lqV zz5nS=jDc)gO>R(?*AyXUxrU5MvG(& zC#Eq3{FRH62+K~ga)EejX!kh z@x$69()w?r^}}y|O^G{4s=C{UyYh)%?;Y_@;C+7NL4k$nnkc;+Nm1bgI@e=&)5v{P z^RD80S~1-Y|7s(`nvPk& zE3U(dx0$4jSI2&eZ|+K$>Q1OeypW$!h!+Gdjv3jP7Q06roe1;zP|mch**BFY`ynbW zFGc>fR{dOL#I^DSm&X#sjAI%Dt1?@a)Ah$yB6Ov~BDE&J5i;iXif5W0(trMQS4+>Z)!CfePQkd3PBCOWEO7!)0w{&8})6ZxL;Grlj8DmGu)`r9#7p-+1 z(?A1^roT+#@B^06);g)T#+L6CxT)%lG1NN;;%xRjZTh|x6S<2OLA%`(oNBb<=l}aE zf1O9F{)GTg9|0h5^Fc7;4Zna+_VVvKz|%_rv3lh1#bwv+90^agDb%ULpDc2t4euQo zi(a6FHj*Ndemr{q8qY#M_0U+Otsu{deshu6Xcvhw=hDkKJVD-19%h1Uk<-$)&n9(J zz#Lck`70b`qkx=kSk_s-m7$x<4F~M?ku49{0^2~rT`sRNdG%#-OHS769)uED)Zg~$ z(MMZ^$Znf6ucX7aw3m1*r)Zc9(z}cE_wOxk$`gvq#*tp-c{9#O!U3kD@cToIe9|6| zQRcv7;V@?WFFB%~A0~(p=ZB~mjFgBlF?CTyl$g3KLJ-ULu9Lbd!Pl}Ts@oA=o7*x= zsOvR@We-UaawS#iLkkC=8XhUPXl!(hRSP&BPe#PZDXrKAcTLO8JA?M6(qH0b+U!7y zDTVuh?WjFB0W!9k%Q^%*GpE<+un-tr*xa4C=|FPrMt^eO;zp9Wix9HYlinH9y6)-L z516a>Pk3Rb@_&2r>T#%P6QHB`)^eFAF9At67Gkb~(A-g>FOr=$rao%FL$+!~l%=J0 zrNw6}WPMyCwsf_?vTfw!h?~S7jzWsy8cRZ`^!Ij-wN2VCl>+E>b7VhoJQo-xoT#-T zg^ORwF5p?&X^yU(EXM_XT3ANLcT+(+v<|+MzbvQnM*Mgy5BTYU(TL2VZP!tRM}=^w z$f!@39-S%jy>h>0f)SF4pi+3NZFacDao~31@^XoA-bbqIDqQm{q!^eLa)N5;^$?2# z9C|^F7m}*PLmK0-sk!;~+ERAm*l%2x%&73Bg)c{}(66daNb+)_<1HOq3xJw$>J7vq zS7Wm{Fyd2PnDM(tAx7rlY%NdYelP1E*L)6^`ig>D_9{yByyTPq&=bdaoZ64erI0;Zbo-ZsmD0R46_RB`N>=pPd;n>%3&En z1Eygq_e1`vchki)*;`=eo}>Sof5@~aeH8zR)eD9P$0wfQR`M=cyEQ57mCO`6A@0*= zu=n{W8XDIFWt=bpBi-~@(hgq(on`{1EpkXk0G0lGUmo_pU)ejZxba(*WVj5`_QFsl zeo#*&@U9j75D``fi0c^GC`96?rA41dOEfA2VK3^9ZF1hhK_8|a{m9`m1fkE;YjkaU z2rpf*HCr@J@-*(oK09uAnH4`uxEgMqy~pD_Jv>qGu+ABo-TqAC9{waCvk{eN?qrjX zu+zUK;Wu^|3GEK}BF%T9$W{B*ZTHBpk|ZRTUfLJ*bS%qfi6o1$%kNe_XDSP4>eI!- z(W5F*V`vZP0@oxtQ1rMT6y)Z5WKJ+M&9U+7`O5Sli+YcgfA>HXGGeP3ihnSvCoZ-Q?}vgqqL>V)){xwnT9d4 zNh}%)TU_=Bf!$7{Z)y9A6lQko{%AdY=j+ONJN|A$_Ha{MQmW+Wn=_)7KD+yUSKDd7 zwiqN1UV*Ohv4Sop-dIMBlPU;2`B-H30yMPEXH}gjDvN)i~yU@)LeuH7&6Fcp@NOOfK-g_?LRRRdluck4TYq ztY~~CJ^~je0onPr&Z88FzU(U`i^ z|JlM^@8!KuCi2MWL@272aKX;-ze)wz#|w~JnFGh;q<6y|!*!oaJk>RvIE|7LGhT7> z%q*9ky#4Ah!&p?45*i`~;))0lP;*35xaMm;#pT=rxZ~IndHU6VSpP9|#*Odkvy61C z{UGj2OO^zj&oyp;5Yb~xThnwpkqXhw+ai0aL$uPk?jeROh#@1Cpk^MjXp42O?E0B1 zQLuQ4?rB)biM9P7OcFx{y~ zHWxZU_6swVDEqXiJj*$P)4uDDS~>U%ZlEG)lPFm-WtH`v(M9wfsGj%ueqtD5!Ac`7 zir+~z_x0QEH04lU`XX%fAzoho9T0NK_V-=G-413}TvS@yHtS8;dt=Gh&4 zo!4AHPfGse17$e);~H{z0GKy#>eYJM$pEb4C46&aynbl0WbI^98I=B^e}`c2O{m47`^9_s1cIqE8x+ z^``Lr9}a~`|K)@i=Kk%H?_U0cXesC^(Mrkjp&5f#?7dwSL9s@(#Pg$_(amX%@@e16 zJZb*>UgB?}9DEi;b1Z(I~YmV0sMoM`o*ywr({-m6tFG(8p7^4dtq2X)t0f?H9)(GUBE z4M3@UhE%NwTil_>M^2-t48c6X3K5M>;?KYe|RxjjuUzE%i=u6O2GW8 zVU>(U!XSR%oz5v>DC?hWi*M2QE*%Ck^GibZAhW}y^RTe|Aq3u1!RM?#j8D_`V}mz^ z0dVf}btr`Aq@>14Q3*30-yBmWj`5L?dGJxGT<-&YP5&euQpUfr;gA z*ZWxHko)LIBTDGv`@oA2xjeZa;5wVgyZu${@Pi2c>n9B-JI`FoC~-s+Zo}e?kwM=3 zZ7Fp^dvaEnOR694RhPin>q5Wia>>T*!@OyB-e>X0KgrM2UHT^zy?&}z7B9ZZ76{!D zq-s0|I7o=iV8G4dwbmqIxsSIH)dP2Gc=9yTZ$Vn0VC4Svv!4bJy7rA~g8TE@;xrW! z5(YJJJu-%TU-h1c)zf@`6YgI=T06E%xIhwxk!lZi*y%qmd+dEbcO+~p8O10w`6Y6e z)tzsa{Yy5(U%d3_xvem1{@X1SG0~TbLapH?;=;H5MNL>0!YU30lf^OpiS}7&2zhE4_2*G7YG?&DUpNC^LC`|W0D zo)?OGCG#aZp+QwJ4|G<@m8cT&tR^=3VU=GG$=ogqEu)W=1mU}yrzUf{s{}6}c_%8f zei}iU(;=l!wbdo&V#dl`RACFX4nU{K$6~JJ{Cs!sH&Y{jGPd~ZIPSSv)6l@Hog8%Y~Wj04O5yFpzE>TYw+CnAqpxV(`dsLC#v>Mk58fR-7 z?1F0@YPjUD>ji6bcS7k7cf?(wnacA@#$4h_5VBXie_kp=k6knr4B@|*psH};7hhaQ zmPPg%S4&8sZM|b2Y+9QAFK;3Yq9XVJdyD#Q@3;OdT8rb+*DBjmk4oq)#6YhaT5*NQ@N)@6Tj_lup~>$B-zDrz_K zT)~F?Z*AnQAwO)B404TCQ-CL9aMpb$|x@&JZXzOFy>jw3f;m`6xGbQ0EZJ>o9z3o3;T- zp-XS+0WYu%E5zUPS>uMrl?cA?-##(gFS|u`Jc3-H2)&96Eji(*pUiOB-}+_wlg6@L z{Mi}s)>A8e}1jfW;Uep0FI)K*7pd_fV>j= zpUhZ>_^j@Gv`nC<+x`;OmwQPuWMnJ*$Lggm`lcIg$MsPJ*2E&~rpK1na$nV~n?bSI zdgi8rlAcPCP^-&`k=5F?t-Bo#7?L`2c25;7#rxlsxIa^=YLBBAuGOI67l;daE>XgK zLfHR`uQhl|_D~b57HY1M(0`}<29XAy zD*1x&#Bf7J19!RU3Vbk;k3rD*DkK4B?5!1HW%r<6%csJ<{VmUEVjRym){IvebNkKi z-P`efL(nh<3&v>M7t&gMVCT}xZO*2ZS!G{lJ%Bx?nB~5>XWAwx3oFU)I-q8w6w%FN zmqFN`Pp7`1J5SQiZ(cAkLEo`><_sqC-t zjb|`w1+_BG5w?9J)tKsb_tu!G^9`Hr!*F&cH2TiW2e{j9ZmI><62Yw@o5QB6*|l}H zZrRPx9ly>%1rTgwE~3rE-UObU@n8APiYw*yZ4Gsf8QFPobc+u3C~O&QD<@GDw~Ny3 zVBvH#69#RnvDqP)f_tDACx97u4i5gj{ZFBsCK8DgVWpwg*mOR=%}qbxyGwJ4=R3VeG=>ghrsPPQoaAxNSiJZ_*}i zM?tp9OGwzvwe@rl9)l(NwlH zAhaO>q)3cEK)e-0|Nd->{0+TPXs3qg4qf5rCjzYi6g`CocJiukj{}`7i9!Cxem9#i zX>NtOtmHPNQ)mPwZ#t5W;87M#JE^l3o~bygsl{%!vpd39OKtm$Rv%Qv$}-eoj=C>t zAB9sLL31;Ad00r``Tb%6;bYgl%TMDCxik-OTw1LznmAAV1s8(;%=QYrfY3 z>HOvUd(M18<(`u7Z!?en6sU67C@f1Htsrg+)}#qnA1=v203rfkiu#Xuvp@Ci(f&nL zv4@i0g{Do^9{CXjMtBH~6=Xp@#}c412iMM>0! zt~(%BqQQdXz*L0ybC;K{>-4V5F8cmlq|e+_gn^Pji$dzwRib~6FO~?rGAV@j6pe#~ zL7FWVmejmX%gMNqYW|%6ozhI4%KeX|mJ6He1p=w_eWc&nWia5hCkG)~{O!zZ8_%}v zu?k0p&r)92UqiOS7wV*p-8RffTagJFyt$8rTgSp3?c7oD4Bz*4KP%Rtu5zA%GZBN7 zSiw&upM&Cs=p#t#YkRJyx%Zj;>+L9WZI-_&9J=7nF^B- zPk~B$Z5%-?h23Qi? zsZzLErfYiL@!xtK2zzO1P>ykPjmNlMB9LLDQ&Do0yT@y^ey1RxMjHR`Qha z3m7uAyQ24M!W(W`pOO}X?-x?nR)#dS_qj_kSTLDXC78QwcH=7l;VaothdG~@NCuT# zs9pSGj0LmS;~{h~f%Vy0Mwd_DP#mxgcSg}W4PLVjqoTlY)o>frD^STRL~kBNB}&`# zr9zNO+Dk+l5NWg-o={kkaq|A|J2W6v92V4$@;K=2X}jm+3>>ueaQdemlvn&n=E`x} zpm67>ua^nPtxQis@yt7~3RmUSNuQ*#HVPMCFS+LB}~|88h-HHH%$t&_*h znJM|1Zp{D9E@n6HF0}rXCM_1&3CW86SL#m7ED{_iTx(xiNDvBrP?xf>XO}6PUwgcN zwF%Nnn;5SKmzF!3alsdUPb?n2^y%*D2B^f5gCGZWZ-ZNitfFFd7cxTX&9$CKx2eHZ zhNx?Nr)PcFJ>I9FD&W3qj&;?}g_*@|i&xG>sWkA9`W5N)b@p`lp;9eFdCj$re8(Td zZ`!oi;94n}UK7P7+623P&f%ir!g6@sYH|MJyZ#@*YSG9C%5y!Ta?dO}_in`Ez))f} z$Qb=PFyg*8Rlz>SyB2u@3s;Md*^BnhR(%_JI9Xz^H*0s5W~oK7%(GlBP_gFHw{4{- zlR38O&V5L&1SeD4EQML(@1zG860tIP;4v{7aAgk``x!z58U`{J+1ZawVd3uT6@KlH zS)%iPwIVC0kF|>YExx(A=T^CybYcjEuGUA;+GYfm$lvQ9n&rPcj=nv6h1Q^ksZo7_ zdP)3ut`BD9@)ZKf9)GuRbOx8rw^Xk8xI+W6+3;?VxE-Of1+xjOYuTbN14sRw)w@3; z67QdvLS8&eXhYETW>xQQ{my%x<&Z?)27Z*aIKmkvCo&k+EtJa+cTe}U5e(#+f_gct zy5=0}`WdI`m5roz2>je}H}#y})?x4kdiIOH8u7I^Qo6;^_H+vx767)u&5W0tyZOWa z;ZE)OEj9j6xpgeU=f3MeyUr2=ZmkNLI9sbVkF1IX%Zenm6eL;%{Ulo#N}_`KSM5%i z6u=8RYsVmf`9sy|!J_eU5L~+5uKeB+b&w2!XWycozm;xek(9QGw+PSn$_%2Y$F(h8 zWxoe%XEFP@JoHkD1vw3EQJY$qE+DW(n@Yzb`Gur0OFM_yRKOzRICEl-bEJx}zU*~M z_Bqr?7acqvwby@8U8#j)TmKO%oD%q$$JXOnP$?`uPYioQ738HOQB)*bR^(R<1-*!6 z3uharQ-skOC^nMkh??|Z@9fzmbv@@p>X6A^KHC%9yK$0hv11qcEc*A941S_@O5Qr= z{!nyRuubt87y7(E#o$G5mTGJ88IOBg97OHs%Te%4Jz2uflXcg+Q zUc}!GzI@0ds+_0s@6TN_Typ(&&KDkGu>&8atK0h!Vk_n7ZZe_p`{vT8=#k@}Lir@M z#=1P#gXUd%Z=Zx+>B?`i<__%W!U=_w^saDY@vQa zK&7z{z^ugT3UGb5D8h>_tChXXvq4WRZ-3VDKTMoc`yVZYe1Bj3{e?=rKZil6^i>st z1&I(3-xu0eq8o`PRuh)lB;K7*d7@i~+=yD$^~a-1RvB?9AMb*&@Kl9|$D_xfG0ifT zms`PX%!)^}G!0OZY9t{b`piczw+N0KUS5a1NE-Gwh`CS69(SRpeb+o>1bzza{ZIHz zygy+SC1GQCIO5k?;E15rJ7!ETHx3=Zpc&G+ntJx39Tn8&-sBGodiejmEz=`bY^O_TX-Dij)E zd{U`OtK{U;qVkM`><2#A-0w;shPn^F`rjYO^FqmT!=*nGQ+@P-G4VGhOV5fjA?FbM z@77pjhmU?J_z^g4hZfSAE%?@eS^_a91|`GvFL^FDXumr@wx=QQ=^RT;E=&+S!r=TblE6J$=WL$62f9n`;J?Wo!6g4~%^ zSen`hN!CYFUVy^%$+Ve6k|0?XOS2;OgRdL{z7zzYAZqc?)_*4P>(Jmbppb-NHzvRi zscODd)_FGYc8llIFI-^$(vcuc=Z{9Zxhwvx{vjbEtE(-n@hR$W?eJ2C;l3KdZTfBB z+>e$tpeQlXS$HB=Z76&3r!3hjg?8)1FrfzO76DoU3!OLP{+e zH<3pixu{j9Dq)|9%g_J0hW_aoGQ7{EU_ox8(X zXLDw@lbO}oigdOkJ0)4^j>@<*a}Lp<(l8oEipZ>-8EGKNrsaF*5G7>Y*H52+;Q74Y z@8@}5&+FN0Tv0tTO^?n=pOXSl-#r1&y-BYz5*p`>Js2EvK0S5tjqSmDl#Ywt-{pSL z+4>dpdiB9jXDW-YoA2VF-7>Fo8*h$(%kLYovjv`~a_ArbU_3H>$FUznB6=hTo}GS? zYj`Y5{(MGbsl1;Yw)u0K=lD*WYI;up0m;cpNSxc~i)M^Q*-BzMKYhg`P;Q@PA|Zaf zsSG{htfQ7j>3q9*iR*;AH}=WaLEa=7n!jr7&=S|&u0rLndC?t^j%fc@yRGt}`^h%x z8+b>#?T$^#hu)`#BOhI(q-1PX+s1@Hs~bMtLasV_MVf#+f!A5Agt5NhDS21l0Vc1g z_Q3QfQ0_ULCOs``y%$*4qk>vE|N3;qjDqPOphp-V{rU#uWVTP%6zYQJ_5Z+RHu7WR=70i>smM9EBM){V~Cn(kHTJdo9!6?Bs12=eAj~@$xLE4E;!P zahCIxfR*!fdqeNi%q4dTBIoV8$OObRpWSc>_5OnB1;|gb_LSX(A$jmBfiQV}7*?v$ z6U~bT^_7Ae`@nAjnP^Jge0FKsWb%*FvwfOcEu|11jrZ>nTMp`&ikUM+JQGPguT?}` z(z2LyTFO_LTpqk)cZ%4rW#0B6Jzp(cOCs#K7IPvuQ?sI#&_5G$;%7e1pQkM;?Sn9N z?F2VYRvCDBBm3vfaNCpg590F|ep^_mf6@Kype1z&w{|X#29Z1&)c?f)+G#)ClK~Ib zPp1yAy8H-EL;B?q&*o;w!Z$Gfm(#5tvp2nyL?dyp(v{qPdDmtWzJmXWB6L#IcItghyu6(!-_=M@sL=bc zu0L2iko~aGciq7tJCD8*n}ef*eWUgx2Nuj`ZuCv~hi_U%juV83 zMvM1Z4Oi#C%R2c%G5z6FP9qyu3ST4BF=0pKb*m824El?1fKPa60s`I9Kwf{L;-MH({R*ZVK^X1&#B0 z$-j$i?$z=)kJMw6eTCuI3D~sf!c;u?F6>8gI;?~bdiUuag7zJUI{;ue4yDx?U`(zU z-UTWzOY8Py5cQ5CHjs=8o@tQd^NI=p`WFqu)x|Ltwp2=2paw-Yia%A>^5DOruwoE@ zR7X1?)yXqUA2{AtTWjBR3aov%bxWeeYJ_>I#CrgEqIPMk?K*AqzzUAqcParaBx%NU z{63zVFYoGd_MIXql@>Ou3|yFcpw7(gu;*nl+#&s1f{AV8$~CyA{g~nn3~I5`v&b9Q z-~ozGwXs?u5_J`}swb`ikD^`L|Jy2_jao}DPQ`ns2ni?EV%#v7FXy$*I%_~*NL|0? z#xh^Z4M%6_CXwSi}LAe8_-RGq> zx@Ajw z_62UmZpq+E9J&Jp}H* z6CuHZfVOo&^p58@z+gG}7IZW2EW>aUyufo_yIinr_C@m3L7`~tPSyNK!rst%w^8L4 zfsJwXe01gX(M~wd>4{&LRVUK*e^J>epwz&ru{hJKsR6Gnk3)a1S z>cs%+RRnb%THY?p$>SKXnVA6J+`mSR(9X2?b9Ptg-D8>-*L}EYyEg?FYlJd=MPA$R z>Ffo5-$x#P9FFw`9?TO!bG6>H*HHHkt-BgcV&UuwW$yE7rWaKBC|@-S2}Hz;K~K0y zG^>LjeD`i`E&`6Jr$kejzy^1GV=`?VfOK_J(}rGA#${V(-Nv)|3s5_9pT0vr4Y*3B zU-himAD`a^IWqV&u%3-O=p8=CE|{xCe2(W{Q8LDSa0};FHK_Ouqf7dzUALmK(w4MMIWr8(Xc{faO-Jc53U` za@OYZGUuZ!E?!n?XSvsB!1x##YW7*oPz=A`7B#BET~EISfGMt7(Q&jb4JO6Mp*E_% zmyf$(xUPNT%kzvi9N9$5crFSA>YY*_nnwpuYlB8hjQF0!8GPAGwYc_{d1SY1k8`=* zUyEGIsq;O$x2Ht`Z_LnF@Q4K}z$gwo4tmz^6+{k+j@-dn>sV`Oxa(BAQlh%G+<`Lf zYMg~Oj;LNoFF6mJUx>LFTOHAkQ?*vZxn*C>#BOWijzonhQgsg*8*3fh#^Voas{>S= zvxk!tE>ik@oko<+w>rRbWeISOMn_?yDMQwTo99ZRuL69?ZGou{Dz|M^Cap_=_8m-P z(`D~cRdQr=P>}j5!OFb9QQt*(ucH{Bh8AvvkSC_{QPBa18T>Li%w3H>)1g?tsr6?zHN`oCS+fjfQ*SQmXbWF8e(rvTZd$MDpp zom$+VryIExZYwnxoGa93kxsX0tER(ia#34c%Rl@7eO1-*$p*ZE%4As{HfvJliu1=E zGf1p=o-BY8Ue9=y2fne*hr^kFZ5K*O1REReQV!4(q2}=8JMTdpX>*tG41Y5lFnS&* z+>L1*hw`$`qHu{RKe>C3-(i-H>Q4qKTW)0utxxQzc04r?_#pG1tB9p%C|Xn9nJEUh zr|dQgr_zdQrQd21(`+ZO_%uHH&Ht&=JX37ME8bi-2`fkBZ1dkmmEVUyEG;g7h}zRz z@?b1BmrhDQ=6_jWWlJH@XWOVcZx_^^ zu;{B~J|ef|45~r72OHjs5+`O9&bA691h4CRH#lKZa(KE1BpC%NM`LsCx}A~2Rf>sr z5AkpD79RKdZQL`@5`-s6Ugw0lpWC7Q?Oefwpl;7 z@>elH7@s9*4o&aP*;?AU)!hzqv!hGStzUZCU#b38@I05PVruacC0UL%xpa7{-Yh;c zmsY~?yBrO43hqR47BEd4aA7K6cGJWxdf+#+%KlFs zYjrHe;&o#t_}@ztnI?9+gSs)27!swI%#X-vzmVm>7kbbovr39V|7T|%w?kan;pG9M zPU3$oivAN<#TJW+fFk$S8zsTW((iW>1TjIQS=ze{@Nkw}BZxR;ZS^t>u4eVO@|N$o zF(!Dp+zeUWJmP*I}Cu&64WC_&mDMpIzL?bvIorvbNS}=_ zRUa|bHH>&p%ks>v2^cv54E*+AEg&Bo05#(+JB~Ed);R&2WIorY+lqGz|&I zor!fG2yu|Lm8z;7o7c4*EHHNHScAPPdgl4gCT0w}NAu2&V|DI?UC~$fB%}wXbj88) zQ+ZfF{;uagY&?7LafZPOo#`D;%UkOntR_`(5C2sm!Nm(5$<%DXPDuS(kA%=yzl-RR zP?p%ZRdx_p$VGp6ZUnp~@7{lOm642Ocs`Z#vMSJD>%d&c=CE_NO;WGH!O)%<)*~Js ztI?}`MnqFR%}CQ0h;gRyq$lH;*K{vWIPL3c5TWiVvEH^-K3gtG(6+HUFpLJLj>R3} zRCLg37GsLYGRJMG+c(pV|NioZ3SRd zn)&0u`Q9#)_K<6T1s%Te35+_UqMhICcUS}u&kCIW+XfZ%z7Z`Bb+bqn{r1*NY-)7< z84Nr18gysd0Id;|++Fg(?^)cBRw`m7=b=+d@@8j6i`fglZ|}KAQ*H41k{dZ!T_-gM z&y?-vu9Wr3Mlbh^fA*hoyMQ$M!kQgh%a34}fLZlgji@IOwP|5*La zvnJU~QN7N?>izWl6P~RKY$=Yt1}Xend&uVJo|ETO>Ge?S ze_A-%a3ObH{f+@%If`rL>_>QvHlZ!tBU=xAr8}kOq_S(@vyUf4v_oUc=H87R&NSvP zPdlqC-MwOL>TfqlPli$-ch`*ty2iF;=P_e6n`aV}1x4|SDi@!?0-5)91~{mYF51!x zXBipc1wjg=M@M}%jv;I_j1%toW08BudPxFvoo2IY+Jk*)AFI2$v3*{Twv}=xag^&J* zUOrf^iK1`XmGjLYDp+1muz_>fKxUOmub{uTT+XcG8_t+3;;6d!PO=2CYFhb$ZAlkw zH+3GAkfQE*o4yL2v~;O6p1>$%A_BEXe}Tlr;Q9;y*ekrZ5sMdxP!OZp6|oit-0K0M z4*QJXBAFPf!0`Q*D`$i)RqrVOq^+fFNY2~N)>eBahL{PBP0q{kTN;00FHW2 z)w@Q#ijrCaFK>J+fP=uL8<0M4sO?azeuF{73!%WZPTzrAk%4QE4WnE$-=FU7#>HY?(^1%Y7dxEXM0d!O_>-~!dp+SrT zVNgh&#ECRl%WH$yFOr;BNSUcr#?KmlZsiLU9;ycy)s_g9bfNL&oDv4=oc)eB0L~FW zCLaNB0$y+fOrn0#{rph6CpOj#tRq%j?yH-Zx!2_t&QseOMY>5i`{H*Sj&kgZyWQue zh)=w8U1TYgYfW5x!_YyS7fu_A;~L#h6}^MPU|;brz7jjlViUfa{}mHp*p^kE0hQ0@Y4 z{B?j+QqbX>NeEWOwE96d(}}xg33-!{A&i`MpLyzAIY4ZV?Wr1gGElh zUpCzdb}yFXOPb%w=(nj85D`l1!T2)JbF_D~4tn<#2(D*NMeXDp^`7S?#tYl-c)PAm zYPg@LP!2s2Lxs7BtEfAun(!fMQDfO14M^;Nau4N!R@!YiXg1jIoEPv-5WM$DqJJG0 z-A(2>p@OjY*leaXXdWav@Hd#fwHsG-@=dS2MSA|Yz6!;pw1(R9;jEZW00E!H?X0s@_&PTm6%Z?+ z{i!4Qgk|%7nJ>e!{;A}Isf84*L;y#o9aS=o0u@MU5&&mMsGy}K?O`o-C8buyk);Gp z$xzZpaLOU}iJT?+Cc;tJF|}4$YKYS>ca4rRlwQmA0_9m%^@xOBR*S3}fI9KN7W?N( zecg~W1X9Rdvi!|cVN_vTOUM)b_YCo|w3mnXLry`sC(_ctN~PP)aZ1(Em%J&Qy`Oof z1_WoP4@q_?fvW7Ou5qXiF zSK!-?3MlE@c{+{nLbZy-WMvrv~sFwr17l9AjgB{+E@=|c>a|Z=S zgGVk&)^O`;#-I+bQa?V^sDb7vF4~@!n1Ze=imC-y%qcqro3cPHFYH!`S!ck5{zQG} zF|oO9qa?d2;qR7t9j+*%>Vm51q1w-L;6JO$D-1D1Sk|ob3^qBN`Yq-5?f-DnTwh+S z;Hzg}R7@*S*sHXMsT0oMcpqAJlGhNpL^vw%Sl62vT%%tw)Hxz(EX;TEJq8v?tV+;b zI2|;yb5144AawMF{|Ixh}u$= zk!Tt3JYMt(SF8i;fK#^49zO726;xLib2R6@h@k9mL&}8ejTXrERIx$%(O)227`9i`=o|X`^B}-+>_XhXc?{ z?#-gynqUXftx+ibNY1!&z_9Gazd3^~>W{t{nONoiF27X^MTfs4(nWOKHG3x+eOQ<=;G~2n#t1JVuSjB~+q3uC%V24gyV-x)Fa5kd$u=cl)#jM`fL1oKSBT61d_ zc(Jql6Z(>zPv_3;Q63n*esIWb;xrmT2R?(C?;i_Y z)?ahivQ@Uir{Tl0nzJL=A8efX;mA#{KR@TC;^V|z{|{K(l1)BO=8D_rtz6OI-y=pP z0zsb5XSx{h78s-fp;jmekv^AY#{x_24@A>EMO&v>K0xB3b6lIqzf|`I%qL$J$#}ms zD0I8WtjBLhFnPEFLzbI>Pbi4pvEi;)fXUxv)Qyj5C+Ce}p#j>mf|0q1r4I^4@&s}# zKk61T$$%}0IxRU-LDT;zSSLUh{^YKA6dVYDD1WJ!?pm>kj2vY^OHs;}O`h2I%6gyd zmS;9ISt1BpU??ATWie@BdGIYG+7x0p69Jxk{_X7#+zI5m8tTJ(bTxvE_a$V8IZd=r z8QEIqWsVzmWy=_68i*xiJ*)NNZa(5VPgP0Tb3Xs2C9`oA6^eo{lDlnU#^b}ZjO0c+ zjSHeP{+KpAA#{e%&@An|^NFZJ2yR?qE>PRTXXjs}9G3d5PIG9EV_SO?!>oLH)uZ7Z zKM-MEaqRiGNIm^@&+c!Y4eM^?;bRBqL>uLPkR%bOe>|VBHJv9}i)Vfx%h>(dzad^b z|JfN(zeB?uT&UcmZs&BU^xAGq;aK5d{x^TJ(o`mtjhO1>W+!3jtJnD0^P;|P(J=df z{VJl=&R=RH0L%Gl>-YmCnSir^WZ|T^L#Hx-U+h_W;J*HhAv>w{5JYvQ`yrT|reojJ zhIzolLp**D?c4TzRp?X4;f9at>L#%>C<7c|v;p zkq^K1nZpl|)pp#)2l2rVd8h$ak$Z0}(Z7y=yBx4Jf}U8! z6?nMkZGSIcRT8`@0epmgERQ^r-7aSqc3#PB&*{9I*%6A%1EmXw6m|7mly-zZ*@}It z9@zTJxgC!+3{6U&Gk2VG67-8o zjYF7SB9lZMO(kO*94%rLVU+qKb)ZXMD~}qv#f4LrHH1^*kApZvRxVsKFS4kaGo^X( zl;q%`Wkfm$=J;jb=tybzxeSAjamp3(P%YQ{>oSlF;ORRVbWOi3j1!KPFjE%x&ZhYR zrrkk{EMum4G<;0S>BV=2o+lbIrDfD@bQF_bZ+OG_CO=(fk)DHm&528WnuZh@>e=l_h17I$l8)Yz}Z!OVJJl ztKNj;bUFF!@fRqg0$6wrH?8z+$zIA#jR5wmbC$rhIQoi_*x?W_=V)&{=Q0QvtJq*G zMpi4VO(fV>3H$mZKg4)ZTlXJ6d1qiDv$I(upflap0dt<~LK~E&lD=2qv)%>5))+26xiCjs^kjrRt*{N`x$9pKHlKWmlCB{-=K3{Y$$wV_c zTsK{}eX};tKP1kxd2`F@!IUHvPi&NhUhc4;>CosCm8>dr4 zn0tw=U-$EEygzDO>-LE?TAkuNb?KVYRIzQ9=20q@>A7EQ9)eXJ=5sjC`Oc};JNpvP zug$%m-*Gek9s3A%C!y}yI`zJd0$qZ-2W21Jaf4>d1ZYweXw*h24{0P{e1-;p1Q_#N zXg~z3o?3J{8PWg}g2`)VDf%c0-s@4jZ*k0%PqD)P<}|U^gxYHIdAn+{%6}c$J;#ts zEC0z}TM{fU{-$35I8)1AU<~HE| za+NrLg!wscL&+PWh2xoR1^l&@I0WeCFV>rJU6DZ>@o!PUXa$0?@e~WWEg}@?X)$Vp zS;@AM>=djlj=rc(kbI+kuRXAr2eHyEM*n2;M#6y!$L_6sg@5T-hV$FjFXD40gL4^zPi5zESRy!E_>;uo7iR$Jo zJZX>Z3|Ck;<`5j!GoOO22)}H>$B!O9@F7NtDlTpFcFjwOw{$*iy?a1pv_s_P^qKn+ zEG`?FFen*}Sm)^YdEE*;(fk(alPeKtmkrd_+I$F*0-t#uNvo*!>VRi_Mv9TDDRs*L zp`!xP11%@V#@w&Rh5WpZyS85$TP3vwp%7*_cnM*79gFYt@Vj09U?o-U(GX zy~yg{d_3YHVN!5g0lz&ZD#mBh_7rxUnQ+@4)nWl?xfF7TXo-?UN)`(LC4tJHzbZCS zQ(L#Oy1~yzaq$qO0kxG9A1k-e%X6V-SsGRW6+FuCpu0Ki2QHvi?5xz540?Y~R=@T1 z{uwul4kBS(GbmSX5y{Fr`Bi9WXmGYfTg(QTDr!^)RXu@XD{CF?sRbWtICg<(dPMDV zZWrhvIToncG^hpjLWJzlwtg;)LXtghn6m1rq+6DjU2RDrRHsYmm3Y2k{)J8#Xh*jrh%!ScH*v@*$15__ITSBm+B4OJ=Vb5xOy9Gev&v}y z%D%W4udShsc$K01L0cbQIX17K_Vl(PPErkps4JKj+@)rRORMXRSZ2SS?ed?;b1jSB zj)`AVReUcacGEV`%kDqudI*=$_;zPnH{~fiWqFgAx=9+X+mnIUO5bCBF2a<;3RM2G z{q|B1R2W#LXY z+0>W|k!LiTqUlcIHQV6@u*rw>JYFy>-np+E+eOM>E#Ha#hTmLcRr2P0h8f3h7q~El zKy{JZM?UACVUEXx!YI%D)=kjW7h%(8r~!A{BPWv)kj}sxCPE^a0tM z7)Ua9EPS9VbC?ku4PHHL{}*wCds8CMf{FqOxXscayj65rg_>;QagQ|_)`F91dDWcN z1P$X!a6Vr$_VE;IUbeIlHBFAeyO7>?V@uzPcB$WA;?+$nrOV& zMeDzyGAS?iYl_AX%;Bt7583ao#C>XgVCXw!JY}y-D>A1)uOrJ3=O!ztKAkGIc;|{8 zEjz6=Jx-h+Z&XM{M`94q!BqZ-_wBMv0_^4d2H+)bi+8y3?y{M%ixH}f=L{AjdQ?s! zvuaOn;62dw9yALEcfHGxgh8K!_kH9%nuzDT14xu6`Z?bKzknv@x!{11u%^g!(E+TO zrucJ-0ZA!M>E|*7vU2+hQuzS|MQx=nS*WE=(h0>E z>K-KNh3Xd?7?O-ajSCN%kW53(3e7D@heHX4mR2O|P@6(qJCc2) z_Uv+&k!QgP^=!n^lK_d`nxOUp>*p$`flJ|aC1 ztthOlB2|YzDXe))dKOw+SofS%AKFm(qLI`T+FaPuN@}BqzASw8n$#Z3EbQncb%k~p z_Vkk8g!UEoza3isp!k_b`|3X=X>;)1hbg^)0ne;1krEv8(=}+id;oo)AM(AeYzb(>s=uYA89*LWz zd>(SQN&GHV)?0-)#uf6S8zT8MZ6O?Z^#z+xHN{>>#a5dK6I{hNy97RQ?i_MQA{h(% z9%FYgEw@Jo%S59AbrE+@kGVJvw+1QZ;HZ!bEo5IM`NZ9{^mH5@3)$f;v!!`44gcuJ z60}mv)9&aMPE9EI1`P_fgtSO&OvD;V0P#N~;z};3oGg{at|36gy}S zrDj0pg4(2_T8ncPmz zFPrtPE$<}AoW+K}S7Aptf=_fo+#hLi|IU>nUZr8#kVoOuS;LQ z6TmHrN&AQ{i7kNn4x#FgsyCz+82ggq`>_bIA4PL{ zN@=}KjgBB}92~s(p3OK;hYQ9(NdsiF^isZ28bY8`C(eWQ;0isI=IjkQ)al@@DsKKd zIe^u9n#(FfjXyyhfjUyP^Jp`0%N0zv7sx8Hd%b;#rNp(i?%=S$eHFD?@(3&qrIxlV zTVmZta$8riJhbToyWQDPCgZ1{9Mnoe>q;2sChq%C~609E~j| zaq|24&4ZEB2XzJ^#;2l2EmM?PTq?JCJZi6aa{6nrR52=Z1FCv>?0^zzb%_ODUoM{c z2b*Mq=b{ng#nYD*ZdA9M+#`c)Ar!@%gB@LVH1Xz6(X`uGks9B?3X$@~-Yi;ylh^^E zv1I-7$&M_lnfSTw&pGs7MFB7%BHnjFl^8vj8#hiT^m~C5@qIa;B0ud*Y%YJMdKQ!@ zs``TUN`?h4$i7slSuSA;gp$3b1!aD1*(`;qHm&TQ&ml-eXEiD@X$Q~z_RS&uFwfx= zk(wU1^4NE1)k1n0e+Fw$AZ38WQX$Z&`Q+vaBY^51$Knh?{KIE?-=n?0DRZ+J0ymhP zPj>1^Rg5WDQZvX*B7O@BwansqBGFc_<5EV{enwD~afR}^#+h5_WYqik1{HL<9;CrG zS9&#!c}05Gd{8_v~OTUqT4<(I-+#-`d9RtBKq|Hay~F3 zA#W;$jQvF(TGwrgWWSPm6#4K2V4(7(mbdDKZpG3fjsNYVxX$d$^)$b@oCz?11iY!) z4<=lQ_Yk&R$ol73x7R6u^s|0hOmex>)G(v2qU`)rnkDwvvv~lAThI0aUG&ZYnNY+# zX1kSqAWv!uT+^^^_F<#vo=jwH2LDhBfBN4A0{D%wr$iEF-Z zBl#$SHK7!s79VeJ|GQ&Mq-1@9((?6T({Cu0FzV|PH9Y~=T-U-`#)#dh$U@V+n$dk2 zCd{C(ZS&VUOuQQWs3v@MA&m#A-QQzW)wD}qfAtWU(Z;3y<1YHc0m0jMBGjBmj)zRO zgUzk!j=m!z;H@EIObcR0i~3U_|51gg+gr6NOG&2@nB&)ZnB=F_%$vjZ;yBKno>}>lm+c4$I`cpwrPkU!`TU6_r=G*MCyD4J)zsA5{f!0YmZrB5!swNSJ zji}xenChOx@4&wI_Z+# z=qJ~;j|#J;xVMK`d>_%I1wg~cw_Lg-zZkQ2-wk|S;fh+FFW-3BCVyDUAEhH{h%&)< zpSb|PMwN3M1J|TNh0=*1d_(^(-yla}$fqVj_V=cnjar3^631@jlL_vMNZBZR2LFNT zJ#2OU(ALn{D#SmmOH(w0d)YSasB%m&?%+jBp(2Q0)nzgdp<#$49E_7Q(z-p)`S@(Q z^=PwIt1P;d9gC$!T)YjkduDMVgWiP}lsqi&FIFh8D7e35sI4C$C1#Oa{?BzGncqN4G2W)^geQn+J*^w8XRe(m+o-Jl zW?J0XPn+sJ@JoaLl`-E$$}VK+;8|^bLR&Hi0xc^hse@|-j5+*%+8ZbIN1^&(2Z$rs z0=jET@KZ5oV54`q@%WIlFBZY2{l`Q5IHouRRezHDxrU%kUGD@p{cFjLbai;n3;M~r zUuXg52&eLf>T?lf1S=BMk{*FR(1gMIX7I{THKD_4Z-o(l7dAR=&*}(7F^aylWSdjT zR?WjwIg{MhVVRs!#*QgtMwx87MQnH5Jg=UEjTWY>j7-YpiG7Q%xr!8i<=(99%~n10vZr^mpUQUsE97aBmyiRFrt(! zg$F4+rQ)>}xX|o(w6Dg4?C5>*dfMbSm?-Q-{m6>urWZaBTfV4u^%%D}`9^?W#$#^E ze_U$Huf4-U5!|#l1Qa(JwKha$4NbbV9-26QW9m77($cpfVB^!!pgq6K`m0|XLmSa& z$GHIn&wG=;sen%n(rEV>I4Ebb>;10zVcN@WX_hX~#yb`PXeV&Q#5HxoUR$8UzC>PT zfm*A_e@NnyU*v;xjkwcr2Q&vd4j&+*kr}{3DcCilEAg9#uNutSm->^3?Rpet>#MM_ z#Mzjh8Q1jTd%NC!Kxai|S~S{QWn9$Nok~-2NAJ}SAPf0H$JgO{u0*l#xa*f;#tW+X z^1da&E-p#^wI>>)Om|97R@`_4ns_evv=XBjGX#h>4_l{!6wPPaOSA#Ql~Y@?h%UYn zv4jXthAhCw4uBqPK z6!i4tf0LrB^D)cr`f2s$W<`%zDw|1&Dzw2%nE3YzHCWY^b{fp=mklqB#`R_~Qu1B0 z3<2$NYFRQY>lG*z7S%$r&eU_c9aMEQ+g6Wz8orelZ|T9pL1?#>oemXg2Zl5GL%QUG zB{Y6M<+(n+G4?3Ag!l9xbc8=#LjrC7hh}w`4{nQoZ0N%?C+A@iJx)`xO+GvW0&XR2 zA2u@m6P3iNh33>AZO*>UrNOL-j;bs{{1AkCjY#6itKRWJo*mpuV8v+^G}7|pq@)(r z;>1M~LW2rq=tlV~i>xDho4q^$p!q`oC|W!T5Efr&Z|{xqu-c@w>{ep@m3WQk-yQB#C%i8yqiLfIw@e?m~ zv!s%tHcm*e#*Glbkf#(lS&#^!<_8+aZ0oM4`Knx7E? zyEuGLt^1!e!_&t+zkA6O|JiwMeLU&#;vnRZ1Xy+;DW7>FvQ49+^U8YyHHw`K>E+$b z$K;QKZt138zbG08E1x4E@0At(2l5P4QW)qA(OwQ|i7ZLF_jtL?p6R(xxuo{=RuaaK zn*?~vrwkQGB+(3EnHS{Xx`Ib-827l(4$4lVNUPZzViI zLpn0?6BPN1zw+Nr(8;zj{*W>2Hn@e?+PKsK-AOOHkv3%vKX2&UL{lr`CFrz2rRuBEX||E7 zDV0agS)-CL;MY(fU2iPmn1PW^#7LrLnF*rT!+b_7QK{`S)Dmx5D&PyM>w&0&FAait zs{JPfDr&mjJ%7`PJ&rn^0!OkQc+P4ozV~X9+xlqmVX`36%}?dz^r&px6R6+AMU+=* z|G*^yaH6BqzT^$t--b*8bsbkfnV{9k13v(2mNG0oeo6RujAod1mfdgux}#oPyp+|6 z3mQhk0j)Bk41pKXjz;X3k$?N}^!9d0Cc9U42juoE=i=afJbPq^RItml%a-3&9#?Qb zjG5qG%y$SEJ9JPFALqunc+i}y#ctny4T;KL+BG?Yr1ITfehmf?w@#Le=<*NECJ*eE z(xXAovYB)A*lgXNZz+qJ$V<28v%;-cFmZS7=J?|2rEj%0#lFxawqIDJm+RI|YdZbwSoRb_?gA-l~K>+w*jiXp8s zh{k!d1u@*oKu>O{3_+)S=+r`EnjBQKCww8=Eggbqa(gr5xcIEhco!kULT+B!!pTL! zTU!BXQJV-=vZkMgyKp}3hDe$Dgrf`fA(hL~ZNVJaSak(8i+Z<+$!QGlns!``gpwGcq~SqjeZii&XXjU)I^z~D@q^$Y`imhdAzJ7&@B0icapPJYbpq}!DX!zw6d z6xdNMY=87(}*z9lc zu}0A`mr^p=J|UY&#QG?eh?sTZOtSa$G|@)OLoZk=dkXRA%7d4{y+PV#SA508(_3Ae zy*+LD8;`l!Pvp2*T*({jtUPHn5vK7jSPQnBX#;m@4oE~qA6GGjCDux474v@KbCj17 z5mtV`@+TTPlQZ7MjXR&r+FE7Pc9@dw>3`XwgF6%*-$C=2wAa*!5{=)`Jg};j5BvLD z`h~O;^a-)TQN6tnUmHh4TPv_44{TATo*9ie3bO)KG3a_7?e9;ksTQ3yY1eKd zG58;Bl<7@u{Dijn0!B4T`mgf5%=Py169ayExE`3Cv=j6RvRn3VJU5qXHRG@PBG@8a$=jWyJ`n0$|+xz1%6oGD(<|l>}*nAFv#?Ho`n%Q9wFK;j4 zVL%Mta0jpA-@br2zjOY~C!}cLi^)I}|61lO-)KA2!?#s2VzkdJsr%2{ER>qzs#)*L z*Vt*y_tkBY0>gXlI|VLK%*22q*V!11H(wn%m*g@-eTgHeXgFb~$yaw2F5z;+h&=bu zd)KjC<~gu+jKKt9Vkb=d5M9k(L)7(p@bn0R!98GOAAN3fXJ6!h1;$WvoujMX7J~vQ zf4;xz4U<+Mp`GYSJ%>M-5tycLKLwMp3)4=f>6SRR{QjcNr>>kJ^@j3LvTc2S$diQ{ zn2x1t?xP)Py2|H|pPkd%2)WaUtGKx^>f5 zxD0Tg3c)Ys?eEjL!knY+Z$$VqyH(TQf&BN&_h~SalciUK}_N;N@?ETX1G#N3#*sgd3j8D&8(9-ddd9|}B zT8pH5xV;X>#hL5*B^w1Lq-)fk#}d|(b+CYbw%23S{Kul4G9$>oRHXqZ9@q~kwId%N zg1s(riO(pajY!GCga!jQJz%^qu4mDwR94$>^0O{Do_Jr?ORgr1*4W+ z=ZEGOL*}C!+x2;LDBT*4yn{NtVc(C*Ch6uAX$GJ#Y~)#+i$Lh6VT>Qtv9IV?ODrxbSk;a11K*D;{xKD-3rn)$mm|B4&6C(!^GIG##7qg4u1g8I$es z%}R|m>{^k&@VRdI3Epl~I{ne_O^^wdu?bSX#48Mp|Pmo&o=s9x@x z0smDJ@8Tq`QF6#kr1hqDYizjc%yLY;+>8Jxd!gpO|Aw~9ve#Vf-e}onZNcWO*!J?| zrsdid)MVJBoV@MH_|0B8?^i3FTvqE{CTwoh>~(oV zCQjOw?X3vRd#(Im&2rmeiCI&xnbnTcKOjGPFPA)HF9EUZVoH*~&7zgRcfe$a^7$+q zo9PW@_G($AUpOFqSgK`-fBAQ68~w?vHO3v7+|Z5xy4RTP0}NAL>@qQBH$-g z<`M3biCpy=Lo8x^)#`8hG~WMPYZb942aB5OsSkD(!N>9!WuEJN0upa67av&yU0_cd zUO06X(vR1}P~Bp}U%F`1q%0m^eLYoH8MX9-x$Ewg8=BdPFtLZ>8%VeBCpb;<(m_c@ zt@0?Z9*sffv`B2#%&PoRH~U}C;-G|K;X=094g~@W>8^&v>A)*LFLv#VBUuIV3c~J! zFz?|l<{sF0A^N|nS{bbY&j4ebH`iRAY5mwjFw457^Za;E_ONTMm~LwJYeF9A=nm-F zfvCsr;@G`Taq`Wl8@IzQL`&WE`sV}S;Win$o`kfCU1J*G?E1ZZ?9FtYGzjNPpkL^q zUI9|qSv8&;->11!mD@Y?QTUaARPIj z8(C7!{W~8xd##xXa_2I1Yc#DIKV*8qrP}VGS3_=HTdu4ZumaEZ{moow&Oc%6)M;MV zysBC2h#na7ec7@{GBv%%UFzTxx(g;w+y5o{P_Um8h2p*Sjp)5f8D(N{b*D{qYhMxUo2fHoht+R_f{8`e;q77(QxHPKl3uYaRN;n zr6fZjaMC*PVZD0on4hmew`-6yHu;LPpRw#uO;QWSy4&UiaiAB7HE~G1Pkdoad{77@ z2p$vPfcNT+Jm{AWvubDaM0aGeKVilO;6sLk01cXNx8r3cVc>NfzE^|{I0gFzvqjYt$h?HqLnTCAIM`REQD;=M zWK*+t2Z(KGL`Be|Yq>Qy1m^&sUmEYdFBjA$T**jHgkZvf*OPGr{Zn|?Vi13{YQHe2 zoGuPgVyRZPDz@lzX*;e+vSJB0NJ#Y_-tH>gy}1ldfydr+Ju#5fr=$PH2eMb42t~&JtUbef6(5w9zYl z6>aDWPOyF`+PPrxyX3u2SL)7RE`lDi++e@wE?c4JA@mXc{hi=u%I1W1|mZ2nsYwW|-?`!EKb)533JU>jeLDYzk}lsqp~N^kHn z9jTH1{MS6YoH|RSes*Mg^xSLVcuDS&94d;sxVuFNKHp)RpmP=At8@Omn9_;Qp9$V&sERX;i_1nUBXky{{CA@OF{}HX`~B zD+i_N^(PX0eWQ^@c|GNJP*ikr+JwS%6%?5?T`obz`e-{CUn&-nz#5j8N~Oe}K%Crs zJRP{_#DogAP^WbIl)(%@yR-v}Eaje3`NI#3|m`I6lJ@y^zlUEPf_s7cc4f$wi4dw!|J8wve$l8TuUCK5>!LXcIM4k2GXw?^@7 z7@T`KnM2KiFtgi#1ltSvcKL&{q8Nz$tjo9${yNidhplm+oCf@s=$365*8+3<52MT# zqjjWu|N6P3q{&~QHTYCXr`l)xL8akPY;EKUuun!|M5nPc^KNKKav^pN47FCsC~|)& zvzIvS5PHx>@GbFfi(x3M8CT;lrt_QP(a|ARX;r-9wB6OCGvxZ46;jtbN2Ln%C(viD z#zLr(BVJpJ(dP{LPkKYR$?`_*^H;bb0?Dno`NM@FEEoNAc_F;`j_)Tx?-0$l?4PIJ zL9x7oB*^Q@8Q|uz%$(!yV{L7x+?K4ALYzc{Ph;WbhaA&`_|q%F+}2&TpIy%EQwWJ*$a5?f zQ3@Wr&J*DlJR(d?&&+{1Z5f#flL==)Rc{JCrgF0)h6l*$OT+hwu39fU{X+0i-*y<{ z*;71}zdjU%J>(PS|3!EoJBX*b>_#5ifEuO8MX?QhBpl)eaXWYcj(98WAR``v z=y>p%PnQOI@^FEM(G<-*vViU#Hi=r5qt2HXa(60zfAZh$rZ5+pM&$$?S>xSDEwo=*Vx)%c|ub*A-AA|Nv~0##S_Q+|(l8ko)@c=PA2g}gG%ysuibEVj&g$X8Tj3zer=EBu@k zMy!U%r82Q?lgHtPaJyr^(t2Mj7CWLKU*L3GWr|qWp2SP&yN53I;zpfL2-oI!fux(2 zvXWL(=;qcqRhj;3W9XLeq?9&pA#dF<8`XhQwdEvcRzW^^4-rA)C6n)$KKU?v_xMa- z@cAj2q8R!V`*4wkf2NUBBfN&V?;;^SZgD*835=yr>UDygMO&HdD2 ze^Ri+cMAPIe3QLNezHSI+LI5$E@Yf^u{m+HeGhSh;tO_3DG&+IAZ_n&EU{Z zjp#650G+sQl)b#UEN!1?IE9{-TjJSB%M}08Gb9Wv2qM!9i&#Kz1g;1X7#e%C&g|+`57$RZlm8@FL#z(2&cSo;C zKWyA>J$;*+zoMA6`<4)zCkO3TLrhL6o12D9(8HkJGUK}H9pq7wSp~5#3QD`rU9A`4 z0k@#&-TOrCwh|zr1F)`d9@X-)Mite$5W|CeEoRFIG=ALValR!u;n)KX{(j(tZOEf1 z^3J{3f>y6qkKQm3Y|7mu{7QOvY_n}Z2ty1z0(nqvqe9!^M>0#l7_|nZL%ZOcW)p4) zJlqN_bVKe9?+Tyz)vD%kw0YO-pclj6t&xYbce(H%D{lPr#e;VBw#PlSdVB}EwJWH0 zS#*~o3f#5paitv7nuGQlP4zqs&YIw&N=dFBMJ}Kk$@|J55yX&UwBgLYb zw-6&}pS0t@Y?&7Z9m;d9-r+YxlR5pt?4PS94T!Umu^HwM{X2>||3hNQGeLZl-A3XuTxv1PU?vH{)!!CsZ@4;Ix zNXaK@(;BdksEq*WyLB#vy%d<{*V1hE#SYW^MZFgLR5B&=3qSDxN!~| zp6W+mPFi#xb1~{GY?YQx8!WAzU9fWw5{=iW}Ow}m!uYzWd5?dCHQTkOz_z7qEL|4ZD%9R zPD)uY>u6U^J`K?8grhRZEojN7V+=#o3{`Jq8pOSFMbI+>3MUh<$R-GY2Ta_KRaDLb~5bba=0A1 zR7WiwdZSDn2t6*b`V`#2&RSfS-TN`v1gqiMmG7i^m~B`ae0-zlTQrpFBpEHN`u&HG zsifBtqwkMxopL{;KT7jo2s^ndBAh5(*!i22!;V~;(H3>dCg)hX@&`M9wpgzQ{=(+M{cM=z zi^XPfzR0+b)njdBzi!=5miR;rh%unH}Y-cG(%~cMZED|0m z9yIrsB7Tq-D0x8q>?1WYZ*f4__`U$ycW9%Uv+L8rGD`lZ8~7JTD4$&ZT#2PHKFM!l zeW4eWPdjugEM;=TG7$m3Prv04eidRaR1EC-3QN-lb$gjovM<+o^!Uix4i+U0a*&(SL{Gh6m@`lma(f|8;-xseDink`6qqvQ#vO3%K}j^$ zmT%9NM9W|&d&@p)R!XX0eO^4GxTg=L@LzvVQXSJL`R&Zl8+3ybx~e3S`1khwPOcDM z=P)=XAl8VO=+dU_;Uj(#!L=8SvX|nU~2o2YO*ml{V#&lKX zR0JD!{#az*g4C*UAIq(N6tZ=6lcNK21s$>^Eti(J)F8iT1-kMnT}PH)goF!i!}_1W z4@(Vi?9$od(Uu~3| zn3O5FbCRTjg{_oEvCREO^`%EPtoJJT_y_H1>D@JXeOQy?2-w0yY3qYRrwDsOn4?FX zI&j>e=-0y2NP9~gs@i!QbsLzvFw-pW1m6Hn`)I{|Y^I3R_+;_wJ*eaDGj9zaM&sso z;Y4#=honhPw*&e27%IWfBCC12v^|pdhpoBXbM26II}^#Ki){XOI?+i@C6?SfVV_}5 zNoZC{@D33VQ{G2aaJ42zy`K13{L)a`kZT>{;$fO9ZY^Xf3_BI_=Q78^!fN1q6=YRM zv<;?44p{XT9pgU(t*FYUMLKU-kvQLH?2S8qy5DW1+kl}`q$+C4BzVe!6+FCIa(5>^ z9O?!$NygngIST(;^C^tH&qDI38{x#Lw|7t9E}J5o(W%mgm}&B}kmcvF(`F)BH>9n& z!1>HY7UE0oMpl4~(t-avaKL%f*=Lq|whlwt6Q6!Y3dX_0-%qsXe&p!?Xc5PH$yY!O7s&^~k?p(HdAR)}J>& z+}s-kj*CD{i>oJcl(xJ3zb#rAeJ_`S`>i>eHQViTwnK0$B=C?$wB0?M+vlobzcic8 zP$fT$=r@Afs@rnj12+#ElYfl3$4(Usj0=z-Ha{}mKkM%JyRmLqoEg=allmjO1@>~s ze=a0F$U^!Gli8hAxG{lJdbm_?ej!AQ#}a)C(lKo;>a)6KgaQcxIhucoxt9LIc+hoh z-O3i9p2ZR>EqdVHex_I;8UOa{1=B7>qz=D&xOxP18w)~KQ<5NT@Y!XhNGP*Z;G>XY z9^f8pR$_OyC~dGDij^K+ec|#SKt8`U&A<9LrQn#j;Z^f*BxjH?41WXYB}5 zw-;t6J$uUZvDKf;t~Z6jRF~=Ow}C(O4tBJg7Qh!o5e``C`5UIvx}Q&*zAY#bEkyaF zFJc)*jWpwgGWeBejm7Um?hA)hc@_&miP)64GlIQ*R;-e{t*LA+v+nf}#YI0$*%Hoi z*mxef>=n0W)Zc+nbmmxS{xw0$@-oksS>8e@*oIAJF>zw<0TLAxU4x^H9&@vVndN~) z=(n32nrm=x#S124304cP*10A^3B5m37gAz2ecV!Hysbk_WQP@9O{gblCO5%Z1y4lZ z0i(K>zsKKisCj1!t%$g6z+Vt%h@L*;QL#Ua^JD}!27OeDh@OXrj757TkdyA7-&XI5 ztljce$+u}MTg+trDsTs(tcbinyyC82V^+{N($VTmV4HZO9T!m1>^H``0< zv>%Gb8WA;qJ$eM+r9!r;>nO@wBEWM#uX*)Gj^-?1XViYvRsw-45%8kLmwF`*f35wD z97_laIm^VZ6JeLt-)9>%Dzs=)FT~KW1zhnaMm50xYs)t5Uco6pL57qw z>YH@`3M!fEm!!8qf*l|y>M{h;KKKvGelYw%I(;bjlzvn7{aT|2bH{QWIpE3xMgR&D2Avu|tQ#3EvSJr1%vA zvW>L=Hv#p9+Paxr+a>ttNW}lhsudYt%T%MGBAJ5{Z(CpCkw`}e`~}pg?sf;< zrgLsdYw@X;kX}(4^jx3Ic&v42Pn=z{jq(miFV4mT$fa6cR?%ESAq7?HbQ}@OIVOmX za}G;22>a@uEWKYsY;0_wa@H4eA|jo2092uxZCJ&mrjo1muS>#soDy4HS`5RJT+I!o zlE`+?0%G>E(zT+$Q6j1he8>lpwQMnCJDLtf4AOmL_lSv1Q*L;4Q4y#nHJqm3p<#$b zR-hcDt87k^e`?&^CrccFbO~KJ{?lb4p4_JLRix42&2GU7-N0KZk|h-8bMQd5ZBx^S z4Bew)*KWqqIZ&o9m!38{qTxeU`}CRqoA02@1sDix=zp?I2W(dc<}TPR(oP;O@_YGP zQ5QyCoTZNC(>`wlhT6#vT!sb<>p5Xh(+)g_Jucn~ucgORuN;uCo+>D-b9Ifp% zdB6e5%haH1n&G|rIp@7Jr(vAhKQn$E38CG5m(9lCRFsY1R<5%7;hxB~;v@-4#;0l# z$rd4LkD3_?soz)~>&M6g=|;yNV*z_k&LZoxMinLe580MI3~EbuoX0jVk|I3LwcsnDlsFr#N zLvz+_4e;|x&X5cA@ib^(^cXIMI9HDG1?)K(vrPT_O`f(9tku@y@BV=Ggc%SJHHUQZB9S-fAFa-^utbI0Ha*X}hkL9y zC1C9p&bD3|hYrySGPGlxw!OZXztrMZy<2n9Dzo_WdR{RoP{g2dnEqL|hel0Zn)IdN zxBk)Zy5@iA&zs1f@uCHK;ZBcryw;L9rjT_esqiKkLh;|9VaHWLjpV+N%+)n#lCnsy zP)0k7owmmKaVXplr&Xt#b-&vY*#8e&RdnXHztn9RmOozBG!$@c!C|}G;G9$70~K1+d;a)K?ByynuacR07kE7VZ5;RLTZ@!ppSSAe{enF2EO`s zE+~On;T4Y@eLF>tLkL@s0HQypBztX{fmi%~;WW7ZD*C$Z&W4)2^%>mbXG+WJ{vN3q zXTNYV>_T?V)S;~1D<5R&6|y)hED2*!`}}?`Ikta z%3qpQ##Ib`qHHbwuHNU%6riOtXeIiTsiMq@1!%=*i zfuvH|j0CN(@`+_aEZPmpkbDId3#C3)C!qEhBi&_(jX<@wX;QYl=mDgzt*lS{ zuqGxE`;3;WzF|8p=F6U+0THC?3wOKgbENmK4HWX72 z)NNtW_^9UqEc!%D6Rk)^g&K+4O&u`}kd7jiu%7CSekAHhw||L1!M>a>t`d;C?_An( zL8RrUS^3#krrP@*ld2T=`9!9-$6M6^#%nDhoH2mS(1Ovbm6_4U>5ox^{6qe`Pr{`G z`ANxGI5J$*Y7+;7pYe#u)UhsTuSS-uao--|i++rV!`o<8ZnRV?Hb4_njgv~13NVHA zEkt^VfbypT(V8s(Ox!_07$+2qBQ_cN@BUuU1dwi@utK4p29n7KQ(vIT^P>8Ad7QOs zP3o2O_;iyRg=YbdaTZyC6V5UBGZ~Q9daiR+;#ik92w3k$8+Z6!H>TWBR&FE%Lfg?{ z>P9AU5pT^-Dzu18_$~@!4u2)XuuZaFxY1z4W1f&tFQ_ZTc( z5AgLyD0n8n$M9VB&i7EnvO2y3jZ;$k|CJCu&MtUpPLQ<5i-bQFO;o>m>Jzvf8o#ADs-0NDS;N?`3QF*0SwN5!y~ zszX9mgs?`fU@941{=A#F=LIh@6qpMd+-m!P(7-VSB~h|}3^;}G@RDLa_>;Y*lCkVD zAu35+9$u{MBeRG8SWlgzZmb{3J`8=ldG6n~#I#4o*LL~?U9Tck2B^CB1B zzan7;9Xjm35sOYK(=!$@rogxlg@{>P*0AJ~zq)lIUTU59D8?ix-ZgtL06M7%jf_OZ z9M>GB(8Qj5zW%+@0cdddthrl;LsEqOB=*)~BiJ^K3bt1;iAyTFuI8((iuh;Qr2x|%=Z#-~<3F*- zg;y+4Vaq8?jNkp&wMc;IRkTK9&D0H|SV+xHyCJ@`$JjKciG^DGLo!(=Ge^%+B;Z~( zSd`RxvGNhxze%){kk5ycStB-0ih6-KAx>kv1Wfj!*_zW@S75DD>F9va+{i}F!IzQJ zSbXIDb#=ufKjceC&wW(63XqV5k?S`7{pAAfL8{4HS%dy7BcOEo`05J2GP!A9`gQM2 zjV~XW_?c3FBD3b**w1(U$6vq6OLBHX1{dkQS0kktOT8Q1*EeAC2I11Q`qtm5=)PiO zza~m(^IpF?=j|&q`caWezdt?GrVWXgJVu|F@06dJneF$V+Kz&YJ-Y99x|$QjgpoyJ zPB(ftE(3kpR>olP=>uOL75^u>%2{N#)Z6AHEHXL#n=rbF{DVN2K&!(eN@+ko5U~%@ z&fWdLANPy8vtULYgmMDuYe|BT@NC;C;)TEDYoUure{)=NPX8?KJuf4^=qX+_KC-9? zDPYroH?Etubs!P~7eByNkOFx??rcliVmzo)LTr>n;=q$1gKfW;I>HdKn`@V#xrn(+Ums|Hu!dX*5C4^|!%OUur^8 z)4yuKa6Cs&)Y7~r@&lfI#lfPr#BquhttlaQSEXTW|AcrlaYjsjY^@YQh5!A~-57(E zzbG13U45-QeDUc5^xuKGMaL3m!NX_eb3dSx5uxo(XtAWb-u8UUC721YqtAdZuKDNM^lZelQ(@l97D7*Bvl=Zn<4QJzx>==efuZPty zdn*3vZ{+x)Jp)Mp$#S;b=yO`+D624|XuRDvGl0Wx$d0bBV+n6%5eP)ZoPkxZ07qp^js?1@|^WQ|jDe>AX5n~^G#b@{MQ zdD#E0B|_WxTHrK;x=rMQh&rV1elOdIzUR^T53_q1(p@_72r>+Pu0(Z@vz^ZjITqKZ zKQ0z)jTYigU6{kht$jyVjZcGC=hS20FHGAl@~p;GV<%vpX0g*eYZ2^sUg^XtmC|@> zCO>w3JXc4mn%z$xj9paV6;7L~barA=yJG3RIG|6C+|WRB_G-i4)G?QPeJ@m6hWBq8 z(s)^+_&4#-&%g~o08z!*^s79NgTqu+^ECQURQx$&$kUEBxrc-z5J@R-9+*emZJl5L zW|VkXP`pgM(PE-VmV3H(FDgv9IKVxtAn2}N*bnw`$SDZf!#Zt59N`qD zvvq-nG|JyV@k)*#n5q*$>vh+>?{+>cF}vtwo5$x3Ub_U)CHm>+iy5SY$iJH|%^ejN zN|bA>T4?*XLK6qZ-Aaq$0MfAHg3#OtXQoFG53m|2kC3YndltcdftXr9pumR^4>nK> z5dtXldd4JV+RsxHZ7ae+LWpDAk5ZH!Wos*(14kK-B)YWs`iZ|-=#?T6iPqCh>O31ip#+=0Ln;+O` zoX`$6yN&Md#0Ob)A^iz%&VmH~skk}OY4L;_2BUqvCI;0uQ4=SV5H(m+laOFDB^5Bv zId~D2K=fyd6vd?vlLNX1iOL}@?+}^vpRI_b#Qy9U#nRo+q_bxrM-Q8;?($(PSFyE% zt4f?2F!DC%`-7L5=|H8)a$@!2cWv(jdw1mRZ;AV5ZgYnm&Z+j-P zHsVylA2m?NQMo8-CKpc=^aLY0b7}xoTFR{Qz?5Z_-U>w}v@RjzV zbhTfj2qoV;_B=gK+%`3Hk1?zf45UWLt^|{IRiB@&AdTw;N{#5R)t2r;mwXi|l4frH z0JAKS+YXtC7Z?YZD?<;{+6;C6f_zN9iV!9=j10?7z7$GB&-Y`bp1NV%BHz>_!R~9p ze)=}~ZD#`e#um@DHS{&~MAnh>GUrx1y!~UG{~S5~5eat^(?Vc0TKg4{ZgY?9U4~K# zuBq5gWxh!3MYu^bl}2uBBwPK_x#f3*K#%--zpeFa`DdNe`<&vb_0(hEzcX@bA039d zV5_z3tJ}+DJ(ZV{wEx9!6o2wzfg0p8zXyxQb~EuNnyuRj$5!p6&ER!Mf8z2UGd8%$ zPNE8(**V<%d@_=-m3KO(j_^A&)B*_aot|BD0=( z?Ax%SBvgFmD)3;dukRV)E3Tj;*|_IXeHeLzwpxE9;m=xSkoi3!XUSF zKJUp*H6VPA?@8Zsq@Yx{kv+AYV5_vad;$QbD0@*Mu68_CmC782j zuuwaKA>N#d=`mVw2tArFQeJe@(?`neIo$7TUH&a5d@gDVC~Vjq$#r1DE*5_Wk`?%X z+`~JK$;+c8m&8zxCOF{ogQ-O`s4%Vm*kv|#=r!vRE1=15uzN`||UNXn-O!6zffmoXG>)(Fk$q2-6Rqk95NJ#4YEvMP>Tj75|uF0rh zSChtOMo$X&w}Mr!Nf)ur0ySe+)ups)n|Yvz5P)e*iLw1Ka415l=_S4OM}g(~jjjr9y`s*u1H1mZ z88zer#1{UYfXQysiAy zp*)6o?x|%MOVIG)bCz+tujA12$h`5V6?a_EcFgBe<7bWO&LhcGp*Pv#A=qxjuPHYx0W)A!F&%$tk3<(g&j5}hJGculn8V>>^n|}3gC099duYi95TYf1k1al5~kH~ zNJM~)5b5nRgGb2k)x(B0T3qZh?_BTBY|dh15P#U-laP$6P!s{=rrpFG!(T7Hx_Q