From e8a8ba36af9d47236981affa02532faf42bf304d Mon Sep 17 00:00:00 2001 From: sparky4 Date: Tue, 13 Oct 2015 15:51:44 -0500 Subject: [PATCH 1/1] ok --- 16.exe | Bin 44860 -> 44870 bytes 16.map | 322 +++++++++++++++++----------------- bakapi.exe | Bin 46302 -> 46310 bytes bakapi.map | 328 +++++++++++++++++----------------- exmmtest.map | 4 +- fmemtest.map | 4 +- fontgfx.exe | Bin 42069 -> 42068 bytes fontgfx.map | 302 ++++++++++++++++---------------- fonttest.map | 4 +- inputest.map | 4 +- maptest.exe | Bin 44638 -> 44642 bytes maptest.map | 304 ++++++++++++++++---------------- palettec.exe | Bin 41556 -> 41560 bytes palettec.map | 306 ++++++++++++++++---------------- palettel.exe | Bin 43164 -> 43163 bytes palettel.map | 336 +++++++++++++++++------------------ pcxtest.exe | Bin 29861 -> 29862 bytes pcxtest.map | 302 ++++++++++++++++---------------- pcxtest2.exe | Bin 29884 -> 29883 bytes pcxtest2.map | 302 ++++++++++++++++---------------- scroll.exe | Bin 58693 -> 58695 bytes scroll.map | 360 +++++++++++++++++++------------------- src/lib/modex16.c | 51 +++--- src/lib/modex16/256x192.h | 6 +- src/lib/modex16/320x240.h | 10 +- test.exe | Bin 21371 -> 21374 bytes test.map | 250 +++++++++++++------------- test2.exe | Bin 23116 -> 23121 bytes test2.map | 248 +++++++++++++------------- vgmtest.map | 4 +- 30 files changed, 1727 insertions(+), 1720 deletions(-) diff --git a/16.exe b/16.exe index 5e5b87beffe9af2d28db025d70577d3ce4a0e656..12042cb0c8a1aa34fe6c5bb02ef6cd61f61e8ea6 100755 GIT binary patch literal 44870 zcmW(+c|6mP|9|hEnVD;D=1i`T+sHZONC@T5kubzII^0KQNPSDCQ>sswQn^>moZXJn zGIAuDp^?wXvHd=e-|LUppGJza?a%yTC zULYp3xb!h#Z;r4v zB`$MP5&#_li~OL0uspZ~LgXOkgk6w&A}yMf(US$_e&IxL`5&NtU`MEMKdc5WjyU&Q z^}1B6^xQca{Lg=IV3FG)+E2e$EFh|Z0Y8u-{;gXinMyVTn$r*ej0BI%`kw2ozAED?)yE(ObUd{z5?j9DAaw< zbux@4Fu-zmfGq-ue5weaoTVE2U?9~6D94PyMHcoF&rvb0fvC#f$`5q(CD~@^T`6&^ z8rkmyY?0-tIb>qMV7GP)dY<(Xe~4o>VvEw3x*#fMf|;(of7lu!(8=(3BKHR@&aNMYjV)r?K>v zYnKj$$rc8Qf(sX+e*U!YqRMZD1PEE8l_e4p`P-2Z4eoC{!)a{VTSf}p^vyQ|NDRXy zmqy&A1#P5C()i`i$7KFi5;y47-0sRTUApWRJqKK zsSGth_|Z9tt9mry5S=KX2d(wn(<7$gbYDh_3QF}rQC!x`x~d>W>n)n^xP1)#l&T%5 zzTr>|%61xL51Cup1~Ya^L*h>mSqx1@ky5zy?iO(3IHMWU!td%n{qSsN&0$n#ZvOB755}IN%tc0Zl_YTT8P1{OsFXkGa(VTSP~;E$ zQQFtqBBqQlM$98cqNQHFe^E$c$h-!B3nEe_SZs}E5e`VRemF4eD~3;xHh71jGNxxh!~98 z3()~%q@x2@*gAdvLxj-}4DFAL{g>^J2iA6wAnN|so99(OwM6X84CyfOE3C~ut)Iiq z7k1<^4Jr?XDMIowyM^Z;Ux0`I>}OSu^}*$;tm?;IbiL+4K8g#&K>xc50J=e7zoJDf z8@4a*;qqxY{Q2^Tg~s>u-=En9u`@UZ4M(XkJG~vMKtyGm<;L@o_XJ71VPvdn#{v~& zK>UqGKZlI4evKU7Sm1Ki9GsR@b3|-|uF=8_vF%>zmrk zn!>Z^R(AyH2#Orz_iE<3BW6q@m3KIgEf8_mkgKH3*~_A}=mwRU^6wWvsID2VexUd8 z3ZBTsH{IN_2D|L!FDiip4z|2pY-O+*@)eNP7*@^K|A{qn=6e=4Du^@X!>;dr&a$Ra zt=RAXf`OXRBb{HJ5?hSVFSPLhFayjenb|=*bGL?T9K~IiR#jKdSWqbSpW@l%L*)+d zYFaS=(_o6M7)Qpzmt5<2L3;FHEoB|@j;(jd5fv!j2vgeyXSu7*V-B>0<~>%t@Ye?1 zisYRSm)(HW=E;);CE`=v5@Z+E-ld#FME;c<29{DJQ)sGM&0EPtndIrWfL*S#bHmC; z`B)*pqUGkN@}Y^E9SwSh+rd!H%@p;u;LA;dAhe3j(b@&-=_k;tUs>6Q>;s#=gI9&m zp@W(UuO?SEM}7=_i{DHy?keQqo_tN3ZU>1~-PP7GEbiX$^Ak0Hk2uskwbQRVhRhf? z?L*#st%NWd7;gk|*tFT$cP4IDb$_{>RAC-}r3_&*OWEdEg|{6k%6@ZJT6QDG5+iK} zwzFV>3xN$Wl5!esD$h&yi6MBLcH%f}~4BkaoBHt&0=IAoWw7w91Ub?Y-Wc(vVIkf+pp;pXj{Q zqPQvZ8syq$_KvyCsN~uHO|I#+b>0x~0A|H^jZy#Xs>o>`A>_RxgiTTmWL1`2>>^IR z!P8kZQsSHB% z_(2b(6d~Kkx@b*fE!QO7f?$*R+SH`paBu3S0Gj;@bwrCXkSmYo#_xy-WL`yP^Z&w; zf}`ZWUlw_Jeh?ACDIH26QeQ?Xdq+>iQ!nQ=rEMP*GeLGp;P%5Ve#S^&%u;yMQVvfi z<^1%1@Hx0d62M!wZP_@ON z5UyZnYqFJMvF~3@QMwZA*tlNP(WIniTR8KPK!kWqLPR#g(d)ZDx6P7chtoXLv~3w- z7|Ezu2>9?D<(EA9sjs(8g5_}=79wAMTxS3u|!oAo}o?%CF^d3qw*9=lu`6*w zpp#Q%E6D5#9Me4_Szol*Q7?_9``RWiXS4Opi4Kdz=Wz?RyKFVA6oAlSs647kM} zN<-wchKp}cICSLP7oIB8+1x#FcWd-lJO`|Y-y{!mzwP|)dBYvv$>T9yoQ*jhC%Apt zB02Zl9v8pZ<#({&p)>D1sr+5~*`uy@Sw&@#cI4xIUo`S3ERi^esh38(O;@L8ec6D6J zw5U~x1t}!UP)cgDGaef^z;>z(D-F3T+r4f;;}x0DxyC*3?BzqqeaIsQCtZRa+uk2@ z!vB(LM(=FkJU8SIu(<5xZAa+c81aTyfpINyBNemVx`Wg~ecVDjg{}q3tKZ#nj_d_4 zs?+}VUeK7*TPpNg+l)9Is;Sfucn63Q;>my>DQh>jGerz=A*TzI0i77UPSymNf@)EX zQwj0c6!{%+Y3J*C(CBfl%PcZ{RS{x)TBf1D+|(aY3O? zMlTEa9ukDU@-<{JpG&eLvEB|61^Mu(;LqxG!Jh_SB z*IUV;)(tNyMS)hnyFcc5yh8arLbr?o#g-y6vl$W~SoM;;1VZ;)DKz7r(u)o1<#gof zw`{&T*|OEYSEj5U!0eca%FY6yhNs(xs@wXAa6ycykc~##D@Vp{PjTHviO#lPPh8T) z9X=nk!^krSSs@|-k5sS3SVFAuPaYtCqvJf`NM=Qs;04%JzWB(AroR>m5s8CyY)uqw)l}N@gEjr2YtAR!Sd4`_I z3V5o!1+?qO%AzH(?JuWyu)C5}475|PVJaeumlc(V13>N4ucR=FPqtBR(VND*$m!zX zvYA(+tF!1_gFwH!-!gs)o}6Rd!L~};CuQyOOcGh41ip@6bN2Fl-e$t0^_rnpDd`h< zazjw&D`;2(4Nr+xu71ip8FWXCvmvvwYpvzF^JY621YT69-e27seA>BpuVAmpOe8w= zx>RP-hb)pVV{48>{**D^AtoTAE$}svF`F}DT-mY#`%M!zFN$a#%c9HR8}tOK zgf%c&w`}AH7d+dP8$4odJN|}C)qj{MTl~MB289j>6s<34va#yunneAy8WW^JqB{&l ztPYw580<%;@lCySVe=w}g4EuU1;P|V36#5L`leOr2}F4=(V!(Nw6BVUgz!>3gsPRv zb5QIlO!aZtvm_dyphDY>LhG&9No5-#7+Bx~UUhLjg6}E0zKc~lC15Dp=YoTq14iWI z^F>p=5dxXN(fjq{?`Ap>KnyTb`En5nK|`_`@FK*jmbCe*VAU4hU36`g8WfWc)q~59 zlZ}5=PNmKnsQI%{Lm46tS*36bNgabQl#Ng=g0=M@N&(-HP^CXzNva8T35HHDT38k9 z>?N*&2rA$`WFT9D`No;3sg;~*t{CnznyGn>I;PBbG?2Ku39Y-kdS*9~Pt!iE{_wwb z8o{l*UWvC;r$#dxFbanFKsM^63(kDCsK{4m_~diz$HMr)S?l{kLq)U_MIz95uS8gH z@tcMVZLf_-=5>sN%pq5XmEhHNZC3ugrAOP&TBLxzu!aMy%H&>4s~I|ahxU;+IRB54 zeg820wy4`?h5WD0?yY-LWOtJ!^{ zW2`N=t-Rsaa+CKae2sKP58{#DS#^_%-PdlRw}vQuyMFBA_VyF!?fYGKuQCh9ciDbc zZ$eJ~1LPT~)U+uTYu#FduHEMsS+~hpR-l0{oLa$W8Qm+N6&16ZB*Wt+lT7_H3?xs} z-kH7$w2{?}gNO=OMKh((kS9> z>ovh{QaWga^%lq3+-+p^!4~^lo*tyP2niBsV~}2xrf+olnUP?C*071Yx~zzi5ct9A zkYydt#?j?iy47ZU2%N66`CBiFzDK(XRV6$uKd-y)Z-s}_^z5g+PXYm{li+;3~;K4EU>(CM50d_HRnazlUl^*n#8h|qmD z|7r|!Z`GY$(jSL=|=#Dt3a1%UJ5(p&mOmQ+d^!f?<&tmofjyuwiRnYAFh(UoRq$TpCY<$?5**~7$Db&ix)(zR zukOQ;!Y9~1-TW@+$8az!Sfg2a1RI*O_P-dwbqjZdrUI_jT^TzlS4%L%ofRshk>@x1 zxFTWSaareB=qrji{+ey8uxgqm^j@v~E&IHvuM76uW(}mYf?duYo6q&7Vh+Ls^kJr`P;iQ6aC9_AfFg1>K-AiV4(`V)?5-Y;beRDWw$TiiFa4 zK|yBP+kLYnDV*|9?P({ek*OTDVKLev?#=5EJFc2fn-g zm?Hn@^+Ne@MXJiY)t|sIz?XkjI9WB%67nvD!}>{{eOVtYZT;@|L*&E#WjCMx@u4&F zPhDN#nojs=h&*l6e@)zvK~r6xZzJv7j_DgzlNfQU*CPi!Rh{7@QVMpPmj z{C%t+q!UFf_->vdd!2KWIqCmc4Rq#VAI5p&;l?58v+htzlVX|QbnTEpoaHa zfYHCpm)^et%P(sT%**D000z{fo?#WV_}<_G%y`kIim#U=5Q!Ktk0%n>=i=U{L)`}E z(pO;$cN5pkpf8b`aT$x{V;vgspYkHFAB-Z9SSfqIhegHn{a}euqQ9jbkv$AFYjn*L zqGvH{6EEG(KRUCR?$)CPmRU1Fqs{{)HlcJhRd$wP3;5rYXJokMIn~d!P`$K497jV; zp~P=(@ZJgH_yOany=mhz!0Y)zb?p{Alb>PZhgq6c&Dmy}u-nU10vE@Y-yrrP8RYQ? z$Ioqzg0_G9vQU3DuR+wVVPZYcGH(5_s0ea9qR*F(Rm|lpP<&_)8(NK_^|ws zPF+%&Q{$CPMaI+cqoC4u%L(87|3yW=?3n82=CRX!o5DK_SOJ9#V0h;C7>+i0Q0bIJ zK*3U2q6J?;duS~6jBAd&zm19D<2}r*LylAS8dtExFlSe2Ic;<1BNkN_CAiy0i@ZLb zxzwHgRlkNhVq3Y)9bo>zE30B&>lq|Vt#V>t^G@TBHHRui5fFZs0oHB_o)TA|ah`QX zt3AFu(_%9P2pCX%w=8nHTeC~b>c{7BG;{5}m}+#jb?(E{{A0qPx?<}KK_s|j_1Xm| zIYJ1Wkx7tE&e3it`2bB9cPj6j33)n8oHDf34i3pbF~&MLhaJ~GGn*@DYpaXI$H-pQL}nq^tHs$QWq5NB8#9Uj!)f6flpwc zcMaPX#%M>3!V~#j$ksbTXSc9Z<@*zsoi%$T4f{yARX+Qu*#B%P&K9|c4NcY*ReTEx zI6I5a_*QD`q6ECdK1`&Q~ogfZZDP3K0&VBFCP{v zd(I$y5A`QVY^o1xlB%@bAkryz+_yP>)RnAALo-IF>)V zT7tRX&<;9JE;`7HFnQPYvQ$eT@r!(2gTTAw2DXL+k-A;9@4H(#nvSAOqD-+sI;%ze z(T<$vpNnW2ZtigAG-cK19Xp*Pm4LN}`TOivd?dnF-<1z`hK>+XZ&Kt6OG}d;_yAH< zBi5~kCQQs@g1Am}i<2$1fb1wu^v3PZ6pPB#f8m;$W9_Q_HlBcBV`;NR%9xa*UjSPZh=EGg2w(F>$V$scXviHam-M*KWAM1F zRHeAsj2C!gwu0UsvqXKZTGhDYQq8y1J+WH}(J8SGDS%13xzm*N7P+mTyBDZ=e&vfW+ zT~$2r!35usHkz54e(y-M{PF?3sn6*7_v6&_ypia)&9SjJmohRQgY4)hjM%P}6fc#i zm0%#FFV)2&^q9m+vMkBcHRbq@b4<)=0-(t`CKsK`$Pxp_jz*(7b?M@nCgu{M0SdOK zKOoY`evG}x;y$G~XY-TrDXA?=@r-E=;0mn%ZB*OIz7{xTrWr@+I}$JNZiq)~PpIS5 zYL#DN2Yx+GkFqLS#nuljcOQ*QBBfFviYp(N&`;R{!~%|=N)OpD0n~S)i{sIaF$@EP ze~iTR&;l1_%;T zGku(mzQiL&^T&iG%*1iz3a1NN;*cyTGMXKofP7RlKK7=Z*0ul zL(Y1d>^qqbyfGpNrddA-k}4U?ged8ew~{hmxx}*K+{cawDWHtR$v=KYToE+~P)x2_ zfUg+MwtD|GJQItpds%K;Q5xUk78l(n{hgy6YC=-O5P5Qbbm!?H73a9IN`3EVE8=yk zcWa8KYTpkvnSSVh(wb#!c|0ywhJN}m!7@o`Ze_REiu4z05oghzntb18fAgMn%@|2o zl*|)NYtxWg=cw)1oyo^zHP$$8uw4m?y_&|MDXGfGViKa1n_fFT?UdnWkuvD;kPGEj zII4RbnQwo9LM~Z_!<=)u>9 z)=F%>G{-q2qA>`0(C!3f|8?onhX%!EZOM7l3c=y`DShd2r7rp&KSNpfOfQ=1?GIEg zIPm76X9oDffn)%O#orB4Q^UV$4DmJfei!QlgqN8cnzzKeV*WNCjXM5yUxj&tt^AgC zT3ynyq#zb2Qz;o;r|>F!Qp4@qdU(A{m6A{I;g%@hPsXjM?)pw-*nDcB#ZIdm{qK2q z%u&nB$rqkjov}n1m@W5j>RHtukIz4T5?LMSeB*ptO@8dyb$Iw$QXu5lK2Bz8^qn3t ziE}2LES*q2j!q0{_rbliylQD5Qf=NQn*1}4gUT2@onqwBD4QoY92cZ)>U{cc_v>h? zcB86&J|OPl?-fNob(e zb3T+p7Q`x2i!O4b7bG;rc<9)X>H%}Z3O~xO&v79$9u1Z)miltUF?d|8%YzWVBs+|W zPT;)*hRNc#3E_o*s3cMl{e18z^JN7O^LXars3%MsUne@;7?hAGTkrP4040^v)GGaC z?=EnAUEX`5z3_uZ`ffV=8`AX^K7S@p`GL}8D*`R^5cSV#$wmjU4zd1{HBp7rZ!R1_ zeg=Tzjfdgzw_*}|@)uVc06%{T`0}BDFD&lgDpc^(n|SOI3R?&!=QcWiIEI>~EJijG z5xK#%l2s95%Pjpsqm!h%JMHHDqNveXJjae!%@<8dE{McA0y3enW7n2rx`Z8ukt0cm zJ>pJ&E!=|U6hM}SZZ5vq>tcpzdB~O60!r@-M2)G-uTxtJbCyLQN@)2&$4^`TY*G1O zMTdu|@&VKAHB_chSUybat|*z*pWjf&aVn}2{{F=azwPd>S!sejKZ@ujL=nB(Rn*16 zMB7^NWgjVy?BM?s7xI(_7QChN2j;zH^9L5aaX|ramQt(&W_3c=gjroL(^7zA_AWr7 zZxV4>#cQ-#Hri`S-L34CY|U&=Ei7H9smft z5J56x<7mK#$wmml<>0dZ=?+=<^3p$FPj#Eb7TRCe8-*fnz)u@a^};5_|@9| zJ$oif{6#&_G5GH@0MqJLwO@lMS%qdrT%O4VV@KT;V~6(62G;dOY|1ohCo7wz$WN&r z58Pe4$ubJrQhic-vyhK1N+YZ?6o@M>g-iP!Gj=!>z?3hk%HQWluRPDcuxfJl0=d#N zS6r2!WpYxLudR2+LnSR}qgXko0mfTXRu8w@<%dW3?PAub`0Y3K@Co^-q=dy;gnexK zXV2swLLQ3@7p8QBhQ`qOqXkk{3Yp%zz~v4sG~+KRoJiYIOF)&w6Jbq1=bZP>FwiEw zQ%VqDr8V6)uUkPc=jCpzENlN~L9^sswHz9ku@JN#s*utq}(K?VHh!GW3&v@V%?+`8u^&Y+gq1OUen%g1u~ zQ2a9!sMUu?Df;Qbsd|yYgI>mpz-}6v-cLa;`j?aZE(TKW1ht+uccjmVrApKH(Ni}V z1V;e>iVa^Dm&)Ep6Ve{5trZxwD62q3VPKo?3~AbWNx7ad3{4Nj(7r2Q;>{F7g4@S- zvs@4C{h#5O+2mzPEZsF#Ck#{RLvmxFlWE~;;winoVaVCzGPk+bj;BFW^aEgV#d?|r z3F6%nW>s*cJm**8)q=~6TpS>AdKH(^i%}fU=8gk}6V~{Y(O$abo&ikpn{N~pzWDEp z4*i=9M@MYUH>D|h4%9icl<%g6f|0;Jj|=5PujvYUBxs)`n%;7xFg?4D-2J9Z%k9~x z+wX=h7_!wGxN+P!?9ZCFunPs%0~T%?dCE-yAs3XO(Ve)tbxV8+h0L$cLQ&vEum{;Q2!SYqkM zX89OV*2)Au_R{$tOI#0k> zuu@M{h=VK7RG@K7t*2W>SMwEIUvm_S&@%krfe@9J0rXysC!r&!+p7ej3{qwy$XnBqigu1j5(dkc49Zt793k4TP}g9PHVG?M+Sc{KWz|dj$XS^$mmnz(3?%46 zG4oGX#&Yg13jH?-Z3gPB${~j3IV>KqDkcX=Y5%))rd@WT`;W{%g=2c7x3N7K zrUK;G#+r!gYuN4qQpFp0xmMqQaip|T=sSl2=}T_G9(3SUhumd+rRuF37#zV^Gkta>NrAic~A zM~U1chbR|+2u;J+MdRKmoL_V<1>adbq)zkxTN09NNB5m$OwluI(Sv8N9LZ7mY;Y&x z9-ig9X*g%|eV7U=?%(ut+%28)S-^>~09ibzN5<$yG|W5ol;2*^NUx|t6J#y)_=--` zv38-6!SaEjQ{pq|nd-53yJ}a|bt}W|U%V?o=^gt>7r$3iIhvF^53?JWA_s?9z;(XR z=BEgUFyi-p7)bAan2(O+S9-3Ql|#;4D;>Z8-FThvobNFHDxk6`^jKtKFnt+7&!Y7&k|l9A0v1J_jx9E8nu{o~xYWo#o+f(yNF_pK^e?}p zOy*y4^+og_rIsE`1dU(#ATQ;=E?HXJ>P@ImBq#U{fys|txy-( zZqFBOF5EJ{mF5PaMQs)H;5~e~AINGe0YgHfmh_a+K~oI8K* zT7u>jSP^u@`nP0A>uqLQ*Bxnx191FljX*Of5)yLhb8G;Q4&0xHXyma9r_u+Ns78~_ zw{BCMVF-EH7qUnd1RU#2`dgya!yx<*JYPnahDWokv8Q)F7JWnv)x^h=D3L=SLvhJSA&B`1H`OPCXHtoT;x}}p$zXp2dyw+_w@@YQc*&C2ZPE-?n3uU=*XJX zcbeLy75AWs`>Ocso+k_B%nZ-*DvtkxZiUm}+kE9n$qlMO8^Fha@&=o3DzBzcq}3kW zlBm0U@2qy`es;W6;n!nLTKr(qnA_|8caf>p4)rf;(t% zn0+D^6?;Bw6#E~8Viubnt`7O<0dj=7`5j5Gw*I}VAhWx~_BU9SA0l7%;_N0{eE zMNamVrdBk$QeOL3gRGw-`>5+UF@84NGL8WGgd8|7H&i0H{WLdg1n5|8vLp;z{62L4 zqGj*FcC$Po@PBaKgug|&JOLoT0Z_)P)pe+>R`dJd>h z4a&#rp(ZaQbU2Ad2?6 zPf$Ly(%qYGb1j67CjMB$w8pG45hZG#QRc@L<|ViYo82 zlj?wnf|`A}YX(Lc?)*n!{q2~#<0`Pn-5!0k}BTX8|E4EJv_2N%ZYHvRQ5 z^>|sqm-eH54GG{?Iu_PoViA}=@(m$^6wJuQ5dmmc)cvDi#UOjkl` zs$Vw2y%0I)fEilB<((pqoaCp!pu6Qr+ z*H(iOueuRJxv`Br3)fzQV$(Z}&50T;Q{F>SC<`qm_Ufy_4TCF3A#*>!&^s@A#WEj* z&QqlN6Cx{51e>MOUG>|)Eq*arbWSRm{5&(#ZMrp<|AQ}rouIK}vy%&HNoVqia!kPm z{d23g9j781_hKl^|wU&|LaX&YX7 z+xs6MMOzbcZu)K-E%wz;dD&I~_g~g-Xo;2S{FEB<9BFn~b>fFxVBku8Khrk~hc(4- zLUu$}t(KOU@m>GzuYLuy1NhZT1T$$+X$gI?h~TIATs67&;p{b4HIPa0uYJy>!?qjj zpv@vxwzLX(PW!kW#7b9{fhpnp*E(U+n&G+3i{} z>^n4hdD^O&E@_}EYRB@@AG-lu?1!i^bjDicH?$v45UJPvui&=^1S%8u06bcYFodQA z7fs zd49TsWu1jofQ6#=fO8H*JN~g@QTCK)G&@6SGPb33iAHvx6~&SDfz4S5YxIMuil-~n zZK-XwRc6gk_PStAvCT5J;CHc=)8LNI7NjaQzB9QfJ%$=Xf|rD5cl5Q{I=~V~Gmc^R z@ho^z+={Z~twx|j&(;$Bx?@d41JY5ni-JGVv8PA@)A5D1fauVVeu=mlU4o!R8zL_M zhmjufj?*1!T}Zpu!$`Z(DJ0>udGr&AZ3DqDf56|)aTaZbkNu73If0NW9Z#bv2(=>f zW&EOzAwJ#33Tp!+AXR?tEs{7|G=(xK6f5;FeuU%c51t761uvUVIa2CbDT_ByzkWSr zOGhN>cLUA<`1ar|3bL;j#o#IfQl}+=G=-&y@OU}cN|Fs?1AL>bRu2*#DG(FG1LFYr z`xP-@n^iYN0=mK#24}W^m`4fA?a z+eKIJV$;j*9@ePeQSH)`@_voCkXCU!XIr4YC)8cPto~e-4wZ=q1Bvc}3w5@BRT65B ze*VbIrz?rKTkqE=q-rzYzR#f9>S zwJx2@3-gsp64vTvu<|kNk-`6}rP`a<@-SI$Z|#1GX<1C((WxW)pZ~tG8*i1~M=V=` zR%1bYKfaQz#m|4=I6CKVv1@klN@4yxKO#0uW|>NpUbYj&&6up0qG_h-n-KM0jQjP&@Ux?C!ciK&&3nDpW{L$#Or0BG`pq)E7=lD5AO?|_6 zl|kUxfrg62&`I$;jmsGtAfIcA^_U+3XWC9{l1WChWupeHX;!zp3lHad;92pGp`UN@ zw0nb>HT7G*X1=FL?Ry%PU94O}Cty!oH{ zx9`KehI)oX*zFCg0Iu8;U{|8tK*p^9r%#qCO>Zz=LUN_j9bFS3t-ENSprQK?Iw`eD z>?-mjT}Rp*uTszj7i^u3!tb(_>h3%3C+S<=ubc!MkEu6-!-&s>I2*nUjZcp?fka8) z(UyJ%1srvH6U6r}G4kI9FaosE$g}7}rNMz?azpT;K#q0L=K1_Ro(}Wrj0wrg2)loj zH;Vj%gF{vCBk^I#JPj=!P;qd*g{;<iB}A0;XV;IY7ZkcKS$=$(K9y;otizcrT^>lW>g(4 zIpG|80#b$4xPXLNiF9I(Q^7!(&btg_;C{@;P;_!Y!l9GuA5eDy)2Nm(q_4Lqz|Ser z1Mqg5^mR85GkN~_rAi`JNm}8H*^fwwUy2{DzT(5We+^hW5r6r0585d_ZnG4|{wa3T^R_=ElHnM^)Y;@|~I)sML{@h6RDQ&lEb`-BU=}|9mry-aIEP_5qYn*xu`M zDkR!>{A(aE7@kkwSI_3#wdSRK^)f9(?z$QHb#_5HoLK4%R@Hn_l@^{Nbxjf%&`ILW zN?l4dZkQD!T~0TZB)%SK0=0AU#_Dpjd0nkp7s4Gl^9C1f?>~D{2tFj1&;Lh30G^$I z@BKELmG#`NsqJFNZFwE)s;eY9D1Ysvb(&@ZEU2~t=TT9%RM9big{z|T!=ibK5cmOod^z+5u7>RF0)y2b3=IVcFV?zA#mpNqj?>vl3L`s!RNN4 zznW3C?zNm3fFJIz_baK>__M2hP?Bdq2$bLS;mu)8XFh~?*_0V#Ah8km;kM&ePtYbZ zud5+tleP%F2(Aj~=2a5UVvk-x9_fJZGA+=(wpsk9H^-Gh-U6y`w7_tcMkl7|)J=`; zT|yJi8B3^*DA%-b*~E^z)}8IXl(n9+29i7b!0Vqv-0*zvD}!%&1A2u4+G@(*Eu7Av zzC(}X!oJ&D@wz!;(U@PSHiCJLrc5(86Xy>yD3IP%{;h;%H*5U9JM59=(C{K8HKmla zLkJ`9D|0~_h&@<#KT!&k3aPgP0d}$zy8rz>h~=K*b!xhP^gHVfatyhpfLy<2nM3%` ze=!ZlCVk6KoQcvHb`Vk@bWUzLkKacPI2A=E*0n_ zWVO~$xAPC-)YkmFPTgzcJJlW@%ZwFzuT3Kxn)jdOG{{YV7)=om)>P{p`h-^c( z4RXD-%JBT_r>i~t$k_YFmk#*8xSVV7_s@MYY*X<~;Wv@^d=%EqM4|T(2(NVKzDX5l z<0kLRi;*opn3_@^cpqC%Mu0)jb>MN_5+Azk#e?bons2@!y%9 z*wPIzEaeAUHa5BOc4{Edigt{aSPa^v=nQ!-JJDOiVBz*(T_Zy7I8OVi8Y6r@${)Ob z{b} zI^Frvm$>KPc#?{}LAyAF;~S?80A5PO1UNXZHP~|>&dq<>|A0R+$R$r=`cdlj`_PO& zUrQBbi7z`TvC*W1&6cqWc|`|%Ckuc>0dB5&K+&2Zd3DRD+ik49S9hbUu7^HB-9HY{NR;Y5mO7kMYaOUOAxWM^k5j zGgNGzN<}tWog~(Vo>bw@drX$veu7*o`k?jcPWRP*#ur|jqMWe>?~mz31?^pf{M!?} zmkOsLa7nS%YYcWx*K^RL9g$&3DKr;?~2%wzO|@o`8Pv z$Cl5ltuI3}^oTyHihel~l)&lJ5JV9XUYs>`z@^{SDe;>IG67WkvVLu$U2G2wNRx`O z5SKWDK!_OoOj5JMtKOIse(n;M#TUm!b#-D&JJV9KG86HM+ze-*z_ z!x`R%8Dgafnk`w>hm-ZDEw>jGPhdaHFzYN{gN{n|nevL?w=%(>+ zSgH2$zTDl;pD4Pah`u8@=bTkbyt$D5L-?Vo)5SG9XQ3|Ms>JYmhh8(kOnTyIYIONN zWjcY*4g+5qqghQz^Vm1;4ndcWnRWNOy~H=|kxlvc4TzV-x3|+DwDgUT=*gQnNn8f5 z>4-7PBUUd}1)XmWDo^-y{tql9G#pp`iI9Bo(Yvi<6_$ZtQ@$13Hfu(N?Vgofdu(rkBPKQpfnbV;-*T-(T$+IR8tJKrw!MO2qVTW6zER z7HS-Q2wj2DJ*d|@b;~g0O{tlVm7NV+n#muZY`Img6M!2nfQqkl)-K*f_6-@ZEZucjqtqzS<)1>4qat7!*M3CK#rWrBF(9BW7fP zD8VBR-%?n34CyED9zQ`GYa|a|aD-p{HVmtcNEOqI2h}=C3^ah>0=(4cPv&Y5;i#P1 z+JVD{q}n$SM8pSV^h2mV(4>6augiq#m9g-h>Sy%b!F#Fdb5-cbm}0z(eO2ZbqcL+g z{__#V!55*-il!37gB0sGuVcb~Rsqiuj3;cFpKDzrs!+#a-ub7&@M*@YOa+^{snsn9 zebewS|MlapJ8|==5WxIR^ppQZ=pJL3#zqP}kHPM))D5IlW!uaBMk~r@;p_NUmotlf zxf>1H`db~$OzkfgzZ;6mFyOyfxq{UTR5>#vtXfefIv@F&1RZ9c1>Itop6f;8WKV8q zqSR~F^?no~iMDW4?2VhQyKR7(K}d74F0Tv9T;^V)lm6- zePQ)`@R-KQ#0|0L&(?fH;$^hfo9L&*KwZ(>)aZd>)YJFQ8dV=FKRVmIw^^N?c{=O7 zYO^l$KG|BJJ=~6-lONt*5z%tWM#}=q9_5u8%4@@N-?KgJ*PuYAncOW@53Pf#l<9Qa zsl@aFQo2~T=Zj9U%RF)8Nvdv_LH>CI=09@IM%dGVR-_=(r<>9bx6om3^gs`Z5Tdo1(-4?0-H>p2r_1kfj^Y0?bl(3|cz+zf`(D?& zm+RVGd(Z5>XW1(Jj;WB&hZbZRRjRY_(ObJ%ST&~RV<-Fpwi)6@rsItFa{lh1;+92UHu zntLmb?l>EXXnC~1B8uYnzN{CaT>DbRBc+|}ufX`-PUKa3R(zqx-MBNWV!maeFYHw1`8jdSWrrGA0Md6eh9B?2C zjFf-}@OcS5NZQ~aFmGtYMfg=2b4uCU^eoODEe?tN6%(H#18G$8y00Aay1);mCjimh z<-^Vp4tdfKo3K!?mUz#6KX$UAoA!&_v4K~uwf^P;+=WhX`EyR=&&0>#Y_*nc)zrw) zbT2}jhR^x#*t0g5Q#ElOK5}1@gj}r>#{QRR+mnt{wpGD-{|_UXpuU51jyogA(J?kM z($Ll3(bm;e1+Z~$zQ>Q_W+al-!s9ZyJAkBRz_eRD&m1bAP--hTpo5-W1Mnh|&wp-q zw1x}skvjQ_U*@LCQti8n`BHhXDex?sQP}AIMJVkij50E``Uwb8Hc)w%MzEytfrQOy zYI}XALy5exVol!~dHa9FHY;JqDbwL^fd>R|E1P3gp1U#)o^%L2dDl&Cl2hmMNhW`x zu$R-G0mwVS(Ou^p*5H1>Dj;xLS_{7+sSuGM@=AcK<%GBPA65mUP%=314XfUIr_ zbI<}!3`C#h!A%OHu*V<^TxM|u_-4j84qMY4O1zu(l2E*_dAQs1(!l{9_>}jal{m>@ zrWzLWI{y4DrJGZF4~A20fRXX{o3+&2i{Tu#L0#JKDw0L^eXawMrbAE}B0Zn)A*}2X z9`@vINqQOV*t#!eZKml!qCAD~IjreA$8wmX^=bdM7S%)PrMQAca2UP1S%T991pa;< zfHy_EuJ!Rcy<;y6dwY2058g$#>=MMytN)4|N(WN54qu|$B@QT`)%tUtMR5$NgQy|@ z9PY^g&#eEdnXVi>uSf9;q)uVC8@mb-vG!=)Y~jDcp4SSfJ3fRWeeWz7pm27lc4tUa z0Pu1rX=t`}N-CXiDvytI9VR{U1w1m~^2ubaqZK>J%6r0WlRr*JpNBEoZNOe@VQLs6PrG9$GkJ3wCj|~EG)H8x z;QnR5fWdA=BM=W6W0fam{=|HG%N-xH0V#`@VimG}>91r1z&AEM4alD%Co>(yVY-HS z)T0-H97l{L+MU0anjMR2LlYd>nO|gjH@;eSklFW+KoY${J4H~X`VD0RH|#uYMS(N^ zhPLVtF*=Q;W08Q#6k+83u!KQ9Q6Oc%Mffg2&bm}KAd+UCNaV|S4N?9iv;7j*8#eGf z379B_m_f21p6|TyND^P-D$r2qph4g5&Cs*?uuXutYjRamu!Cv5t=utbQ8vQ1Zre8c z0ptN|=W{b|y1&ufD$-R{F?V@sP1fB8N@5-e)(cTMxV-YeGE!i(aPMwjGdwOMx^%YR zjji{--#{|$aKT#-NyX2;rbJcDMJFG2W<>>N8AZp3Jdxhn>9WGZGH8ba-p$Z^pMzb^ zkMe$14eb{tgY5WK`FVY{Th}{3D{^+O-3NdOp1JYS#J_4Cgp*Ft*!WJ)A#Vfk&Vc5f z!K@G*-gvA@)i^+Lqg<$QS_k+UucaNgxS_qC^x+U?{$pUvNn1m3r~Z(Y8+@pNFJ--P zeavQS5J0>0}<4gp9aZD>pNr_Ek zRXJX%W$sO8ShT<{Jb;*tDD!LvDZR1Dx#Ix+y)GgJ+mVQibjfF7(-Zn%3SCsehdP7qczALrM6ji(BRsA#|Xoz zDDmUfvVnQXUY+%Qw8#Puch1q+XB(8~s&;X3|DIN!eHA~Ek6_(=OXw4x(>W87G>mg8+oPGxf?IkJhov z#DcbYT`EEcG)G7L1#YZ>IXZd30^7H;CQDczl;|d2UIwkS{2u@D%udHk2ar0%c##kTRH9*xG6h2>{HWou8vzeA@_z+T)=m}dd&E%$}ixYf>a{hHo^ zgKZOR_LY$V&pN+v@M{<^T}4rO9Nz343Sb~zY>-fIGTrv@y0xA|-`i{Pa=B1ySY{gY z?H<)7=t4Lhir0VDA9u)V{$?xt_zs#avV^)i<=?cNRQ6g96ijOp}fdrDG+G!Bw?{H)L9!mDsTz>w6wuw~{&8qMO^BExO`ndJY zVncJEcj|w4seB{if_{|v6aGE$lxX5PV766lv&UP3%9T86bQbp5bXXKXG;GG1RY8VX z5PvT^BFljr`F$8KjJxVtq4RYlRh^J?k|E zy8-U<-1UkE^Z(-wi{f9_=vo8}H}Wg&cC|wMB*jHK(2H$9IR45Z5#u}1c5E9k=|BB- z9yNMe*He;9a`IQi>S(^fXc~VJvf{fL@5D0a$_}*^_WhOs=8ad7Is<>cRlGW+xUX$@ zjX9GnInGW%&O{s8d5|JMJ&?VGZ#uUt#AFa6(A5^`WtU|!iw(^}tc zw7r0}20Sn9w#rO%Ax)jySy8=+E}MR+AqSFv zd7btoWPrt;vc+8b4LUi!fn4_oLq&{dmyEtzF@70!PSlpLjZd>XV!NPOhlDjU>)rhy zJiz(0Ylf`KPdYB5Q^#~JvmO94Ck-iq_y5*(S+0)!-0=dkJ5VFv!99pO_d|Zd=SdR) zhUrAxJ=4z6kZU)N$p6@zlV8FDoA+wIM9udm`M;!8u}&{iqiJPn<>>VQ>3q4(Ue=@+ z$yLk+3L{3(lTUd@T>;jIeJ7qhc5Ll#!t>?_mU`g)e|C)lc4O4cXD znptR8#<`!H!Z#dIp(KGYNBWk~2#Pbj)qV!;J7g|EyMuFh{k@hy=7pd6kaqC@zQ;=P zLB3?SRzZ8me*Z#~(wUN$5yaMhEfK(3IUar&s6o8xb8LN~QIz7F0d8$r9 z?Q(kH0Qc}EkxlLo|8sPfYY8^3MzE)MCrQ(Qfetuc3X{WJlLSz?#Rt@i`Kdtxy0L0Z zf|zT_MO)M9^1^*eABCxSnFA^C znWCJ%{sCVSpPjKhNCEU)?)X4HadX5c;1k(lvgh<6DoLm?b1(4sY6|becD5wUY`EtfMc$OgL__Aq}iB#r9rNljXWw0hy4_smy0DR%1a-wtVsHZO+s{7z(kRC4u! z?IggGEff0Ua_{h10dEC;HG)h&dS{^c`uzp%;t)aQ%Mqd*El#XPA<}D;$|=@|+L<3O;^dd(fx+I!S%32<$iKB4PgKadlIdVvfG%#`luu(S1*z8hKAW7v&)Q_Q3#lB_ zPe>8(zRXFyeEVWh9yy+w>FrtLH*tEL(kha%Z-U2*rU#43Q6oLMCodBCHu_6>y-lJ@ zGd9Bx-+JNS0@9usZg6{|Vp0z=+TohwsBP6=ZMwRO6syHs$i6UR5+E45WFUr-YO^rz zNkJ&A9|E_G=_!Gpxz3{xUulR^dBm-BbzY_kXDfHO@Si8t;CO6)7~E4R&*$pHh5oi) zi2#Hd8R$l1`b2nN4GULYN-hOS228HAWy*dCw+EYEN^_v zxbV|{h}(Gii0*Z_wAXT^5@Ag_D8r5(i7!Qt!3JJHEFebWB%Te?=yyRp=-3AXj;U}W{ASLO!N#uP4KUF_jg~sp z8Y>9vSMIT5z%2dZ7Z@tgZqh-!)wuC^I_!ubYP^sv z1h`;R5=cYddBLL^PewtueVd1DJw)< zyNF|I1*Zo@eZ4e^KH|LpVqC-BFvF}J*FC6>$$C0yWmt!vu#Ye`Bm^ul6r*9$jo7Q} zkmA30)9I|julA&tS;yxd*rqqExu?Y<9_OqshHdGhi{p#004j(eI2wXYJrLXJ!kvh& zxiGkS*G6N#&IbQ$Xn3d}S{o1vmq3p7Xr?$EFqF_nPws{izc-}E&iNx#n2~G=oux)e z*?p@ayt@lV+4A`sHc`q{V3o;G;uMUse|^^w!4b=XvHV2GT-IvJ{ck#~nlD&mSn9}1 zB+&gAzp#6hc@6eG-<-mC>eW<`$NMR5P*Qzcv4Q=e74-TGHL2(>g`}3AR}*rx-HxJ2 z=y6(-56nYW4|xWfRk5=7!E!Py{*?skNof2EH67an=Pl+u8*kh*IwX*zCvH^DWEgpu zSTIiq)5(vzjQmFzQ9>JY`|v=p5Fsc z)gQgkkeu@55E8e*E7`NR+Ex+akhCVE9}bS>L1jP=Ng4`zb7}b->E2|OC;wj?^ zZH4DtE&7t3H>IHfX2{6_UCpuO5$!YZXTOmaa%X_uL*I>_V61(@{x@?Fkm)zLj7RI7 z3D^n`tB~-4JEE(di#k@l0b@fkAGuc3OyPvxpx(4rL&W&T0w-uU@K5V9IZo$8bv*CD zAC=nP8z9tjN$Ns;Poe^W4Kua43`>-})^(5uBlBLX28d???e?%l7<%hEZ7BnmMou}E zfl5_`vc|j(m6&%pNX0Dr5e_4cmBmaDyaYdGmcDbOMoQ{-HO;@7n$)1G(cW z+oI~Hr~MoQa2H|du+o-b;8Y5|bC7J>cHej+6{Xx_zpvp55RS3*>TJCR zowsZ6zfw!>m3|+O1xYYe+M3=@qzCmn^ zAH>s*qP<}5W+>OtV~{V_e6OH9ue6y_6Tf0A8xM6Wi=WLWX)T?et5Zd?md7U^27JO|gARS-f(6@{PdhP(i zFJP`}{uZ5B)TfxYOWgkA^;wwNL;9i+1unWldq(`xc5%wCqCw}3J@icOKkB0W?ujqJ z?7xdebqJQbuVJJR#$QM*0L@9Ze4=R)s}H~ORQrKNKM2qZfXl?7z4*aktH?L*^e1>L%ixqE{`al)*fz*QOy+<%aMqAj$NdQgA5w zdPjBE+L?HG$eL*TNZ7PWbsr@WDLQk)li9`{OYe-HWZidfvIih18GJ5&)d1Ly9cw^p zJUbAO4u<0HZb{vY?#c~al97HuTXp+_(0;`bRNxbi4z13ox$W4mXP67vb({5<>WUt; zE>RWGQTkbT1+%Now!P#@`GrG!+}FRw%7wHvXVI?x?%v~vF^H`>Nu|KCv%Cs*N8XG~=hnG*{Un(9c^^lN+)Kazk#~+b+7G6TA)Wyr z13_P3elkIL#!-2!7PlI?qM^wkJjf|bp}tiE&a%y76*#2LTWo4ao#oEfM1~3GXFxU8 zSVA8};A;e?sIq!3`1E1flY35Sta_GmC%5CNQ{x-P(o4T;9&amX=cti4yH=z*sLMJ} zD{RlCMQ~O^Io=Sj?h9u?w`;cfB+tTgJxB~I{|~Yo+DKAo?i~(dk=w6h3~(%n7#>vf z_CGfPo4LHcw;i<)k51OEub7mOXxHSeswM|6bRQ||Cr_9i6WHZl>Y(RI->t$P_)wRgh2NLob$w)`%MAfr5vFH_v`z@a;X2Dz5W>*SYxz`m=MaIH}r6 z!_gyOf(_}IDByiT!oM3j)i2q>6~%oYB4??xMkl;g5Wm=L-SE4|GUcp>&Cu2wD^FZ{ zLfzb4{5m;|chONpee)J~(Uw()r&B?C@xHaYCvP{o^s|3SLA1k$&TU?fBL4ZNZDM)_ z5Pzn^ijZ;IzFz0E_{DCX^VKCib(YntS@q(%QOSx%I@piN7zqs$0Vrk7Q{XYTNJKwnRGsaR_wB z_rJFNL27R=t;BJXS6pyp{#QG$w+fE`H|B~rR`d}6((HSaDOzc*`M*Ls!uevE^=paO zvyt2;0RqL%Zv}inDlab0kb9A|g-fhOuLxVMJ9L*UBIE8IS|Fvf{{f`)tn1@>@Y}_; zRoKIQ2G=AW9&C?Fi7DYm7i|y3pn+B~1H7>xlN%g1)8;ttUrHkuU9>~7Oc%@~j)268&nV}8$2#vOO5JJ!~SowY`mc`Werk`%-du!ayPL5E? zRpUCgdCBqY;R zGlZuqomVOF54RnXQV|%chq&EJSt$uP>Of1IxwKc@37MSTv2s+#` z_x2^~i{+Q@3%upC6Y59-em0V;(dxWvx#Gv!5#_tVEBvIAV$P~5zVaVu!qk+O`6Bb~ zOVrAo5eJ5|m0e!ZfO`n(_D0zF(qc;vGZ2cIH~BBNPmsWzMgGDC$Q$=*_aRUM;$n)c$JkX{_O-9f}lz<;NKmfZ8E z6Y}PNYNVcE{o%wtUR*neL-Swb&lxLzH=h+k;y}BvnKnS1oqvr_W5xMXUHJb8%MURD06sjy(13-GtRB4jDN#u)Cjic>_&bj_d;-&{R)2)$DCeV zni!+XTt-}F>Y}OFuFk$tBOc}|X!A-qL&VYR#|*dnEXL~~D(cgj zlRvLSUpFLCU}hoP=0!Y<6q$U_TJw#oW_pv8YKE3SlGz@09^mBj-D!w!#fFOHdyD3U z56l?|n&K_}tCBSY3iifnS;PJ?AY4wYJ!FgM4n&1vNHHZFn#p*6k+7T+ZtS0H>@VhD zC1RU@9CwfsbAovu_L?d0)5c(O^sws?xZSsq7EbvROMbu1(Dpk`$NQpCoirPO07c zAljffj(e6hHw~C}z+HPNu{#|3c0rIB0o+d(CLU+}5RSbfz9PXEpnsm8e!PUSud(BR zTx7Xk)i%BHNj#M1>k_^BR}MpvisziUn?_ zvxYn=7ffDqS^okBI%Smx>0wc^WlB-}*++rkjhhmb<8aT3VI`Hzc03^`snLr_K-UYw z``UlEbcP+JNyB6am-S^nV8z8yo8!{VgCVX;W$4i1*MG#7ymr7;$KIaj+|bQ$?>`KM z@ucwfzHo#q@?vo11|>?W@5)5xH+hNYemft!x;GtuL3lJh)|Tw^02K3>81IAJT3Us^ z2@lL1zLg7ePxLe;Z#qm1e6=;4a}lG44VY*RS!EosIUI3dk)WeS6c=1uFRVWe$lA6S z_w@DzJQ?x8P>%S#FeN@1^#WWF7jF6i;+42_+m}+Rg_Sp0_n{-nO6gRf0N;TWM_Ae> z#v5zDnj>@C+A*$^OWX>Yv@3H(ks~cTrf8gXVPA@gXSClvYW3p2$ll5A%Q!%8CSi%5 zx@or}fi;>Y^=gT+oOxbZ*7VN|>ASau3$U=_)@KMNo5<;bfLOhE<(P#H91m$gXX~W5 z=gIWkPfQU8BX=mMvb8NYn0c~T?q^5iMsr z1r?+Yu#MM#fZ=`l#m~wLUTn=sRfG9vUcQgM*q8U0rF;&n}bqw9n$pKFi)KZGlYBw14O(MvHm=1r{g37(@1;WXbf;WoKHw z@;k<*)B#pVh)MX4>L$=$?h2W>XZhRra|gKx+Z<4>km==|WL-QVExfW&48T4P0 znO3tWlK53!BU%7hdgsA^uYU1aB)tR-_}OyoKDn1}ck9fE2&6Lurm1-PX^Ltc8toR! z5VDtzyuEW`D&@u}A6{;9CcmF6bkQC3NN)7Awhect=f1=3ObvxI$?$G7E`l&8<6^)5 z;j@Jm?)ff2neIUllrPHufzckK8LX&G^y4-jAh;HDjC%?ZW~UgtM1JPak9!yC4oWfQ z$SiZaxAtx5C+@eV@Qu>+{k%Mhg=-Fn%c;cg#(>|^hP~KW3zVNz5D1l6y**W>q!2oYp|H<@8Q-Ta<4!yF$ zHqug=(5nm!_KK=}-gV-BAT*?K94*vpd4JAjRZjvNb-crFvD5LcM?T}!In?44cPvq_~t*IS5KH%y=l-GoDWBL4p3ZVos2?RQfm_ z_BH9TJw1M#pPbrYn-Jp;)@u7n!ca8s`9Pq&8AOffoW?Qd1$UH-MW`0H_n;1><^mN7fFn zXv#K#v;m5`FkSK|&!<)ypyuUeu?!rk&S4Ycz`|sV>3FAntxGHDJURFR2$7laKD@tP z_&Qj~@j;sLIKdnY{g>N;n)+*Rro)a$F7R{Qc}%lXFxv=IM}$G1BjURNauA6`T6AaK z8|LB*ydb4DPf|&~2spM2N_q0#gzw&W-T!s*HBMV4%P#tqnnyHT<_Y~lH=ax?lNWif zGC8zdk4$b6lmO1p!z+O6<6#`>N;tGFuhWIV>bBiIr3<$GqT2wQbsLLitvukKbUg?l z2?P>QCPulkcN|*)LAV#DQW#dx{vZ2N4@6DYDTXg-{aN|YikP#59!B7Q{3Hum*DHkh zXA|T-7`IqPlLA2MTrQLI0V!w%wn#}{BR3+xia<<4UzBE8m)&=pbQhXt>jC z_`jn*V4jty3h;h{R`C~n2HhwO($pm_+%Pq zCwLY%N4}Hw1y46XAgM6y1cGaU2g3INh&tdB5c!FL5JfbW8{japVCeU_0Y|LyuhugZ z>9eO;Ly1ACeJ=O4>fV^!@J{=AtB)t6?Qg!wPN@G47TqifzuE)?0#J9>k$<`ZejA+T zsSwqUe%VCe{)0djoj3$sj>+JN^s38RX$%V5rdUs_YCd?5+t7s*K7HlV)>Kb;;^Ebf zwUZrwagjJZ(yjk8_ON9He-T1tEq?3yOx@45{p2~$bxR>Dy>wUXV|zyS>VAjiXk(AF z?=n9a3pyp2Ee4fY`X0PqB7m_QlEUyT4RMJ-$^T~$KLt8HlyfBX@U2QUr4)o3omoE- zyCKsprHu-}lLb!6EnFCwpcdB7I5zSrvHEN{aMI8kBC~5ovg9<0sB}$G-DBDIPw?Nr z5GFK#UW^h$G&=76s}tPu|)p4$4jF8sI4I#Ouzh({r;Vvn)CCyNAdXPHh(WBjy!;)R8&0^d6Lc(Ncr z*-ZkSbZEDs3v4$B;qJOLT*|)L{T-TdB0?S99qLCq$UC%peeqmZz)2&5Y<@f3y-W05 zag+rp>fUNO-=pjtV-38F17182g~>JCc1JluQ<(_hc`>iesywjPwcQO zEJ%lR>5D_17`c~p;Au3oy|cw}2n10K7b2fAi7LC2z>g3(g5S;U?DI^>>J+`dNb#3H zq6IwT)`>Wq+6xB&Wad{YAXkFct++k-13fSf;#CL?jW6P5lrAs71fBsCL#bMvRT&NP zMghq22JIo}PEh_oJG9==o*);ll4h+PZ2%iGii1>{>+sk&4TC}|TE zwawlCrXg$;yYls2BXCI;z+}IazkD)Kg zeHopYXzlV_0ah6mt4#KSIX<8jl9;8a9u#lqHX|aOwj$Y)c^!4;mf7(?$e!2m?snlU z(4WGfTaZ5$2s{?-&>+pJ6&mZDvava0-Djy#yyxw9oQ*eceoAb56atkrD{#(ixsud( z!)2V+K@lnYUDj0UMd{$s*RlvUpgIb$xL*e4t2*v> zUp1VcUddYG?c~6?lXuzeqlYWM*ek*?iRrNCb6#okJ7)3+<No3UYu8qh|%RJMcOxw0>C<|uEN2@o40OWLE!vS(U-atja)PJ2@lT@MxgTdJ>tLUP8*no@s7GkK#^0=KY+F@5`;02fs8-&Gsi|V z<(hm^O=heWF8Cu6FMtu3O0>NNg-;&#rD}lQbC}=vujwc!=Xq|+oAN!&{7LY~$)L4@ z77;!g;PiUpPS6L2G%VJUZ0RTF`oAIi@GmppN;a$`=qxX!c8*JrYvJnpPfRYaLTA+} zksDC~YPk&rYaycoyM@aVq#o>Yuibu&@z!6+4ny*?ovVNyiEV9jV%cspm;?M>oNj!- zm@xg0tj2lS>NooCDXZSwAzbq^(q18@p%X6v3!RyS{xn^O<-%g4cwl4xS!Fyl={-8g zzYDB&#h9K{2NgZeCoIsy`DM&VRQHLMpK|G&mXK1BkNNJqi-RlF>kMj&J6fIo)HD=) zOeK@f>xvaf_E5#k!iSQ%NEY}%YyuM0g3v6m6Eh@>2TQDZNb9lV)WA|R@}}U7(qCtj zy)P^;DTvyDLdVR#F0$#yoylz~(3JVie6)yj%)Yq^5c*bdh-yQlaf6n#n{8d1m+%P_malezuP)Imtok@0Vt zO^9CIL!9-V@n+JKnMjgtxWt8rYWDJg;$Ow57MK8_-m4z;!<@!nP*8QE-8n5D=vl>z+S}LLz z_uf>zEal&Z>y&@GIP_S=!;6~)pKAc5-q?f<;7Y&Wu>SL*f$G(A#cxeW^KDq*9U|N) zQV>v^XjPNm09mAhzBYq30h%c<06N7#6^fI&b%4(ZuL_MIpzSaqC#u0L3*;*}G1C#j%S{wB0JrUKr~6A=Vf ztjXe^`1Bv#c;EZ0ez;6Ec|s*{gY_nbxNz(ql^wS;EYx7vRqNPTTwerj@vnu0Ii@G? zQN=1Zws3?KW7pBu88Le!T%CAv5Gy&kJ$6VJt!}l8u9AD|xUFymhA+rGmw?)^!_enz z{X=V~uZB1Fh{GIAgIf_!%h4S-O_jnki2zR1y|(^GYRzG0KWbE>+xyCq%g>~Ye&EE#G;$9uqNc@q$qNeW)! zy3n%n^ynSHv#7%~^H56&Y80$?{_*>FT0e805W_*$e)yBeSxIKM34xK!$Mr)Daj|q7lb-wk+(#kRl-HZosxJQBo z{htY;q8<@jy+l7`?8INh!-d6=jyLw2h*nyD5l&a?%pBCt%IO9Pls|^t)$#~6rxF%PGk7ojK3^-JD?%4{$ef_LA7eX|f^ zatU8LUzzgB#GhPiBX47&IFK;Rkn{dcymxjFBe+9jt@nb>7n&A(EB5Q0I#!}jK7Sg;l0Pr-Ol zpi>1}t_^rnF<)q=2TY)OV@bnrKBLAvDD+qSg?OaXqp#48w z{rF`M^I&KymppvpzUj4%9}}RJ{ESwWMwDTS6=6_X#{B|9s1a~@#k1WyJ49DH;o$W{ zYlTZVR`HGM`xGFrG&MJHU_XoRn_b0^n!t|7Q|+%`8ero3hbaKF+s9%RtwD+;_n#$_ z#q=hLczxqNe6hTwWul_&#RP!GOS}Y*@AczNQ|( z52>2q>JUEOw>PkZvKF+_kKQ`oq&8XlMqZKXIn=o0{g<5R8Twor_z44JID(wo#<>VM z)v$T=kl!e@=>Amgt4WB{Qs&_6?pKg}xi#x^uVx`Ta<5gwE5e4H!cCbERjln+p(R2@ ztXHPme(~h{MZe}{huNqvg+^Yg+!|UCzi$m=i@14%v`tD`;%)Ay^J&<$WmT9%)DPk> zxfm|2-}cUgqA7RYv^Mc>rrUBf*IjG;HUg0W`^8(kK!8&U!xytJPH_7ZXydeO%X#?@6KnBdD0x9R zCvN#D=J_^$^IKQ)p|6%A={vgtj4)jQCG(SafmJoH7RT_F>v1h%+OG60Fm^*zaBGN^JHj4Y~5u8sPfo6sn)g0hevaU52b?!a(I-C z(3ic0mNH?c$-n`4m1aH%@o?tL!zoSWa`W^Uo)kRrLj7tB^t*|Icn~&?itpKeV)TZ)uL)7{G>WqZxv*&XiECat2tpszDU7| z#AJk*M>(4f^Y?SMvv)6kX=>#~2Wbd~Yu4I$)J;)%`yF_z*!D8@`qrabxchJ@wa@5mTI^C)rjBL zj~@2S3J4qT!JMPrt;m~zoa^b#E!J-(2He5A&`_($gh*Ng?ZvXw8>YBr1meP2uPc6|a3YJ^V` z__D6VY~8JjyT;+IQhKQ#vyXQ$A_6y36uT~Q-};aD+ojXD8q8%F=L8>_Tv7a(DmlZ8 zKi5mEmWxVe8y@1-J7b5~zfPrrw3~+q4QYHhT{i;jo6`lpjtVsVRE%nnNlPv<=4Tgf zq~o%mO0I~mru;Bq4Kmutn1;-4d?x$(GMlT}QPB4d!GviW{!0iz%~i8A6~L=$+d|yi z3cjV60*~cB8+Kj$j23(k=56DI@Uh;hhOQTce8$?>{2jtacuO7?0BOA3A=x~nlf^t0 zthCLtS~3J0X;0h;&vjrDJ3?tk&o79y#4!U=76KPJvJDbG)2Na1xK^=X666TdZPp!p z*n%Fe2y&5zMNQ`}xY}_lK$G}o$%=2G4D-o4NT{xi%t$=qbBwIadn-KO5chrmE6f%2 zbhOw7qh+*tE&q_|%|kd+=dutTg3yfI4%LRS_VFI$g?ZjuVOp@k#xb8da-G4;fo&*^-UF%r4Odg_nV zRNTcu-yIT9KoBd5vHcnu)d@(w-ywFyX6BQprJu5^(dUn+kQA*1NW0{xRQcSex-@~i z1_Z$@e~fsa87C@9-t2gix7n&Q!LwJ?fRL&B0{al5*MYZbs0RE_V?9X0zTPg0n(+-B zmYv|f2h3>MV>wiW{wHM?Luff}Y65k7BPn@?D45D}XGH+wCzBCo%a`#dDL!#xbzFvV za{dZS>t*|roB5GNRTIa&lcNb6YJwRl?m*U%g9FFRA1`F)MrdM0iqRR?G07}w&?U1q z2SR&b4iqxUDwETOXxKg3kpy1?&Ha}}R(21R^sl;9Heb5>%}(ULN4uZ~UeZj?<{JKID(IGzjn-%L9r0C9q-QslhSNZ`bn-Vg56e>dQ zyn6Exs!RkJ$P3Esw&1@2vFHV&pyK4oPL(tXz2!}Sw!BX8zz4%@i%Y_*wkj_IJ^Yu)%oZav{F*7Ac2R~ z-VMml{`b6nb?Y*l0LO)fz5p&Ea}S;Uc3^9K%%U;RzSp1&e>EMYSpV)ft_I@gS?5xedCTz7& z(sMqK_ zX-L1!ivISk+maLI3c6JVHtb3q0t2d4D6u|;YK|)Hzs46F6Q7ZlzFBc3QL^Vp!b}7Q9!J#bx zY1iqqCKJLOmC62QH1{5VwEED(&|5XEY{t(+MTw*(&hczQR_8;XP%8TTu9g1M*7m=c z8%)Ur75;CO+UFCZKIp3^U{qBffqyZKv2`oeI1vp|8a~)J!poOnDQf6Pzj(L?y)Y*X zyF;iH#FLc9@WL%U;sTiZ$L*&z;i0(J62I-2C^S!BuMNt<5Q+RVzJfg1wKRkLWVNV$ zO1v>(z9YgoD4uLgci@r8u!>hRwn(sSa>Sg;=eyeaL#`o`f!e!+*64bZUkk2txbWR6 zyEy~ITs86anV5v^tS9bA9dl3CCd>XzaZC7nERp7X&g%%>4ffgLqpys8|5TJFoHC94 z@kzyV1DQ6xJ9lhS&Tzr(g`G?1l#2I^6?E>nspV3q0!)&0x#I|Yl}BTEO|+eHaEmZ@ zDeOjjBshoR?-utDkY3Pw?Y(%}OXM}g!|?&u`cnbWpz`!Yr-W-*)DT^GLsZTq8dLLk zGvVYC?2JV$x&TYGj+?%0q3M>(ZGPc}v+bD9XJcH(^}%bxVI=hDKk6OF5{!W(Sqwva zLaP=aQMKT-geK~vRv75~@8Q4IE5d6fPA7v&bC>2KGC_xo)Ut)b1?=bbsXV{zDf+PP zu0<|V|Ap>xZywezQ>*K$>=tQmVnLDF6Y&nH-( z##uP?zbo9%trL|!z-qXK<>UJVqNhAbLX?w)3JD;ZwDakuZ- zcCgaPMQ;Dbe=lr#e{l`2h}y*vv3v(Vw;_(t%Um%ICL~7e8RI$hRB?x18dg*w#h34w z$SCt)5w0*cS)X9@1}s=)g(^OL<)_EEgNaoh?I;Hm6;t5VZderycD%BYA85i$tY6sZaZ4 z;X8QH+q6HIOKis@UY7Ud%~aq|?6^JHvEP{!*$Nk(P&Pg}d4+ciOq6Q7X4)YTJvTzl zwZYpV!42tss5$n>X?%UG0o_eqDcaenxqW18lP0Jc;~q#;;@cAJg8&!)n$e;&&2tZz z7xWS$k-nq(#)w<3m+4U7!R^GdRb!ik;&>PHD6PB?C7V}_&g>dtA+_l;qdB_*$@=n- zljlzF+9litao0~OLXrpJ30~r<_EeHz znDgFMMmVbJcB)x&z)KXl59s}ki&fLsC+h!uFGhdqHh<)a?@gh3E$Cmd+~U;n)ZlxE z0*FP`+3Di}X<7bwh{oco9aeidVc~r@p8aWyzF**t|3>XM{9=N9(ull-BQ=@V}!H5ImaG>sx{qJ`{mBvA_K3%kwAZ3&Uz=ZVPC;!;DfBu$lh|ReR~bPJ(w4+J6V(b@MQyo65B z2SX3p#SGhTs~>SP%xXVcL%5S&ARg;OwI}6Hyhy+a4vDHCyZcwO%iMK&AC zM?+uZ^y!$0OsRAkZ#L37JFXE-ayWzj7y@wq#kct!>D*ti$XhcI2Zhd-k#8WCxZb+sT=Hg5|=dx{}>k?raZF zvKPyn?c+=KWBIcK0?9$FV0Oq!awsc|9UeiBWJR%~W5}_rQ|!2SasrFSPD~;vvrexitY3%e2GM$yl&dMg|uyWaXXUPm!KD&TPE@Tz4i_eiuSf%W;^W+Pxi|q0W@+H<~ zcI6fFRn|53^&8|WRyDikCixcYHv7(h4lCl9bV><@$FkE|i~ zr_bbJ)(Craj6BYoU{6kwr&%-X*)Qa;tZ(e^Kge9x9D9C&yvX{=URoxvuzs;=wrq$xK*wj*GRz5OAixOMJ&jxC|L* za>Sy_SFMMCZ~-M=pkC-0(phEHi+_rGa$-RES3&*c5o(pmb;@Y4L}%z{L6uI8SOh>- zld8UVGR70OFt;?P{w}uD8LC$0ar>sm+c;gf@jb$tgDZa`Se75l`4zN0B5X8p#IC}Y zfW)F9eF_kdmw<(H?-F_KQH1GK&%V*n(p;(7%hexhid?ZuJOcEjnZsoz^Dh#Y=oW=f zm_*1dJwIZ8@xr(d9eObd&j+)Pgwu}PACs~!r9Q9APO?P)uAiFbT7WgH?fFZ|ZhiOZNQ&&Rnz0+XdZ2r5=N zi0mu>WtHa6b7*F`02Tb36Nu&7NA#P~<-*nk%*KPWSFFKfS=%rHUO{lE)a}&9^n%d~ zdbslM4##|hxWaqHOv-EB3uB&lfA=!1ZNRMKL;9tb!mrg@m&b6i_!?$~%Z-|?lDYG{ zPBIO9oQJ$|@lm152>kP?>X$Tr(x`}}$6dowWwb#?O^GGxQPaMg!vYID#7KLgr*0mG zh6x1aUj@t?vZv@@LgkoI6nm$+T(gqdPDj^Jp1$SVnSEY_0oAUS4vLNZMT&%juSa(k zLM}=4DFhO(E^px}eN#Ac<)#wK=JYdCq-0Nkg);Ed)?^nxZ(*`i=k2^_EsuLT3z%#p z`W=OHyIPSe-I?#lD$v{H>4suJv{J(^7m`Rvw%wd#0vtD_NLF$-Ag#*~33?pp$d?n} z3DqUTXm%0nbeSfTO|^%D^J@vd!)L$~Tnfz-OFx`19$P$VHtB&caD|{xI3cvsxC-Ek zg~h^L@{ERJii=-BFxT&?%aV04r>OOVFe+=}srAlOa3}Mdw+vkPipIInh4*VFnJ=>9 zTE{B041_ggn*lz5pd$4QP@X|@aqn2EPDVbu5(}gR%mwZ;T6F`gvvYAEK!fIz5RVxiYaT7g2aH{dxkl0k$B2sjI4|kgD&&i|LAG z2!As6yNz+QiRNQKNFvq+MdVQUld0jJ9_k)?V`MGlf)YySelvb;oB)a%i)#jR|3PS{IP;4f4 zfKk5LN#CdYTc+6TE2Nl@Anr@jfx4XE=yPFQYG1{AV_avrse&|Uv&lvRlzc8>fem@0 zGKI(sQ0@8kUlC-(cVSSa%fgb{E+bC%2aUy&;BX29g;4ArOVV0kjJM>v6#a1`4k{(q z86X~cGifDN&D?>csoRm%U8%7+9F~KFJjO#g@oM*!@3%3UH2A7YSt%XyZ4j=|$=&YF4xdMKp zU5Z`Zf|<5WK~%HL)uzwyA0}BJv9vtf&)ZTRC#o^3D_iBV>ftXJ1Jk!_^Miufv=ca-$$Rw7Eq!@%u{d!?Z##6c_21xBxui-H1IRLlsG z7=a;FR2uZ0u4b`xxT2?`u#Kmox279=SsmA2g&NJ=feq}7IHc!PytDv=XI?oN0&V6u z#ChM=M8)gDJ@wc*4nrQL$bkH<6Q)#P{X#c^bI21aRG-CDp@&Y`L35)ZPjgtj$`g3u+(;g&M{v7rAr+V> zZM|Ed{s4a)v*Kw10{Rk6FmLf87LI|fJ|cymnA=9#lllopo0c6K8}Vv;{5_$3CBHF| zVv*UH`V!>LaH#JXD193lc=ucr5?4GY%e?Y@7OAlU#DT-&C>fh9w=3y$@1H6LuV-#; zj>On8Vxln{Be}n#5P#e8&;|b()y!FZHmt@IMvLlqZjyGu>q4v`Eshhz`riNeI{^<^ zjpD6rmDI!@GvV#}A*`m)F4$MK)2VZB;B1VY_SN;_&+TXRf z>`~WZL(SOxwu>)(y*rvSe}Ea8)62W2zNy)LX4qXY9n0Zz#u&{)qsPq`n{a8~vz+I^ zAZNg48Mk&Cwcf>9Zzqj_z7Pp*&!{XwTtrSp$sX!oIM(xa$HbKWY)g`>1GMoBM*^BD z3nHRqtIoe(IQ6aB99L%g~@ENE5IArPLTwEaDf4)|s7Y`@ZSJi`0GKYBgF^mp;gdv=dBs2Ga zgzx8k!ZdRmfz0h2$!C+(^_*-AVmWkxIBN95>DEBTirW?r;{_Gw- zZVAHUiS_-;uU_g{RxVF(IosRwkeQ4xdrAsQ! zQDzXhb(~=fKNNYlZY7VJzlW1qNBf--Ap3EVwf8g}Zc3O(-UhDZi%^_6-5wHNY9oI# zCPF2vsCSb}(X)TK6IqtrUU}s@>V*QcCSwkDbQC71Ta1^ipOHm5%BF9xDy=ID!P+DW zM^mM)b;E=e9Jh-$AOpzfjG}MESiYL458mB%4;RunK%|B)BO0gRZ661@GnwifRByv5 z+^R0;K_#BIjjy`VA@4ptd3$AOK!@-I z%(OHtQ~FTr^F8O|3uUt_^B?!izV3VdahUVyouiD+yv0Es?vq8%yHLB(ejeyW+6xr@ zqtC7{>HY*99toxgcDPd9F7AiFKX@SXW;+}~JI3o^8>6;ZBa>-$Rby58Y5t|(mbA>J zH7SUJL3a^tJ!Hw!m;zBDTLh!Ikx|Vb2 zX*xB74;UBcOfNyiwxn<)Th3{gj(GuK-uw90bmr+Md4;H{)T{C0mVuGKwU5X5W>l46%@wUY6&tsqgR>IdgOI8# zd-Q@%T=;xdPZl5rW>9Q@_i-dV*Wl~)*@~|)Ym7^U4|Ne2JO)wM_OnHH+RhCQ^CZny zk0NMatH)dZOZ>g;T|EiU?)wf4JX2sk%Ya^|!0I$OPbY=i@ZT8=QEP=&up*=Cp29@< zOTJJ1zH?Q@At}!n!EaaQm!{1N@`~<#ES_(=HOvEUf=dNGb%!G~c#|6VF)@GYh@~5K zuxdjZSnS#KLBPDm_LvAcvM=SjTrcBdzNHj;2_w0G{T=E4mNxtem@X`@Jxg59A)Zi2 z2-#G66!etyGj4RR#!f?etHZsK)^mc`r3%TT$SBr258Fzk2S=j zE}3geITJih%3UCcuQHOYzMBQcQPKhwZF0r~jWyD)B6D8N9-YtGw-%3lKmJv+Trlv* z;`Ogfw1Ka)MS+tJ+G3{zr*XI=e=erJpPm$5tq~sojt^`b&&&$!UNY;M476Q}o0k0g zUhXlI$1wItpadq3=aPtGV7&Oron$Lx$@hSBLsdRWM<6`vCMcfLvlp!h8q``J=<6fq z|BK?+c{W7da3=Cy*km}1?_lI|#EO;Xf-3|JJc@nr?$2v71H)HytRzm`cTR-Ja26~j zV{dO}e~E^Zmw)sB=bo8zme>4vP2TqXwY?_Up?sUlSX|-g!ReHO;c6pAXFNpPZvQi8 z6AAWNOs$F_5^FtuN4v#g5#UWZgqUu(sU^y7Dm|Xl4?1K2_kAlN>wZxa;jQnpSQy zNjfIkT+5Wk^AjaomaGLymUVqwmV_;PhB}?3;NzZTd+z=*0#*~1YqK&6nM=cOex1Mb z(d_aao^12WuvdNYB$BpVcLG1RKGFJAA~kqi5q{<(#&S-B;{tj_SMXZ~pF zLw%*n%oO9RlHawyr@oTPiGJ+&_n>#&f!mE3GpCuo=GJXTKD&DbE>W$x-L+whOWgB7 zVWZ-2Ee)s7Xq|)P5DPzVBk+_4)+v1$^lQ~LczL}9^&;d71guO(8rq-Fe04%OGUQ1bui)xo!1&`Hw)_Nvg^&mJl7@#ae z-e)=VJ3mT%vu#x+I<9)Ej|Xn zVqOV}&jlS7+62a)kNC{%Fje0gJykqX^_ImKTt{)8Xi`jPXhu_iyeLHdPH20d;z|PC zy6O#;9lKL7T@rxAwWa73-qh1(7vT@oQkG|PON{Sq`dGof(Z7)dUb}L^xazYd_#4zU z6uyh5<}<{cd*K;h{M1Jm!f?k>_cEiEu0d>yj8qdoA^gDUi%fhfEq{P`Zi^>puG&5u zHgY;!c?H#tv&5&6I)7(QqrIiPMu>Es%j_Pg2 zKvjaQs%XzC_h>dp+F^QK=f=m&r`%2^7?>qT>_-ig&aU5`4Hz&7>kA!PF5!xyrELJU zhOTNHj!ZRggQ9%BW<{5EGEM0a=lrN2fMgxlMLoXZx8Ep*_SC?i!dXQ+)^wAs6e@MA zH9Ym=>1^n9vgg$g65rOB{^Wje>#T?VE!g5)$}Z}uTjH+AnmS*VyR!lzOdHC2*TptI zhCpiH-#Nl!>-brOfLbEig*}j{Mq(Vfrbn8lS56N(`)}%8?EX=OkBI0;>C^W>uj2)+ z!icY7_@SH7P*ink;wJAuk1OLanBPA>lePT$f1+}ioyz{{E7SiSxB*}ncVbG)c+Ekb z7$P%hbK*sm-@nsuV}ReyF*b$@Mi*oDWBvb4q#f@`+mCpwcRfdDGchsdg($kXp*aA) z6rbh&%|)n|FQbYNX9%FMlr2~AOw5b)`I@!Mg|g(%9odOA{LrR-!6E&@8A7C>fn&4x{2eqWI_txmd%SlQ zAKi2kt#`Ql_E*ZCI}wd6Ok||S_1MI?OrbBqMzgW;emO!1RHK7_L*tAiP%Dlp5=qK! z+jG4>ze^Wo1xGRa9fb4VL(IAw`LpqAAKq9sk_mHtO+} z)Ja^UC;Cutnq0T~?}Z2v-~!B%M;86Sbi60@G?XeDFE#c`M`X`9++?A*_05m=_`oB- zEjPSae_!jdKY+ZBhI`+1Qs_Hu{Ch)Ls4K z7F#&kiSwzFf>A1a>;uUXf*7)wy?w6tBilRqHA4QB{1b3DJqy5Kz59!CX?K z#=$!Up3CD;UAq18*;S>wG}l7#Tqi2=5En&_sC|L9Bhu zq4#9zT#pDaoVSZ=q^7zfeJ;EN?r~{FU|zfvde2E)-a95@@8Dt|2zaLHyRQ&eNZyIB z^lXK^8w|qma-QVViL&AQle+b@dzKO(EoY-(+oDPe%ZNpqz=mL?cxtCaW#(2!NqCpJC| zJ080N2v*8)^~3T@9^h8C=HF^5D9aKPbXIfvVQ4D(Lcy`oMV_(@PRJsMx&;4p-3Rya znA70uU}%Z$u}BUQ#^uFS`k{1580iHG2T6XN4>>U19&N`T#kAMK$ndJ{ft!HD6wFL8 zGm0mgcNM1keveT;=doKhvFaOqO#~_U$K%~+tM^3($0SelL|C{6Jcc}aCnARFJB-S>2r9Z+UZ6A>gt8DGpBT%5|G$)9U;CH5> zXr=k&;p*kqcLhx?#N}`P4v8RX1v2hkx{Mw%Dms}@>a_jZ4QpNOMdmvOH5-WL+S=(s zll(a|Oz3tKTnGF2M7vynqHa?V;tbcsbV?bK-3K4jS?fph{)HBYj;}eKN6UI9L;g6V zMZbi%+lc-!vO5}={nkKh`}oAw>yX|`#A!lmCC@fMYLece(SliTPw z@fuDFF*|%>NYJ6y*R2r%?q8(fAE(oRZIKoQ0;Ra8BBYv({Lc9AHh~Y7JseU(IQ7-6 z3jUz9O73ub>@iIJ+17_hyl-s_3^>Vi9c1RsZZXTJxA56tOv2si>mUW80k6GmfX2`o z^=~vYbs%}Qu@-vDOsm#~_Xz4eIYXJEBIRJs>P13jI#}6$QWD~Us z&-GUl_A@04kF6cEpMMTAquV%34(~>>1tOO*JNT&W55zWe8zX9LTq8nG6?*r@EQT?~ z|L0oD^=L@;b-~NOtpef*Ufd6hM|raE=Ug)mS!zKb6>om!#%uivLKnw!&)=LX3ksTF zNjQ)b_R4DCQvWpWW{`QsS(OT78W>nU_c02Lz2P1+e~Wv02?$g!e}S|VpDuB0kRIbu&v@An%haig4n!GJ!SZ-HL+Ir#1Vpz-ZM_>Sya zad);L_x5=^E;DiMaB|%Xj{{xROf!P)luBLaM5mk4il?6w)EGzm2OPG>UyBCH0F2qr z1&B6Fb;n;bA?mP5UG&X+Q4z9BNu*HNP(qAAHr#L}AU2j{btD9nx*RV{%(ctX?j91j z!t1gb5SCEW@<_nhkD^Ib^cIxYP>%O?`xKCO=gU(%U|ZrfJuF?4JH{-5d7*%N((nLK z9X-2=UUL@HwCL3e;G!Tl^dmfK70K3{kQA2cMJIJeS`S|UBt(CdXm+R(_0y;gvzhV7 z|G%S=4&7x2pnu6phfxisEXS7)NXl<+yMGBl2Nn`;is@8uHkoC=?6H$r>AK+@_^7+q zN49Z-LVIzh#5C6{p~O$=rZAtwlN&yGQtDYDb_*1wZ|4fbdc0?)C{NQ+)P|RB$_M|; z$L+Z<4|yK?2|M|P`ZdW&;%u|^Qq5goKOdz8!0i3X-6|4#EV#Z?6ObAJ3maNn}Skcw`LgzmT0>DIRt7 z7paMOdsf5=JM^8(gHikTAc>@%`V0-|G4H312neYBzGt3U^;!{Z_3Ps@ZZ*Bw!xh-J zkGxSG!+{LG811ni#abMAeG)9H(5KE{=`7JB4^!D8wE1O}IngQfaF%O*@J?G-99$sF z=nk0Wbsp6zPK8V!YLlocTm%C{%mR8D{o0HoQSTGzGg*9ZdiL+Q@C*ceuL-SQg*jLG zq6J6-*IIn5JMA7z(zo(0A)YT>m}M_W8!ZpqusI(P>a}k<6&*L{j@PVT*f%aA zT_qrhlgR50P2r<7qLXI-B$aezkDjV4$u+QQ@PQC9g1vOUQkx}^hR7a{Tl*>L^&W$* zolHFZC<#5+F%5yJD5#e4y0vMW4||^TR6ReV?QUiD$|KS=f0E=o4GV_+(s8SkV|YSb zIjC|^#p(U0m{vo)FQ?A}A1gLoI!*NtdR#_&Io}Gk{&>%>*Wro%EPvT2ffboyS7*-b z(J73^7guMB4`T<`-syRBdSLx~f06UFk8DK%3Yfks5OX(uHhw87e?`BZyM}0iVLq`)9ViFn$kLto0Synl?E`%V;@3r&_8$TT&re{L@OWzD z=tLH?G%f%73fLV4NuR%*eKH`TTjJMeFM(jAtjsSaJzjqQss<8mWk_<51n~S1Zx*=Y zNOUM?A3Sul#&J_f%rhJf#e1=lC1YG4EKEK2!{`VYwlB&^ryqalB??C}{o(4>P$K*c z-Db7bW$Gm?Un7hILo|kQcqp&3Hi(zd*{7=q>hCu&JfN*%GL7ar1&CZvIQ@baRZ_j79AM=Ho^W?LeB2==EhdBFY$^O5v^`>X^q^dNH;~!@T2Rf8*&82f zFwG#j128^+QE9EcMO8rvj$cacz(J!iW0N5>kYa9O>FRDR$ya29g*aMw?^xM7*xBc5 zv4A{4PGS6(&mj3hY4V%9rO9!$KmgY^6Ax(bD*Fe_1O^5FtPWeMk23ukdn!(8hnAR> zeELk2v$Y8wy*aA^v|o2|<5jUjzZR>b3SEN1Qh-b9!x&z`%kVs}vYWgu2`giC2@qod zzEY3|007z};TQQ+x(Tq5#&p1!_zo5ycIr+{WmH61*qxJ=!GU3??_`W*6Ah9M!0c5W z^$Z4e?PYcJ4s%SroaSIscO)8c2GQ=YN`2?B<9lReJDV`8I~JAxxt$TdFd04NK^J>1 zS3T1~ihX99eoBch3zpqbg!0{^35aMj>9FDa2s{~9WxPRnG;jahSI=Rv(Ec`-CW9V? zA?%?bO=x=%_SgRRvflLIxc%@uy}ZGA`x`WxZvP-^ug+!KidCOOU3u^oRylM(EcyXn z?yCsL7Bc|e`7qXGFHO_{_T`bW#AAE=Uw5=~mL>QBnJRu9uaj-P(Q_1vKZx$@ zapdnJROmk_z=8@$!vNT8`3SfvAnOMZX+J6I`mkY1AztJRKt{Ll7$C98F*pK%QaXZB z3P?0W>H`E_y#@oIHV(5NkOS=C{C*N0%{>(hMe(ewXltt~y5VCEWe8r5|NIE{wURb$ zeTWWbfG>gNpQwzC?(&7hU-DBRI=YCbhW+rTBsH@?tCC5GQ7U%XCl>M6I}Se8kfQaX zW4}PKc@ly^aWIgXKc(UO){cE!;880g0t>=0{36nYf;2y@PnsYOhfkDEQWgO4!F;(kk?}2FNkA+xQbf7@)-pek5C|x-G|k4EkJPQC{KF&B8CB8hyj4>78Efc2zz1n|1=Z_Qj*RkVukIF z0em@Gxh1G!0gMjvN@k3g9a5PksIrOx&VLn%Qj}z}pbu~dqZFMA(Gv2mxM6-^K%1ZG zI|+3IEW0gPSl>hxLBa;c0T37C67j;$C^0hiHQ+CVG(w8VpTv{>EWL4gJfEO#QZQQ~ z8;J%1o)v_6j*e7Lrf^a>{G(A$4te_Qekbro@uHKO*bQM&GeHUBrghfn_Jfj5t#mhq zQKK+(mx)SMq#|gm!_QAJ^pFLyM!fqK#l%H(`F_Q~F_PlG zL3KWGKj0m%rdr_-LPUN%-HV>qUFE?VsH+R}Btm9wK*$BiBZ4OW!|&3=I|695WJVKV z9y;c0q4@DfY6o6HUhSGFC|?E{5SQ_k*tx(b$y)^ZXydb&LqgjbjgT0JO4|AN<=R!;#3atE1lgI`=vO0TIdabN;# z7#=DXBL}$(M>oI$wKh>`I%ET$qx};jjiduBkW$3n8v+2f;4J{8!MLG7+#02@69UE~ z=r7R4ot$N^E%3!X{@>xl3A|l1@cg$0lGba3I{kY!PJwzam#12T|d$i~9_|93#{&D$Fw3XHAsV2?Larszq`X1!-= zeOY})J*20&N2e3grv%ZsZ<|0gqpjN5DA7$SY5$ZCxWJPB>^VwiGy8UdxWU;e6jJ6{ Q=jePK@CDsDdKV}DKTYhf%m4rY literal 44860 zcmW)nX*ksX_r^c7kC`#{u``Hdmz^QRkbU3Dp6r>07K|(zgS4r%N}Ebi_9^>b%9b!h zQW;y5{Dy4v|6c$1gL9on=ixc`eP6G)a{vM42Y>)Da9f1&nYmg-e&>Wg{B{(CehCPAf`BZZYXljutA{_ls-tUw*fcObYzWQ(jCG6+wSPm6 z@kuFhVrZT*5E(^|N>Y!C#Pg22dE?2alcV-c@ya9=aCk-*NSy`n@kvR^@9=!BB5o;3 zu~F&1hO=O;sI(}pUA$M!NfLgRL`hCgVv=mhNvrtqC|G?ABy9E&B`hAl8htWRo*5%~ zBCIY!bXGrtLJkXGjgL}r-KR#SkWMBgb|+OSXHTajYLCOBxG8{MMr%c4R70u&0OGlV z-Jo7D8l2aPkms`!ye-6Q6uu~;Dhi14e&OKa-$6&fJWHqptPGAuFfYmu3H>V+&J@8n zJ;8x9ZN2F~+!}d;Kp719j`Z92Xp9pno?y;Gd*Nfq2C%5%MeSl%1#bvR$BiJ%=30yS z8=)M&F0V43%kZcQ@=W!AGcjUXVqYX;hEUOSWiUB4s=inUl|f#sDD zsfxO7SnlGke8~y3Y>UXV0QrD~z~av)C9_%e58AnH6fT5)15nnKn5`JI(pZZmfW`J7 z00a>EOb!d5CX0K@>qCkGh1h{6WHy6HmBTiAi4`-78MiQiIyC;Fkhqb7=)1lNo?(?f zq`6Q1(6J=UVh29@PTQ}aIlQ9i{eWQS_sc7y%UbVXttCfz>`-1LW5*I;pW@eQ^^ef1 z4)Nvz9{}w?Cr`w1$UsU|#fa6p2-QQTgo(s>8FP)*$hWB{BjXfh4z-v|MK6m*3bQa8 zp*Z8nun7b0SzE2-h_neH!4RUxiX|b4)yYRBDAR=me+2mNa?Z!((zA@eu#a(TpWyT?Q9!Ulpf=o9n-<_ui7|Ft@#hpDqqCvMYywq^zB zoWiLHk>YjY7K6&`ES^$fh%&etaCLE^+=Zm0dTr~xmz%@KOok~hNs7{$P<4bG1JQ6( zl|H=3AaWT#8yC$PA@B}{3v*fqW$K#qKJ7$>4_?ohOLv(z?}48&GXqrzc=w`AL7nE1 zpWk=E2dTo4=_P$9%EcuhF0$qGf=zog?+Dq??lY|Oy2^iNN^g!^(vMP<-pvm2RlV=V4=AT0W?cEvWZn7lD~&o z&STQRIq{s_5eloFY%t>IL6j)egVTbGiLbFPnRFwz36J^uuG0-fFIJ8h=^BKjrL*@N zJ8y^F(!}=A{~kU2dDr#M266{Pb!faRt8}*^BqP9_0G&^f>f_w9li=PQ{AR=dDMx>%s~#)fjfQ(#un6FN~dDgiL-*38p!Cc z+)@9X&8(kWJXnCB;g!GRP|wbPTGlJE$fG4O2Ej+9cUPTlT0n%YUp~&d{$VaYGfp3O z#);Vvas^|m#XGEdiQ?OqhZm;T`LEYo{n*%9T8IAT{w|&W>c0^6Q`oo`tcm}5ah;oj zU{S<=uh*qsd~ukFSoTk#af^5x^~m+q$ps#DqgtqMzW=L}?8wH4^=ZcPc?XgA(f&IN z#^57aD^g&Vr~8|gvyB~%OmWEgdWU)06~6S^#n!H+BI0~u&d3LwuNOK{je_!D!GQnx zqt=ICVx_e%Wh^xTU?vlsH($Gl(Pod7S+<~U)@NnU8Bi!vpJt-T-r0rk*<}q_1p?0q z9WY%BzUFXn8lnnwENCH%H=@YOMJuS~(F0ID+`-7EVo)Mx`T3;eKc!n>?-Z9f!(s%LW8Q9)If`05WT(wX3%ZrzALLMRz}? z7Y>*0eNkZ88o1XhZp*VbeCv|AKAke*WlQ4J7tn^1U+Lbt=5@~C_0|0vs3QDr(VxBT z(eK02+c#4D+F7Q!FCXKY1wl6-f~_?qj(57b@#=HGdau;a?Wv?rAx8+hu}JrK4-hkb zwV**3J#XRtQCmnw`agEkek+YrfY4bG-}UDNqXTZFJ6*V>uthY~#o`Yu88kA%fX>#X zcb}mt{LH7iBZh_+BumXjnVf37EM7wD9mXzq= zImuEw+y@tk3J?*v8<{q-`>G$QnNK1&kCe^WaR86B}lROm;T)lgBx@UKv@o(Rsd z(Bl|Eynqfot#2Y?w%&GIpi@Rk8cR}g_@{M|)b4#>=6y{CqBWh2BxnSR6Rh*v{M&v6 zg56-S5@o)(SN=;tMg38fd-rr|?@eV?S@p{O*d#c86v1Jg}9KCplMbOqfb z(Ij<(_6*53Lo->;$^cOq@52kewf9e(%Hk1HNK%AE@@S-N|9<9jAeBi7oOTbj&mxFB zPZ)1li*M+p>=+PCQgwBvG{*I|cev1WR6qyQs85`SVMp@_XqOmWH|X>;^$>r zo7)kBRSJ|#AX48*LkGj6C#cu!5W8@`WF1<|7_JgFX2}*#vtslYQsF5XV?PF;`r0d; zyo7To(sET<@OXl}G*!PAHIJ)a7!pfd^7*jn5-@$C~ z^ew|VfmN6KuQ23KZ&)sO!)Jc+mH{NaQDGja(~SFaF**B)rjLDvEcQMs*+$8loMn`I zb?)D<94U;T@Z2#}>$?BX;(P0}$r@pq~PffC2bY zf6E*3cXOnBzMxE;0na6uv&H2A=?^`-*7NQe$0o@JljJuDfn?P|&UMze{oR$Ayl4=8 zAHlZgv5lrh%)w+)w1!1`s_0qDG@o$TfvgK%aydMoKuMQ3sELt9bDQ79CFPh5SJTw4 z1TU5Q0b5mi)|MAKRL~|gR>t=0t}TR_H8d zFKp{r*p_R02RnY3X6axV!EEG$7s{izIN06BJ(}{1odt|o&4$kzd7B-L{-X{5dT1HDGeag$ulOJ4E#||NIQg1OcLIStIBpk$*cG9DKd z-A>qSB?6HrQ!ZR9uub|5cqWIHt95J3a}R+7geuIoK^Ks28g=NhatM5+LJDngj7-MI8O@B^H&;2;~A1=QIct@3*u_bNUWuo_E_1db#SfU1;JamjH3vEwIW>P|4D zt>VK2OSO4`7N7}e0O~wI5fB4J0HIYt0PxCnmuvU(ZqAj1>;F={#dO$h-VX&<)E1h< zE`s1@Gy7;duN^~M%kNt&Kpe}QBL@+_QKF=GkQL4KX-pKz@}E_5?-No_`8sB_B#UDHXp(ebkX6H!#u5AshZ_ zbQ39v1F)Goyn+h=DE{RxT6PzOxXz8>g-&!}%;A&AchlH*JSl6_x>NnvaHW_0*7j)n zpmw8?5Fkl-GL|5@PoM!EzwcZk1f*6MapS?dx#PK~PBy6E+10UyD^$he=d12IzXtt% zNUdH%N#lKlGw{}GuM6boGqgl^iI{A+n;&c6=I}J8!=yC64627-N>pv*8L6x6(6rPd zMYH*#T5rDXX_k)&X2xQ^wTgbZAz!3l)xEN)=Xc!zi1J&QoMmy%9s8GPTk@bxa@GN zK_hc~5$m7spB8&i&$lJgzqPt?+j@Ju83bMlmVdlnyYo_8Pmim|;~nOCzsna{;W?9q z3nmn&A!~mN-XnN3kR9-Q%2M!fL2-ThsMc!n7L50sUcbVlx2!$lfv4^;s1RdI{fafT zB|QDrcCAzO+my_Lo54&g%o zO*lA6KD?Mp05Pk<(#otH1RY6b!gD}<>x07U=IdFe)j2=cC#XJI15l7?16k|4(%h|| z>Kr)_)Nm__MV86l;UcLJrZfYUz}@KC{O(zggcAQ&$JxaMRA>mkPUtAw*_>dj^PuU= zl}zNdhWDJ*M${kqZ|q#Y>(v-V6>85EXTY-arq#CU!`byiL=K(LA5qELZ$z-4R1_o4 z5Y&}0CRPHC^fE-9797N_D=4_|kx$nYKi`-1DqeWJkCueMDcN40df7pza{J>iH)(5) zE-E|v=s$*xz~PT7ikdu@mREO6lx}(IS;f0{D8+Xd8P4+<82U&0(BczE^IkIieru=6 zAM*c3OlFT)3I`Ion7kSpSMA0(sH4f&I^qdTcy>i`XvNsmx44!6M9@x?czP^Y_*OKt zWK*nS11X$A$8nKm{Bt6oMjdK8kCh<*G+H{mfv;>8AP#Wy2f-|ThMXCdfi-sCy`@CY&&X_Oa;%1GkjEcAU@m!kjuzT-F}rB&T;SxK;OoxtP!zC)7{`SM*kXhD|~W| z!M{Bo4QC*>%~U5C=mQI=14sPD7ge6`2?&4z;U;18?>_aps_<8H8#q>*C;TK=B*v}Y zhMWD}yQMxuBo*_^3hfM|3UOX<-Kt1C%N?Fe4SeG^HT?a|jK1T(5^)RJjx6Df*z=<# z=sH^nhv!Z~+5$=tm&{%>c(Dk{l}0}!GAz6BNeynrw6v)GolGjNCbZjn>IL(rMK;CBthQE?R3jFu&X7jUo;FQV%BUtlC5YV<`DWI9!#GAyd zfzI-wpqdgzpWb-!0@0xCZEz}L5y&3ghXAD@Xzg9R;^6*tk|2yCNj$_4=i8KRX^@2* z#{U5DGFZ^%xucGQ6Dbl#*dE0HWS9b=tdbh71e|eP9u8pJ|MkNm9Q&9e2Y`R+`3il2y@H%*w%k2bFbf86)Cd1y1plxF->3on)7LvjD0Scn;>fTxq zvaOZwj>3dWpILqluEOAPVGb&C;8)+6sFx7ijzwQiFJLel`)1=-{b@VN;fbhmi6gaRV@B79qemKsk@H*e0UuQ515qPU5o3a;7SL)H^BJ{NzWb=-nOeLjiLaEM zlE70iCLMl23u|u-e*IEk-3RMl>GE)jt{-CmxowPOS1g1de_IG}R+l7L$Ik)GLWq2k z=S5vd5NSZy-+qc=-ZtuInQV~-N+W&@g=T@r1;IGO4=^BjfXAbqjsJjbL;r6r>O%_H zwr?$E5hf1;n3tjIiAFvv10NP(jFOcF4oaTO<1sJ8)FHS1e|`vpUP}2Xvz|pf6l-1p z?RX;_wL>bLYC&4%{utqX|HcIIDH=Km^B2WCfR6@x5sM9p6Kx}!)d~x)VGEFrhBtQl zBB&q(e*=qT`2(6}I$wTF`|Bt^)J#8|r5Iy2$30qQ`FjU6K?d3Xh27&chC)}G z<3!e!$1R6UawLHO)J#x2V|C)Q&*S$7dxoxFk2T=H z59m(?p0gRtuq!m->OI0XS!7trZ?L(0iTV>oLrR&f#gG-m3WS}hxw71|tPhQGc=$^{ zDYB8>M%y!WeaoLayWEKEKomC|sozWZz9CQ|N#luMV1kb|h;_`#56zb>WXq|s=Nqtd zmgY?8CG!w+icr1VZsNxpcy^ol2e7Q4OKGOsxw9ws#mXy;BYkec1)?@eF59~L!L?Ee zEYXYu8VZA1Dd3@qo_X9$6XG12|A22b&w{gSOU%I)8J=kKDcOEYpTK3>SIgDOpdX&q z<@=1%#aXsSw$MPWZr1+4hamqNdIwc#brwSPbba)Lz)Pm`rzisAS>;xm&k~c(#|w!u zQYPiq1_WmLhXO74Na?m_rPjuYAXyfAL4LR#$y}KvYhkt?P4R$1@o7c@J ztWYYv+3w{r9J<*6OcY^1H6`xOn1bS?PIypC>xi>i`>k5_F>X)EP z8oE4u@q-4c|?(}Ty9kwy8GFl{oh9z z=q!6A^&4fKYw|vYB_stk2YD4Z$4c^YN)N$?e4&>{&%aKz4b7j*f7<2@O&i5FqIKFJ z&o83+!V;|JOrhiwVWC{70_#-2RMZQbI~m3UHiu)Yh7x$xG$k>p)UARR{%ghxm}GO1 zeUr<7u?i+LJ}U79IfjQ%ipG6v$;7aykfNi%Q=(45Sy5?HQ7e%o zyjHyg3VnD=Ex)%s>ZDD={gj+z7BOLokt_>9=eB-6_bPM;pAeROOqwKkPse7`*-9Xt z6h*E-72((*m`I+CKOLJ1I6EJ=*`OpO+u%-fP4Pz)_TnQG50dLGk`J=*QZ{?u&Td+| zhNATdO7dz{bOz~`s@1!Oli`%Uv z2Opn2E}NP%{wPeG>5A8_bH3E@fqKbwG;DPsGLm_hM0#%N!gzm*YC}mBmYMh?HVyO) zC5;=L;Ga1yn!s<9*m}}BB7zbF)GMA4V}&u(xO_l(7^c1=Wd^OIKhEzd@zr#28bNl` z(mN5w`edE{HV&Vdq$w4>#Z&;SZp00QCZ6s|fKyiIaW#~H+-SQ_4g3Kkd3CTgC8HoU&=gBkUDGm@It{oE=jY)`LqSVL#*IVaN zPC5{9y@2&4aS8!=#oP*(^d^;OGjz&n!3oq!npVSJ76MIqDkfB)mkP;KZ6U{zhTd`_qw4KW`sAU|XmZ-GpCLR=&qB&AeoMxUg+!+x z=yQ=!)i)msBl4pYY@^n~gi~44fjSowu+2m#iDZ2BA0)KK=^L5p8MP!J4g3v~lj1)MA-0Cgvrbn23mx)_ms{*DBJSmO!#%JoGCpn!(vckuP#w zDdg}DT}Z;3f93z~ghi!&i>YtGo0jrRG@SlSLcIWxrN8p%{3BWsqle=M4!^idNRElQ zFjn5)b7P8L?-_2wU;Qzn-D#mVD)NeM;)}@l$}6|`)<``UsOu96QA0@@E>ec7$$~$H zst`V4ckC(3F9%_R%5wFB#CTeogs<=8Q&{K};I&`r6%tWckqb z7J!2yAL0;lukp1$E*Phj$Tny30!Mv)&FD^>e^ue3btjJu7FA=#Ta1&ZDo%WktM5pa z>Wr^|zm=a=aF5KEUPx16fWBEu;PEEvM`1ObJFNy}w zAqv&kY6iCX%jZr;pR_)$T;lcQQcxM58hJY_xIDqD?b9#TL~7E5Q+$w%RGl>CK(4BC z1ZeD#6a5G6if}>FZFUrbTxxUQ9nK&u2)t@@%Je81+~!!aNkP9<#sU zD0`;ZJq2Ga^a9WAqFfbgQ_Bf@rV~*A3Ey>H`CQIBKE4y^%&_QlJ&Hv-$#(+0PB@nM zd8Ct0o?$$ZfxG~w?xq6AFAw=yI9PrXExkBF3%9&(4Q3~$wDIFLVjo-2cqeir;nc9J zreQ~y?D?88kv~R@`ZSc>D96UeqvnM*3^fM~bBR=VRAkB1aW|<>GrEq~Nmq?Pw5e1u ze&%mN!ULbrmj*wr!R`5@b^Ik>=+Ftu62Xq3vRGJ^5J??nbG)wcxv(DJBDk40{Gru+ zP$A_IqkaNulZ4Njr@^0YN;M)dOCqR{Cjzw=zxnuj^EN7YR%h980Qo-vP}qvK3O+yJ z$iJJlQVqCy9EE$YrC(n0*ttI@ftpbpw}*XRnkCm-4xT{mEKrWu5)tyg^t|r9Cmg{OekxEVJdG_jS#bcLpW z)2+2x2n;T$?@ZXTAv$!TK09x7BgouE5ZUhKyIJCf&bj7K=$lVZIiP3q{&?_eu*k2* zKwHthl~OleJc4c{)VP)j&KVb)lpNMG@lyR0vRx%|Kw4TyT70fd*2^J$TSv2W2k|2O zF20MSkSwsyln^TUvy*+qlC(#q0J@zV*-sp|1x7I^a=lRD@^|vF%=5BeIXZH(oMWhS z!ZI>GTME+0t6|G|8}j|ZMtyFeez%`(GMC*7J)CiRQaMsMe&3IpPaJ2pIRqLRervyz zMxMfij)&$L5mQA}0Ogi;L79JF5{Q{}xfoO-Jk~1V$4|FLJtn5G+gJ+daoM0ZUB0*C zvnaMGV|IYM4q_&_^tJO5yJ3^yh^)yaGoV^&xO- zGjDCy8oM|JxEn646w`BKsmedW8a$8;{jZQ6SV+r*flw#{k96Y$JrGJYJj7cpCM8ed zXo{2wM&JjtT4ubIFz3U0;p>fjn*ZUN$Gt;V*355I6Hl5XVsb5`or8RZf$|U5eidR2 zEzj}PW4pEfY;nz?DZSPdGmk=Px2xW=4}20Y=vyjw%m)fHSVtIJ%r=50@~t6!4LmD! zguYLYm7CkBQkUk*K!U&~oh0FJ^vy!m)*uYS3ro-YdTnlb9a@KaehJ+nzz0nqu{ZX@s@Kg`4W8{NCqMjB@>?Xe!XBWRP9zg;+$MKn5!;eYeY z+MD@u92Xh3pS90}oMT5<(H>b8+vqlN z6?WyiWK_%);T?)q&~Su_hJmY0VFM-`t53LB;pNp4mzu-}$F{?>PgzOL;wx;(l#pgTI# z%oAvY!2~3Hgle-#lgz0bFhQNBX`|#F&D(3BFV~KwIi{}am?biifMl-5UyQ`gPx^L{ zk4nL2r_QhDbB^DqrdkCbPiBq8w#=kRj$chbOLTKDo!N7mi|g6 zooI7yUz>2g>8-qGF;nDXs30Dady4ES{6Cj-%`>8%e}_emoIg=?KVA^)Bmo@xwZY4w z8mcs@l%S_sB;fry&Mt~7e3Yy+_=wJGBN2)*pF)vHu;SW;r#xY*$j zeIR?J)78l?)P87m=oh4Yw3@EWhlutS7u1#r6t&C-9|ykmBpXT4ayG6OO|@%|i&ufI zN4p4jwS=;4Z>EaU#X~2%xjTGL^ABQm=5T$~MLv{xb)mir^4dMr$FF!E8N~u4apZD? zxGM@))|!xzIK3D**4`;&9sWTHoeYC>$Vn+N=ANnB^{=u&AGjMcjLIqD$2nrjh1HdI zc$98fSU-J56rZoA<=rJu@B0_+XV%47{yEpoNX1ADQEp^ZNvJ!-s0HC&wzV`&z9vvX z8oAqKw?iOJ64yDzfC(~S9LK4eg)CZO?C*ChrAQ2wwG#}iIayYBBgM>Wj{8!)=Bm;2 z{qs}d?=#EgRf>Z}UQfJ7Ddv4lW;8vjDvnFcgqalyb(4K*2H}367q85U>2aRztV4fS;PPlb zEA;J!6ig-Al7}_Wm9Y>h&MZ;bxt8cjT-3SB}rLbRC}ucwmlfR5@`VaK%LOp5m{2wtyjh z2kEw))Ft*E$!8S)bLL{lzS;_$7b69)ZhZ#=+)M1*qCa_z_`lVJ6w#oed-+43JyIxmqzldKpAUt*Z z;bLfAXa}*w?sjZ`E_fO&nt_z$<#y$*n4Fv429@?n2*f;A2bFc!7CPS~zS!6;5xB$< z`I_j$k(N#^E^a$a{_dUaVQ(Maz41RqQR~rj2kAp8TgP=aC~anmeiWwo!aaRr7Pxr( zl7GTKE}mUuy}x`}g%$pC~j!hEFswZlEE&_{Kw-y>(LBgn(5qtlED+u;*^yA1G%JGkZ!p}l@a$kS3@w}_wt=;Rw z_4rQbO*f`%UoEypfgcP)jew<~7&VHa&_2Xsq`3AOdA~Rvx?wIvUzIc3VY~C#xnDY7 zY%Y;gkC{yKdo0ze6v+-`fm)9XP}Q3N8fOtSgud(Eu%0L!Aa{>8S@9_Ip*)TFM_`54 zBcPU?`Z>UfuO~obNUf?x1F9K6l%?L;Wk8Gm-lS0@i2CyxC+y!a5sAWGvK!AA?61mi z^FDm7v>^Fu;pjF$k}+Ru{5$o0XL6qDZ*5sW@e=ffZ4GIV;RA};rl{frqp)Ie>wAu( zFi`2lfoYq>=yXFO!A<8=;mNGvJg(GBMB2_M(6Vkw2sZc)^S>(69p`@dJ}nwJxj-|P z`(4~NpojZ&KAxKyCzeX$P$Pjr#}PW@QU=ov*!&BA_qV3Y_0QZ$GT5NQl70J=1b3F0 ziJ*izUrl8OC8rXUSv(ov+$O?KHL(8D^=#s^(^t@`D9YOL{pF5j%@(vt+lT;LP1?n} z5+rc7Uv4AwDZ#CUWMIEpLwLta5h!R|DGHfBmA%qpXryDHXL<XLIeY7|z zuO2tOn%yaYy7p2uN#-1!QvQvYo-)&tPRj{-OlVU%_|6CqN8TQb;eML$>=rjUeHME;+#9g_^td~8^N-25&QvXr*KvfPqmh4{Uyo=$2KQ;`lsqq_R`iwW3-$|I z51f#ZFbMlb$vXX+>b^o9_x{RVD!fy00u$;gL zE!;xBr$?@sHJ*;|9*m<)$emp` z+J$h-4J$;8;(~s>g09-~HC{~zM)1yC^^`mHHy~O+Vm>#udNoJ3ff_Ce_4*vo|8a_X zm|?S{Ho5ZPbM1<1oaPvBeza3}D(m}yJ{I<0j+{>H%Pu`vON$rd@~NqAuUle6(0W)q zEl-q-a@r24SICE33WR^T=2KES-F4LHst-5(s`BXCcGj<}3)}Rq#$D+1#~3BTg}d`E z+tVxMa}kb3&w$uBTY^eAlwS%39~+gnSWmJFHoyW&Qf|8ap!5Ft>bpmHMvaCuhS-D5 zZb1OKpn<=5ji4lqm&i|Ke`D|z93{T)?v<^g^ zgwzI`bd@ zz*{wpML}3GD1}AkEq3?*?(+e(SgT3?1a0RMi{u5p@SbFO{yoVe%YiutZGK^z^Yw{I zi#!(U6O^T=YN-_7$zzv-*lu8UYdko&rJ7R(Q48*$++_J+o=q_iv7@0Q)TUenT1ZJd^s3qv(% zgZ-f^El*4+iaUiQYiJnV)R;k=sqHb!pJ2#W@=N3^x+qJVMif? z(junu;K-I@a7(LTLl%n~9iPKZiJ(TnKj*zoZ)q|OweYDTg`I#bZy79yvI}P8Yk?Nk zYEwh?k(LbwLF1N#))YjGwuFS`O3UXZJhesbjUe@Io*}h3$|xh zH~ME+2hL^pjOo7+G&3b=AZ0wvaLA|j+kVPC0XOCyIKXKz z2UCy*J<=$)bORv7540ppRF1>NLVf<2v?9QF3JL^O4Ufz7@!=ByU=Vy`g%8-R(8K|s z+rLT-@%2&gI?_93-()1yLG41L7m+5TsXRDk!K9r!SGI(d{k}t5RK@Nh9d2473Y99G z%j9J>RE0!4-a&-j`)s#N|seeGMzXzh1JA#a7Q1uHgejTE&}-$qx>gQ9AUyB=;aiR?Hd(AVQ)k_JH3ZRs59?_`k^-O)K->n*J!WVEuQgNq|a6=w#!Q{t^Btfl_i&`i^cpk z#(xT6g;TKmLxLD9>H3ZPw1u=FvzL4%rP%;wH3OpNrLFlxkd(9@;-C9grGUH}2UH>Y z<9NTNmv+`f*XXO!iIDAucq#rpM^oZ9D;hkyTX>lKy`0lSC zz?A~7kx}78loJAN-!u{yWZOe0W$~SaOtY?4E*kVzgwq;)A~Tb3Q74jzzJs z##yuiABn60nz|K>9s17y&FrnxECORSLF|Lj3yd(?23IrBW136M=NbcIt7HG@w!x1|OJ~Y4?ymC~4~qGdEw#&v?{fEfwMPaOx=)4O#x}#nw?+R;IY+0V3^iV>11dZS4_vq5Dk}2i=Y(bRf;w z7b%!SrU!(BryokG=|2{IkWleBxp-DnOa6YHGO_*Dev*j@or8?5)-e=DDLM*wK>={L z53NOyIi2OneC=u3hooTqd~>I)g5kqr&c;5C+n0oo?N}_P%m}FxUcOm}4DDV0-}CP=U( z67R{QDo1L8cYz>fl2$Vik+2mOFJ=&P+I@Qv1t^6|2O%?EoIKsEy!Pw?Co31bzgj`) z=h8B1(0dwx&Ao2=ipQ45 z{T$HH@=DhG#lxT+7D5mN9Nf+Buy~lwqd3$AG3tLl-FI^aHJ>tV_p>6Jng36HjjKKq zUvOl0!mN>&$nU6|TyUOp*Lh)yB2661w^o!@FF6>T2$B@2!x^?NFH8z0c~s9W?B|>{ z%Zm-{tD6Be)213KGMC#Y8`FZ7So4c-u0DIb^%|_>eS;(PgvQmHu}sigxSm$SFl+K} zaR4cksq2v zl)Y;WWj^s0OA<8jJlC-XSBMRxRC|A5a3vFDm!r`^X>V@NsMa#VNa`7X@ga(b13L)Kqky|)o8hs zuO$q7CewGhRyVuNRtc&wsDl!o%g5bY%Q5yig3=t#T?=l=K_=!WB@lwhV@C=OBb)iw za!#GfhlyoZfocFVXO*p?!l~<-%MV%)e6&S6kwLJmd$f!9QVj`-q(wnOSeD1xAj+Yb zmgSr5k3iKN`XHBwS}rD#Nhm^9N_8qf|1xbR6@%atl14A@y0WPNAuYC%^^N0Qj@wxA zI3v~M#gXzCpNia1aY7|1QLO$~1BRBy@LsTWd4x48Mb~W)%~s*1B4&^Xwk%U5nu6NK zu!Zr#Mik+%C?p%f6GEoCuy^+br8}CkAlfrz4>1eY&R$Q7kLGE|zy(@(l=bK2{woZBO>p2`r`yUPetn2{pzx8Bd?WlnV` zVtSyeoqst56nX8+N!Q2=f3}y$6s{o;b<5CDVD4J^dDv4fCcw(E)C9=iq-*d=zg+*) zbwtqm@wH`Fm+J@B|MC7lCc`BAvnTKK>K6qeItsbnAP*^&+DRRjz};oW=TUW^<4+|e z6DM3Y#Dg4oxR()^;enW^Ag{S^PNl9F^B5}k@AsRm+n5h-0XfOEo2y?O1;4vvi1Cj1 ziC!B(BL>bd=d;~G2|l(aD$!USz&99ZObhY(qqyXyj{AOc44kMBv_t5S zO%Cd*;t?gfVS;$YI~<$HD|=Ke+Un|v*YrxLiF6-ci-|=R#HWR&KsBF51%jh-G9%{A z)o62Y?LPpn#q0g?MnkKwM4-sCT_WYa_ZU6T9 zOooT8Euh&41em_(3q?s)^icWChggwoVKbEYKjuv+k9x<1;LR{fxYf!%#8I zQy9&UHILcco=_Ol?eLr5Eo=for5ZImtRo(!A^wcGy5--J2a}p*OoWz?8=ba^Dz7=+ zwY-==oBgR0k`tsf^{Mkv@2$_vHBFKp2H%(e&PdQ%@5KiY%Ws5eXLaEJ_|}uH(z*7hfmLpu z%fAE5m){vNGQruu=rLy31fh|xidM|rme812LjMaKDSNT{@a_)Xr$BIwO9K*g6O@Ec zB&rTCLYF9Hiw;`Grx6fmM}N&iNU?RrN|$wk?4whl@})P|y57WupQPY)5-_>`G^g&|Gr*I;p%e4-2K zpZ*GZ=LSyVK1IZLOTB+}qOQo$IdPJdTVJjaERSpaLG_v3Wg4dz_8*jDu^?2>@w!Gq z^#`1DIaoT!J5>HZ9?2iM&|4XG%;lGAHl<@=g_58hQ~Vr;TL_PjJ4`{WTVEo<|KIU({{&G6AS>W`Cef+@HI zXvtqZJJA
6*(zKu^z>;23qs3;4sLC;R)_5f>onV_cSe|_5GM&b02&|{3(QyOVS zA=TMgiapUo9cN(I8>!WT3RSJ9#cfdpfflXQu;}}3F)CdH;giQjP$g-TDsXuvN@QV^ zlweF$yUYaHN21@a4tia};Vj+fa?;ddetpdJ++xQF<`8^NS)`4jA1}mlyZC~z-<0Ub z&e(%3mkkn(f3Gb+z+8I#du92hak;@Igtpf1#v_myf)mqQTOehwm~ zpe1T(_qQkAblODUk(Q`#tC|+8POBv)wl$+uSEEhLQw5l{uTu~4+g3@whB4cU@-)1~ zjRX55f`)#S{P&?+YT~2FMi7rGuWT{DX#JyMg!%SOs>I!&>jD;aYPyr3%~L^H*v%J*L*h>8M%EtZmYfK1_(MpcW;qsCxfLZAz&EYYeb-aHmf2hB;8!he?@|wV z{`RXbu>1!8Cx+C%OjYpIQ~=H8q_$-h5uwu~7XaCN`T3mgES%kLNox64*{14uq`kNX zl34J%4TB_lXI~EVf!dS}>iq4d5#75+3cnBe5xrB!gfQbdDdR%@W1p73DyK||$Chl} z8Z!>(^b=DshW#%EM^MHC#H2pKk!K4Z6eT|*7d|Ro`EYlA-eF-u+hkM0@Ply&cf=7> z{&OUA_YXazV0^2~9=7sF?RL>yq*9?$R>)XgWLBsZ{6gU2BJ3&S)1Yc?f%vE%JX1o%bUY{vXG0-?`;*cQ$A5 znZ2@U*()-VBs=c#jW|Tkp=+;CWi(Ji*(!S_IU~*cg+WZZrGAKpK_U(eU`@p$C{ z(jWe>AQ2db0X|e?pi$S+N&tXB`UFW$-xhnd&|KC1iZbL?HQ;VEm68SE%{YLXMF1{{ zKO#uW>eW`+b;Y7qi0fAHhT?%@0jwPY3QoT*>3_FNzJJdLP=(PUoK5Bn&s`{Rpfvf)UY`PK+-f`wKc!Zfsal1 z0QYGKq%=<`R2XHh#FDND;Tz=+70gel!TCayo7rH|^7EIKJzH-e&z=+ivAgqNE_(BU zO$PLIy38fhe}V$UmE?jsr)D*X_|22BLE5dxDWO@jz3uM z+ywMkaC*b*x&lBypgWH^!0R{djF(XBWJGNJ6AdA6G5EYJc8jf_c@!5vd^i@TRq`6b^ACunKCy^1AL>i5z64O>L0Ta)W{ zo)_0J+)2Ccmhb2#!N0$90L~tBeBP(YXJWWfBpWRoH8tfsP&dkcw1&s|sIwkQ7d5*` zF4kXk;yvD3#{MtP!?2sAZ1YQn2}j(6J!1v{toV)1kO*jM!Aew}0F9 zAB{>gc=}{SFz_%1+{|s_S>^;xf?2oh%T79_sj+H~4#@EG<_daR(gC@=6FlA3{VLM$ zy;u1ZUQ>Qq`|)Ut%WE`?GTI{S1uU@2>h}}XgVyqL=Nj#1eZ^uSueDXhd?)Up892_T zK&sp@YU^D$0QhqcLn4_kvscIaT$lfKfh zS1<7toAeykz&kk1)6yEarNtU*W0SNs4tI~&TimzJfopXGQRpHrL&zd7;_FQ<{K>Ogt3EZyaU$Q1I{N46+Y{SU+{_68xFd5&qq%273d@_w z#a@L;k8FMckMx%eu!`Lx-#Z~KwPkN^#Neah$|ef6n9ZO$Qh@(JFfB~ zOi7xvGVJbZ;U?TB;q6$Im{tKW|ISN%x>K3l3v+1#6ou6Uk%i=&f5nJ_*`Pi5;@! zlH=VmX6p(3I{K?dy&)y1sYUI`C_o}r zxGd<-AXwB=WVgC0_72FzN>(BCa%-Fj7J;j@9>@^egmw4yBV*%*rAYb6I_`sxdl8e8 zF z%_wp%lGohh+@Z$><&uJWtoOW^%KxXIS=88*yqyb=z8fy4@u9vztDaWyEQ?Ju*mbvsPv zU343*TQsA6UvIGmDEF!DSMk;#jXsChJpw3htD>nz1FU8UPX-;PedSEy_AD~^QbtlYY(}l;>UJ3x1&66pJg0e}n zf(i^$EYFj77gN9iqcKy1jPTlG8(2Q1e=J^>)Y)spBtfZpWo4aXICXwV{-^%|2Bu@4N@u3GVG5B}25?2yg81q1LrdBGfODb}eiGbrH`Cx;3Cv~f z+#jaBJ8Tv|SFToA%Z?ADlsCFHN}X6b=`SOKuf%={hY_w6qG;UMrPD6KVFWLuQNW`z4~F!J zL@<*6(!jv`^0o7uxQv?`c_VpyCXgFw2;#&%`x)%&<+1BxhG35=^}ns#jD-=QIxqT( zZSQV)coOv-&@!Uh<;^wCvRvuA00vd=YqFSKixi~ zQx{JV+Iznp+A0(M{%VzY{@9O5mi{|s66f0^(`H!rjkBJliSu9y*KIpexFNsONmPvK zOI-^neV9K*VY?Y(cUtnTh=A04EHBPGD}*z)1to632mWWFkDgXb?NokZcr@W_G-5Qb zWHcys{l=?Ovnb4BB5#Y)+z9(_rG|G?s8?s6w`y*x2{DWG7%g4UAClEBYW|Wxp-mvW3VR*kAD!x&`-Dwqg@a4ROVgRXBp^G|= z+6#)6v07L@!`Hg{C)qChvhd}ac;EkN#^Wmb1v6$dH9Liz{6-78zqTCyF?j*$F_WMK z$YS~rfP!1sh`v#b@|1OFyBTx1?VXm)R7R1&z5n$3ji2gm*}&P6R{*XTKDdVjjr_T6eU+e>eG z%$B0Tf5YF}tP-L-1I$dsbdAG(*xmLWc^8-3H>KM1Ci$|b{8y(p8S*353vCXF| zOIY6baa{|g^C7Nz-8_i67zB+mkbf`M^h1GEAtiWJr=XA8-=B;s4M6EQQ3VH*t2EGh zp;%b5@vw}xf>Wzo8I}u+)r_eNkD|h!cebTh-0&Euoa4T-5x!|O6t{QKcF}0OBw}V- z;f_eS7KKSm1&sx>;6Y_7{*48Vt?KAfiwXgx@nn^ToT~K4t9$|5{T$RrEPLU%#>ur; zNbeyqG~amPlab|#UqC^XL7PxsKVl4n;|3^`>wqZOiu?&xst zmjW~lv*`C;{Ott)SswYhr3Gxmjm&=schl}g2fzCsqgzrOuY#Iz>#T5^KLB zV29jS4DUSOfT2jGKI};&jLp{$wiWBD@G02Ha&O`(#K=l-ppVqK^}oUn0?@5YK?n4P z1_5Wz+fZ!L7w|V1l(-FoR7QN~C%)+L8v3j~_P_6vOsrG3Ni+22=L^4oiKOYU#}3nK zwS=!vg)D!CeeJlnVvI0;p}6jZbh=>&ytr0q1DnUn120{)-_Mh|khzbae_WLKpqpkQ zPyqB^uwxZ_(M01DtztE zwZ&_(Fof_)aBq_jWay4fjsDXCQWop;QR&-+N#Tu&nqr0ei@yCl)Nqmrs_%aekOy-9 z<)(9p$!%8V$|Rs4E-dAUPi0F27;UJgel>nRKWMh@tQtk^Sk{GQ8`H_a0(vjKy+Unr z>i0DeJ!WZ0t?y62>4&%$C7dZM5xu3>L1*D=C4u$qet6o{jVmAeJ-xEj>gU(MQ?tN0 z0lPKTKZ%gQgr7S?ysZ;Sq@jtSsTe)c4}aF;*1`Pw=+YQ|aEH*|Q2Z~>9DK@&EoQ+T*22L{Fc0?4md*HpI`D?Am*!KQsCqZ$CaIAdm_%$7R;VlIreaO}#< zh->I9ui&!ss6A45!pyEqTh*x-o!UZ2f+AtJ>oj_>`NzeJPVM21`^k8$Bwg4g0^{fvw;Bks76@JD%c~y@ zU@W=2#$zEUw-)jd^JQLQhV3Wk{Znz)$e~)juoLP^oh=@)SXjd0$k^x{8al|5aI@;G z(TRs|GYldsBeW+2fXap;MQaZnIYsrEz9t7{oW6(ECGWAE_Z*8)Cy(pJ72<)oA$h)X=!Lu%x#@@?t zcl8>FjfvAm((89k$f6GZTViqy*Pwb91uOMozIe>tq&SDTzK?P%W5eEU+Rf^}ohH9(-i}lp ztO1u|*exM-L*IdE;3g0X=#sdPpixtpOHM1+rzF)q+RnTU8pUkwe5TFijbLsv3#Qnr zp9y6jfOd7i^Fh2reFmn&ad7VSD?BmW};e)LgFLtL0Cz;r2!}(fOy_JmBh1C ziIElUrnECNC1k%JhOcl&lr5oE3OcFgFLd{=G8%X2OE!=Y8#ROceuAi{vL;mAaym?O zxXUHX&||A+2dZh32AWyYY1fKHm>NE%%w;fx!Xv_ov6ohl{^d(cYUg}q+coJ;Q=Su1 zuQ|ChR)yIvmI)U&bb*D$!kuJ5DF_fNU=@=hw$)ijI#Km(ux9;^rbdnR9C>JX=mXBr zClnVeQPJ&|xN5(r1QdEq3rwbdPm4M`lZa%8a^ocy#3f}f?OKwZ@x}Pe=i4hpDLKJ+ z%WNecVswuCT|*$}UxU~`j31Jd$XULZ{7r}Rg$TX_8(HcM1^)WQpWtj>mHsXYt1$kZ zk@6XYn$VsC#lJ6fw+q_0^u4N9C)HW(7vKG=c-(B-BUZHV)bV%^K(LD((jID(wRE*F z|8Q1r%z^}8;C9RsgSgub$MBF5V>?Dk`;_o|<6={#1fxSm>~E(h+G(BB#x;D5=AJLDpr)P=l_bz11$OQIHF5e8v#abgw2a+^4n)IcDhpn)@O_N*k9O; zd+YXF_@MuqYX{j*b!EG54dwnjIq-*1d3y`{E%>ebuw&8$b_wx~J4nW-h+TcLTY^@0;6>aHYHR`r_ z+&!I_eQ9Dlq2GEwz=5T}oWQk_9Bc@qs?uVlueaR~oKN#mbgLX(3CvUVJi^pN!LH`y6oc#G(){D3JgQ5M^APVlOIJyd& zO+PU$eDf}z0$V*UIEWpYzOn3% z!wf~9;_F#9g|ASy{ZeC_4GYHRct8Do|1>Wq&~*B~S77$~|ETm_!)fA*QZ! z{QuMDdmMw&VTc-}vdg|PtfKo6I>1o^2ld9X;Ey@a=ScsnXu1D=BVDcy+XDVB()t5t z27WVD4;Pa!o4fKNY5yLuBWzRPZY-d0*1du5wQG|UA~&caYJIwE@~lG!940_4M)_9& z6aQ;B8hl`S&v1xL3l-etN1<0IX6I+|gYyr8cFc?hoD8>x3+8J)#$zY~swX8zeb zQE$ex(tb2I9!sq0YDS)x`+D`O6jkY`(E-$P&LHvRBm_&4uSR#FUtqU0(&_Cmgn4yK*R3xy zpHkSFUqThA#y2FMA+YZ+U9f#nsuOa?#VbdfOSieRe&UPB-{>1C1jlyE(~xl5+sd`b zhbMga%wx@{dHsvY+BWxBK7auIl7i}i(5JDr#N!JZ5u=x86wK*g6P7Z`2IzNE#0sRf?NqFIoq_KKtcZ>T?M|xn zj?Z1qnzWGFP`XJ5^jFs@1$u%}^lf=eXW6$(}QFlh4d^ z9AfXFw!XO~Zs?oq>5xfMIc)*@6MWFSOq9s1VRkEOt%UsYt))$l8*++UxSSl5YU+Rztx; zM|koo40$tJ`x(?-k&`?y^%IF2x>J_+kuftv{Q!3S+=Kw02d;r$9yj@nATrj_mK!L( z@I)wx3<`Aw`PFK`8#p!`kNl`qi!bY8FqDsGC{hT~n(n5y!Xbz-5?9!w%5K%&;C(UU z$5$LVE43VDlpf{OSjU?5qhF#`i<>^$YH762C21ZIu2XJXYIr7uw=^Hfb3g9pb*2&I zw}}O^&ca=C^qA1NK22>lGP8rmi$RCoMc*?!H+w|CVy2A~y;^N}b<~qZu|A|Nipr0j(_l<| z0FJfsp=glnhb>gvDR0^oYA!U2|L#6__$*mtNOOae+a~^NT;1Hf?kWv~bVQ(G36VCVz-ocdl^{kv~5% zjo!6#H(xXplMVcVp(r}oiY*vlXUVpziElb>Fh$#7h&6$>zmYb6#r1ENqOb6lf{!BZ z^KUI3%eNi)@0ho-og%RIOXEqlOxaDr|IHV)U%qhPN~f~BavF8Ur(6*A<{HUE_Rfnb z8nx%;9Go+s?PgVECXU5F!@;Ir*OM5Tw+lh zN_5kpU!W5R>+i;VoJz1?k4ohYIe&rSvp2IEkK$qwQ;WN(DQqh9oXn1)u*u)e$- z#+&OUQ}*A&UgR_4QeMQ`$L%5FVb#kQI!08diMiW|%X627K3qV=30jcTqkkanj`?>p zIqhoS{1tcX?d)J`VO-#nC2z*5=1AGi=eJ+WX*Wx)K{ycyeI6u0Sa|Ae*^1YP*63br z^535MvM8pRL8SGbQD%w?Ju*OO7b?%a6JoqGnQ%@S@ZC;iIj{W_Z<3bSaX&*1|5-Wp zl=>tCiU?G@8$q#2w1xP&9Ey3GLgbXN-O*~NvHNd~xd~n>jv4j*!1b7r#5@krVJL_* zp0g_46|gw%ne5Ob3*(dQX_=-cVE-*V!Da%%4d2X}XQ8@OD6$&jG`s~3Wy0ww_RE7}3)-Z(Q9YO04GPc* zw(A7r!$fq}r@G8?)Xz*9#R@$+_p~im2T?7-N>vq>EPHf>4u5&;=DB!8 z7oGuZvRz8iW*VHEPi7sOAa1lB_r)(n+38h6gsQ283~#@{eRKCPPq3IzaoaBG&5A7{ z5hr29k<;pcA6}aC;)!B|u1yG+?a|FSg~@y!ym8ZpR^=BeFE8PijCi9kl7;M&2I+!} zdyk^E-Ki*~$UZJdQH5WrWn2Vo!Q(HO18?5S9DJTT{hu zKK)JtTD6{Wn`_gCggoRL0uASyxR7UD;j_{Y2}rz6cFt|NIPjab^5-1_O8T3R{;Yz_@hc zMXwrvPOF0Us1%EpB|o_GVGDvAj%{v3VxtWE627AjrlX*nhZoU#0nP0H#e4?-A}`u0 zhsuN~ZcMm)JM`23tZa#x)ftGxBTORKgf+?hp(2Rbq6Xq0uFaRRuM&-;KL#A9UfDj27Ucl6 zb>7yGqz|B_o*EheV`aThK$OZ1Po8wBdi{D zZx6qT656JQ0C$C>sK+=%yz{31xK7&Iy^|Plr5Jx2pAyZdCk};g z8_Fp1-bu^48HWW%NU_PxvX+C`X1A6L+??L@y%=OXkqQ+`RSC5e*EB(?#d-0Vvoo@s zpXp`Nw=M|hhr>|MS`ch1uN$%i;`GQRvkQ1GLDJ}Eb9dJ(Cvy%4*dolFA!u`1bB_Nv z_Vq&JM9soeQYO)3@z6c*fpakEn6>}RT8K&%?8k$UGesQ=ItzRC(U-yhyeGNHu#y)u z8&VbTz}QMy3#trOpcwopmI6vzYDoGVmh>kAV;Uy>7s zbBVXSu9Z7~q%Z@T>{_};&rWk%&-Y>zkx!o<&v()yxF6r#V1p@c%kBI@ik@K%(kY75 zFO8|cS{|8gpcha@%Fu!u3LFb;JCu=n$;z6#AhhS*tB1%hESNj#+Mr7|#Dv^V-2|H~ z8cF@9Tl_w1^LY}WFa$6ylcWDj)d=%uTIoW0=i?1t5IA7>l8KDcc)2fxF z9D^d2b6s1GG+*QmbZ!H;^`#6j;GFTxj~H>vp*)#z<|`Ymu14G|s=9SJQ&8--%72xw zutM=GF9BXPdb|PK{o+lXDc384?0ug}2Kh8yO;x}OzZyt@xP_{0c}z?s4iGF^C>p=Q zv1q6>h)-_jleVogN?{itc1w0lA;Ao8#^){U!@D4%U-_(cZmDXv6RE4eR|mz~(Toar z=-}(hVNg`0F{SAk58Qnhv1)c|8^MqL`SI$7%k4_xkv>RsKU=%^I-<^dHz&x_?Mb`2 z5*3%%?e}Rrf>e?5lg5axb0@$>mp_^&EoE@|x-qKPWOx-HSCAXj+Zq!&UfI+?50_5c zR+U1>tC0mZR|8ilv?>0RAJ5p6BWwrUAJ;d?@Gt^PBWql(j2q)egKqh&hW+>4mU++D zbG1Mqf^fLz-b~4|gjGmbXPZ+8@;#YckDv|{*a zDaVW)qQY$pK`)96X`_ooRPGR73=d0AqP!gz#AtZu;OF8?jsFFFbAJON#=j})g@xOm zNTqeo7!RT;l?eLo>r;O;1=7lS%_l_ycssuEVRo&zWo^xgCIT|oK=u*&MWuh9R$Buy zYTYy~fFr7NS18e7;Z+*mv?D_Ps5t0+9nBxqfKZDKo~|vrN(K`W?;DR%7R|xXW)rAs zi??aK3&|FFj z;;om#{c<~2j#4-_fv0rI;4h}Su#oBRpC>p=sET7*dzo2+loFg#agK^ZP!p6?{lfGo zp40uO+)e?3$~uMd1Yw`eJY5pQ->}D9k^Z-tX(3yeOnJ6GPTM(+Div&S0kY2(@Th%7 zM%soJ2`jYN`T`M4o51phV!YTry`vz}7s*CNcYG9$YkP27g+0}f7)kz-pHo>pm6p!{ z99Z+h{s=p)_=R^OEBo_Hd{t?eg!^U%l2aH}3r;-m$>!mt$8tQ2K z!y(A=%X+=S-tC^UrGBS9lETJaXzz?6DmzSqhWa%{vVv_g1Y)NQ^{P457_oT&or~d0!mbuemzr}Z7XX{d<_?0xSnz0>Z4m~&Wou&^oJqK60+;>5FtB zcg%XAPj-f%e4!i>`y1?fe_c4Z0X75#@T~?_c6w(1J{^uu*S`Jo1%+Du2f=qjdmkQI zD!|L=>68Txjtc1|P6}$4J%2^gU4v5w_-r&meX*M^ZY@OXVbz8Un31k>{lTcFkyi2r zM-KK|H=4oTy*!{V$#*kM!8dJN=3{A#RQB%6!;mO$n zOgV^SmB^f467N#x3PPk)L$aahv@Z3+WqtKUi2k3yvwtDbyxDYmX(ae~*5NO$!|~n( zc7r@JavE%D=H4y(A=jqe%Y43BP@Wgwm3ogh*7zCAI$Y3AeMkbefoYdF?npfC^2~sy z|L0=z1ZIM-=5>h{E$Z~8|J8=|8BnxjJlLtp4?|7-T8T(ZuBVt^BCSeTIC$gA z;uOt7q(oVU%kS48O9UUwl;?X`H1%NiLSH-cQ~)UJDqcbcQK%cAJ_?Wi?IaSS z4l>ZbK|A@I{IW7FqbOupqY0g^dn?a2o{oJD^?ihJ|6<2(ok2!Z25* zOA{J)LNKINzsqYUb8r7iCH!0`A@d|9N4B-)f^+Bnb1;i+(B0hSlh|yQaiWHdmAz96 z2+OO6KW~ldG#T{S8Go5$b(F_$+*c`*YyH*n5VduYo0++?FRe7xAz=MdyPYT?)zU$C zC>$c$D-x7%oGA}8Oy)-bNe4-HI`UkvWOV=^-J^Shjvqj&&+2r}I`qKX0f1SlQpm03 zs#VKc7ycp_d#mbl#BnU_rM)h7h<|_#0~X^-&aSHX*=getH)77V%nNiV_ca6EhpcvV z`H(kTg~GwGGegnTqvj=Oyx%Y=H{e0cI5Icjb?VrwMP+Dln~`N5uf0RBrP4j!D_Se_ zB=HGc@?kqH0!{P`dX{(7@;cVs#Lsoa^Nh`U9^lAAbFd41UiQ~1Lc^CqMii$5;3X$y z^QL{kQ4{s2tcc*I`&_G-`$o(bZ8yqT)fK3blF+?zRzEcv+%BMy-d$lTpX6>GaYl6|z*QvL_g;-g@ zfHh&C_Jgsc&O_uivL%KELz%Ya;zqI(twZ8r>zO~chraZlPVWe}dCKQwizt<1b1TfZ z$v(}HEZMW_H8HjeQ^Hr4*ph9^TezEgX03l-Rz|{GTw}Ae3OrkGLP`;2qKm1$bOete z*)idvWzD(A`K&_Mo87W~Y#m;qfQGWC#Je-HoGOrjN6^d7^p%F_kEF&S-$3t#GZ8e&G4gND%W#8cV~k(1ee<>7;Qsp+QF(SW~XfuM0esh)%u% zp=&Y~)NgVA<})5SX}=j{o}1+#vC=oXT(k0aCq#ckVz?D{Y(_e=-h51JY^oinNaILK;zkMBQv z+#I(x_*4aB`3CR8Qda4^I%SR5Gw<9`Nyd1*!F#bpRQk?N`QRPR5gRJ57^gS*5EewG z&vYslPd4A*P*K9fy}>83c2xQVMiLt8gytO%-pLt6DxZSLP*#@SnXj7RA6blctBg1W zPvCujPOIE(fuFDrFl>)bYxmWh8bt*2r;W1caKZk6iOcY^z%=0gXxOX%>Tr?Ur{<>+ z2BK4N?`nt;)q*zh(@dzA?Ov>eY3lZhJgH+`?%vQE6`OFp*+5d?5WbVCJR@mpstHSw zo-!sA{jFn@jp3f-ys@%NOD};-wbh`iF_9#rEW~WUz9H0-*xxhfKJ|eZqVDttky(-E z2{ZMIrqoCy^|L!G1SM}f`kWM5?$pn(fFh&iy51XNOrcHj#*r);lPOmcBF0E20$%Ak zAcc?we@wxBY>uSq`$`!)2e?Ej;p!2V#4Q{U%0H#&r5ssd%~R$%W4k0ytL8@@V1V|~ zDsa0OBO{ch;40mfcj4`7gtsTcGIzt+9wF**xLS*Z0N{*VB`FS|kJbgA^OEaD_tIl_?D~_kEj`O0mP8e&klD!VSov1YPfeor z{tH!b?zm51ep%T9kw3^SC1q^)gubZN&=eBPPF>t;1Cp`1$A{70cjh^p>GXcddf=S$ zcWNJyLw&Equ`>*#;Aq0f^QbKIj|G1DO|2sHt*}+$Erq@+rgTUYg?2bZ z?A`w)hPBKHzTE#{gsQWcV>5h0d=7J~Lq8oc8NMB-imqk*WHjectj-Yf^KCD3B{I8- zJ+`}Q#+4h8hg-nWi?$7dwp=|c%@elk3cN|+?=Eh)sj+@w#c#YGpZ_?tF4IOr z@xf~~J4@s*By_Ho4G?-|)h&9Z;h|rFPFrjLNp1c7rokMhPR&hg)NyDyXf)1VD;Djg z=u5vP5Y@Nge-}aRmuvseE;|ayi_7WI=H-ZQOW{@3R0Fxks1|wqFmRl?nTyugYb<9U zW+oe_@;Wl*t@@9Vus5M{YNcVkdcKdshh6Wg&enZy7+t;i0a;tyq6g&+QFnKR5O6V4Zj={pbxReN*0AL88+?1Ad-IPsP%_zS~=5!S>tC7 zwxEFldeBl9uU<>*y_ufdf8Xu?ThM`EIG_`2z4#Mr6%ar7b+?PBy%*o3~49$zU zxK(Aw&eXxLwXO&EBQ>wZSoPI0Je8WfUat_ozk!zC1|%2>1)<{bsI)qO69b^xXsN9U zT22Hs>HGwF7oz@A=i!usc_T~3O#qQsmzmWn<7qzL8BiB9Cfu6m`fG=-ElY!4K6Z8^ z_!R7!N4`#_@X%UWm#{$GW)Pj_tcSu90zaz&jMj^UsA4M_N{{W1@0Goi_*Hvx*idy` zCEAzsnw>ZYzH7{dZ7mG@*>;9hU#zd?gPM9*7s3zm8c*H@zAD>TB~eaXbrnmWiyYzW zAXCLP6Y3ILhK6(nE!(!mxS;WF#bB|H?l!1LnXf#Q>wi1q5?A+WQiqs@ry z#g|tkm1^%6ARiqoKoUa(5DM2bgwvhM9O^ueuA_1pM|lGZ0na7%AaQJzBY!j2Yc%Hc z=ZTt7PmF)YOvx>E*U@7&Zqoc58AeLoI~?X|gQZ{w!or{LLB!IN7YBeY(KaK~ec5$_ zyMIRg`TeZYzT05Dtj`79i?kFp^&?e;W;6{{=Jm2s`-~Ia@tu4wl7IKhd^V%hJeuMu z#$&=Leb?jr1V=ok8fj_xF}JM->SrwN_Rq}Ub^kS>dc@zv`!oUeFbCzb#U=Ay^X4!tZzAKxt-7JEqovY*@ZSOu z0p^bu$en$6sXqNfkSo`rgd65XexQ;LYrN3>oi|3FE*WALu^oqA)nR4dPe%_exTF)? zo*Th6&Vd8AcIXCj<7y|gte8XdCtmD=wUm8$uSpm9JVKJ9B^pY~QPh&=edta}wDD3T zXaJrym2>}4%Y#vO-f3J>T0$p7QPZThsIlUcaDHb!KY7vG6gh#0WAM-}_`c1-YXDgi(Ya~q7K-pE;6*aX_mZEEOdpKy_0Rc%ThWN+ zH_pwHSdNUka)rF8+zez0Ur4J0T*(t;HiJSgEuX7G+ih}It{iuHY7QMv;e(&Jmt^X+ zHXf_I)Sq6hQV&kNU`ZL=l5s{f69D^^e9uZo-|_p9@xp6uDaUv6wrX$!hpfW` zk2lCRv0lp_QG`m?ZxM|C-ijlvKbMxk&%hi&ko@<2!4%N5S)ioXDDLDv(}`CsoNdm6 zdgXf8D@VD`72>PdX=l00P!-keAxLI0yXJuk-U(VRLI8%j$u{k>Q1hRo8||kRzhoA; zWuS_gT6PiNJ_pZZ43<;Z>Bey`^-pGri>Zr+q4qy=_~nRvUBsBpaYfTGRE2gKH6y`k zk*~}umb{sRkcOcOggQ?3kTm?$gPp`rv&8%i25>B>i>k%U^7Oe;qPltBiL+x-0i&^8 zWg{U^<{Mu~exfJPS)5YoF?=A+^6N*(E2Uo~Xc97D!luCfaCq_0Jj8>k zFS`#WG?n3g^Ov!g_w0E@J|ETiOdpH^_Cr5(b4wMD@q*5)!@cC8+nR7O)Cq0QlZn74 zseu3GF&m#g^EG6fiFWBN}crjuVkhrMjPbW?)!J#moTDG~LnxURlfXR=sB>^M)h z$@wnFQ0$W=89JpFCgBBKY~#G~meH)0jFYc+j24lC8&Tnia5tAgF3j8O$g-`SGqTeZ zN@|xK6Vg<(CZHzhmdp?Kqvc$;T4~J&a-k2-S>oi2(<+;X>4E6Bdv!M52BL>gNW>X# zQ1}BRa!ssgkXIf}KkRqu50}{&5Efcen-!wgi(=P!1ocOupg6Oln=n;k8R!h4I^+9t z4e&Y0R^eW;c|TwpY4V!^)O?PwVukz$Sr=`pc6o=4{uX^O(=906YP=JA7CSz4(R-!%n)UU?3K@u5y<(Y;*$L$(J+L>i;jYY0bs`z#*@;xf&I${Fjx za>l<&TptVk0MwQtpZX>_3i0nBqj`VG!P%`sLE{d($8l@dq>bn zN%q4+wU&9EK9?I)Gbsrf zFe8BQnqp^cQsd1}m?W>8;^t8@=YWSECcjinX&axyoIBow9Ft49YPhmbR*y30{vx!d z$~9u-23zB>U?(NcHxFvWLR%@E&>s2Jq@gJX_Orcn1bvYZrjo9kA-^^ZfDDZGP6AG> zefmuE3TVt7<3j0mpGPT^O8f{q;1am?OsR0{(56f6rh;#h!lCk%Q3yD)4pJI9`l4wN`} z4il+sVlViD1s5;y)Fh%jaOER@(PI8BnGi^qS=W^RzQFL)(xY|Ku*sbKV+XdpQV@AD zSF#|`-<*Yl1lq{R5=RhQpJe-GEN94A)ICCK@{&M)_%ku1H;V!@)%-#uc!|Z&AWgnM z+&|sIM_g72Q(1Hf%36VTGMYFbSW~L_J!UhT4a-4b^MK>OSNdnxKF|d%w!rqYp#J$q z^{=HEm$ogHx}mKX>j<*8hD~Ik4;*u~$Z ztS#3USCq#ce>~Tj~i2qI)Us152Yq7zzGu z8{pW8ef%U>J$q7M^n7oko+3~yllFv>B%ga+7btg!5+>y8ZPn6i78WmW#yuYIZgzy? z`cgE>fTF6}NPLUXBU_7C0M7m9EZHBhm4#nX2<<@QBvTFovYmS@2@Xoq z_-{Ci=A0#2?)|(ZcUJRTk?p-Kl#s?IS<+0d;*@;Rah6-X9N5gEMC8X$&Wwn-**Cmj zC;Ac+@(vOLIZ*BgOcrAa3pF;7N+vP(wkJ1C-cZ(7eD)tW2EwzfFUd|j6wLWm8!s}+ zt3D0(u4)B?yIM*@Jai zRQacOx-Ok0z0Rmk1y=EjZSU$J1}mLur={a10nF(rPvX;;2K<&OMx{@?LI_Znq2z~uqL7aSa! zbM;88*H+NVD*J}(sYVFPz2gDl3QocXZ~_P=9Y6|I9vS7-JdxGWKokd#JHj zlCg|6dt@h!CEHjV2GJs=1*N1EQ(Z6{HpS_WtAibp!L=$!AA+LcisqS?$%cfq0|>c$ z+AjWL8ytMYsh0_^n=#v0%G$)>T5_(D@U!i z9|S99b7BZHg*D3JzsJ5VI6jLaDO!6=p!hFHhE|vb3Mqf)Iqb0TeW5`&ypcLuWKvm< z7=J%^WOupo(RGC`L8eZ|9(+z`*BMd3?@OY|(leUHsS+3kkz6%g1QgHWXA26cX0<4% z!=6C+5&psqxgB}(HSlJDeGvz*d~WKH%}`gyfvGP$K!lyoI^-8>mbGNk~Q#jWGk zR81}8?Hn;7XRh#kY?DoBV4z;{Qz~r=Ce0Sy=5RuL^>*8HhKMo6S1@J8{XnH@q z(s?509l=u!yJS-U1T-*Ru8AW;(<14P{+n3}QFR#2ZMRe8WxX>oM(D~Xgs9jnmQap6 z=S0g1oK^A5d^S)s<_tPs4+sT{{-mfKUx_z`*JfqgXWD53b>cXu#$~iK%6$dRDEqNm z-F&&WD!C;IoJ%U73kQWMsptPK6fR&lCKK|qC(%5*IeWQU5&F7TJKkJ-Oxe}WYtqEI zan?UIm!V_*YCcS#IhD(bYO)G#J&C^3e%YxJ#_%`dr9^>SMI>k%npwBOIi(4e5B8l@ zjZyQIQ>xumm9fzj&)Tjc6qKhS*XfSDE}M_%lt+VHpr?mmFN5N|O@m{n0~1fm!AbLO z@;$BRHQ)I{k$SGC<=tBE6$yX0IKtcSO|v#RlbhEcDVq|1S*&n6cBn_XE4iK45^*26 zkZz;uiey6z-Ri=({iQ0?55xHowni8oU;C1da4+OhIKs4=iA8hJ87hOYoq5kZf*<o8Hj$(v01EHC=s~U;NVRemI1tI@2`eQb@u6hSC_$W5&s| z{Qb7Wxg}S5_x%EMY;&WqZ2y-)ark4fWSogMY##_ zmWy^`&Vn|_m}#f4m|OnkNh>^dM*G9<@2|zLUL$HHo$B~#^TGDd;FXfRv8QiBx>FwQfQ9z&DGHs{t^jF>7eF{*i+`1m|;VA zXtSd8g30r5iKE;6t{NUIfi%Uj9exOqagj`ma<>riI8xyMI)WVOn_p?_b^8jv1_}=B zCY(35jW4#P8dzwK=ap>BRh((qGieY@&m8%kvnQ;dW%y(+IcG1iRjmx2yP>UNq$hsw zM}QvgVTeKP$UV&6_9#=ne+wA}5{@ocY4R^!ySIIQXo2$k?zYY|<{p{z?d8xnF+bt^ z8ewwtyWMf^%!hNC%bQ%A9z%_LlE?;$5^4ijeyNJ3cyP{puR)2*ulqn1ZV#3V@_!~~ zH-;_ZY!FB9_J6uYcRx9Q-`7(>XZ|x0x^QZc3QKi!F-ei3YAw5ZMs3e)EAg;$Z~d<`mSptv%2N8T}jp96_}qD&#yWf}733x&ZnYWM^^ z07H{_Y-i@y(P5~%QwRS&=f6b-`;Pl%@Q**+W@N6k`qN|24wethkeWJel#CZ z*!!iE|2@Z9>K4KU|2*p2Ios;3%04;oh5X#a#L*0HJURl3yG5teh6_tddvn-GXOmbk zk$^(idqyZTSxgQy+7*y3m|T-)e*l)cKR}=((C#y%2sktdQHDf$po6%|Fjx=nAf7TD zuLnMeuZ&;7LokR?CM4`35+qtCChj2-Bv~dU?LiEZDU+2uA>U09|2nsR3Hm_^JE{y- zA*r&|*d%q521}EzrA^Xd>9Y0oNd_!KwvjRE0Lz4JYDPN9I>aWMlPp-4Y%6P$4a=5& z_z3AJ%Z`1_o^+h$z@|8ooLJ6m7b?k><;HgRAbGO9*eAS6J}h6hpFb&p705mrL<(k| zVuys1!dT(#h)7ZtE1Dg1niR{5W5?4-39LkRQZnfbD}|k!MoMR8u<4nkELJu48iB!hA%r3t|y2`3xU%O7a!Me$=tRhvjZn1CI zknXVVvTN^=>R9*L59&z|S&!HajU*Q9G5bjqshQQnZhcB>W3{uNJtw_jy<~TElDb&k z>{qWzZ&*F-x4ooyEH?Z72hvB@Cw5;y=`(A9J@|z*#QMq}{ze*Mjk3qaNfWF|_S7_K zhV`92`yc5C>nEEtN1A6Xuoss|%dB7QmEWXQ)*trTU(z~jgT1*$+Gg#rcmI+0Sm2IA z9PGMC)OD!_MXo6)6q5nd&vA*A2i)o(h)J6WoktGFn$=k%R9Cur2NsgVs+$UhI>KPD z8}LtQO?~Dezv~7k>Re$r1|@^U?{s|4;xAN3ApnHG>PXe8rsx;2h2=T5%O5+O0aaB* zP4%s>A9NMQ7$cVq5sAFu`TWS3-$K9ag|3?%g*RS4O!mXl`FqHO%6(R~=`zXpad_mR z?sc7!Qihx46^z*DA_Z)WVmTjbI{nD`l2-}KweUg{CV?VFSH2OxcyG*limsCA2n@k& z;IC-)4LrrHRwpJomLNv+qm{spY{~b*>XrPC=Kd+jC=A8y2%o5DWp}1EV-7Qu2o~M!VGXa_J5oDj* z&BwQpWm7^nf{z~NpS5WPkM9z-_&U|WQtG+WQwt`HS4gG%tBxD_hJ~uF1VIVid!yFR z?yWLxT#`WfFKhax<^k{S|Ee6Fj=?Zhs2Mk_OXe=@wL3{O5M2A9qRx@42KeR|RbSum zdJ}~u>O8L-RVrm?#8;PCJjUuo-r}P#lo9ON0+-xx8O3K>{7x#k*&;*#E+or%5-B+B zG)ML+>2P#Y`_eqiR__vD6UDc#3viPaNq7o@s8#D*HcH)!j<}_W zf~O=C-$=aawvkn|YG<7o_2r`*hhQ zGN?%WvrsKc{pq;Pzo3p4=6~MO<^dH$=N1arcg-@hV(mt+-pUe6QI`RDlKp|y8!lHA{|aI$99q6=TDbx=mX zf3p?fk*Lw>TY?z{54|$ad^wi^R(y-v*{c|xt`M)P$n)FCC5S7@17mf&oGMEAR**ky^a85&ws62;V3=;?7_x7ouY;@A zLF08lLpT<{&k-VHI)D^S_eu%I{ON68s}SW(Y`kGHq}(`vJEQ}qt0`S9kAoDG`^~F; zVNim{rhbomIdiSA$s3bCoyva}>SeYW6EE9yZh=h}SDvJ}2L#;gzFUNs_Fc#tD6|x` z_J0nFk^D_X6X7dxa|X)kwRd8x=E4{=H`o17zmvCO!hu_el*gW4r8p-E9egz38=Hr@ zfbpwGgYES6)>Iy}?-P2w8$Sj&|>fu*2 z65Q%#Zi+mwN*$_s{n2g3>j76CR=d_`v5!9U?ozGi0m5T%x59#vBh#b`>iLL8?Ow(vr=EGD_o=fBBbkZo_nmn1Eo2iHqVisz>pZ%$ z)cXqv;HY)SE15uxEj)j6JHJrqZ+S_46hd!flqaKitjfxe#~Ltfxg1Xx6!|_XWy_qz z9ThO$sqf$JABMd$aVPO|cog?Ctq%95a971<7OCsj$spFK51q{(bQ8r6aajtNap~~Ei!Sy8qPz_3TK`e7UsH?k zI-dV+h}s1bHR1Cr00J7>2Ol&iJ=Q|ormIlx&`!Hj$S3-h6rNKW(SCJF8l#0s;*&N7 zJPr{#DwRw?)kquOclYoxs)009G^s`~j4TrR8K@v^~Y>M@l%F>X4 zoeM6TuE56U=8^8>GP@aQ`cD-7)6}qBKhHUeSW9&cU*~!kf92BCJg4TvTo6aKyIesB z+n@qj-?;QL(D7(aBxLky4!4pzen=>ni!BM)%Vr7C4ZSwH9L0=Bd6}0Ro)%Cv-^5$A zf8wQein*CjPknCqEF`UhBuD=nZfAP?v z1%H*z%o#jPzq%Pl>m7BLq6Fe0dJr$i@$6x5U*3*OKpjlh{kF1UYBxF(4Sggs_)=b6 z3j#gyN(*I|0WWw@pEl}inS%_xTgsk65NZ&-F)PhzH_>9!<3;i6QSSELOTONAEtz{i z!HmpbdxsFIV0I4~3#McHIh_7cra=6NgW4i4C~b769~fBf@7q@pttX>4`ZvUh-}*pb zzGf}2tbU+cSVl3y9$F~b{rJPb;X}`OB{PIw;H<_1OIV~UWc|ef)YrVTNXM4@ z-)05Ko*0?m0WS^5kyfaME%-O&F;ol&Xq<6vqkf9K~-0hm&uR;`gDq*?j4V0RZN7C(wn#JZZuSN<}kxyRA_% z_q9TZS+gKT2SvPSLAHD3RqYK)NFx$Y#}pxnL%ix(1B|h4497nrsM6hpNH3p&*hm;8 z0v5n6jR+#ZoKjXjgTDTmt|Aedt?lRRtW9*58I~aeaM0gNK}+YPcd*`Di4g%tE^az7 zI^wv6hFM@pID=bR442-4b409!q-P$l&OZg0ahlS=pjpiOnX3BFtg}ko@%9J_;VPGR z!en$vi#kI~*TrfNy39EOwEUa~m_j$Hg6&@m;Cum7o_bTVQJb6>7Z4ww4#>RYR(-=e zwV=!^9CXLxPRDXxt`G)()@|4ba12qle+$>I$aHkqi9G&v`QVV1^TEkq;=y$nxURq< z=uFvMuqpE%HQ42H^%ZLztFljX>sZT+-R3G+AGfU)eiS{j}AWQoL=i zV7~~Ys&C?mU6gt!nMopA!JlQ&W0xUZnpodi6SY#u^JQ|At;m7VJi0x9;QC(vqVO@y zW;fk1t5x7B;9%|L(7FOg{%UL?ga6I;5{y$wh@E3oyL6@mzTDf1c|R@ z$KM*v3uq0UkoqkV-Lci6yWG|R3&z8;=$a-SrF7R*JiwS(|I{)>^t)K?l6`nu^^S74 z47z`PvmFg4(MzCkZ3QbO593<83P#S)p+M|E@m~&3j8_eJpywlRynb{$@y(v6rM=XQA5&ZGr{N}Pn zL7v4yIcdVrLL35ro$k;1dDb)q;E7yrGP+oq?%Fvr>sI z)zLo#){oYPutQI(AWJE*&$EqM1y|5|_W&3cz!J>wWm;rwqE#-IXW|d#p1v(0`fiKM{C)*?0;!drEeM$t4k^5XghcNqeu!ANW-4 zF(JA72K*DCQr>4(j%GAbi;e%LGzSFi}iCMV95!lq-g2%&Ejb_e(fG+G=IFlF&6HQXR58B$`?!a0RivZ9a zh*+4i9U)|C;_4n{mY?m_&#i}~K@Kn0d@I;g((jx*Q^x!3p6Fa3PGFn+Ttu(*iTn|< znV`zg>2SG|3W2dqYoW=7{Jc!pi=(r!W^WHW8`w51hHCDj( zJGAKx-M%ULab5-rY{}EuD{kH-JeFl8Q=%LlK>pBG>qPTer8CU8s58{rfn?0HjO5Z} z-(*z{_Bf2zcRERyq+Ew|@1+12YwklC|IvMX-1lBHzhB-vHbBtr6k ziNvSRh_R)K*0ZM*8NVMKC$|crY`s??b5&{ExcQ?e2f0e_lv`+BF`bOdg2FJ|#P~JN z>x5IMT_b~pyWVVZxVw^x#K%|9u7Ftyr06KjTJF4c(=z-xxvorfjmYYbOqQeSg-e*e zKvzg};N35$JrYU^#awStAYvDhl83mCd!7gf12Eo&W0M`O_Y2iXy4mX2gcxN=e`egK%gj3q< zWK|S!b>k1;(hxJAFQY)XH3u`M>MAs>zD`f&I~`95xHO4l2-CNhG7=5p;GqQA8`L42 z%$+>|7Tcy-u9W%0OLlDpJnmJH>)UwE(^^~_1-EGlQD{UMR=nS}_OGk~U!N{9FQW|~ zXro??>|JgvYwLY}ALmAQ*l}HRbugNXQ|l)v2Q)q0Oimptz{`|O$(MmKbb?N$#69On z@n+`NSGjGupj=TXTx*9{n($-4!I+{S=JoHHiwSupL>?-d8Y%iYE++g8H|6hSecR+< z@i)<{RYA3Ns_U;6`qL5bT!()a(w9ftKcy5*p|PE>QA!x2Ky!E4zMVF5Ipq^~s=H3* zCI0c0?c9?yEoKB z%?frbhXS*^>k>J!S_S z&u(3!IdpFrAoL7wNb_wECq%#UlRZ!#FackV^Ttvy9xE}(;Gr840iHiQWJxf3{#E)s zvvFij?xcB?qp-?oP~V}P78j%ig+l%kEHM$m35h8AM@5l!c^sSLF9c?QsJZuh5W@O- z|9JFigmqu18lS=B->6qNx%o$jm$j9y?%Cxck*v0ymt)1nT?jvZqqeuwR&z(I{uwoV zZtuL9ZgJ+RU?abwjrG|49l0o*&)EA~TKCExI~9wxQ11OsF?bPvwGq=B(Wri-DuIuT!Z3Mb0A`IZ;VM`^m@4fy_EfC7q=vntll==h*x_%TXyG+;iWIH80iy zy?;PU&iib*v<^JxA)i;8{^=FM3eh{^t=?e)?*@lZysQ@~Il(y0AhAn7yL&m|@vm$Y zY!~Iw1&SP2c27KY)OYrfgvKelZs@GwscDlt?x&aGr;L%7MrJ8Q?);J*mEQG_>$NXo z7eWDk2N^{YoP-FKS2TGKsN=6H%G?YHy%Dnl$Y&km*oT(zKa^ZqpZ}nF__&Pvoc0>m zd2xI_>7wAZkwyOVd;P(S{jSSgH|l+Gwa07PISU~rCC7*Rd0_qA7(G8!Fp(fNBugIo z{j2wlqJrZ_$^7AYZm*v}fdIPsTBl6Sa^0C+tNJHOU!)xt%kfLi= z6+h)#HUh)9GZ#hRrXLfzi^^uRb||CPD~)EY!->K43#Odyik^^00CZ!Ka+ti&wZ%7q6pD zKP)3+kaoA;0`WfBAbjyO=a$mON%`LS>3HK%t`h5@<$a_@`^j95vyK|_G_a>^F0vM! zy7@SI{s>2B8DKAmFE_%NVb*oMwS8p|!+1tqR}T=ZV)udMZB7F}7>yfWAVUdPvN@6| zt)lS<%~Q{o70&cWt;BS`&AMmU_5Je>Z}nwS^eiRq;JbJx+AwE1wvzZ(X{ZC`JMC9e z>7M;Sk%4&jr4_2A?cM%lX0g-99`L>$B~-( zni)Lk1Wx%m!A&J-Tfli6nW+6@G7jy7cbu;o&((-%J|Y}dSHx@ymq{eHhrr^aT`XpK zjRImiV~7N08U=QFPECnTsl)Cot7HkP@?FVhf@S=eKJqL4+^wG zPk_6Skae;48P)KuG}NVt+V%ix{VY_ax&_>(v}0-0*LC(|w8_$0o8|dxcQ+rd2s&PO z|F69*v~Ch&B3$S1AdH~ZaD5tFaq(O|^g z8ePG^O+SRs0t)9|acpQe+OuNOa^i447U-QM<_efdweK))E@oy2lLKlPy?92Ekj0cL z+|wNSVA|jxHK+0I4@bSsHCtyS0U&n)x(!XfomW+1ab9;B1nm2cQTn#^$uapu0@-d? zCFK4)Av$=GF98y7|B#t)e2LOtWMy)k78iH&%F-0miMbbL3kLX>uyRrt*b7p&KSLUB zE;1P>Y<@Z@$IfMWAc{s8bj0_RWx1Dy^9m3qkY;0aqNzYqiCfCw?b9WcTH$6_2$Z?% zPOr*;ZVS>7quH_RORy^^rZKf)69={u(Q_?R5QwsbN&&a~V|@#6&0#kwV80Au z$y&i1yOL#_``9|1na~_t_8)2)5cSTDtbDJrm6gC!&=!mdRg)730Fu>_z5C#lC$XeB zjDe+nr~W*{SMZ`%2#F`wmo|-O3FYtP-&g@p=D>V9u0R^WaDRcMjS~!(cqjQr_xBSG zTa=I}d0{-?k>3o|x!2tuD1ZzNQO(k8b5tJ5SEvs|GoTvxVI`3qAM7RAzZi})cpc)fO+B&*=`Uaq(k+GJ# z8JdfJ8t_ezPo{R+wZjwNVi002HiT!t(s4=VU5sw%7as)c1t23R|}c>JRtaiFB6JLd_s3RluB*4RyBa)&C0Ki=c4&;?oH9lZsY8L&4Y;Lh@Y31f& zBcWGhs}6A#Z?j%MOtG`q6nY1U0F3j`N|Ody53Oh3*PNb;r3C^e6>&h0*4|D3fWVV^ zK}&g|%PoyLXm4{Q-Ae}v)o z2V#wmabI&UJWMA^FO~x*8IW{I2+#pQ*CXJ()TJ&#*pgV(my;m9Fhu?9#FEUYU;TS9I`jh)Jq#m(Y1I7!xeR+Qa8>Lg}azASiWC4nFXV- zZVG;wf1&3)K(Q~Z(Z_z3M#Jn8P<`;Lz%I=G@2cLffhqg3VZDz7dG>KP^=V&qdr|g6 zUQ&k^u=|*)indwUm(T}yqT2An=VoCa-iF(dzXR|`kZ!XBKfMfLkBd#k2kh5O)xpaW~WeNU>0P~YL z#w{`pu+URnlSnKQj)(-HNbg;gJQ59w{|u36^d_t+P9^#aY$W`_=DfPnZfR7*xi8TLs1_xxpuwl3m_Q7`-_QI-5* zO#(4F;)?Zo8H4bSHH0^$q-(x1_{SGyF@_K{r;JI@pKkHB+h+%sJw_~qV_|z3USTPI zZCWNaEr}n8BPZmJEAavN%RG{sSP}r>4tMZEE=MIx%W#fj-4F4`H%34teaE<$BmmJs zmavl7ZQkcVd@-P?!6rwwAW=K{0zAU<-?#$B1@nl$ST*1mMog5Ft27bqivYO&Ad>)& zA1ypCD1?bKFlrJ5mJ-i>!wT6Q0C@Pbgiw}zewa7N%*f~%J254Gw(@5LFl*1(tRTVC zfIh_?XjX7SYl)xRC&K}LeONmX5F;o!A3sA`s zKrSMMi>~8InN|rnE^(-PI}IiyASKS%&DDhv)5a&{2q7lB;3iHvc-EA(fz!=maM4Ls z^rnzT{GKAjUGuET!^)@5h%or`DIT(-%Z2STRI3-_8imZ* zLcoiV$ATL8)l$17eCuemM26t#Jk&SZQsHN+6CRY8Q@t)CcOHcFiAj5jU*y@4;4Xqt zynLVp(546Z)_;qp&Jb*?mt491ZUP>Xt;HJCIFyjuxg_3JJg<9i@o< z;s!v7;B5e;!8jp6?7Dej2Ly~m&|jg8JNkcd4g=pk;Fl2LK{{JT+ z_xJ5D5Cx_-c(B_WDP7bQyQ1IjosNmLno`}cz_Lwb?~F8#;(f2y!pEL;VF ze**_t5%h!o2YrH7MIjK8v3&1mQWLLVk~~3muYju|AV5s$noN#MBbexO3A@32^v}^Q zVnh-4B0@*pg7|ZZsS7F~1=tPoMv1c*_JTA)>lflc{-5 zDEggM^Eu+OnGD1pP1CB7F{$eU`~ymL$TlZ#xFq^$o=zkC$J}!*%}V!7#8Fc+cCRO= zkW!Yyfs9E22#AXQi942ZB7F>Sml>f+>D47qX&+1lh$hXuAtoutfH#Fjl5$FH_QzX| zf-OlF$M++-aC2~+*=5r`L554fsT)6z9!bb>It+wv0wKU5pm8S{2m#Y<0s91g1vwZkXA=KUX_}dcMCC8-bl*>kdp%5?t^Z`9UO<@vPmjuKCv4rQoVafpqQ#i_SpHd&5!X#3-)f@>d z>drO`qW}hRqlmbZfo8N}w&}}7J~Vo%s7;}AH9{FL){mhH6RQc$1Ynu5z8D;gP>C2l92y$3 zcqsTIf!Yl>ZrIOz6&2e9WOV1y7=i1L>15*x4cc|%hqDKMbq#(W9Ls0?c8E`69OWYK zrRz$U(8JOM@*|W$h-t?_~*Ac3QK|RIL%^-f@C${pOjqGDuSjj zF$?0ThG|&v+BBa=HgBAeEDmqjz#0ojiq8}@yx5|*XKx`kA@eap4jhBCGmq%sn&-L+ zH8z&5DfmlXKT4{{2>*61(oI1Yis1mgJ#S@^YvzXc6YCkCiM^)ykeN`5OOte<(1$H2`On*JFE7E%29neDAzS&D>j{=U}}vF1_Ba>St# zfAjw;Y~YhR@mq&No5V}BrSbyPccrzWX#jTawJ;@`Nn8~$pk<@*WdXyebmz#cj-VX* zVMvN`J0rZ7uZbR8PMp&vUi?N}Y;;y97JZxmuM2jHy#>{vp=plD%JRn(jgWcC_1Ja6 z>J6vujb;#d(NN{#%GBnIRpB0?V2^OO@Zg&wq2!_$S)!PuwmA;@UEGgoouENB!Tpq- zg~P>V0^#t4VcB{s>?fmsQMk%zSr*oVZ=P6MWELhq%4z8ghcgh>E8qI+8rk#IfG1q3 zf~^)gSx6n~XI0BoLV5QpzH>&I4N@gB?YZGAJ*!Lp3_fy(Z!(0 zc^1h0i^+U%V+)|KdV}_GSAt?f^Ot>3Q!emr5Cv%x9Ai*yEB5aYz?jgeH+=n?x(zy} z4)tC|yg|7Z)=;WzO)!p`??Mla#}%?&s!8Jo5s z7FYosmOp|)F0$bHkaH^)5-V9N){v`4yle^DKg>&E-|&ppsqcEq5+VhI z=|V19F>ez|g-G^OJE?-zZ)@NDLy=JR-@%FM303#>kuS~LOSjiJ^LoM-DDMdi+1Kzd ze>?;AtJWwvWnKh-1;bXmP90O=JLrjC+engUC9UXKhPfY7ezMazftbH%S>|A~mm0;w zM#=^|2GFWeCkpXLS45EB9w+YCq(0ku7chJL;Z8{dGFOxW4|uc(9=27`OBQc3G8~@M z^awP42pNLIAKWW#@*CkTfiSr9R*Tw?-I6-Z6}~ceFpLk3fw@PQ?YigTcW50}zsUbt zJ(!B%GB`v5rm#lwfKlTslyj<+u?&d?&%0L^NxS#y@oW4dyb)d1lzg{>eqFkZyUk)l z?$sj3B^dbn&`-?Z_^FNk9Wncms#}c?082)6vG^B4gI{zVY+BD$wpnCiEw67`Xu-Ye zX?qe9Bkp1n3CQB0OQObg9f%eNW|P9d_U$Ha>(bVP`G?@P``6gHsRwtw)~>IsOIV4TOsq6o8i&?!GetOLJe%T+a1wP2uH*RS#{LscPf1j&fl zWc-RRmv{N{h{@^-30$EZlghm!5To7u+QArim0=}s>UmEo3^97vrhgC42lU>FNo4h1 zPZj8J(?G+0_2KJgPi7!8bTjjRpxTR&K!Jv6aEemznifAI3k)ze@FM4y%dt~F@JQzF z<-_as(aTKd4N5#bhxuVcpv?sT(Ljla9g>-!)7q9PXY)r!E;5=#l)p*Q!q9nL@PDRP z@m#h){HQ>ZUPZhNevg#7AQ+tf`FqG`@LS?ZQ?kcS z32~j!rsm9dcQ-{vGMK#%&z7DaeBp0|P|xwc+dXwCULyT~)9CQE!#;z{v$|PuL%+kT zm5~z3qtOzQoQ}7kVY&}ZA_c(=0PkS!=dkW}pjB@}17r4&{T88arZx@s-f1<{bD5h* zZn927=J=bEwqj@Q-D`>Gn+UIJUfy9yUBT=Ze5L)Go7q{sai;U5e4bdtZ=$$+f~cEC zQJ!!CoYv=Pk^BJ!RBy_ptj%W#M^%>#yVEf4^|Bw$UZv-%W}|7KybbVA#2k>fa~%SJ z44?_O9MvjX#wT$wid>0~JX~VUrbQ_kZqE4^AXs5Rn(v*_VaGqoCSt`-E3(8uk>yE- zp`w-{g>1aFd*E+8XvKpi30m=DiNmE7QfZ>)T`c?DaE68PiKKqh_Wdt=@EtqbJ0^m8 zi~Eu`(0O&5;OqSCjsgaGZoO+>xYKo1$|)B5nt~==w{C1HpK0ImT&esiRg>S<2K#BX z3X=bYTRuHDm;NVsI;#;80AjeticI*k)VYWskiLYMf-P0$Mr?0obT_(R zLlr3IxFXSjXO@l%MIe_PBvWJt3PRM{wu9ke=H22Eu)Cz5E85!&On?)wo~>QVZi{dL2mV^;E~pe zBRP2!W1_tMb1N}zAC#(y0hP!BPma;LL7I`o#MFU)Dskx3z^BP8gYiRyT;x}&)WCO@ zDk#!WT*)WgjJ*#8t!bC6n;|lQGNKs@AAPwc>Dd4tgS}Jyc2Jmdk1>=0_@(jjGc41r;x#V34N!RU@>y` zT@XwoZ1D`A;IkzV3R$po!SXl0_r05iGRwcuuAofz9a}DjzQX;COXrk~wK!q^H56X? z#sZ0mh*$3~KUS)V!F>*;5!KC!)tn)8lIpBv6nl1Q_0_nWsncS+o26*3d2(jph(?cQ zl{yYLDK*Qo2JSyFWPNv`=Y0)nSn|*SH8|*F5u#HX;623V@dJkJJwM0Y-mK3JsAx3U z82_6!&LqyNp-v!KyTOAm?!FpvcKEps;*de^xxYX18zTkK=5?CnvINgzz{*JuFhC`T zwJ`;p&(9u)4%h~*wf6`mG%fjFdD()Rtk8UWbj~%$_O=Y$@iI1qWp=nH8h0TMn;Z49 zrDHyio*L~vayWOZ-9K*utdKFr$1?_e6Hc1@FNqvWHRH! zXFIB|F3k(JX{KYGQgt!z^j!Gj^kT}q!6I*~i)V^$ocH@7Zx;Io!`^N*yvlnmS}?_H zM7AT!8ur$2lfJErmCJ1l=MM{6V2y^LX|&2$r&%YA^0WJ28?2@Q0Sjv9nn_M`t;fI= zp47mnhkp!Dt*zyJXV(g0-qATq8oMsjp4eXfb!3N@Bqz|fEW7|u5?g)Qj@G>)F=-&o zu;og<(9l>MLe*M*%t+8i-&ht&PCQ8Z@4%%%vFhk zSpMuvA@*T)Gw2*S-?u&7xWDaHk-9+i2l~0v84;?P8agzuxI$ZSp zu@~Sr%ZXjaN^STIVUVd(UN2Bn*iexQ?gbQ{*5{* zDwVlUE6ifq*u~4SUu-Eoj(of>#-jz7Oint{e@qB?c}2KTNU04jm?!I_jKh)v!_ zw&$kqBBaQ>9Q0cEF*Gq(4OO6|Qsd&Yo37u{o@_W8O<77MpJ>$tg1!7nJ8>jC%_ItH z)59yDk@T)lieVQ7EaX zrZiUX7VKIeneu{QXh<<4f5}YpPDulLn+*a|6(8$~7m_j{qT1xlL_LGNSf@C*v7>>q zC<8S4+mG<8!g_y-@pTjC@!1!i|DtK9Vxiiv-jzfbp?(menj|K<3Zcd%Wh{{=?Zb4M z2~>278!OZG{O1??p3?n_{OQVfgLNkFyPr2^TALq@iqtp@XtlrIC`~O!3>GQ*T+PxXxY8+d>rHFY(OA`0t}AR;f?TJXUg!(wBS|r|1O@z? zwJ1`YBsr6mp2rN{SE7Jtxy6zB$_FUqx9!mo?((7k{BCx{Wp*UgCljnMJfx(>$rXv} zs{WhYe%Zvtr*gl7-vP9*rbaqy-+^iX?~rppGE13Is~PFt?HQ}@1tJ%lzBC<=cd`Bx z&5b;|H>`A^nkQqqlzOk~NMdMvMv{Dz@x7?mf+{RtfSrywacJ?!Pu){94!emDIC8#x#7`yt=*j3uY(*Y0wkrd;A2D#*UZVUX zO!I6#JsNif*9=Zcb7s5iD``^q9Za4R#O|SrTpWs8kardNf{7ib>GvGf_mQV~e~PRA zdM?U5**sxl+M`$Xv1pXfa~n(J%K-;2>DQpG?;aNYJw_r08k`IJIItY)J{*4t^gNFN z(QL~<+yuIF%(qHL4`t>Uyr)Ov0Jxb>d{=6>{a!Uqhsk+`bjc*-e5G4FmTzoDU?fp~ zkJiL$?L|(DbQi7)X$p?>Q*ZzYJOS3xeupuA5~2|~i`8ENA3u5c^0V^`i|7?=6NDX|41 znkyjN=C$nFbVw7m!LqW&n6TD)B#^fWZOevG2X8Ho()KvZAwr+bZ;Jv&4+X-8+T}M5 z4SCtZWe_E_q^CvtiOy+S$v|m~yYO*YgaE2l1Pl5`6EAiF<#mBc7}rL4H(2yZ+xLjQ zc_l-PrH;}<-R-$`6@2OCI)tBLfr_qkBT`M`!vSU?aYx9!>>^}g(bgib`BDKNEeMM& z96*zYFF3_YVCS4-rLc=m(fDeAb9qTwLKGtlVa%@5-_?MJ<#e*4+HVePv+z!Gl8l7e zhyTbQ?6)1Obv+^#VxLHc?@<=7Tsj;zsd&6dgUAm}L>IL<(-c%6*8|79M}m?-ob`Ox z0qE%&lUkSA(8I;`9i(*^;{FcQXRi$bEru*u29e$Vs}q7ZjZb|<-?>)E!%a;T`Uz{W zxV%ZAh&_4xvX79Rbev(KuuLlgJB}#Hfi9?>4>%jXAulHU3(c?KEi@b^F>ST(c0!@J6#K+hX+1YKp{jaqNF&(=q{#|4#j%YU82J-cSa7B?Nm&Dy~KX62|sD(5uf8YpW`A9Y&O8CbZ zYVp2SoS8K+T^O_}=%lWgytaX+b*oa8{7OrFsxIzofO{cXZ%rM7zj{byG50dpGg-C{ zpx1CXdpT5m?-FB&p&Yg3ff%wi{D}W&=#8q7*)HNs9>s*Lmet(fqG(YP}U@d=w4oTN1~2ge#Od==C#~RcV*P1>FxPjlQJrep)Ml|8{4pIv zJM|bs(W|=;MeWb-W&{d4p2-qz1&prT@Bd_X2M|M)(D*_vxidXV2D>{L z(kQHEHP`~b&yp!M=<>xxpt@K2t>PIiwM_UywdYWTo4(e88pVckOg#32E$vix#}x!d zVY0I#2~iw+d=`4U6(bs1!Ph}{A+Ad-!*-vXyVl-Z|6ReI{&hx7XE=+dGbJa;>E;7F zc_T(an~W8-=kNw^xX)zRqFE@G41 zVmdZioEiraX&qsFiTq8A?A~N-?B)eB#aPBanom9Wwlvm?dzh3nRM(Q6CO6V|TIx=~ zwr=2ZEB)sNlgP)ZGW0%GFr;N_FH;i~u3Rl?_Z*Np&orfOLo5*Jqy%wO)AiVQ2IBP= zx{MiRv$pjMa;@^68uG{2C5vhq|0td&x?I{ZvdwLJtzuBdk~~Wz;|S#*H~hsl{#!cL zEH%;bTXL`L5$%yXxVP8=S>fLQR)tigxo4ASIewh`T|#4K^Uv|Zk@00s4hBdV=95q? zjOHz!WRY@H#~zWh9OPy6v83faTqGZ@4NU?Eq`McK*=JM00$$2=0Rq=HSwzxeAZ=L=Vi-yjuO z=!#W@o&4H}Vhhu@o5Nos&3EY1k!e;R-y5CS>sl- zQ^+KF=?McJpPyHh((n2zGA(>+C=r>`mL*#4W0Aoqn+eMlhq2~BE! z{?#@-Vef;TdG-$eIx6ss-1GuunxA)!zcqh;N2}Pydul>^6L==Oe9D$VU00X`BSUD+ zoPnPEKO;7*`p7A&Wjj{W9LgW*e=Kg>L4Z%E69sKLzuOjbRR%`@Oyg>M0HNUw1zbKWc#ou`i#J7k^MxHcX)PBBwXB&@v zBBfsE<0N`(GEa|LJ+4qE;s@?qxKGpUeU^-I*|qz&>xbK}KoVmU*UGDyL3bfUETX?p zoNeFHU4#o0HvlfT~c&sLYT}3oDsbFT7y^h(%9Q(@Cv#P8K`MWEowkcHFuVTRc$LCV30a}A(6b+(s+B*fIApRx`XeCB*EsF) z&TG-*;9EwEf<+NGxHh_d2^k=al@OxDIapuqav?N5M1AC@T!sXNf zxPw47U^fy6snPw^>;HubJnVp|g7OO5(&X<@L#NoTm)f|H2jmCd5Drz~|DkK-PoW!& zVGG_h$CT25x3^mo*0w*0AZ`w7nQCw;5g+b`dOYO{m4D0+5rp500)3+&ilmne9{10Z|1ETd(XEfd<_!z>lmJKD1^otT#h}LIf5&xy2>utedu!V zqsPc$_QoWVdD-&kuH1}{LhGMkC4R6>`O7mKTm{&=f%H!)HJ9Y5GMW6_m!(h;j=uYN z(Rh57Mv3j6sE=|-!OevN(-&fy!vdg1P1Cm7?B|HxZpX1s-?`m%IiTriwHD%M*-Q4G zf;+#i3uv@N$tH_=YAoLMnMgDw;vCBdSmXZgUIWN)51g7F3ImI_`&i!~eiT>`X^3G; z)WzhCu+-j1x>TX!Oi+_;b~Ui_;s7`6>52R32^2-R9Kmbn8MN3`?;3)1&FgwEIDz8C zb}L7$a&&!KhVH4a>D#6V)0~Sp*`?v*#|fJ)w0KQpQBUh09b>=IuO)*%Qmx2R>Uf3k z45kb50?v`Fj*U@H*LFtf^+n@8j>k12>gh++ZLaNw|JwB-RJDH(d#!tLU+Oo;hYk=3 z{bz*|cI(Zqic8qn67xaZky$a~qumHOxuhhmyq->-C|PZnIiDp$5N2PmsbWIOmCKA_ z+S{@)vclAk>og273!TYAJb8YiWT3j&34`k%!hBU4oO3;Y3LI{V-IElXW0P^KT z)ilRulafJ)!%mMvwUDtt9ry{^VmYTJ?C3tB$*u)L!?2b4KLuR=eBB>+6Zco8dm60U%Y}==RQLHwmw*_Xw#8NxzISO>2T?A1HMjm zhxen}fOole0&;W9=?sXm3UanrTQyBmHPPi=5;nABnoM^Bo?W|nspcvq{ol{7eXS2- zKeIv2=ft~fBixSb?QUSY=uV6-ewMCWOms~7^mVvn+hi=~8(-K6V{l&5PMhbs)SbFV zmyQ(d({)=Ja7Yag*@LwjIAz!4P2VO3GJL6n+(IEPw=J4`H&X0?s zZR>Ft*;|^=OfZVJdvAGf;1|DDPJ1Yo0{*Xj*7ManHPgiB_6>9GR~$1-)v*xyOdpe8 z%pvi8ozWJf7LCjC8rWT5X@50v{w$v0)_G31Ttc)`c_6D+*GEp@E$LY0tlM>EkY|w9 zUak{Edz~7%k&ocG&=s3B%8u^Q?ABr-qmC7YY^{Sn8g@X)!FneKG^{W{?8@w_?SHB_ zaF<@4rl?=2zRNI_VXR1KaeE?jw@H`Ob<6QR@4HZw*s{?apIia0J>qxikqlJ`RPs|8 zII$9ehg$d>L}sg(k9~IcIwpK4G}jeLhG>h7tIBFznE%?jv-P}BKhR28#G!AVOAkna zo=JBw%1yU7$kAg#{hsrz^B-kewH&a5hJ-=)R03zCJLWHMgXVp}^Q|wz1)Dzrsv|M$ zdb&IG#HDoW6BlV@OWFmBc?+OAQPS_rB}ks7Lq&1i`ERgS6Hsc!9d5cS^yYG!1=ZvF z?Esyqn-Je6*nAfF%7c$En#bKVTQ1cOexFOWf!?FeFR8VAz?-M=P)cBLjlRqxWz=}Fggm^ITSB+0xYES}dV_y*@4@C#%xyLi$G$Mt?dTvL;a z94@&c^&mHPZUk!z#e8lq!vVhCBn?bKc4;T0<`w>`M^PYkQ}zk`kaW(kFso$*_-3)T zgElAPw1^0y0RX@jS4Dv8t$VehKwFS3bwH%YqX?s*T!EIIk^{Ag562*_gu@wd%B)oz zwP?D8BOuRdD{kQ~UdzRnxIH0P?3NAIwl?81ZbX404o)$Z)Kpn{SK3_YjxN(%z}8%3 z6QICnH-W}IiVs25*1^Y5+%T(*SQ=V6nG=#CQme*K4Y>P8$Id10uzS@yf2frDTCS=w zT;soB(MW#w>oT@nm~Gx4sI*ZR7Sytt6~N4H-b+vH&2ZGb5`1>F8hJ8rv8N^L^E0w0 z^<6f27Nxd&BH*F~?U+esI~)+rD(N|>dvd;Or}~em+XJ1$E)w5AEptqZRfny%dlwuEas-?ToT7Y_-{!aQjaktg`Bo2W zB5NZiQ+Q;?gx*`DGR75dSKh}fJo^y5;I(oF1;hc{v2oFqBf#osqQt=6X5*;bFfN(k zd)hBfn)Z0Rz^N%-u2_xPuTBrV-qT3W4dzZMUwPB03iA0ZioNqqVa8iIa|(;e<@{wd zPyOD2bKx&(ZSZ&r@YJW5%3X*M)zDW?U!Y&^Xk|okEVugY+VY{bCUOwEgEdN)Xq5?^<9PP-dP(zhE z2M^*uxjU0qk_^6hY@~6LgmNPY=$FKabcXoIhtgTeIsU9e{)E`Sw88Trr?FZTjnq5H zK6i=9K6hoG{oF*_gjm_l@X|-?ck?acnMz3ykvVBdAYc6=JP2QF=2LRDo9Yj<8?Q-^ zhnkA7`$Q?z&0D*k$Tu*dijisRjQ#aF%Ob^lasc<4;wuOywMJm*%X-a%?u82pZAR44 zoNTR2Z%^Gk0^R3eZBXQT!yS*RInsN3KWrrD4VPX`{xtbdA1 zLOaAhJ+b#a3UG;u3`;?J{{=*S90S||Pe<<-H^Z=~m}iRd#|Wkp#-C%qwL<7&KKRnm z_kLe1q*d;fRtf%@!ITVjAK!o}{1g}M7X`)KX5=r{9J(V5;Z-j7LuiA$K}aqZ2LcXk z<=Ge9&l6Ji*Mu?azwh|m@q!#DTenp^5;N@n(-TbS4JGZBZsFK8R)@)ZxXcto7j9L| zF7OnHMR%NBlmg4WOT)l>#E#)ft-RTn;40>1E4Bu;v_fCDkz1OY%c*surv` zP`o<9eX_W;Wp4bcF(Lg;5UIqdwCycD2$z->A*`K?@w+>kYv5)@u%tAq z2cK&*5qEiS|3s485OQ?~B==<+1nBqQnw!tjZL^Z~+JCTu*UExf20fF^I(4*J@^tB~ z^&MbO#qo?m8n}iUO>Ys~RwsY2u@?PNe+(t>#>KsaI#!#G-FW_5Jd1E(6`f&d#GEqYI> z2aPtsYsKq!4vBb1m13ZAw^`=4$=m5T+o*IsRun}ip@nyC{sT}ojou{?qIhMtuQvhH7kjz-@PMW9s3Q=at zu?8$HYx?R$24&tvxMoYGnD}I)=V@BP48$@LF|RZs8Bf_lVdf=&@f-P}%)TRFlx53%jpQ8!&c8I`?r&Hth1r3$;-efS;Y3sm>U zb?c$*POav#)7~4Yd{R|ZYHZlWYzfa>Uzn;E^0uNWcM60w?pPI`gs2hjVvu!F(qEPN0@}BNXRw_p!RV zfMG7fy@#J4B?=!rlS2P@z=L2wtoaiYG-|lVhWvlFZFx2V`+q zeObA{LB7AM3xKO&KclEg^O?yAIV=^r@P%YY>)P}!UBWX3j`?RG@*jCs^R_o_bjARz zbg0YLe=uTY3DBz)OW=!X4={!ym)n$E&Voi6J-sxYQ8Gg5V;`mRYxQ7Qvw5U6<}r*O z%>9a4+u*1Un6w9f-70AULFF3_+MQw^WFmeI9q=i-t^!VPRAN zG?n-92_!#Eee7e0PWScCyqYFCKeKPV-xIP7Zof<)g7-?Co@EUGBeKGJ!U;1`4xJl9 z{pBBiP5+BW9!AGoo*Noqj6U863JPfbF$wy>;78e|LYbPHa=+IoWA0hfW#KD(Z5c|^ zgh+jB!!cgwh?KL5NOxujuSc8x+17+@X_EJz?eTI2ZM|%(e0CX{-mFbjIwqGVx<(1e zLMkE%#66kq)6OTmUC^VxXe8*ZH$UPUO@wR&1E$5h%+RtS?#62?yvuE{P}gK1-cO}a zQJ%#jlLr2wz_4+7j8i|LD#60E=tchHQ2gKIPz6Y>`u_4}05~Dx@Oe5=LL#|KaF1vY z=FBZ9k?m9Iw?7VnTKJ~RZ|&V%S&k-L)z9R+#*8odhUY83FB1ln1>*H}?NplAlnJR} z0q8ki_?5&qlYN60$D5e)^m~_KJ2!)b^W>gqw_Y;%ayMI)x_I}uo=;#1H{W9fQdv%w z?YH(UEPvanJJzb;lD&YwXJM*uc0+r)zI)W9x9e?6dkIZ}Y0C_&0bi|SSg<5`ocq>; zB1gEpy9-@kIVKu~xJtZlPNeZa*y+9>xZ*8(=dHyF;8f*q6(t`v zeme$+%HZQZo=uWE*57iZ^s~8H^3sQb`h3;Hr}2%_aiOEEx&-L$WC|D3K=mJKJcbLr z%U{pOGWbv1R5)J!hs1lk{rdLZ+*C(U3i>!Fg`P4T+u`DJRZ29J@QZfbJ)PQmTnigo zce^M~y!CDMrq_q(MVl|b!qzoee{pI^=?in_M;t7~U{J}H#c}ETT>2n)Y03$f^|U(K5EJbIZ%{c%R4w$+vOQNfC@5>-fLEjhH&6E4E1< zX&M))xJV9^jSZfahVNfv1Zt+#%@vUn#@ptG@?~`}(;kvcx+zDTU-?|wcBg3~cs_d* z#;dTsZn-?gyNh|KzBt8u;eb~O^zUYL=$Dc^#8Ka4An`OZ>Upv#aj52Y@xp6J8u`jN zaZG_cu+M=&x;O;8RQWYUq!6?Z5199W*?o|d7d18CEAji5h_jW?d-UroXCa7x-XqPe zm`(gt6GAh58N> zv84x6VrXIimOB_BSZ`vFNUj1z{Jw&)AJa8i{7j0Yzq}z< z6YCBEJ&f{vel6VWh{;%NguwlXf#xseyRi-rMoN528;ap;s<7GaI90$_bi1gC-`GkACR*j)GxfdweofU?5vU1nyUFb%XYz# z2ZmPpeTcTDn)xCVzJ4Mvb1W0wF5?jNPRMb$g8~`59S@;|s~Ii(Hqqc`Q9Df_I~(`j@UMfF`t5f6`OG;vx}GaF*Q> zhMb5x!8kDiqnwaZJ!kA+Vkwfh`|b&i>H%8S_AAG@>}tu_PoTs+Np*m5GrT3vAw(3| ze{&Y|moj9$1%Sv=chsO6MCXKDC`>9Ex!=@nC&fbzH$s=CzJcSD330QC2|M6gCh zs@x6i+F4SO!9GoA_mqw5(Gi;Q%d9zw+|wW8+Cdjk0nJm!#Et7y$?dDxb7l2%L_|(= zaQ;Z=GZSS~-g;wDg^RuZx8`UIPdihl{O7Jug!8Mf>pMWq>T$#Xrys=jH|1rf2xe#F z#d<0LgqPqg-uK(=yiHfsd#mh|V<`+?U!U1swX#7S}N`@*>NA2goTO2h?0Min7 z8i_F1;1&bTzC(yN zIjd3v-!kv2&tP{y!*we-Xcd7>7ZcFAQ}0&$LH;1pREM-B8nm9#g*cb&ZC`}Mh=%(q z)2j~WVP8~+i-v&3;q5g-80v2DKL?)v>Jw2(=R=*uevO&O6Qk?Du zn(0?Vg3ptivguDJH&RceJ-tpRXsI9x?Xg0UZ)^7&b>1Ra*E`gf=R}uPzIf)uND;ld~?vPF$n$)>V03^FcmU3OXI+fQkl7K}MOI=BG3Q_3$@gU?X*GWCf9AzQDv3xLTiBIM3Cp@$bAVzm zp>X%oRyE=Gi_&F^u(=e5*0RsYXV}|iFyMP(PRE3fzwhg^pFUkWP-|>PThh8qz%LbP zse12xw1uPvmnlDO*d=%~0)U=uiV_HRGp!Oir@)pL+mv1OQ&2l_%+`AlPtnd-|C&6= z;1uRPK{5}wM1qP4ZIda8oPUKo@U;OL(SG$gWkY z7DCvbrGw1H#yXOs)g;yi)+oIF$9t~=YIecC+($7!Nzq&Pba15K~5XrI*>N4X9xA{K!T zj`qdhSiJ%xiwb@2{0FVo?8Hw9pt3&rr$3v;vNjF&2G@Gx0`Fiu`s>B~%FCTp-exP9 z??~_FHf|72hrb(XV-u)mgPUMEmnUM@j_k_rm^nr>U#7_^9)512yz(0I{$eac3-wey zjVyC~ItRQ+lW>zp&*IRUhuSvFi}T~W0#n!$eMR>SWxwCk!IiHY$KEoC3$J(MYn?mgiKUPC63Y|J5Jq804CvF8&A8D)2=>^UW%ybayluP25vGT*I0 z)AQT6<3Ywd+l-Zhgv=ghyWY^+@49nfBQA4LFRxd4vM){k2_~D9fzj(Qdr^f`Lb$LH z+zT6L`uYzBMm%9IL%5rgy=mgEx=sIOK#x;@wiXM#G6{oAqk~-2ZMnYx()f^ZG0fon zovHhhgXzB}c~ginZ|0Squ08Cb@H$$2zfYcWWoQ7*!&0M*UsFBZJ`6iCLdIUxonkZs zI4j10pTk_@!MHcaO}HM>sb9`vkOtFBoSaR(HT(RT_YK2vERY?t6+E#;36m1gtoq4PSZk(? z_6XB`bB7rLb#f3%XlFkA#rqhp1ujoxqms1tZ|$>N5N5cbQaIf^bJli~XVaXzOu0_i zp5Cwex;16H1EuawO5G}cP+aLy6RJW!YZ+IH+NL-qE*Xb+nXvtmW9z!jo85U0BW>2L zPk6mYYVM_Ac`dwG_J?Sto`FM~(_j$CbWH4%I}Yf*>rD)a zF=w3P4_3O!wZsiyrCrYLn1g@39xpaG8(1Kl{($b}J~N5p#5FSAP&se@*$7n7>YSo( zOh168>Q^7=Fk%B$UCP_MGM?Fdq0Q>SR5zC|ySffJ6CpF&u36lFL+HY5E!*PiJLSwm zsbei5{_~x0G^qo}XCs7yz(-W3Xs+nZ0p5jN(!h~pPQ@b|aKXH$@MS$ah@~lDh<41? z0~si@dC_)mu0ZQaPX-TAc}QP(R(5#IOq92Y8g>my-#I z0B&`e)0o3)vPe4qibq4SBo=a2FL{+=wCL8?t{HwZRF=lW|CIYd&A<2x)44M^y=Vg( z*SJz!i%w!}(+5+mO;AE5haP~H=+`Sf-M^Uf2vn>5-BfWLVa>I8^?*Vs$xqotjgM0r zVvP9iAj0Bg4*0#P=4m*fL3-Dg+_g)F; z>5S1Oh|Z`-qfS>u#z&Deg9`Y(8v(;<0>HPt9|c2sf6g5gP1N^cfqM3@k4>u0WhM$? zr@;5>I@~ent2z6F>f0Ju;5F*^7s!LH(Dpd&uWZDhO9RHIYKj2p>%{z0F|(FCxdK<< z-T(gP`imuYREeebSG0oHKO>s;^S*0=#e#WT&G`aFuhsRns{~Vx46w~0 z2!jfBwfxASW*;2-$bJAOq6TD_kl#*6sgG!lfB=fjs|Eas=VU!D|ChH@vIbw_Z->+( z$^5?=WllGj3*R1dJ5jUB1L}@ksxZ0?6PHNZ+6F9MzAZuW-qGR3kl{q@fkH@wK#GOD zx|!^>7;i6mAoj8Vqna9tW(@VnkRs2hd|kQ5FxH12y7698;crLyz>AV#NghVx6yQl}zoBv;A`#)Z$2zm+jBnApOV zQ8d28mCDALGRo>AkPNjiXrUw@?6s#{f>gmcW;8^8RPb$ei-cR(87bPWm)}&3Z!nJA z8T>l_|nmq`M$IvWTwX}pRxb|V-XT+vQt=k(9tJ>*$6?gKYNC8HpbfN z&-y2qxrAg~be6dCz!|YqxP@88yF?!A?8g&*sQU<1<+bJ+v4EjpwcB z$lmJEt9z#vL0+e&JcA+LfXcAg=C@@Kx{;xVyZp=mwHsH`8~1dSy)swH0C^@RwgPad zkN-*a+C&O4{o!8gHOT6YG8uqvEjfPp3v%_MnL$qGgPkbN19GTIsx6njm(*}F-M6(f zuUkh;EZsy$7L*9sBv5-$*`rfy``~ptPbm;^(FJ7iE?$PjYI3G;{=vt+#{VZX z5A|F8STRlyrXG}-Z$Vr-m0k`3_Unzg!y*;U8bZ0~;7Txg_CPR|{WqU3oH(|7~5e8N9V?59*bOW@uH5^;lwj z^~kboxwVf6HubaG7PNK!W6L=ef3_FdBUHGuzs?%G4DIW9AN?Qt?v@mVrEIK~Xf3T! zU4vn%r<*JM)ZZ+!0~Dtb+B)wREi*wmqD+R`F_cVT3P|J4I0=!@#j7{nUVQ@LBJCV7 zq4Tj?$T7|ZCK6Zs%Ms6`%9G;Wos>!g^Jo>!(8K1&kE6oWS)!RWTEhX!Bp#w&#ArnGdMz-P=G;hQbw{#t(vQ- zo{`o{JsPGLrqhYpz0zt12UV(|@+IM7+B;l$Vlrtr3<%jUEqh?Xu_Iwt;y_K%S zShf__1~m0}nia-hi2T=qS-Iw%F&&p#$F~#Xs&o=s&B}Scqp=EWG>5K$$iII&ot4qm znduspF=yQK^kv5$seC!}rSfmM(4`$Z%aJ%2_c2X9#^`WT*=lmqyaMKWz5isW@NE>q zwE8oit$-Lz#_a6GdAB0E?wNol%Q}@+96S!b0J>`a$6_3+MKP zzJ-RHsrK%P$YhM>tg5g?YS}_1d7sYvOvJDujyTSQ#S3;GFke>XzzX$ez7fyfrlqCt z($UK_*{Cp|?KrI3gnq$60^^m5NZe)}>rv;d=!q3)d}F%;*YvnldEx{-@8=wcns^0( z6L&~l^aY>z`FuUwkHmovmcr)+USg@J0)A)XD^|o^_Iy8|I3^fd^C%s6+f%y-GS;v*Z{(%tF&y7|+ zjHYM%m5ylaZ4B5@|ZA>e5$`BFu3GYf(;KNLX{&|CoQ1F z+kI&0i`JLP($#mUM&l9k+e&xnB`WWYG7x7UO`XqKs!QLooolH!OHaA@Q*$6ZWO(XQ zD?ZzDirssu*b;N|pDvBU^!VX-{*xx+ys4Nt=T_AFOC^f==M6u7{ZF0!42}rgW&T|D zH~Umrq8N0O{%4Vv*<2T)`)!fB;vUItVcX2@cBk-rcPN`OrTf~MFW~7ExogmdxLQH! z#o?tRXYBCE`%s;pCgXQj=V@B{abB)GFnr&84M_CBX0^Ts{Ecl!NbI1#VaEOaIG4?c z@2pZ9nOn;5+XV+#&ImW9TwAgSpxpbU@K4N3sXA!#wtHV{s|IFd^#|y_{dBc;E)Vr6 zw3gX*c}=$OitQVYaw5`QJ0?T2+34+W0y0Jr7tP1^-@tojm^(idXUet7fn?n%x}Jub z=7D&mtr4Y=jBnZY(zEm%pcEjFie%&Gnu=y4+a{ISXEIfX_Oj-ngJ!N5C1A2~+N5@0+=*^PK&{ryRXb%54tDDd))=Nl2DZ!}FASCm| zbe#hDn`yB=v`RvABjNf%2{gNF>M^V5mFPdMhEyjPApWEHS=l4r0me82fq-7*&AW0% zJf|emv#4`DVX-;kEl{F0noJbC?XnkJ!}JgU?7CV}u6NbX7bYH`@^9X@W&7V1PIb&@ z8({*nKig5((W5}qz^gNf2@S2-kMi}BiXJnPh@Fc9Wh*6R_X6?(yErP zX8|tIY$>hvkLr2zI0+9?Qc#mY{R^gFs_6Uge$k>VuVFne|N5TSbxjoG$Jk=yeAl1l z9L|Xq19fx&ZJKa|8h83vWqE$@`nRVwhmMM^9=wR8Yp8pb6BD zneRr5@Sj`nuW6;8(XOFmY!vN4{|b7?;o-Yl&whYmbT;P7*+^+N?Pc%0j^x^9d4F_@ z*D~+Wq0hMNyRbOy^K(Z{iF8~_L}yMYqrSu@#;jt5K`8TFS{yr*YBT{!j&cJb030a- z`6%@AYBy)prl|0(4mqd#mXDGmeHiWrZ{vS6=eDr%*Y&8oKDwNjc}K#)C-agQ7(Y+$ zz5!zSE$!_1z7`DX@8G<)SR*zYw6fZL>K-2)qPkHr&5P1dKMKsD5R&HvKvNEK1QEo(UDcQN}U%FIQuSl)_h>pyIVqu=*LerG3b?{4pZ7#4K|4TkHR{7Z$_KdE^F<8w5%d-8 z$ti7&?dki4s?i(nOrgl@jj=GxM7sKD2RfQz!gy2I)u8`#Jp_ewQ3}GcW7>f zClAg4Ti8z(2K)feR#10*7t(@kF(HMR6Fw8fvcIYK?cS}Jjzcwx<~GF(kZqz=Glx+7@?&qK1BAUbedz& z&&2MK-R#wHcJ}sJwc%%2s=3?mAm}KAW~WdKx;MViU)Mf)5Ou zZEU2z%H!XpeoKL6uw2buE_{6U)_)||^L=)nj~#7`6O>CXfHNX$K_G;~X|4=z=awS2F>f~8zuqE3`ej%Cg{uTUhc&`C}tD+%47vn`f|IHJ6 zdiF{7?%W+JbT=`VDaF=J;!dwa9($36h(Oi) z(&-&vr+I?w9x%W(7KipUCniKx;JCc3Ki08AN%cRN8~fwUGjbB1fi{LePe<}?zT!BI zX!e_!8;);wYOu6c?YPri(=It>n$xf;Q~9N0y5ELXxg5%R{dA^AEGBjWRln3lANrZ+ zLfytb|I6yxjOmVH+b5?*V(*&$ZJP39bUHq{=vlHO2uw^lwYwR>77d%ga}8FZ*8{6^ zU#uz%L6Fl7drcZtSCJ2s4OC742MPZeiD${#Yw4SbxHj0Kz6ZS*l8jI%d3|9=N>m@6 zOVQei4=$4_fj9FMboOz&PC*j!(YK6_=aBAUX6;in&h*&E5^|%dDO{rL6jFOLnTtX9 zb;tsI0m2KdqpJA#ZTIuA@zC{v^@IWjQT-QV6oZnV?(v$GOeUS2fXxa{^|evs-w~X| z;RCvMpOo}GgOKnvnUU^R@|MzJ94b?5aLlsM-V`s7{ca>+N5wd(8FkkPdmKq1IbL5_ zJT-$2hO(#+&E#uux0W*}*^TOO&EU!)fMNFJO8Q$n!Z zso_qUFO;~DA<@`S2od{4d=*_fVX3Sa--^iQs(s<-$(1lDs5LIBt8HtVe3Kc_@aWOa z#rc0HL?bG{S57*sKprT^r^<1o4T7zxkI^?IdE(~i2=Bqgjibf;$%D9h)kyd4l&o!O5 z>$i5i6}39aQuG5poyKvmOK-gV;nm;iytUr5ib2xY8G6W2S`d!+T-gYCsuRB1c5Z?<;w3lj%9DA zv%E4uWKX6}BZ3R8w+i73XGuP-`%eIg5y?)^?PI+TZCd=!M$QW1?G&YM@nY5P4Asv`Q!E8ULEV z3gDsl6x+r+ei_#T6YM$L?LnV~|M0V>_Y%ca_#SevF@E{zXXXh4V-jQf^oTK<;VR3y z7r0YV{7M=$-xLiad93gqNoBZ@{aBX2#Fq~ZTL=NO(P4S?Z)4uPdEbS@h)Lw5&ADxS zffqf=2RWuso}1>GKUV%ZlFBwUz)_meN9licTRamgOX|STD2C?bx8)PYAs*M22GRCe zRl41dj&avfp6jG6kabTvbDf_vK0ly4IKU(k%6)bQSuI1Zq5Eic;b{N)zotU_uSqt> zCgshr*njaEfc|%f>)QlQVKQ8BLC$NUJ#Uw((_%CM$7ASbrFgXtB3L4;NwsBDIL{>5 zu(!7z{~1^e)z_rrQR`lt+t={anwMq#`m5Z!Q>tU~85+OWRIGaNE={PL@jp>a;4)6U#V%Wp^5g zH7OKd-XnKWPjq~fNPPCqC@FUYUeYuU8MibSy^SvX#`~g)of0qw-Q%XbJp0>p`mxL+ zAaJzK*7$k+UNeW8f(yuTO&ps~()`StGun`=a1-6pT1B*u8|ydUCowpVr*Y#9?|e>o z+#q%4$PEsOIyp2WJ1|uO<=pLI9mjzaY+wW0akVI+wh=lpns20BzEwG{Gy#PoGedXy z5?IyN!_=KZwvO!E;Z9hz+R`3tC$KlSep|FemRa`TfOkNvE15~7$`909{u8@fyqWJc zs=sp^qo@`ctqhJ|k>$p0B_Bp-9AQ(VpOT(Lw?G3*>s6H*aYGHQExHiakZpDTgNd|` zrwc>#U!m{x=$KLY4!Mn;7OawVl!VrPax*rKKUaspI(BX+t)nS@NQ#04YNPivdIK2L zxKwB=t_6w0hAQ#Rrb7l^!FJM=E*#fE&*h$ZSE_j z>zKbu{X^p2twZSbiu4zWa^)eOozgQ&LtH0rA>Nsxou+e6NOuyBskhgRdvtwt`#== z8vI4=!HYU^8{L(!mLt>a=A&#TqMuqHO42?;M?QYNggzPrL4N$RTeqc|(54wYAK?2x z8Jq737|fkL$lQ(omwbF_a-H6Uh_99#?qBUw#3Wdy)VejCB_aUw%q_O^o{2z?46y9$ zyWlc+A@qqUm>dzc*1zHzuX@TAqXo_`NJf$+P@Z(8+@58uTn~|=6U%ZtSktt*E{B0 zx#+qG9gPGHZa~DgzQ!BZ;b8YVJRzLdq66|FTkk7QUT}(PeLmVw^zliD{VfoEp;YX< z(LL$k0}d(U0!*hTbX?+IWl<*c7L!2laFYi|;&tpYB1Hm__&*l-VFAVOHYcD`X~8`N zxI&j4GyDq!k}rS^ZR1pbV_ejiUyqRD?D96aV4{+%3p8pnc!{1FeXy%r84TsG1JKo3 zFgi<$5U108r~Mkas#mIVB@e(0?o;-i$$rdPe$qS1h!=wcq63Lza>Xx6VyvvK4LC<< z2RQ`|Qt;#LtS|aa`9iv(hq32$L&%nGs@iC>PY>DdsNH(~6{%J=Xysg-AY$Jxe&XH+smU8&1~r&|GxjW}z^^YKBKVM)zVI#k27g zU#E7sp>u$LhgUjP^njtEd-lXLT`O|y@)?T3k~(=Fn(~&Sr%ImaJZ8XJaieXfZq@0w z$IhYY)2NSvx6+`MC3f5}>_94O!=E26&wxCvDQ-v*FAaUwka{#=lT{IVv%sA3a!N$e zolu9xy6#oqxvdJWdml@CRye$p+_3} zH1ueHG3Icw4-;;>8tiap`rf5esm1DJfy%jA+^7GFJ-wX2!9K~7BphF-3=$Iq=I0My z{pH<2YE;h~)69yhuO2~^WQ=4a#q~M4<|wZ+-Ae((;g}NFuZhN>C6@=g;xQ?@l(!vx zq2T+wIC^9zI5mr9Pe;EJsqzdF`ccp(;UGGnVWz9`(ah_D$U@rs95Apix55P0pXnIu8``-r zFzA;f*UBKD62+h7|R_@|eLE*0Q8pq%u7T z^FOHP+flg6Ft1*3V9mt(9wgI!$e%#FEUNi!6?Tpu> z^)Y#a@M3D3F(FiDb*eD_hD@Q~pwnt}?8>ay^*4%F^Tz(rOOSqdxklFY#$x2GH{3*i zH`{w$m9O`Xh8UY2_ZwOKTh-AP&%3yJk3fWsgq8%a(ECVle65ujNDLs(y?73 zAm<*E0U*O6iYxj2%o2v-_@a8+hbq!y`SbH;;h1iDB~gWlB~do-36v!ZoDM;%WX0=D zj#WZL)_6#}i=GZ{^^>s6a1ufE;TKzvEbhaTh7_7;`%g!@�mDgzSy`(7g6C;p+NG zdBU~w+bG4j=YMv)@9yFY1U^nGk$dD-__J7myE{SyWm>tVQgLBz*5c;P>znX3irWgp zLLT=ns8>w)xi}N6faFt~mF+pWQo7>IY5tb$;q_NfcpcFNYW5z5KRF0M-#H4n5?}nr zT4_vJ1Zs8Fal)wu@<}={F1VGEfHNci^0_M%(bseHCgpbVE;8qHy2T^)Z27wjPp5I= z0EZ&Zc{AX$PMo_dWS+gq$i!!KzWcDKSrH+WiB2lmuU{`awEtII=i@6>-)o$_MgOrQ zp_`5Qp?=g+yu7G%r2CYf_2oJ3oZ@ti3fN6hOviDrJ zyBW@{zNd)B}>r+XV7(!IBNX9vCmG+9jb*gxCPN9rmC*p59`P%iuP0kL!`a&7w~ z4R%zy$N0#mqyF$~2e!5)LJt6d>;lV(MN94o%_A8{Kd|g-oL(@RU(<$X`mj5L)>1oxUK#U%1NH39Q^nBTV2mR-aD4WcD#iKyAAtIYo&n-{{{+clX z=}*HQ{n%Sx(sQhG_I0`M&mOd}kEr#GXWwtBqbuGwsUI<`Rb&swQB?DxFPrCHUKZz@ zKd@VEjtJ!6@><6zh1PFi$nn4?Fqdjh^^0hk%>QP1W=-AgWn}=oJ3_Um!u`d(&*8II zNT*wA0~xv77AUHfzXf8T-Sk_)D*h`s&*W~*DbAYYWmR>>9uLW4RLO{A>EY0sJWsq? z>g_p*`qtcaGI6{+j@c%47IN-li!26yl|g#Gs?6Q`M-GGa8PhNgi3Sen*Cqs)b$AWk z%>h78n_S~B#)9K4&!#%B@6ezB<9_FRQ1UN(13qOGd6Mq5sJpc49?50fQDP%7Of*ht z9(H%97M&Yy7=xLf;aY{|=1#U6>BRjvqwr|bacq2~q&3}XI$R2B{B&oM-F!N=VSsgv zk{B~1Kj|AEL8}|N2t?P;jws@e#Fso2{MAk#?B6nJd)O}}wae!8x0@*{8I6uGW$PzjrHH+6mtP{s zLK=_Eowq;x7g=^n5}FQogOH8nelNJU=&2Vw!;1k&hNjF)0B&DPz?AE8$24MKV5q=+ zvhzPa)zWDNtI09e5mQq!DY2;i_zn6}=HH&C_HgJ?#S(|7#?~RGP%IC1*H`;hAE7mV zu*804OK*U6kh@H3v;L7W=V^O3PXBh3S)02iUC9`&-sGlBMjrL2k8(^mnM8g@{556r z*U1z#;_%g822ws|oM`NoXhqj;w>0bQ7)Zn$#W`4P#HmwZE04D6x6+IrcAxkYIA| zERAu%?LhY-+q*wIEox8|Qlu5abOOWViJ#&R{7nziL*bfFmQ zo7xu8m{zIjd{hc!E$!$He;jwA%rjWjKMb7$Itf?bHj~M6&jd*!!gY~b_O`CVx&8FR z_wBiZZN)Dm`FG=wQ2b;3WMW@qS$!z8FM^r8^w);T? zuv!n|4L^?=?$*%zK1AcVe7qLT@S8nHeJ$!|eG{d8`_lzFS9xh)$T^K$e@WJLbPBxD za%q-@yzw`B12T}n7F^Ax2y|w2z>u(g$l$ER5u6O|IGy+-^#wZ6R0XK{AZ2*j)22pc zR>Z+)r>45^V1^zI!G)}?L%52*Bi!%gBe<$&R&%q`sl>3ugjmMVXHh%^Svb5pD8nL1 zjlG|5ZHD?tjWJ`d`ReWX{fU$pU5ojYuar(PB$3&Ww;@;CF&t7Z#Qd48Pd2rmDXONH z#lY&ajEvGFU|f#jz~HxNaailnFr0%Mdx5Gx9C?K>^7P_NJ>+1TKS%Q_rYT@81KbxP zZ#2VLT9&%uYgytM-)nsicD@;^42OtRG=))O(pNM1MU%a4vSMscI+8i zTi5cIxur0`Xqa8|Bs=7sWI5N`4g$5-`~$gG_p@cC6TS*CwZQ}HSQBWgb--%NH@HjA zk(s}qkZwr@)BF0o4XOQsdOPdY@)6|A)naJmJ42|L#L2j37M%Q6#X71zUpZ$spZ@e| zK7H)PSYC>`@%KuIdLDPKWKO0V|0}r{r@~r81e{3R8W0K1czPcvMgC5^$7}5H3aWHs z&}lprE(d(lR@<(8Cz`#Bm~PsX+LlZsOz{`I9T&{;C0^~XNd3739gu%-#ui?~>yN}o z{;qaaWhYkM$@P>$)^GiwV$1S89I?<*EV|su2T@KkL*@LK9-7wqta$8etn!H?1vH`K3wzLOMNG~(9Z|E+ic34oMPkxe`1DKF%y-@|^=RsA)^!%WY@ zLx-V$gZ-b`CkB>2HPR!N2V+Cd+|ka{Ro3a**7AJH_bS z1ByPr7mie`hmXQLJfICrt+}}7?0}zmJbzPu5YrBG%Q#$h4Qi}LnPS_e-sk*$RlE1y z=uh_5udTUbt3vk#vK$U~>rS<_!#jg=*WDogi|3&(WDN*k^x({@twgI2cIC^pkg9!1 z*DhvoYo`?hlFyr$@^kuZYJ?mAcr(0H%s!Pl82)VR1r zo?~&WDxX7v&_+kB{<9ETJ_1IAxc{m@gbmH{cMd_6FIN4RNg=c^af%wZUP%gpz)DpH zNBFl8>)UhG<}kRqgQH_z=<-S+v{7E!QY=8caB)n7X|8-c0XbhjY3N?MAqaSu?@S{y z7T~M?`Nne;o>C}VV2>By(*<_o%0e!qajO7cbA#Shh?zk2GPAgQm)X8K@pc*P|F!gI z)i^)fiEvo71m=%bF#YIV&F`vtX{FeTuVcYB{jK4Ax6=+cRN4WHM8!OPRaD|r z1;M-VFP9Nf^y6(jn2eEe8`+YaMwG_jq|}Mu@s1QkY{(0%^V32r4pgd4IP=r(QTu)gu{PygEKlHtPP+4QmB+-9^``Ve?A{=JE zOa8nw1j6aRK;V~|X`W4rvHH3Y)kb+n^8vDQZ5gU&retPQ|p1Mi& zxjpS~jS5;49%R%6Du>7a!8FV$aD8%5xwymD2l7o*K*9x6AJmRSXK^pP{^LcizopDpA+EBYYtek2R} zG6kk8`#p4r3FPrV$ue467u*|L^YglRy)lbBE{#j`0dJ2Y+L z`aC?O5f;$e=K3IG5uUNt3kT-51@`G6dyaV97-{g4s%pqoT44 zhx)0XcndU%pm$qq|ape+%j^yoG*|ftUOa*z7V86 z$K^OFWtqSMk0%%hh<+Q$a9qcSWV;T2hL4ffnmr4t11x?*rp|fDlz|1X=*$DFZ1>K; z4=QvY2vcQ%FfZ!wh(7dx^UcCVM6a^XEnhg_l?z5H@&Nw`cRhXO-g~+n6V_0Zp+wH5~NuRMH4^k7uPqU*Zb$ zF;_iB9)x#rJ34p}^Of=&wmk|UbkE$Ho2e6VlmH#6_}~teEw}pIr(e?l!a+XIFRc08 z`^~0Ia)1-pZN)8)=;ip*xKFJ8t3Z!={#(<+2nEHMl+S618vB&(`)1-mq5lH54jzq0K;8^CK1q~5UI(!qL$Ce$&Yv^Vn^2A)2 z(9D08O0t;q$lBtl*pa^!A50TL!QhH`Ye#phFb1CVW2B|&N6~jEuUmOwO%3M4U8o#q zF0YdN?*fQtL@VX8y!`BjR#}v^P5vl#0>P>HclFh!PqYQK1x)kNf1I1Tdmp@H{#6DD(p=#UdCL5w#{)yYO~_8gzDSYIX?Hyfgq z^6C08d1SfU5U!3}w&97SYygL(HczGF3)hb|Lhz<`|I!SK+Y=UTjr2S6{OB=Gbkp!0 z-H-a{I_l&sGr`(tB)u>C+Ql*Y8e|m(72Tf3@$g`)!Gr_m{aUFNMxLt$qGc z#n0rwf~*riGrFMsw>do&u=r*TRhJtT;Vcw!O`--Sd;=hZFkcAI@-+r9EcdKdbx6yp8ch30eBj}=UJQQ+{}V{}LC z!rwKypv$kiXlaU$0WRw9yM$ndO_%yAQZ@XZu=rklT~zmq&e%kZA7Zs5)-&1>BPG}q9R`sJmmu?^xVX`{jvM3&46lu#XI}tcwklEybGPWhzj_Xfe2}7Hm_jW|5 z8)6n#Y?tG%`5%Gk#ZN~Eab(|g0i=WKuDn~VEEw)wYS_;<_Iy<(?$%LV7Aov{W^G$h zcTuM?1>TbO&UA0_^dZ0I*H-*>j#T-J9Jkpt%oN*~Iv=V=`T$>FJcd^@y$G>m@Q|uw z>uGMcV_}6q0>Z}>@6E=?u)});b>?Pceb_NE?9T5t5c4X|gmsu;>a~PcGhD-l%@xrg zwn1ze399Ea7h=ic!*Y}PYUY7KfCBtojuYA^(ajKxZ2oxJ$q>6GbHM#B<5NPFOYxi= zH>y_w`#`860}w`tqtl)KiE{=?{NZs29T8UEgNWL$u=J5fKcdiM1%pJVj2f+=k)L)< z&u(zU%46O7TK?e~+9abgl{IBEs7~tKbb_?_2ZUc`mP-V zJL+z?ZcC={RrdUbkdZI3ky2Th)K zVC(6|?DwRI9S;$|Ie0^0>R@_ikbqEq z!N#F@{twY*l_Fg&uvIb6@kNp3^tctV2?HEs%g>JPg0d;(K;8P<`<2T zC28w!EBd^z?ePv`S1<_9#3Xwfo14$N=~7s`GHYTopQr1H@JYSrP__b z9y`rwQz>b2;VL`jlC|}v=lv&)MK6N3N*{exl2&YSMWGzOD zxLJ_V2orv86JGkI_;7L2?P%&mDand^5GDEd$>{QlH$3NNl1_*5J2yZ%i$6E>It?mH zSFC>Vh1w!=4N-fQ+aEax^7pJ5Dr3l*g&+J|Jwn6Z5YX{qRBjpVa0>=N3O!h_LLMk^ z6$+J;n9B89K5ykWb55@L$`I1pMb_mfuavKSM<;$D#}UgvGr{VuR?@Y+-E|W5 zFX>-oulqXB@Nb>wvp`J$NsYq;mU^#oXkfj>?P_@H?f}NKI27@1RWls&h3OvkD0^<7dShl^^e0-{27q!sRx-jWGL?{`Fj%sh zej1 zo%J|%Y6MVlZcE4dZ5b#!*gCjM`aF&+&b8Y-xLg(y{8=uA4r)Ei;Ca&6=IT+*4p zY`u7{^8z|+@}lHKmbEm8WIugb;vJJ__;YjJ)i5L~{l3>jd*k&f>zpDw(|xV?)>2D0 zaax%3XU#HJ6=rDjh1RmsIk2fxGI;sMVgzX0Q3^x_EnTGttU_!oH`iI?`TCqufI&ms;* zw}7I~bT-B6C^c&QmT{tWx1H8XN4_rtnps*SdKV7$*SXz(#tx&aN#2E;OBhEU_tGt^ zPJKprI-Ws5GrV$1!a9yS&C0C#Zy}W<`9#r2(NmrX=4*Mm_a2!d2n8X zXXNmVdLus$BuG0Gx1I+PM+v>EdHLR@CCt?#5(A)9PK^XObwwJh)mOcs5^|_ z=!JtjM{m*X!SiQ>i&Wl!BHEsIlQB@VJyS>HdW~si-LKa=!zJFrr6_J)M<%HrvP}NFu6>T~AQ2$p^>tkg z`7g|xoAuIMKab~Tf$ijvygzsj9A8*rM&<6O@_k6VAoLzo$G9(!A&n|nu$R7R#32c1VKO%UcqGdQ8`e>ndHcFT226 zq*8@!(#su_yqQ`{ad*v~Iz?sD4#pLH68L(;!s?l*pcFw454svvl;H1s=$eKrlAMEQ z>@71t*THAZ_Tt~`S&BShVHH|YizdC^9^Rr!Ts~3Kd*0%)5A3xJk~d-wv`B;Lr69^H zl#Mt=Uri7|i#mYDmz=EMib7s{+@ERTshKMFNG9-P{zwx)#^V$~bmURMu|zXo-&zp6 z%F2Oka5T?0st}OSSWDBT4MO8u;+?j{uD!DdjVw6t-0w6lv^L8Plk7vhNiYFfSb190 zpS;|bwfHX||7W<+n!CMUZFxsAPAHEp4iK^p%vqrel>%k7?7G4hfg?uaaU#u_8$%$( zBOvRN<`k3ngr!zrhKQ7FX}Y z#+HuM>U-`ot zR5*o-e490sfNVX@a)5fN(r)O7!c?NkX1$;J!5|wEluY-whFPJw>BSVW5n&w+6X-%+ z%i9qf$os=>^d{n^-cp zUqYvishQAB=rjB#G{X9 zEty7QNy+O1(P^GD<;LcZ=DPr2WEiM2!P1$kd^R<=kheifMQR;CxIVp08E>*?Va(Q1 zy?Rj`#6~|n_EHs^d%!MFJFY;CGkC>Hv7jM;t8O2iSiIMD_1(^He6lZ0&wfJS$~K$g z*vnKSh z&lS(S+=~{Gt#|uHBS{L5BQP{^=0>9YU1 zKQteT5FNMDX1-_sCY(}TtYjJ=aD;v*_9KJ%eEUY}&8_ADR4t@k+_OUDs)4{RZ*XG2t#X$&! zn;%p;c}i7HT|@IoOIcA5!*l^g6-tduBA-8I$@`cgzOi6HP;f|SShx--?E~?+nCKtB z;};pBpfs%@2NCHs^VC-)o5@d|RR$oL5Mh2%Lr`2o(o0HCMs~tm9KV5j>RB z($>+{Yx`zsWNc!(?(AwVFi>bw2CcbSZDzSlI%9o8@j66NG*fw?)Ys2HAUm)v`+R$6 zMC2fNAtpAC9G|e6m~;^W4SXk4HUG!hnNJ+3s1;F|i6Q&T@%zR?8qB$gaKAxChZ!Lm zhsSUU%)jy&kw;}t%0;) zRsSJdYu_Z*h@miR>oaQl85((U@W7!AYam7S(oljmIac+`$jdO;F5Kp!^Q|oJ^EnTr zZe6H9U;E_osjTa8!8hsXo$V$g?#xy=>Q#GKS|A+SFTwt1unn0UM*o8$~h!X6x za{bj$+XRL20{akN2rIX=6x*RCg!LX?N{Nki!WI=f3yEciyp>>LQ`O*b#hd`-Ay_`% zWRkRey!R#Kv7iqH*(b2o@^f%K#i}Q0@CTW3AF-;y8}j_5$qcg2|NWF zAu$JpU>03}!u13@ArK4TA@Mdi6fSS~4;MH8Bo-JP5fdmC{T0BD>{2Q(D8)!I{|^ro zI9eeKe*YFObS6{`HYM;G8W;_&>jeJ_92y`A7;FLl_oNpAtUIpXD=&UnB>n;HRFW1f z6pkzZ2sQv+YAPw0|6u_a=(!L9@cuIi3pXoI72gSe0pbBA-2s##^=|@^JOTZ2kv-Kz zWiP150V4kvdHN`7kVvWflX4<}ejv7bsRPn>Zv7Gn2poUE3F@Q+92WmU3sx&BI1mr^ zA|@ae67Et_O8+u25HkojFMLMW|0E+SofspM={Nx-C_}G*Ix!qoCng*JD0@L$O(bVC zb5MYPe+(838A1~VpZh8qFOCm?5&^tJ8Xx{!8QH^&BKTjK~`ZvquYIsY_#GW4ne zyK-*~?O?cdJ@AuMdF=tCCuZ%uy!fxC_#xv mkk|wO6?lis8TkRnY$ItYkTg(RRT4@i*^V6iKf6+zbm3P_Jq zf)vF<69b{CtP+|LF(57Pe&3vP&zT?dZ|2OLnfsb=9Ow)O3VH_503iSbfCBZBe7=vw zf5!id+)QQwe@8DTQ52v4{o=D)91e$6 zL=j%%0LE<2;lab+K}td}n85c8wR6HukKdjiklN=!W-tU$;X5ajW7CL+I&7j5bid98 z@G`a&r6|C+$KMiZ75(dyB19H3BvK*@UOE6#gYYiJLi|A2%PELF61Rm2TO;n4hQFxz z);L=O0ZK+H*yM`>r7a|V->Vsu{EEH|(}FI_wgjKL$#73<^GlaiYi@2QtS)`ANcN&_ z_V2Hl&d~J6wF;(sPgiVU@>X6U3EJ(OA`XdgC?9Q=y-9A`|gxW*wBm zi1CP(tMOF^y^lF112I#_)6|D0%bEZ`WB&wAtLjr`6m zqvVwSq?GVvAOqL{{G+1r*(Xv?r30?xlAw5#_S0mI-NUH>$B@S-G5i#sIv zjT0=OgXU%@P6nWnY*VO-j&Z~OPCejE%B|za;;kGy!~P3yFfe-rXboBi0s%LMDG(46 zOdgL@Ujckn*}$P-pv7|xaGwMY%1`G4F13z92@jryBm!;rWBP6f0IMb-4XizxnvifJ z`1pAIv6eXd#DwUppgy1n=mMKMfHt5!I|GOTB0Yd`jf0QESO3GTGYUv=@A#$J8T3wj zBp+DTns1K+XxpT%BGR5iCg;{U}VY zIXi__*?{^=C)AnpL3qIkSul@jiw|wV@D*5fD1~NWYy_B4N94B?fmPaaP|(3^k`rMe z+abY6f<6%C`;oN<2U$zcW6}Wm{@Gkwz~;l84YGkMc=Ncy{Gk_p!{3If`Lti^XzYX}s=W7d|a(8mFcRElo&QX3hD<5kk)DW@5 z}FKDAPLm>bfK zLofI@b)xcgj(pPQ{i6N(1>0lu%~ZV8wpaFDhAJ)ZU^t(5cLcHqQJGnAql# zO`G*woeo>=5a_bI;)9m8?WgN}{(jzmz7hX0|7DTbvL{)zc#ow#1^ZPz_yaW!!nUFO zLCRuSaT$-_GOAa$nS%I1Yu;Vv(>hl9y3pH*uu9IC`4 zQ}KeGz78q770i!>j@jUH!}U!&looqDr_u_ zw_at)Kuotj8NP1qa0k%0z~lQW27^ltq`kMbn=F^NjebRiO%L``d9CXkU&sB>1Mu-*3FxKxDjkOgA!cXk28*N3Pk}EzVl<#z z+PE1Rn4h}(vMHPbfopYGWp!%bB=?P7&yA2R8HVhdCN5O;2~WW%RSlK?>{g1VDD%PnwUqif8@WvyEC-lHCd!hP;Yc( zK`nq|3>(RPgseK+=1W;x$%Eik%a#v56iMvsHT}Y;V`=YcLksu+v1#u|-i_$7_(|sc zwwR5e(paP(UZIYhpZ;4TZ0jJa$87)&w%P#i~=A~yNTr3JIPO8&|6AXRRwS}{2gNCpDEyR^$ zkT;;xlSSxEmO>1p$cgMM>LP_v|>MQ(eggI$FLOf4R~~dCYN|T zP17{U=*pY$&BrEopL^fsm?pqAwqXH0Raig@>h)Q5?o0#}plvY;F{?2+GjA4>j$OUB znH0TRPM_Y2Lq^imw_a-G&^uHy4vt5*Bp1|oV*;A@_dONT zCb}D4&K+?T!bs7ShhA%~e5^_E)6bn#iTCPnIT9y2lzymj;>K9mu+_ZQ9psqa%dpBw zQQ3*;d{Gwn6=ZHy92AvB(fR&Rob3Y6)#fF{OHkLKt?S^pnTzp?m95uiTKz)iw)E{8 z25f=5op0T9exa&kC(e+suBNtEK)VJzX!GR-xbS%|>(+V0beX)Kmf>F)MP!6rgo?KE z_|UXBz{| zzJphe>u8z6BPdnUnn+~+Mp*}29%?sx*&!ywkD+4E?)pCYrom?Cyi#iet}-$3os^JcL*QjKC^`^_ z0N?>v2>{JBLYFDK8aQ4&r_KNMMC|pi#}S@jW`t-~gm)_jY6*j)8lKK>^Lii@12~ED z%2ZiM;t9?kSu_W6LOaki;SkiJMkW+e8rpwPWKy=*2wNv~dMUS|7D;UIjUc0;&ptCS zPhs(xmzRe6=@hxu(>Fs-xnxAM@WBKnNm?}uol0@b(}(5*Ey(p?p4&h9>n;B^5!x@f zr?82OaO;GyY`6eFH_m_X?vs;}zl7kWA#w|RvtNAb8r_TQw`Wzpz+Bo}x`?RlcYD_N z4rw{&-PNBU=_}r}^=sIZk&=63V>>k7Lgxe8BE4TQ!du8>-O#tE8zzb$a`)rd)n(E|3*fb*&6${+*(e z=9$WO&7p=iJ@aNO(IB6w6<2w;LL=$dMMrgoJj#q_ZA> z9DgwM(VDw4kk6-?pYhDg=ILaGMA<^W<=Urq|CKRS)&;y{gr!BpFG=Gfqn>xn^>A`n zsa{QCo#&W-d5chF#?B;xHsm97TFCF*O6Um_u9WKVWQWxmr)-yMizwdx+c?{SZ2RqX z4sRDUrm{*^^4OaT;mhXBvK(Fh@>1WDF|L&(UiiHxjh(JEttVfQ1 zA2r+9$X)r?#Yc!m-*uB0xVi{hpSgZ)4-Ap!37QwGvXR2;I?Qw5wM5Ny`5DdD;!g)v z(eEPd(i)}udcTxCCCxeXs3r#TZHX> zA-PJHiFH`z+v10M4YHB#BAv^vLy&odj8?TkG}cJUzZ{*fzLnoaZg&P$pthcaJ6$Gi zSin-MPVx@AwS(p?kapVeVCAZ^peU;9?75`My$Dt`aOo1I$^krlNoO5$S&p5jM{Y79 z>QP&lVaOD1ftyb$ynsC9-{94&3oLRInqRs=*;x9#RE2w_SlvtIQcsf0L?y3=F(?TiGv@7(TeCsczr7O-ruK9gsXv;QjMw6|aVaF$(6f59qX9}i1 z-i?^wIfxbDbdF?vrmR^k52mq2jL|mGN1oyzrTOTqzJh_3@KKdS7tw$s$)bdZo`48< zv=3S4$`!mCH3QmP!pQ=n5GjwK>I}7gnc5Me!07Q;upi$|Bf(vBzbpv1xAj?f57#ImR{_FrXNdpQ;m5RFa}nquoBK zn^_q+c{bP!Z>PtSPbM98Pr)aX6B|yQ-~*nX5l)nqq*Ny?FUpeWn6#T1le~}IY@51| zO_XukJM8JLXK1QKkfltg#>PF(z8SA!)^a?WK}jW_N>KxXJo$b}u}L;+iIfhEyC*x} z859Y2`vzw=C4DLc3`~is-Q&|4Y4@WNBo8r&hMpHf-cr*qj6RKe!;Xo$lb-k>9llII z+2RyMNtV;i{TT+l>q`+bIhG`JYDheZ?Ua1nVdLo0_z*z(Sdv6kJtLE08y$rWsY**0 zG%#Kg@{>xje3y(OdrR&=7Mt|JVdiWC5ll`Y$i(e1lmO!OrZ+8-r}|8h6y6sCixSL? zbNQ@8RLzzBofihC?Tbh`bbCixS3WmAh68y9vx!TYX45?_YF>$P_4aYogh7l0{r7|hDaaojf$ZgROW;wQ73ZrT6UvA>SomO z#Hi>YgTE^M;!{`|o(@ePZ=!O8rkVv}WKEA!BHx@}7Z6LrO2yls-b&!;}bh_DMYV2&E$-LX4mw zIrICsaK5fz3FRg>`sC8Q$G_*mGu<(m=le^fiq5qWSEEET*s>u8=aX>lBnj^!`jyY; z9i%6ZYF&C}Ef_EVtvbK8a{q7>Hod&^sYGpqe(9Z6`DBPOXS ziSHjAOwv!guG}pgB&My5GbB_xNbTr%CLWJ*U8g!DCih7jDjy6Pddfa_6pWWeynGpz z6gw@JnUqeXj|7#FRtYY#hAnZjjuXi>DPz4euBpGi~cMG1q(fd=3}d43~wjLL=EcSI<}im z?xR|*T?`sZ(eaWo)kwvI-$ZIr0Z<>@Ny_(wqJhz)h~l=yoX=8$VGk&MX|Y9)TCV?w zFz*{)Hq|4pLAczHSWiGV~aX@dUz(jdhG$;+$}Qnxi9+ ze>zZVTxTh{ZJt_{a4aE!$?t1mnnopdcxwI=yYB1$`UwQMWCFBBek9DT~E!C!poLPb6$05SP5gG{xV5Dm4XGZ0Op4Uy7zQIthVblj5vH`WxBJ~Nc8YunpMlrWAh z3>Y$el+~jjMRjWgQ8tl)!i(qd#D; zIh+Zyds$w7tQm}xI}@+`$>27^zxr5t(|O-?*74K-)X7-_uO~SfK*W$*zHRjfh}&OD zIr-7o;Cj%MwDPVI9h6#_+u`A~eKf;Z+ zvjPJBh3kBfWWT{d0_a5maM&En3wr~*&~LmnLMjipv(J|3GliKpuA+o(&5dzSF!6?sp`i0v_=>LO{_29zUsZb>(FXKQDU~ zLJ27uSnQC{j5u2|RNArV$}f}T!PP$&{a5Klik%>N>^>;gIT_gxg*{6B7I7%AWP60x zQ(CA6E^I0iO0OoNeBBEa%e7X~%J56?>HI)c6VI%M3_Kh0{<5U4yj(E!~93-c&f|rKARf;GHi$Nt@SvR(QC< zn%C%jtRPr8fn0)Acon}A6gCqoSETB5mCH={(t!v2lvW-BC!6{Ma}ueW`Fe*o;b#pS zodV{=R*HL)E^La_9mI@&+zJAD^I<{ohSE~L*>s^V|5ZJXIP(SQ zGW+|gcO5@bj%G$${Q^Sq<1W%M5aoIQs=n|ou}0NIS%YNBIr-!Mnnhbo-JosxnxZ>- zTzr12^%_Hp^wTkK<$zuK?tMzW%pnDNF6d1!tm z`y8+-i`l6|EUlX=ma2Jq+u^(qb;%ifUyd&KtiL5ALnNGNdw=4eh*^E>9CAK#Z>k42 zS%n>k!IjMnGOnvbI`r`9Z-0^)B$~pmhCm5YOQ6~5+t$x{23D#!iBe3fs9vYFUHp4F zU_oL*&PtUQP`3$6TtM4yH{#p*K$w>xzl$QAvt36KO%TD^kkKbDe>~e#5>{gz9gjQPc*~Wj_^fvMwEVlKC{WNwgc>6)FD?1 zBHIUOdo+c>b%b_`tQ;(q54Gq?7o}~K2x)}wVCnui+Kkzir7tj4AbC=gS#;>wC7mXt z4)7W!hVC@27K*DCcAIv_Cem8N1kHMTL(!?n_xu^=cI8=$^h40HKu~R(G6fli7&t;6$g~eYC;0j)2tltuK|8!i|;xg>) z3M)Ry(6a$$2X+ycw5?7@K$AT4533#L z0SNU~A@9JWy>w&x%QB|=`<;CaxLE_1$}6)tOTkt6tHste$&_7mCY8rARp$;g4l;JinjKx z5IGmY;L#phzpT^O5SQ5y*)w_jOaUtG=e`1LL7*kx)a5POD9W6eH>_eu9E#o-;$e%9 z2_yxJc1foF7Y`f!XW2HAREVm*wB>U%yS#S@|5gXuVV|o=LZ6NA_}cayey0?eE14vD zoAqFypK!RsNEj#Fh&lk~?(tz~?z1=Db4LG}`!qx1`B)8jZNwBm(Gv|x2=4eEg=BzYtC$}Hi%NrLA+-B0& zJoZMHM&B5YmbSC`!U#mJa6qnX-YCiDCv_bGYZEeKrf%OFT9v1CSCS9e<$f{i*EnyBJE>6>pcVW3^g6wz^A>&Qyw}K)`W?HQ@1<&ry z80o&wUYd*?Pb|7o+2z?;l8D-#yY*b#GeyBNhSN0o7oJ!ydh3;++i$Bg?cy0dzr;uf zj$ush*5h%;Qhedx>r6h?RIE*`95L0#7Hw7C{u6bwWqei56bs0Va%C|LtlOP~4#_db z_%s0(7V|2UePVULDVoXEV8fyQa;~2X-n$hnGXT6cJE4XKhzXq+@%p{ZVeJG#g1Jq8 z;GnWmz~#uVN^$syUmb2ZYFP z?%xsg-E8Q$FoN8ciZ}mpkoG2TMDCEW2RU-6bjaYlO}BYnxmr0TPBIPuTdGyu4r&mA z>k~`Ok}^ZlhTSGdy2mFaq0leyR^4Rw?L_QhAhN21NVU>hSN_GNc#^~BJ`%lEn?pCTt8MH|~GcaMFLCZ*(>1ujs zU6Y@>*Y86&6W5_cgiGK`2#?U8iKHl<*M`c=`9;WH+&`}rX#;=af~=Ylmbo(^jh=xm z9Fo7Doh*fbU5@u}C3R8N7QXxSFTdUrhn^qr}Ov6ne%O>=QAdThl1$#r4yNl?w zqZN+U2opiBI;@l#KE`&52yDZBuM_<8`pabWAXurWUKS?Sz#7CT7rsxWx81KTOGpM0 zR;41n?jn9yKQc|F&t+A3npg8hc9 zRJOTi!J_;@JoEh9<~IyDn_$zeS@&x>Qn670-*RgnYl65tlR~gyQUn|6cwM7!HQ^@W zPaBW-rt*Uxe-7vWPUIFFT>l-UxE%RwxaCy~ik4T9<7k`8+1ouw&~g=D@pf>$6FH;3 zOrMg~74Wrde^U*rH9QHyI+g7E`$GEP&N;HQZMgnY#b-fgAIdDKZMyESJ%$#NACE-V zbm~KZSncXR^9o3`rxx#`(#z#u+h?TBcOP9f^Zf3u=D(<4xJ2$afx1!0SP4V_e2J-0 zz_u!qjpGaH3AJ9551h*VPivQS(PtNUaeq?^>Jp?vqnyBp$>Sl+rMDJ^$6eHfz7~}D zvX@@sHU(a*tT=JIa9iI=tGAsW-#O@!w4A3*@}ZPSnPU-iLbP+2|5J z^HtBXh3)JXFgSn}Hhv)S4$>1`qJCqXw7%ntxpBlROCTOogVt{fc)aOt9YPArnXv%I@3 z;DXH2XqeE5@ziWm{?I(uJMm_1YD{5Y0nvNCtJ-C8>Dp{PY8*@-oA9ZrVCfvr`O6aL ztzD>4sVt!DHAECRbbmSz$iDZ-swLo?6f=~bRShO~54Cogcl(Gc9zx=6l>E;b!C^WF z#~S=7bb$K+)}<7cmzowYLnX|1{p*~{J`N)v_kvNWJiq;YO@A&FeqmU#|S=HmcsxmldUE~K%A;pa2!=K?4e3GnLB)4U_4NN8YE($o5=e>!{ zHH#3Ya<$t4t~_K2a^jBZTJn;p!r$JqRrmF)6?1k;KZSGpgv+!tJ_>r+Yxn5{Ye{t6 z)hS4<8`3s^m1=_h+S_)n9`ZAoa4+Bp$ZA|YazSAnuTrbtrDPOvH9+$rbJSqV3{Agk zPWy3gx~H(q^2ZDLAjxu_^IOfbh`~*XEOF&w*{HHg$-mXkiRTQmM5mO^;%Ze@tTm)Q zkdE6uEiC0dg=OxJ0v*a~PpwUwe%O>7QG0#bjdGAc^6@%#`{f^HUHdEJf2#9dfndVB zHcl@!=CLzN0Cw#H!~q^w3PF_(0gJFNDN06#fe?OqO$8^Rt6*Vb8D>)stz zD)bF&S$^8kUU64x_|(8j+YT^Jt;fL6(nu34-a1h-d|6x{T}qwWtnk71q3oaLv+5>} zqSDJXnA#1|`TM70S5eK8$I#!b4%olgmmZ?ju)pi)#<1IFD(!t&kDbGx>9x>1Df=p} z;8H|6fxA*!Qaq7<`#$N!5Ov~Oucp*NDG^I=D`Ftl_qC^-Oo`gnpEPi^EEL!Zf5o*u zkr)o`Z`$$h^nq{uwCL%{(DRMCsnzN@@bhMaZuK~#Tqo*@k~G!jO}VntMSQ{KW9F_Z z%$dJjpfT}cx68Fw+8aRWAV*)o-P2_mZ7>oqAY}nr=a;cACqzn+)e?%l(~=EKhReh7 zSN~zEOaH)I$7jQGU!A>cBOW5_TtMfCzTo^RV87rr{Sh@3)hXQ(^`?CygoqYz@=8=6 z?)GT5KI-UwQ^65KUA(s_KH_U9)A&^G1uWo-hn=QcxvP5oU;Q9(FWx-Q#nu$}vxuA% zSaVpBc{MiX#08O)D{Qj8QsK97M})u9UC;PsS)4nMQ1JQ;rW?=G797}ID+yn>mFNA` z3fj}LOYSLY>NLe+r^CtCb^JB(13A9#xi<#+&qh?E2L>!+@-v_Yyt$$|UzKEUVGmN9 z21?SGTya$bwE2wpcr!iX$=`CNZ0O(TE>~zuhFoeLnov^=^vbf2HZ#J+3WlhC^H@9o6vu+V>pKc;m)ilKBtk}rt#*G7oE zIk{p)ciPaJSa$vxzwDh5GWLZ(+G9AG`<2U2M$lL~;Mvj(dAeufb665QQ7xbKH9NU* z!hT$t-UE!6dnSa}FA~7J3iN)`4!Ek+`pPlkvTo32+Gy5R?)S@AyC*g;r|t+m@xZ!R z3*YJW+G<^{oa=Wl1^maJZz`!6s)dTQyN#OKuFcq&s^EYb8E?baup@Ba-k{QnZL`)m zRouQ-@V^n8w zh;n+WN00BXS5s#(&?lk^JDWM~A98xe$=V7-s$N(-={1XW;onO8@M?)?r&TT`-lGXh z((>2Tn+N71cW+)%(TX7$y)(q*&6M4pi1e-lc@b5=j)f_~e#6B=p)!@ds8YBeOE+6Z zb<)JwQ>ODR-;}K-0Mlp@P?Azr-Q@J{?L2uSDA8$A#LC&ez)tsvpC3%OJ2#kan|qKk z25+yi%%AzkqT>(_9uS(lU&Br%x`)rX3gLJ|Ih~2nf{yP1)qaL_Gu?FtekI*9{4z*3 zkGMoJ?bra6N(Oyg+3>l);4nOu^A)l298RsE-c5IgZ{JQcqq;x%o8_;0+iu$j!O4Qg z-k<$|aKFb(v!>c0dDUbq_;eL#rB2yBx4F&v>nv0V$uZ+0J2F+x5h7?!yi~O zS&HP87AI~u0v$mbrqCB1s!DsXj{HCh@nlCtzLanWLMuuK7F@293)a0a%&TkD$s6d` zw3|0qC8pigz?&`{!BgpT_)NG8vj%nI|9sDtYvvAEtJ%#fsuL4VLeO#@Gf@+4+#K8fl2J{+2?jK3n{pbhYE-3n6U z3wtweBLQxU4G5*6i~D3T9N88?Lze-Yzc2YnVnT3J4IB0N@?; z`mzA9Q>9A)K6Xz_4G9d~bBD>IDg;}kq#@lbkx?|?Vl)FunYZ}TO`TI=*^n2`YwW<) zZV>ZIE=)hFFp?r@;0)RKf^I>*VfcqfL#a|SBH-ze16p*iI-Z(U7ZC!OxbWPn#phxux6o*5~nzChL{hYS2Bz#`9NzbNZM zh91iM14p>`;r1XMS^o6w_PGNxuZJ?+t_7$}ETc~ow+A}1K59*?QTJy9Z5Z^+Q~qkA zpiD_-DiXLOR5I}9@EuOyUdE$S_ljSHFE79;+Hv>Jy%C>E{KOkU5C%cNUfc`u-nFsl}#wB>$bGgC8X zCasV3vu0hY57_kP3W>}7yYvkE`1wJJfpDMGmTugK3cUJA1?>|IvX03_nq79^Kl1J>E+ z$K|w)H7Jbtp`sj=@$Ht#!~YE0+c-&5cVuv_#X*D<3Wi<89>)|}1^S(zYkYcHV-6i! z!7sbR<2N37x1gk$E);rq6EySVNUQ)>g|c;I+{S;4&|;a-n_Z4Uph%jXQ`A{3QlR?- z;Q-qQ21V{;dMA0IZ6wzy*b&37bSbqkMvDxedJcUh=`JEjW0s(&IMgIcntQg_Vj)J$ z=f(sX$cmy`Aq{}oD`;=PWf?*ao002xmEq?qjmBZ01mbHaF`(@0;nWLi^#7jQhV4Sy zwD7UA@T321#^;yDvFY*;(8N%5j*^NRq#&@?L|o;1zq}u!#il+z4k9G7DK4cDDb)G6 zFG+?DXUV0hC?_4vUA-qzlG`QvS@}L{nNH>D`6s_%z`G9=@eTCDLUL)@SL)B)CfJ9# zqMh8jA23`&AIC~1qe{pC8vhy%y~$ULDb}mCTM1Q5M{?4Eqnk}piBP-6m{Whf8^!=q zk?c^k7vICr+uq+5@c3cx<)U{zH0a5M+=(I~QS3+Qullf@Q15X<>AOwe3JJxBOQpPL znb?w%(Ecq1=|_*qpq)_A-=AsA^@O`5U}0(5ZV+5If;g~Y_#BAX&gVSa>O7Egus)RD zJoar*!xNZ3Y1yq~Pm;I!y`jz19!YdQkixQRtt$+5Z*?lRx4iRUzI{=av{2+AA}?{N zyfi1YM0k>LvvX-aQRMGOb*b}v4q5VrwI>Evn;`8u?8z!{X}P=gVc3PA?1dwjKR!6q z00pHEdvMYJbnzsoiKhGK2Qz!?t!mmj?gQ;=)HOLhIZycexl|hBUO;|bu&Y^dX-CV# zbpxW@OLkz1{?YDNk%90ug$RE0cq6Q-272diL^ZCxDRjlRv`nv(Fp%j}GU9v;r1pCEvR89#bSXAW8(xvxfh^j#y->>(*S^iQSy0zyzye2UMb8JN8v2Orw|(Ta z!Vd1bzDN3$2>}EL_bqUWbL%Wfo}yPOPIq=QyaKgm#LgUVpA{>;v$t zqjNesg;mJk>Mb3=2RCC1UGmut5PYKXt_-#Xpb6Z4%x{xhe&azHmwfN_rrwQou-6a<{b>)y^hTZC(TLoWUXFE-k zw}JOpTj+=~!bvWjx`n^Av$tXZo-u{ELD=#*Z*CCkmWA1)=^pOnk zg}*t$e=dJeHpRsL3?>C03?fo2&6awS1fqW7PVWRRH5ztFJ4-3O6Uf0_p0jKGdsW%I z{D4p5=&Ej5J_DUxl$329Nu&Q#hs0dM0D-QvRts>QpN<~3%eW?>suQlS} z5#(43k^Vc`ly9A!j<=3V*G`V2X#R*_y1{w>1ekN!$LC>|%B;!hOBn04x-cQ3jisCn zEXqlMB*~lT$H!9!0}zEt2;2y-<>|&Z%h% zXV;K#O{EyldJA$ub$$i|g+_5&;&|9l@6;2UO^Ye>#7B0Lqt?Z)a>cntEUI>1rM%_AM(0(Nc+z({sS^X`gPs} z-#&2xk2G-X#XzcMBx9ik7f#5!R8sErE`7W*`Xs_1E8W8KS{DpGNasH_%)1zZdb5A?+?-dD ztvaC+88)Bj0mWM-O=^&LdV-6abjke}^6v&&(+L^@0wPc0c)Z5LqFoi5X|rS%fyW{7 z@1J0b?;dg|>iRU*$GEII$Je7%snDo2DB*D|SPP}c$sM<84-!=HieZ ztIds1aQ5{*U;SDZdjban&ylcTW2{I|I5gfUae%Kplt? z@?rD|*bF7btgc2;5`RwFV0_u`Nnu%0)I`oqGDNxuo)1A3nZ}tLQJoGX1eW&V|Al!U~|bSF@#IWc*~^p9Srd?PuA1~2zrJrN;OUC&Y=z2A06pC2enBA`xc8r=%+rPn}#^P@W|&et-q$tC)W1 zDUR#^xV+RR?cZv$^s8^0W;!U@{pQuu^T;eL(pF%Naf0O$>JrjEf)N2l&dmMmd<`vl z#JqZhOqSojKDA$GDU&m<5@q3Q9}dvu5w4MfmV)h*nd3v^ zOvCX0o}~ed!AD`kE}S8e`%bihxn}ucRY7HS6`rm^s*snyyDLQTXJ(;LXc9J)J9o#i z-|5`ggai5~#EUC=1DqDnM*!v`h9-rA!J-B>YfD$VyF+|JyqC`Z2ytA>GNXgg??~FP zl@=a7aL6f-(SeB^dZzrlWuyX9qg-vZ?VnQ-FL^NsIGU6sDr$O6V{UlCWsz(fnzQf2 zj}ZvhxPH}Ev{TQ1z>rNL#~a*>`XqRN}XL0-MUQ$b(- zN7roYe96sLV_=o--hX{+8vUUgAE4VPe~7^nG5T0_KXU7theUc3^e$7d==(x5YhX%Q z!nba)>!QTU;3#CIv>rM@Gv%%J0sB`$NZX(K0ez|&?LE=eje*hGR~lYaXOydx6vsp% z9<_oesddm=Sxa77m$mnDM|j+2sd)IrtLTsj%oe z!wx*ySYCQ+*G~xe=|}7NszXi{!LYu;;y@<(iL4N*X5{ba#b+=%^8Kkk(j<9g$j(*v z@(3cfGBZV>5^|AXCp1t8eFH3|)Yp8gbY{4b<|`8hbadM)VJPi)@6eXjaaz!^l;hq8 zT?qYjnON^EUE3^=mGbic`ru@294;x=ahWk6tC{DMAl+b}+*MjQqyw*tITUgf3?2Hn zToA>Wco`!$xX#a`s_ob=IQ$zh!ecDQN~M46UgOzn88SX5#S(RH<&D(Gz<}dXmul3* zJ&zeuVW{_T=4?iuJ-pGuja7Y|@T0C6EeHLh zB!QS`#%RUp63rV8ay5Ng;3MZj0QGltk##ST;4`lir;%MDsM?1Tl@x@Mlxw>3pd^XN z@rwb&aP@a3zYHTu;wMK`z77#c5}{-Hj^j#l5H#tLMIF6Pi(4>vCO#*q)=?> z>-?u9(6TooDft5ZGs{T4B@qm=n{ zFB5qxFLN>zsFN(0;J;iBIqpyK0?9?F0&n4Im)}r^6aeJ@&Z(})biqUH+>gr|4 zAtsyvNy4>ucLFI)6m2m3KcT5K;GdgLcb#LiR!R!{E zn03yzuM_2C4AnqQ0~M(p_1PRX)YLigno_KIwgBBf$LRI2B!f9o>nmuJ$`HRADc+{C zzie(o8zm5z#aMtzKmMMtahQ4OO1qf>Y3n9;HhKMK;W-R5*WoM`?+3-7-zb~)qH4os zFE{udTL2#~)~96nE!>=54(Hy~!2>HM_$ws*h3|DQt5u9q_4L-*W_jQmP*O^G#~-wM zl`g0KO5v_IXdbk|vf4tbA~Mk9A5blFWN4}4_PyZ7QD4a?RqZP(ez@3I#6u+bUR+{I zh7xd3WF2DK(%J_kP>__Q;8^>NMn;lXidP%hXWvpONheGXz-=~vBM=q_1Qm9b2+fQ( zl(RPvE)DzAnD6Zc4ncz-559X_tBP-`+Se%zY)ovh_wptHSWn)tQ0(f2LYpFgq8O)w zP#pa_0UOoh=Gkhzlp(`gJO3GM6nv*NVK7f%S4#A^Bc%Z)_aun)1$1g-te0#ISlSnW z@6Rc%Vd4l{>>cdRc54vAx7{?gBmjAt`%t?adho?ts|kG>sABwH>o5rs&>^UnmcY-+ ze?-Za5HGb>=u{|HG~nm$EeYjq3uVZ?(`D@{s?{R9HuD&{WcR?lPpm;V52c$<&3s`J z2Hoe)|SFz;OL*-o&GI~N${Jk6x9CWFM5igoT;5h5h>bsuw z;rs2rs6&L7Wdw;WZ`TLAAbugUJ`ikx6aP_`i)kqP`{^MqM(3) zO}!T>yDz}_Dgmk^c=XZXMM%5~_oy;5lX8IWqtnUj(jKrH?nx6ml-l|?RO4xqpqNst zIDOJY?r#VM#+csY+sWxq!dOtC@rZu5HmkAppbfudwyeK17bxa?@{Lw@>WII#pO#Yi z?WMU6>eIIQv}s!#8gl3WqVt6PuWGHX?Z=M~H5!DulufPRB z>tqTl_up>*UgHKr1o3+ExU-o7Ot zLSD7A>!GqX34f$O#bEl{P7OR|e4G9r{G$EF4L3l-rb(sLE&oOa(umFHqx|r&x8GROQg~G+Z%mY z{B4urob5uV6T;q>sg;IDR~Kkp$?~=v$m+$IN_F({WoeqgV@!TpnB+-wVipt>bwN1H zGx2KNBirTC`8PZd*QJOW6+P_J`=-HDd$JO+rNYa0D5pPZmd9b%5k7T62p5l3#qIK_ zz0rljAX-zqB+C8L;sxaEA6rJtX=tNQq1;=TlFsXQ$w}Vl3ve5%5C--|#E#N!rQV$) z1t5;s_n!9n_Lsru?ef8ID&xU(4!I_1iF@9qts*ZRI_%5sT3MUEx&JzObSqCg1ns@o z)k*2S@HWj+M@#O;Yu;3@y^gs}NI3%mFz~qxp(;?>F@G0b^f<~{;4q-Ew3kr^8 zN(sL1I^}Y1Lng^e2$nZlk(xKDJ&i()D2OXA$OCodg2{v0dBXZag+}0*cBh*=nc!$u zH3vsk`g8E}qjL-ATBo0puvr~+v-~3)p<)mg)*MqYc!&=jf(eG`|{M+{sdp&by)Fpv54$UK}?}mn6|!T=(dc5pV~< zE1oX-Wa$Gf9BN#c2KXeJ9dxrw2py+}Wj$ zCEHuz5s!o;esvaq9+xy{GPgb7{0c_vnbjK+*;Y8+jOQ3_>dQjf58);5l0>#J+r#f{893sS!B@|14<$@7=QDtqOHA>tk0LfpMb@aw(P zC+D~LyyyQk(uMHJx0f#EClQY2Irs-`wIDfaP5g$Yu0WO=kCxQ405oSvigYccMP2TZ zpjWp`UOUTi<#Tu`AzgkxB>^5X+j?$(qaSz22*sjkoNdS8+f1(#(zUQu;zw|uF2 zt!$_Jrkuvd7&iZRd4*}x>P|bz$c!PS_ZR+;p|kL7vJIo~dSi@jbi?Q_rMp9BLtt~K&=wtnw&{F{sXL(4|uehH}B|k5hvc`Mq8ITI=CX(89eq2as{bY(x z3r*pWChED0>qVV8`btj&^wfSUG&ypfW3;mMZU90E`7d~NjcE-pD#CoOMcpcGrGP5n zf$BuRyEaJYRCw3H8AiF=O4)OaK`sL$f40X-o%oUEC1_vdfG@IrCi5pq=ci-iFP-kp z@Xyu=`P|^V`ruJ!fgeEL?6r|R+rxtV$^LvInmvEm#IssmI)4^&dO%$V;EqS$$Qe@K znbs(Rs=M$n4OO*a6G3iQrKM8IgPf3j;`1Ut zSyC~oQpJ8C9~VD+=YvKkso%WJjr)Q3E|wGk@xSM205sndb{XBBE`k?!W_G4BGiT0z z$u4eG5OA4FXAGiFC#T!jJD8TLNIpm+RxAALfA5#M56E6&Lhm*M03N*E-I{qoxq5gc zgCM%b&JN1JUC+EwCyr^25fMqdyhN?pWKQx}SXq4sOkoJ~xunKWI%)bh!xi>nCI7?m z5qGTeAX>euR+U@Ykg17~zL^S1mTQ-2dvtshHg=&rY%m`#P@bkG*Jvgqy3N~{l#nHm z%BZFwlNlq@vYFN?D#JHp8HU%}3tK33stGW6RW2(3vn+3}y>op_x4|ecakv-m>BG4l zabaeE@b>s8uN7+Qrj4dv0INm;V$?7&3nObHyVLM(Ckn-ugNY^=-Xctd>bqE3o=oxG;(^aGZG8A+ zpq?Eo$}`Bl-01Sxjq7B*WC5xo4|g>$9VSKaUEv}Z`<@=9{TDFamDe++CCX|tDFcXa z5#n^$S@hb{lGv|ghxPN;&b}gn=`HDRI;}rwG$&Lxv$hH4A}e)Hc36k2SJ;8V7mE!?cLY})fTWg9a5sJGmftce z@HG5<@NuRb#`cfwF7?pIwjB)86u;oOtv^115<;;GS9eI#b@Vq)0@>u;KNyfW@$#0RHpmxgLD&< zP)B)*5~VU+rQ#^yk|iTRmm!zLQ^K!nRs-#x+z0z2dl_1`qOv9^W<8mge`?J92K6hm zmv*&vgA&TBe?@gT#lcjXt_~ZpM7-)Bz{FJOhhmzQH-WmYBG% zHXwW&julTGLWa<1I%z1w&=<4Iq%SOP=}v+*_9kZ1_sb)Y`z?-w`50~wQO-GE%%q8t z2i)6&{lDj1;&Vkw*=z1^rJeV;{}vvIoM}lCNaBPpiA^iEZ+iWZ*s%F zJug7Rs?mVt4h0_i7d!PbNWFdqF3OxKZA1He;>3RAa~kle_|U6mFX+nmxc-a z)LNt9w9Y$#6?hku{o~mNVG3pJe7)LThkVynr8$L4vcyua?i6M~H)+U~LdTg%|D8lm zwMPAGhii-$6T=ffS-|mpmWk4&8>S;#p6mUDWZ8u#Xx^_Ljz}>gv#GiB20Z|959}De z_Z7_rI2Q_!2t=SMm}}~8Sw)6}_YWzzuEkwD2rXc{X{21ygr_~tJ09!0!J=U{_na%6 z0q5w^&unHN$0?ZKkMw@Lbw64unntn1MsypEU)pQ6@y&$`B4VPin%SD z>!ZM1k5p+`r@KVNGcvdBJqKkI{;|4yshUH}FN-;-k$7-G%=DB67_ZTf1h<7Y>`7H? zQZl3K<#v1?6c4HlWMra>pS6YOENA%dRhscuo@jH`f}CrYjpKk#7!NF zam+`n;X*Bany-g4gtz~O255e8OW#og>2N7?D4|?Gy#jGSbKja6XSLBlZ3lGR6s)kG z6i$n|xlD9|C?1qWvD{le(m^Kecoke}(_kH&o&~&Kt*x~!!aJTq|3W;~slPO$FQiJkPw7EH_&AT}5ETRC)+aa=P&trxJLLNlPF| z&tLeW0S)Wl(s|Z=e(O}_XiMM%mZLAx>MoQOor)HCENEoFAEv}K5h}*|) z{<(En4DM!`W_9xso!>|{q`1}+S3Zjs%N+6!GA2+k6yzT-dy%MlPVux)LDzgr^GFKu za1`RgZN`S5sq?1hZ-Qs>`DuhLsb?ME9!X%G~8=q`P#5LcmrYpb=TZ@9yK{+yt*Wom8J0-&5vwjr~Bv7?weE#zO>{Qd^C;*lUE84(8&v*m# zWCfmLBq3La($1ScuA&|MS6Db}iI!};{$Jjk?wiJ_UdAdLXVF)*86!V7n9TRF@f7_rw04&s)j09_ zs$wF?4})NT&KS+k`@LU{h+gR8A9;UKtE4}s`?QNkiSo*L-$JdLTJybW0>ABg$#Y7I zwf6Ht)FTB)pue1UlzCKB*h>7$Fe;80Jv%MMrcL$B>)fqbaScKq_^$Ad`0r21eEgY+ z4zWFsxj-4YSL zFPrJw8u;0oa2>@R=9m4i!*-iv^_CvjUWcyi^;2U%0ry3T1;($CJ>sPVbxX%ez9-j) zF7Gn?Zi_|N)3mZXI&0ky4-h?wczT=^nE4L0K5-6W{EEF*OX|%9W%ytX%ri-Vz(XOW zzT@|dI1YndQ$yZ=BBVZP|+N#6eF!dIgjV>ux~+6j>7`Q zyi1zW4o->d=5;Y^t^poU(l^3CQs^#GxtitEGU*f4?9B)YYzKzKzYNtzmEW%5Q=Qvv zVv2yvBt$_hH)!gwI+61X6Gnb&cfJ04Ul@kDR`Cm(os~#Ruap~SaPkoPe?+SEF`$P$ z64wGO4;T#$X=V(Y)zsLUmhk*W?W3(pElBT&q#n930Ln3S4G9Qp4+iLdfD^Y8c1mfLhR*DkVT(aAgQZ7Zq!V~Z=e<4$tceaW;x^u_6-H+rxn|>v zRP!>49a-Y=at7YrJhn%9uGjh7-_vZfEc0Cmh+wPzN|;QD6x4-sp|59X53@GT&Ys?_ z_PXku;T0S$j-+);P#ZycV?YtgWt%G_vn8ryXP{|Sb~GLYa+cc^X@kv=yAFIE)^-t{>2NxoDosYYnqW6Q#Y+4YsYJR?)3R`t?0jfS{vh^ z&dgse`lCg0^|3aPS?Nl8E3r1vg7{1AGf;0+AZc(h$mjT#6!rAfujhSdd8}1hIN-r7 z&gk6)`LjBfaR%|$)LJ?>e3eO>295v#z7K~;uH=>g^qD&L5z zO1&BpzH9Z@3|={S4yfmatw?IB$bU_i7W6dH@g9r!kmSiIg0XtW!GWAvext7moDDL7 zqRIwAAdW3<>eUyfH-`*1hPZJr_Yyw)!~Ph9o5B5Xs33ht*&{uvZ_KtWU|B?Ky|NXux>fa$3`_Fchx091ufVHJ_ui2zVAr`z% zj{&gDJ!fD^V%x*kVtEhmTZ>3tlFUWc4?&(<20wRb&M)XnZ<91C>h3f9jS2FoVyo`I zcVDCySuoj=*U$DB%IKbRG!eObx$8{!AeZw-hi7-fXPA3P_~W3NfOXeJK@Z~K785lQ z*=mkYnJ8zL_bvI%>JKiP4PyBkootTCS$hrGk$&BZQQc~hKEo7vesZBY*)^`-vPAVk zX0vn0nd!WG?3RP|_ZhSMcB1}eP*&+%S+NPI1VmHaa`(vKue@5y4rlOR(Y9t(d>&iU zPI?Sl$L#NPOdzA{#U&4)Q@cd%$?2CJ^+X8W5Qwp@w;H|mx#}(Jw6YKg{*K|KSqRbf zR70^4XB=cf)Xy=zINW}J(p%$1}7XxlmP+Mm@sL&Bx+!ne!Lur{_4%L2%I{on-B` zwlcnpPkyFw%`qDiLFrdpR^e%m*m__}V&sz9lm*Vwp?@@4DCFIrMQ@gXyA1uFB>f4x?o3M0I6Cnnz!D4Kv0kJ_asKn-$i}dI zkm(mf%iQD1Lgm!tHW(L+=i441`II3YEs1(v?Q+xIyF`{4?_S+puAp&&IHt7zX;*68 z?TIy=4kG%6Ttph4t_h4H2nJ#&{1G#uQo`Wm$AY}{jH+x5K6mlS*AkalwW%pw!7OqH zBGav>H(~Nr;y3J6&4+n~FH)Qxw4o75DA#FQqZ;6J7G_f)PH|aP+Zlh@0Jn=}b~*U= z67(PdY`{D-G%l|9uT|(V2zF%nc$>sR_|*;&0XHbMay;k7d!u*RzL@}XuMVmar2qt4 z4RTR&m(N`ICxB)puw?Y(MQ=grG2=Y%^M1TH;7j7o%A2q-z)GdWlQ8(*yj?ozI7WrO zfAr-0Lal}d<8wglDQ>8^2=*`4WQDEyysa| z8MyCKwq+Ot{4CV{Z1-jo%5@RUp(rJT(@oJpsCe`hP1_>CTMS(Czx znD^bf`3FOBi6~XqUsKX;Q>DsjAb-y#AG4gVfJT(}Ha7cCLTsy|lkauv6{zYDdVr!a zS(p~?PK~6uV=aa9oSNP?qSQ}dBq&zVcavmC1>-pgw5T;7#YEhFp7m?gm;a7UevN6% zw2c1#YBl-F^l1z)1Efq;d1o`0{uQH>IVLEt-eW!n(>-rK2z8%X(Hnaw@r4sraA{$# zz?bO?hcr({IR9wr4+QZ3$NT5YTsxF8PTcmOdSO+o(h66Qa$_ODX~HkIPfhBk_;&uV zTz~x|^WqpDSsXI~nkY0kF2UyiIQ=%3ofHgi+vg^ojrg7S;=MGHE^s{0_APqiQ5*-^ z-5rn-lS12b#C;R{iK~}qRYG>Q;q6Njzt)-`lrp5FE0!k4{K%Y5%tLcr$MsOq?5UAC znZe>VFy|gCd4da=lmNnzFAAnp8oj_jo5)7W+a{F_icMfJ95uSDn!*~fKBn$Ew0-E* z0d?iTsqOz@C3gK=SXUP*mf=4c(su{7x-T=uRs{kl7jVMfJv!M}|J7~@-cxNlP8p~# zl2Jl!UyeJ-JVsxMv-f^E*9r~RuTu^9nK)9P+^P#=9o&iLKWs|xeD!r?_#^mZDh(y~ zx>I&>w-xOzH7l-la2Xw!uAZx-QJt{co8B3lF(L^kg1zE0xBG$_l*B{u73Nkr20f~% zI-db9ZGrDX6c>^Kt=+Jrm}>%*vpDO@DF{St-xTBPQ5(FBu4ut~-@^ah{5oRMmN$|K zOK=7KffGK#T^5+06*_*HFYT_WF8OV6Y`*su{9uoV%6LS-|4;I zpvDK4&GHk79xht+8h)B)YRPJ&maFkfoH&s*cVD86Jo%Xe2^b9*o%;tLE@UO0?Z7%c zLmSl%_9>?atxOIbnLk`8=&CKfOzR&iBz&mE_;ZX=gj<23J{L))-@R#)D~1W!qGdI# za-<5&_aXBz0bzHFMx+Gua5{fdKp0ce4e%*+ejZ0ND-L$^-G=#gF48|bStBKe$Zc3r z=jY7TS@q-{HD#RFA_IK&)}>)?IYCORO?X_Ti0i59?HvGlUSD)PQ%d*uVAcMF0Q;FH z9rwiS1X5lz{UXpFWpZpK<}WF2Bp?7<-LSw^33!g%`GF_ikB!=y%Wkj;pZh$zQAwIDn#0cA!X!pD!0=8+>0V0o0Y{(a%hIMAx(x(nywBhk z*=1rlpbeRFfvN^yf%zTtC}$8>(UDeG?%ww-I**)78Ld zil1=9I7_dHZG_F%5nr>RbGb$Yd8D8%;a86B%CaNOl&+aGrXvVmURbn0`t82c0}WNc zXAZ{rlciLIj{0ZLVs6R|B);cE#1@{)L~=;amAhkns5TbVJH&!6&Nw*dxxo5j6CAG; z9=9fqv`?Y40-E!v#M0w@hSY3>O4__&WkjyZP?VC_<8Y zfFT|5ORY;WZ{X(8e$-G}F5H6g1bQ*!RSQF(2WfnPklU?OG)O+qDPj``D{r;hV>@iU zBzM5_)%nsJ()>*J`GyDQyiLn{FI`r+Q3coFr`y59#zk*08Qw2jw|EcgI+KUjt3d01 zn2H&xS zSa4E?$`<_#u~H4(m6P`Z%3Q1sS7KsJRgnogxs0Cdstd*JF7fw0>&>#`u5O3TGn%Vh z1L)3=xsEYs9y*)7QgFu^C)Ub@c9|-(nGSUluY^7Rfn<*94U>XK_#D%>ay7Gi-m6$n&f7Wn>)Lp2VdOdMESFsY zBI8YN;ZU3;z$3*&*1m#L?pw4zpLF)YEi+}kd0TKZ*My|Mi8pMHJ32vwfw&c`ir~Mf zLPU@fC7IWdqjk6;+=#^&bqFF)kn6v!;*j1mm5l6V@f60AjE)pz27cpMHtwF|nfVri zkNuF)EKj>-mB}K)?1IK;^1Jg!Ur)BhJ%a}>6HGwe-A?Mr_1*KOL%liRvHs7PPV&sf zZqfphR|fr$OojfO3C_L`Mzm$4d|F}lS;E7)2k;QP?eR~AzBr$xCoA;mgC~57Pd=M^ zjcu_il6#RWE(X3f4{zfgABJf~ck!5j{YI`wTTx!JGQ4>+=6dZ@M0|&7D+nUc%gxap zvZdq9l&zWhJLTuXLz#y)XA*SOIR$d0`GA(xwO1aNmSeqN~iFRubhQGpl>L^w=M z=O^EB;16^yUz<;uocFz@ka{DJN?RyK_dJvzTfanIl)b;%K={_|)N(^E&~GB~S-w0U ztYB^^3FP$oJ)eT&@khbite{f$($F@JW~}vPPv-A;Y7|~(?g*GVH--^2;o~5M2{J#Q zxZyaaAgHkFRvG<`aX6WZ>Ty*Rai3Tgk??CoSmF>g5VJ}$vktYn5@a;PW4}lDscNWe zhFpi13W}WlUf!IobacwF5MBil>F%-7?#kbgk+tFVHTkmZ*?(+h9RnOLuoYzS24KaMV-8|(ZVOD)X}LwJD&^Thn4$`&*D1KPb)jo zhUNTBuHFBT;O?cPUHwjvCbx+r6dW3RqgVIAj^q=X9*>y;hqO4DDR9Y?a7V=36p$;J z0fdD9A?b#PrJXG?XDgnrmKEr7z&u+e`6+vk)h3z3)dsW)E0Lg*P16o_Pw~L)ezAfmQ`_MsT?wSRHV%2W} z8zkigKVRb+R^*x5TRbiMe0N1PN}<>L%srOk8kfiWBi4C781iAs0w{WWK{`pKr#_M8 z(Up17!%K-WDCCWw_HU+@xi^w!QRoh8jAz`JZB>xe)Ec)`3c>Y z)6w5hcvR9?Uxc6Wxwq@1^x$KXDId|B`9Mq4RN+O&4AWrQh&k_6wk%)R;~5XDsan>t zTvJm?)7gXMP1^Ebrrwy2aqw~ZC`YTt_7Ohcj2)p9pxvKmqcADJQV=Wz0EVbEs z7HBMc%SoKGzr&=Q?@>xENvr>?vp2G+UHE) z#y+dCD+Jaj!;Jbo(Qmxl=lz}N!-okX_g8hpHBpB6?`$%T!oAWzROFMW()RcNrGMPY zIJ}k8`Sr>KJ?u~THr@ZwJyo=V$?&q@p|hx5dQ~Cvm+Z5`s`a+3q~Jm9k>w-({oX5^ zFlV=`t@Hf3#CNV+WI+>c5uy>1S=)?E7K{}|66YY8_<8{gDjUN5{>sC z?5KqA3TjakWnmKkl92vZ8IR8Kfprk91d~1EfN8+wF@G1>de;^jpZkJii}oG)vX*Sh zx_m`abp{Vdk-4Me2#V?-IeM5o}_UUo-R!{cKy z`@@f5^SHAW0UR~v7gxpgF7(oR^^!s@4IuCYXZaCVY4}sAI8Q?i@!mS)rJh&BrAc{r zjnsTP%qZ1BFPJH{TQA5cs#*$p`p-5aD}~7b)wG~z#;i&1(j!`!tPCB8!sEJb|KKQ} zE&n~KHtons+g;hDTLGbLXQ3}QHs~eChrP5Tv*aEp2ej?Ljk^TwEgE~-9iIY(sMlk{ z-2E944%+eTqmxyqleaWS1h_**N5Rtz=oSLFg&A8;fn1=u%doJB*L7t~N1fiQR1wLa zY@+4gjT*5+tdAitn_ z5LW?dTy**_8CJ@QYGj{-;Cj>3{-iKQL^LrVuJ0Oi(nh$i8r-?$^yph*cgoW$H9=P$ zN-?=VR9&LMT$}v1F>CrsrcQPGf6Ue?31D{*LTRUwgMv#`dA0uzJo(uuR9bRofucT2 zoDRW``PkNVfDYgN$(z#VRYL@`SOZf0 z8XWS+c+W2tz333^n!D4C7@6mfvZkkrCc8S=)l;`P%Cw>1#%x$Aa9D0U?&k8xz^+Yx=j2s04`X|tuA==Ch_Cy<68;KrOG*NF)zk^ELvkokSQ zh{$}p#^Wu?9kRsEHh=833Bh}hO~o6k9)I2ilLv?DOrJYnwKg(w)vEsL!48wn66MCj z>(XW^RcKKcQ!aV~nuc`OhegV!MT`=`_h`d7jrmSbS# zEpuIbm$X)L&Dht_A72JxMCo5i;*Jx9nQ-HcyF0ep(Y;hel+SNPs#**YLV@uq+4j_ZU|lqEqt9uW(^?wbeQ( zG^rE(t-h@^x4ASX7=zK_Cx;T5SXw9eKXwWXg7=g6D4Yd9t!+Q@FSGNEDGFT@;MU!% z-8tRb0S!fq8Fv=!q=rLkePTd-b3=1$<(3r-SZ$ZE(F0JxeAZCvZW{{F4zI{zspZ@> zCEO?9`7ivr_@{hghFxi7zL(tx$K0M;Nb!xvN4-zlQ;t1(O*F*C+%*zZ`JAsIHaipa z`@;%zUoIDdyuQ{QK}Ht%Pduc`fmOGoNZ5{LP7&F*qM|SmBu8avjDH)pzOz7Sj)a;! zySUUkuB?WD8|9QOMT431=~NA-g|dkh_+r_Vp;ykPpbxQZcLtWZ1YHXv8!wP}a=>gh zy}o>Rme}#VOD>I_+t+?T9R~-y+ty)yERneQh*Ayi4=XLee;=0DjLBDJ{k9910dhT+ z>13C`P40efrOamLby+vIM5@HuV+(cUWv7mM1i zHG%vcBKccc1ty_d#07_0Fm*qPX6H`OZnA6NCy#d5<8qH8M&{}|^qB2#^IMH1nQhIF zjV0EDW5c1ti=lO_#b%D-q}<@o_)?Q>pKkMQB{aoll&?)p!2g~^b;0t(iR2Yn6Kw{R z1WY}g&|izFtlM!1p%%O5Q}lAR>urH^Q2j>R zwV+`0o=b~+I4mDxtXQxIvAP>mjVP5902`@*M%Vc1#;;Eq*C+ENg1`eNp{0#Crtm?VN;fS_2pkFl%R#(zSnQd~#4|LAty5D{l+PuIeE`hmI)?uc_#)JQD>D<3x^ANpDm=t+ zapIBl_5alw!~ACx`fScC*d)iaG!7k6v_0Twh|xgZCGumhrBBR_kjJTIR4FG?*~9dP zca%l~l=r=?QAMD6&Qox6#tJESUW^70JKhie;&S=hYv;H(O9R;r;HorM0qgNyd*Pgs z%pjb?h3eDv=h&-Hd9G963b*9d^DTTXz48QR9(<-Ro>B#WJeGGgz2;WqBD9etSz+z* z1jwXAiJ5)Sg`L=wN&sl%E_}sj9Y}tfG!L8}oD;{jt3tC<600o>5SfENSb{ow<|chJ-u{z_i{;ubH-w%nRX3+8ojJv~ii ze_;9SX!@zA?8b;@>?mi{j1B~cJ(&DwmOY3@opYdZ2 z^p^3m*~A*`nIV|@!4}@5MLkIDgbtM>@ZlyZO&G@0e%ZvYQ0$tklYKY%mI=b3?5r~J zwLUWkl3@&UM)SFDq9u*rAaS%s+t9hI{%ccZ3-HdFvZ@d;&;DH55_@Rbf=l4kIZKX2 zXfjqbSnvnRMS1;WShUACsG$9y?B@JI%HUR@kXhV$pR^?aWleix73KB!iCFoQE@2As zs&6CZcmFtY(Mz}>8(%vAt@ZmyPeCGE%n<1xt-)uh5$rwBBj;9!UYJY_hGO3(pHtc9tSTncn*Lg>Ci!@<0%Y!HqA8QCYXX-- z;C8`Fe!z!Q)Mpl(%T3x}C8lV=0E1*`AJV`){ooh`jc--DC$&mLiq35TwrF3+UB-i6 z&pP%|Rzk{i4i1;;<1dG1Ii)n3hj*c@wOW*RtL&}Dfwk#7tpmO!x6>FnN3sGf{oOa1<(@Wd?De5|VQTKDO`uLIE{|2dKYs#3oVl%|Tl zFX6x)Z*L*-NwP=+>G92qbTj6VeKV#Oodz$n4C$(;uw2Gf23|A{ zDwce{FiObHHE^7EqmdSJx0V*6AEm;0FHybV;mKXS&7NpupJr^>i6CE~=^tffaLITp zWmifB$#96s@C>5$IzK-bfyN7%X5=jHx3)64pngi~rqQlhvo|n;kxbN-+p%!<`Ma`VM z?g8pidpbnB*WKzZaMjvJ!Ug-uvE4mQI@I{USlC*E54^*L`R`R?o}K@1)O%5w!kGR$ zz@6B0g%vl}8b;3#hPOFz%Y?)X8n8J}BaH3MEF{CHijXxv;a6OchA6QgB@T&iZw4Iz zNcxxK#Lc7t)Ooo5(7aq&O(jt0_87{hPF)*N8NPp<-HCYhCabBvprDHt6^3p7h%}|q z&m2W*4!0@avcK}`5=S(f21irX@>A4M3^8N)%L`1k#M=r-o*Mh=uXTlQ!xGSH{Wqf& zf*vJj#k1o=bodt%vi#XaKFhgn64@HuZ``O4!im_9VDO`hsN{ z4wu2=d*hJRpimz7*p(pYKktbKvBGODT+`93(udqdnZ?)bYe@?Y+=zU6w3Se}vM>T> zA?ylY7L)yoS>R~^?%k|ba;WK!%ouytAB&`38^XIrKG(7x`{l^=`VL0|`^}DWZsHr5 zYTDAnztj^6M%3IH;I^?~RQ~)(Lcy1EJ4&8i<^wyvGDv32>;gA(#_Ld1h*N+6>R8vr zzgQE!#Wwu5G{Y^Et@h=92KQ5z7G!kto&dnOXK}lpkq#r|WZZFa7Vn<3uaK`BK;27g z_5h3Uc5K^-@MgJW*H!K3{k;UfOq?mbQ-hM~rKX9>`K~$}Z-Stu=>sC1KMnXVW{epo z2u^-g!8RImnoO!5_}?C@*Yf{*fDhu8mZaJKMPtSr&h>xKbW+p7f3i3>+%YO(a@ir* z(77aEWRpyPSUATmI9s7-)zqZz0uClcoQecU*3+4h9sG-G& zLoBd5kY25Or#>hmxplzi+{UHu#p?o|hE0GIhMaZL(B=A3ky9bW^8^&LoZk_staKUb z<9S}V^OV3Vn3tcL*JV!PiJT_*@rEkQXGJR*twk;D0J?vb! z18(pjEV7*ZUIT@agBB#e(=v-pPOAr)p!0jpj#@k>^f>QA93F&{IlKK}d+s=fmgb16 zJIy2+r(fITSSY#j1*Bjs>0br}IzEUKm1Gwi)o|oVPg!3c|4LL65z*mRd9o!5w+xB^ zvZW?gU>|M_g{};h-@A%Yp2pg)y;u6-P{?M>KLl=tV$hQQ*N}uRp;mtTZh?7~Vd!b) z5#?tZIX@ks5%!s36{yOba;Th)I#Uy73(5g}zjs9UJhqy?)MRhVjmZ0}aPFtYxqNbg z?L}Bwq+4A*nCS71!kZm9G$FMnAF$5rU};E-*xzyZ>_gs%-DFVlzTa5*kG6Y-Mqyx| zQN;6HrvGpdP)PoO3;}J#5s*LEVt=!2w)GpiIhylNR{&`xoUjg=YAyPMA5Xq+pJ()( zRV*9YO6^7Dm49qRqosWp6xaJF4x07uUvp8$IKpD678U0ZN)3gb1x8eg^23e5l`7mWMxeIQNotSPDJr|*t5XN1Ma1zou+mo?#&s|S8$ZzRFS`42bM0y; z<%5Vhv2hV7xVkh4$S#(&QVPsv`gTH}6Ei98d2n+Jt0b`J==J=yWLHWc-u#@XEI`@( z00M77ug)C6qFU^z^>+nEc5jk7vPJR+~ zFPHmWGsJno_rD(jBXiHrN{Os&7j&_)Mpmm`I-z8-U5MzptJO&k3m{-}BpguLJnuqb zF)r4QAS2z5q&+5cQTCV&5UR5X=Hp@w183^z+6(i$>^3X2l0Sed8_7hxNKV|e4~!)t zFZa>ZTk|c`wC!R}`8bCF=!FgIs#BkPVb`~4)7Fq3?0TN;%Uxw7-m=_hQiJT)P;)Z~ zm5-Jndan{c#uF#;*&;g-|CY44b|y7d9U z`kYzy3r8L2-Y*B68UTOQUSG(*O5I~VUoXGV;o$@;^K267^QoUIyEY?M`0p;}zG|N! z4!Q$?I=*q93eQ9awmqzVo)Z^oDE96+@fd~>Gv0F|idYV291h^l zuo5o}k#y%Yl9?wF1YH)+Kd%!moEP=_Z#URGd@lq6v4~@GaSu^NoLR~ZzT||5Slq6* zLKT;VMQnKf!-^`m@<2#$9Ea+ftl<6$El(QcjAT!j53ORxGYZ^IWuKv4=nfZrK?TQn zt~{vqTPttkh9NlV8JNJVewteoK*I4{-?lm0u)N;91;@w^g%!9N-+EP@OT4O=-wt;j z$r4^i>sGp^(k;`_4b;FD%VjRs?3(1weYF(R(cG<-P&V78c>phc-W^z2Jrfa>#F|sS z8nJ#|@#fPNlL)kL_e-#ZN%WEUsQYrAbv~9bf8w9qjsF_sgxS}pwhIfdw-@JG@V+3S zcTouT>^fm5r*F||uyV9As=Am31ANV%;k&HghJaiHnk`IxGOoxbqfWVtasnCr+^30n zPIC-o3deXSxs-ctBO9;aVlHA-l>=k>lfI=}2u_TNaox^+Qq?05Sg?9z_H>D7Q$r$& z8TRegu_i+Rjy1PL_WNxsiwn0)zYbZNO=uWF7auDm<_c;2B?_5wo%5v$O*}3{g%!5W z3NRWafmIA+0hR$%4#An3qf9W^N0a7Hq=!#UCO`@1l^F`!U2Rwk+xO-qn}+v-m}BG} z=S7M1KXTs2IPhwMeW9V;fVFdnVg~URpCz#(xO}~CzRn|^oR_JTykI8c4I_QjkpG93 z20bMjrkF7*4}rg}pWE!-`F@zY_Tlj?>j#q)HK)C&eWE?L?K}8iyEEH46rYP3>dW7A zO)Aej(rGHOftGqWE;rvht)~uqoQes^?j9b%@rnO5U{)ypg!FvB9btX3mqIjSOBQN_ zykUt-Qt1S5YoIu7{BkVT(-dGgxh(<|K7s^Q?sJy4z7UHCzk#GK;v8#qA^|(_@{VcY zbIW>Q@y6mPoDg@0VlT1B$2Oh<)19k=8a)Rxbr=iWofzcKpKbs&M2xlK>^?{J= znb%B2?^r^l?*JwpmQ$&qw2Lk#S%vyE9g{-XC&U;0pNGOGw{H;(g)d@Zv2dYF@VJtc(2lg>r$qjy^`y-C#hn* z?*DygW)C&NY;y(2sHOPg`vN!`5g5X=-1kUsxL9~%`85#>Un{CY{daQh!8zo;Yx_5J zclUBG`v-_QO%nx*-t4e-KnIMB(&ytBunZ%kjD;MhWS4@DB`YFDsEI6$U>0#^x1z6X z1%b&}@y8Ee7=cCjI#y=6l3DtYgb*3>;B-2E`SlL^*k|_``Kd8b@h*teP-*Ww+Hyf5 zMX4#M6cGakX+NS zqYopCDytQ?x%RV#Dh&zd4{4_a9KwJM_i0+M0G=fp%p)w83H=yrIIxuLW3fT;UAmV^ zoB7eJ&fwz|ytA7lrIukR6Ckw-Pv_uT0AGVg@$(j7!#5YfL+mH;E-!een74rMx~Ttj z{uXh}elwfT3P=bJJqLtE zL`LaI`GWxOOBaHY17o85(bXyug+z|-Bt)!5cXm#S|-#LI)yYgu@% zD}nq$8~_-L6O`4`Ue(dn`*CP!WNc#U=9MqNl5c?qqpS0-m|5Ce+jJ?7gNVjd5oN2v z-GIQL;E=HP@TiXH7^R`}@d-d;QgX_Liuzpo$R(V74|FOQ)P6$m z3jsL*UKRm=#==lFDEBD7-UyH6$iULw+bh_YtD-0Fq= z9M16y4sD%ce#C$pGQVnv3ig8{v8W)}YjAh8^lvW-=r2f824vB3^yls% z{8=XEeP%<%d?N_*f|ZCAN1(vKHI5*Qka38vNnbUBVEn|tJN+gB>@qkIXJ{87y`rov zBX|DZh1WP}7QQ`=$aX5DnfX-4fY>(a#6Jzv_=6*Abs* zBC3idaiH!h$?bs9e7yEuQprtza8NA<91FtMvDLJ4aG`&zYbNu7IA7~9b6HD)Sd6j8 zACXb4Xg-9^#aFS`K3;4g(sVdTQId61Lf{QI#2c$UDdC6`58ov)BeGWGoXu#Jw#M8t_<% zpS7E*3(lv4|3BLSB>r}U76sqJ1Upg?5VGJOHaHY67We-T7dIpp7##l*6DSoGz>e(F zQYt9_#Yiy^4-`26S|JO57A|xq|5OY%B^eqR4GQbu1PUA)ApZ#%YytPA7Xj_8JAbY# z|1W-6BmwMHlIRvJ6z?ktHUM4!YAPw0VF4HD|G5wW@G}VuH|;A=6$$+T;sGTAl-42j z0{@Xb0dbK%)zU*{sQ?pGJh}-GxP{IFMMy$6|GYyQA6pxg4ecBZjWtH>7asqS zLjj>(H)4@|4E8Mq8!z7yHJbJ)Av2N4|A7=C6crg${z^?Q3=$6K&>n0z27h&A$v+*abarS(1g10pC?C6T|R|(E+B_FlxO! z7vXk?DJW8j3O0}gzyPZ47y|!_1OetO1Plb&9tHpl;a~*-00000kpH9r|8)cCXaI8d YL5#ow|8oU^#0ZYS(eLO;0FywprU1f0_y7O^ diff --git a/bakapi.map b/bakapi.map index c0057ab2..4affb73f 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 13f6:0000 0000f070 +DGROUP 13f8:0000 0000f070 @@ -34,28 +34,28 @@ kitten_TEXT CODE AUTO 0000:5c80 0000080a 16_hc_TEXT CODE AUTO 0000:6490 0000158b timer_TEXT CODE AUTO 0000:7a20 00000235 _TEXT CODE AUTO 07c6:0000 0000907d -modex16_TEXT CODE AUTO 07c6:9080 000023ee -16text_TEXT CODE AUTO 07c6:b470 0000010d -bakapee_TEXT CODE AUTO 07c6:b580 00000bc2 -16_in13_DATA FAR_DATA AUTO 13db:0000 000001a4 -FAR_DATA FAR_DATA AUTO 13f5:0004 00000000 -_NULL BEGDATA DGROUP 13f6:0000 00000020 -_AFTERNULL BEGDATA DGROUP 13f8:0000 00000002 -CONST DATA DGROUP 13f8:0002 00000076 -CONST2 DATA DGROUP 13ff:0008 0000010a -_DATA DATA DGROUP 1411:0000 00000599 -XIB DATA DGROUP 146a:000a 00000000 -XI DATA DGROUP 146a:000a 00000036 -XIE DATA DGROUP 146e:0000 00000000 -YIB DATA DGROUP 146e:0000 00000000 -YI DATA DGROUP 146e:0000 00000018 -YIE DATA DGROUP 146f:0008 00000000 -STRINGS DATA DGROUP 146f:0008 00000000 -DATA DATA DGROUP 146f:0008 00000000 -_emu_init_start EMU DGROUP 146f:0008 00000000 -_emu_init_end EMU DGROUP 146f:0008 00000000 -_BSS BSS DGROUP 1470:0000 00000e02 -STACK STACK DGROUP 1551:0000 0000dac0 +modex16_TEXT CODE AUTO 07c6:9080 00002406 +16text_TEXT CODE AUTO 07c6:b490 0000010d +bakapee_TEXT CODE AUTO 07c6:b5a0 00000bc2 +16_in13_DATA FAR_DATA AUTO 13dd:0000 000001a4 +FAR_DATA FAR_DATA AUTO 13f7:0004 00000000 +_NULL BEGDATA DGROUP 13f8:0000 00000020 +_AFTERNULL BEGDATA DGROUP 13fa:0000 00000002 +CONST DATA DGROUP 13fa:0002 00000076 +CONST2 DATA DGROUP 1401:0008 0000010a +_DATA DATA DGROUP 1413:0000 00000599 +XIB DATA DGROUP 146c:000a 00000000 +XI DATA DGROUP 146c:000a 00000036 +XIE DATA DGROUP 1470:0000 00000000 +YIB DATA DGROUP 1470:0000 00000000 +YI DATA DGROUP 1470:0000 00000018 +YIE DATA DGROUP 1471:0008 00000000 +STRINGS DATA DGROUP 1471:0008 00000000 +DATA DATA DGROUP 1471:0008 00000000 +_emu_init_start EMU DGROUP 1471:0008 00000000 +_emu_init_end EMU DGROUP 1471:0008 00000000 +_BSS BSS DGROUP 1472:0000 00000e02 +STACK STACK DGROUP 1553:0000 0000dac0 +----------------+ @@ -71,7 +71,7 @@ Address Symbol Module: bakapi.o(/dos/z/16/src/bakapi.c) 0000:00de main_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -13f6:07be+ _inpu +13f8:07be+ _inpu 0000:03c0+ INL_KeyService_ 0000:065a+ Mouse_ 0000:06b2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:498c+ MM_TotalFree_ 0000:4a22* MM_Report_ 0000:53da* MM_BombOnError_ -13f6:0920+ _beforesort -13f6:0924+ _aftersort -13f6:0928+ _XMSaddr +13f8:0920+ _beforesort +13f8:0924+ _aftersort +13f8:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5410 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5b50* CAL_OptimizeNodes_ 0000:5c00* CA_Startup_ 0000:5c50* CA_Shutdown_ -13f6:0930* _finishcachebox -13f6:0934* _drawcachebox -13f6:0938* _updatecachebox +13f8:0930* _finishcachebox +13f8:0934* _drawcachebox +13f8:0938* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5cbc KITTENGETS 0000:5d1c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6274+ get_line_ 0000:62e6+ db_fetch_ 0000:637c+ db_insert_ -13f6:04a4+ __kitten_catalog +13f8:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:663c+ LargestFreeBlock_ 0000:66ca+ _coreleft_ @@ -184,64 +184,64 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 07c6:00a8* __PIS Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 07c6:9274 VGAmodeX_ -07c6:931e+ modex__320x240_256__Enter_ -07c6:937e* modex__256x192_256__Enter_ -07c6:93de* modexLeave_ -07c6:93f6+ modexsetBaseXMode_ -07c6:9448 modexDefaultPage_ -07c6:94ae* modexNextPage_ -07c6:957e* modexNextPageFlexibleSize_ -07c6:9652 modexShowPage_ -07c6:974e* modexPanPage_ -07c6:979e* modexSelectPlane_ -07c6:97c2 modexClearRegion_ -07c6:98da* oldDrawBmp_ -07c6:9a40* CDrawBmp_ -07c6:9ba4* modexDrawBmp_ -07c6:9c0a+ modexDrawBmpRegion_ -07c6:9d74* modex_sparky4_DrawBmpRegion_ -07c6:9ede* modexDrawPlanarBuf_ -07c6:9efc* modexDrawSprite_ -07c6:9f62+ modexDrawSpriteRegion_ -07c6:a0da* modexCopyPageRegion_ -07c6:a244* modexFadeOn_ -07c6:a274* modexFadeOff_ -07c6:a2a2* modexFlashOn_ -07c6:a2d0* modexFlashOff_ -07c6:a3a0+ modexPalSave_ -07c6:a3f6+ modexNewPal_ -07c6:a446* modexLoadPalFile_ -07c6:a528* modexSavePalFile_ -07c6:a5a0* modexPalBlack_ -07c6:a5ca* modexPalWhite_ -07c6:a5f4+ modexPalUpdate_ -07c6:ab64+ modexPalUpdate1_ -07c6:abde* modexPalUpdate0_ -07c6:ac2a+ chkcolor_ -07c6:af42 modexputPixel_ -07c6:afd0* modexgetPixel_ -07c6:b056* modexhlin_ -07c6:b0c2 modexprint_ -07c6:b25c* modexprintbig_ -07c6:b3d8 cls_ -07c6:b446+ modexWaitBorder_ -13f6:04d4 _VGA +07c6:934a+ modex__320x240_256__Enter_ +07c6:93aa+ modex__256x192_256__Enter_ +07c6:940a* modexLeave_ +07c6:9422+ modexsetBaseXMode_ +07c6:9460 modexDefaultPage_ +07c6:94c6* modexNextPage_ +07c6:9596* modexNextPageFlexibleSize_ +07c6:966a modexShowPage_ +07c6:9766* modexPanPage_ +07c6:97b6* modexSelectPlane_ +07c6:97da modexClearRegion_ +07c6:98f2* oldDrawBmp_ +07c6:9a58* CDrawBmp_ +07c6:9bbc* modexDrawBmp_ +07c6:9c22+ modexDrawBmpRegion_ +07c6:9d8c* modex_sparky4_DrawBmpRegion_ +07c6:9ef6* modexDrawPlanarBuf_ +07c6:9f14* modexDrawSprite_ +07c6:9f7a+ modexDrawSpriteRegion_ +07c6:a0f2* modexCopyPageRegion_ +07c6:a25c* modexFadeOn_ +07c6:a28c* modexFadeOff_ +07c6:a2ba* modexFlashOn_ +07c6:a2e8* modexFlashOff_ +07c6:a3b8+ modexPalSave_ +07c6:a40e+ modexNewPal_ +07c6:a45e* modexLoadPalFile_ +07c6:a540* modexSavePalFile_ +07c6:a5b8* modexPalBlack_ +07c6:a5e2* modexPalWhite_ +07c6:a60c+ modexPalUpdate_ +07c6:ab7c+ modexPalUpdate1_ +07c6:abf6* modexPalUpdate0_ +07c6:ac42+ chkcolor_ +07c6:af5a modexputPixel_ +07c6:afe8* modexgetPixel_ +07c6:b06e* modexhlin_ +07c6:b0da modexprint_ +07c6:b274* modexprintbig_ +07c6:b3f0 cls_ +07c6:b45e+ modexWaitBorder_ +13f8:04d4 _VGA Module: gfx.lib(/dos/z/16/src/lib/16text.c) -07c6:b4e6 textInit_ -13f6:1244 _romFonts +07c6:b506 textInit_ +13f8:1244 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 07c6:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -07c6:b5c4* pdump_ -07c6:b626+ colortest_ -07c6:b67a+ colorz_ -07c6:b6e0+ ssd_ -07c6:b7fe+ dingpp_ -07c6:b870+ dingo_ -07c6:b906+ dingas_ -07c6:b9b4+ dingu_ -07c6:ba16+ dingq_ -07c6:bab6 ding_ +07c6:b5e4* pdump_ +07c6:b646+ colortest_ +07c6:b69a+ colorz_ +07c6:b700+ ssd_ +07c6:b81e+ dingpp_ +07c6:b890+ dingo_ +07c6:b926+ dingas_ +07c6:b9d4+ dingu_ +07c6:ba36+ dingq_ +07c6:bad6 ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 07c6:00dd getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -250,10 +250,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) 07c6:01d6+ vscanf_ 07c6:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -13f6:0000* __nullarea -13f6:04f4* __ovlflag -13f6:04f5* __intno -13f6:04f6* __ovlvec +13f8:0000* __nullarea +13f8:04f4* __ovlflag +13f8:04f5* __intno +13f8:04f6* __ovlvec 07c6:022c _cstart_ 07c6:02ff* _Not_Enough_Memory_ 07c6:0431 __exit_ @@ -263,10 +263,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 07c6:04b8 _big_code_ 07c6:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -13f6:125c __argv -13f6:1260 ___argv -13f6:1264 __argc -13f6:1266 ___argc +13f8:125c __argv +13f8:1260 ___argv +13f8:1264 __argc +13f8:1266 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 07c6:04b8+ int86x_ 07c6:063b int86_ @@ -288,28 +288,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 07c6:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 07c6:0829 _nmalloc_ -13f6:04fa ___nheapbeg -13f6:04fc ___MiniHeapRover -13f6:04fe ___LargestSizeB4MiniHeapRover +13f8:04fa ___nheapbeg +13f8:04fc ___MiniHeapRover +13f8:04fe ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 07c6:0903 _fmalloc_ 07c6:0903 malloc_ -13f6:0500 ___fheap -13f6:0502 ___fheapRover -13f6:0504 ___LargestSizeB4Rover +13f8:0500 ___fheap +13f8:0502 ___fheapRover +13f8:0504 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 07c6:0a78 _ffree_ 07c6:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 07c6:0ae5 _nfree_ -13f6:1268+ ___MiniHeapFreeRover +13f8:1268+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 07c6:0be0+ _null_exit_rtn_ 07c6:0be0+ __null_int23_exit_ 07c6:0be1 exit_ 07c6:0c02+ _exit_ -13f6:0506+ ___int23_exit -13f6:050a ___FPE_handler_exit +13f8:0506+ ___int23_exit +13f8:050a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 07c6:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -328,7 +328,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 07c6:15c5 __doclose_ 07c6:170f __shutdown_stream_ 07c6:1729 fclose_ -13f6:126a+ ___RmTmpFileFn +13f8:126a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 07c6:1794+ __ibm_bios_get_ticks_ 07c6:180b clock_ @@ -337,7 +337,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 07c6:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -13f6:0098 __IsTable +13f8:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 07c6:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -372,31 +372,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 07c6:240b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -13f6:0516 __8087 -13f6:0517 __real87 -13f6:0518 __dos87emucall -13f6:051a __dos87real +13f8:0516 __8087 +13f8:0517 __real87 +13f8:0518 __dos87emucall +13f8:051a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 07c6:241a* __exit_with_msg_ 07c6:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -13f6:051c __curbrk -13f6:0524 __STACKLOW -13f6:0526 __STACKTOP -13f6:0528 __cbyte -13f6:052a __child -13f6:052c __no87 -13f6:0539 ___FPE_handler -13f6:051e __psp -13f6:052d __get_ovl_stack -13f6:0531 __restore_ovl_stack -13f6:0535 __close_ovl_file -13f6:053d __LpCmdLine -13f6:0541 __LpPgmName -13f6:0520 __osmajor -13f6:0521 __osminor -13f6:0522 __osmode -13f6:0523 __HShift +13f8:051c __curbrk +13f8:0524 __STACKLOW +13f8:0526 __STACKTOP +13f8:0528 __cbyte +13f8:052a __child +13f8:052c __no87 +13f8:0539 ___FPE_handler +13f8:051e __psp +13f8:052d __get_ovl_stack +13f8:0531 __restore_ovl_stack +13f8:0535 __close_ovl_file +13f8:053d __LpCmdLine +13f8:0541 __LpPgmName +13f8:0520 __osmajor +13f8:0521 __osminor +13f8:0522 __osmode +13f8:0523 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 07c6:243e+ __fill_buffer_ 07c6:268d fgetc_ @@ -405,9 +405,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 07c6:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -13f6:0546 ___iob -13f6:1272 ___ClosedStreams -13f6:1276 ___OpenStreams +13f8:0546 ___iob +13f8:1272 ___ClosedStreams +13f8:1276 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 07c6:2cc6 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) @@ -422,7 +422,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 07c6:43d5 __FiniRtns 07c6:43d5* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -13f6:06ae ___uselfn +13f8:06ae ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 07c6:443a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -448,7 +448,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 07c6:4f1c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -13f6:0022 ___Alphabet +13f8:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 07c6:4f1f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -458,18 +458,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 07c6:4fcd* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 07c6:4fd8* __get_errno_ptr_ -13f6:127e _errno +13f8:127e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 07c6:4fdf __GetIOMode_ 07c6:5014 __SetIOMode_nogrow_ -13f6:06c4 ___NFiles -13f6:06c6 ___init_mode -13f6:06ee ___io_mode +13f8:06c4 ___NFiles +13f8:06c6 ___init_mode +13f8:06ee ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 07c6:5049* __set_commode_ -13f6:06f2 __commode +13f8:06f2 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -13f6:06f4 __fmode +13f8:06f4 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 07c6:5055 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -496,9 +496,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 07c6:565c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 07c6:5678* __get_doserrno_ptr_ -13f6:1280 __doserrno +13f8:1280 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -13f6:06f6 ___umaskval +13f8:06f6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 07c6:567f _dos_creat_ 07c6:56a3* _dos_creatnew_ @@ -509,9 +509,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 07c6:58ac vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -13f6:1284 ___env_mask -13f6:1288 _environ -13f6:128c* __wenviron +13f8:1284 ___env_mask +13f8:1288 _environ +13f8:128c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 07c6:58ee _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -532,7 +532,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 07c6:5e44 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 07c6:5eb4 __EnterWVIDEO_ -13f6:0716+ ___WD_Present +13f8:0716+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 07c6:5ed8 __flushall_ 07c6:5f6c flushall_ @@ -554,41 +554,41 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 07c6:65b4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -13f6:0718 ___IsDBCS +13f8:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 07c6:7716* _ismbblead_ -13f6:1290 ___MBCSIsTable +13f8:1290 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) 07c6:7732 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -13f6:019a __Bits +13f8:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) 07c6:7850 __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -13f6:071a ___EFG_printf -13f6:071e ___EFG_scanf +13f8:071a ___EFG_printf +13f8:071e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) 07c6:78c7* __I8M 07c6:78c7 __U8M 07c6:78ac* __I8ME 07c6:78ac* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -13f6:1392 ____Argv -13f6:1396 ____Argc +13f8:1392 ____Argv +13f8:1396 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -13f6:0722 __amblksiz +13f8:0722 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -13f6:074a __Start_XI -13f6:0780 __End_XI -13f6:0780 __Start_YI -13f6:0798 __End_YI +13f8:074a __Start_XI +13f8:0780 __End_XI +13f8:0780 __Start_YI +13f8:0798 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -13f6:1398 ___historical_splitparms +13f8:1398 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 07c6:7a12 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 07c6:7d8b* _heapenable_ -13f6:0724 ___heap_enabled +13f8:0724 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 07c6:7d9c* sbrk_ 07c6:7dac __brk_ @@ -602,16 +602,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 07c6:809f __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 07c6:813b __mbinit_ -13f6:0726 ___MBCodePage +13f8:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 07c6:8216 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 07c6:8224 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -13f6:0728 __8087cw +13f8:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -13f6:072a ___Save8087 -13f6:072e ___Rest8087 +13f8:072a ___Save8087 +13f8:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 07c6:8233 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -650,7 +650,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 07c6:9019 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -13f6:0748 ___FPE_int +13f8:0748 ___FPE_int +--------------------+ @@ -666,6 +666,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022fd0 (143312.) +Memory size: 00022ff0 (143344.) Entry point address: 07c6:022c -Link time: 00:00.61 +Link time: 00:00.57 diff --git a/exmmtest.map b/exmmtest.map index fb6d8d38..47767137 100755 --- a/exmmtest.map +++ b/exmmtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: exmmtest.exe creating a DOS executable @@ -577,4 +577,4 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) Stack size: dac0 (56000.) Memory size: 0001d520 (120096.) Entry point address: 0000:8024 -Link time: 00:00.09 +Link time: 00:00.82 diff --git a/fmemtest.map b/fmemtest.map index 00c63b11..51ca3126 100755 --- a/fmemtest.map +++ b/fmemtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: fmemtest.exe creating a DOS executable @@ -576,4 +576,4 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) Stack size: dac0 (56000.) Memory size: 0001d3e0 (119776.) Entry point address: 0000:7e06 -Link time: 00:00.98 +Link time: 00:00.44 diff --git a/fontgfx.exe b/fontgfx.exe index f6b12a9d423c4714f5a1ba0c2fb2fa3032de76cf..dcd725190891fda8deb6fe34c40d641261069752 100755 GIT binary patch delta 39678 zcmW(+S5%Xa&+ekM?6RSZmc5tky{GJ{%(7&I&=wTsWkV@oap2D_;#L$CSyiSiQCW%% zk*T&atbhes@Ap4nE^=~CZgP>6P1^LI-tplhQ|JC`b6<_G_3sz7|=+?^|=mr!3Ic3AcLW6^k zuO15;#yM8J(xJPqyo~095ZbXe*_8g@d6a;ihkLn19R=W8lg_dPNa-8>F*-`A*pVa3 zOFt{cnp5s_+8DZk%mk2`1Z`6=J$Zp^W8gYg}%yq99 zXl)S9`w|X4b0J3NHtBm+=EpND*hpBvE_e#xR&;VAUI}31mXVj`)Jn6Vv(;{D6I-COA0oi39?0V?fd=h$tIDCpnU0+XjWLYRTT;nA>}{1s(y}M?gK${|!(I3az>m1 zO-1|dM&fI$KZ)&YT)z|B=Z@2Kx5h+!qr^`8f3g%Z#%qU9d|BdM%Hy z9g)F7Ma&Rb8Z)nUa{_N#Z*$TGAUH$m?Js#Q&Opw#a_PJXds4yz5DBdJ z9e)#>2ljsG(ym9k&S-k$CV`F2J##1>hSf4~BNcKsxontliq&TpE^ovN-rU&uTC+!{ zO8!@vv5`a$$csU|Ey$t!61A4{DKlF?)E8wUdBbCiW{6UY1$(IAI65}Fm(1QexxG~9 zi>g9A-Au$x#MNpPzQA@@2gQ*L{EUwn zg%k}g5HYP<$>TteTY&z3_!ts-uePGqXJTay$}3>_=-}jQX!@$x!}6qF>@4zt%0u}` z8Bu#1Y|)Rrcl)r%ax>f4WWx+SIan; z6j-G&BYk|rakC5uBNOC3qa@&nCDf1H67GpZC6p~(YSig55@7J`jgnZa zXn~~teM8MvFl~Q~IS%Wxz0zyCOLFd<5t7X#hFXddY3Dn47-*tRtL!J)g@yNRjJXV#H|auziuG#c&HfBJ>StwloJ6dM>H}H!v=WtHx7kOX-;gq7 zseC?^E}ZMvY(dE&_+=p17#TJmBTT<(4!UZ1hTm$p@2Zktlf<4mi7xmEe%`=@&qO+j z%TJlXJ&-{YV-zzK>qQ@3pG%J&n#6Dp0@md{MFK`?jLcNYBIzP-H6N~Xf|QIjVnspW z1O<6%+GWq6`jDiL)dpMlUM1E@4xa1a5}}74#WB-x5W3&iEqP$rfI9{-<13n&mBW)`c>8 zY>3<((`4=}lO6ZkH(Bh_`8pu;<&xLvlFXLdVY%ZDu1k*0iebJ=c1CiQQ^ZlnZxCmn z4ne}AT1I(2`VY*IO`;i|+*4QFLr3kFTh-Q(T5h2=qxGWoQ!jX1UlyfRlpx0gx8R)F zR6m%|8$M?XD8nOEQ>XKzt5LI)zL{mJM|uOJGi&eT4f+xyZh$y$b zh)VNT)HtN5T$XTMTLfUV{=@4pOT;A23rw4>ej22Sdo;;7@s{oufUxv;G^5~;(5H|+ zj)PQYCO9xfSijc)J2>(Axukwk@xI}g&+=38+|YvAT^J{06H;&pkAXlxAxOnfCvJ_; zd==mrB}o*hB5k*UQw^zn?K9Fy!=~>L950{vmxZd-?c9hXuf(x@eS4fzbbhGG-Ao@X ztZ1TfvES?oLMp9Dbj*3PUDL(+lp9^>?vZou^qUy04Hwn~XczIKTSDSFq$oxar>2JV zi(G*R%oG}7pt?C*=YId%_}q!Wjj zAsP1H3fZ-@$t!Jv+M_VkLEq#b2q=GJcP^|iq<<8XjO~@+Im1v*MxK>fd4Z_I;$Afj z#%CWP&ZE4Zi`&S4TV!4MJjaBmV!ZW z&CDlBcPIYdeemCwM>E^0A_-F9ZcyUXQZj`t3`xT)5q`D1IqFVN&AYO_7ZPWpF^n?F=;sD9 zy(rS;bw<7wn`$Wp{r*qiAs?d9zoZ1oP?!L_e1Ix9Szb(=tGWU zpW;5HULK7d8%;(nwg?Bj_mXYm8jI=twC|Kiw~PS06CapyTBwmT(Oh{zju!Z!UGUA( zHrO=Bg1V&w@LMIjPhCh6Q5IL z<(lG=#B1fE$=@C}{c@L&5TD681EIt9WRuVmGhR9k%WfIY?~{Hx&;0rpJ-)h-U~Oz^ z4SntS@d!c-!3ACprwfSt-gAmLRq?)?qu*{71hY9S5^yix^VWfig!f&Hj{uZ<-aqL5 zlYh`DvxN5{>m>}r4Yo)E?Y)4e|J3*R_xZtK{Cl`$CiJV~3v37eiVFKm{CljC<2edg z6Mu!fkVE=RFDVZs$_v;V2`|%49YKQ=3irO!?MHGGfyL%fH-#pswZ=T( z9X>Flb=5)-XBRY-`O5; z2TRX-leCT93v!A8c6MQKB812rdyP9Um*f;v6PzjYwXzdRzZyCpDc)8v8y6;efxz8v z*~84`O{02*2IqIPd<%ZTQZuJTDP1Gpw@**{t|=yT8L%o8rm<#ce%a?ZIhwE~?tSI6 z%&|GQ#bh@h=ucL}$y?1Z!U|Sbua#?pt1Epg`g|>DhdMyar7Y6#XKHi{vvcgA<-hrr z*Rw?{Ei6=bcBK`$>0oJO3#3Va%urBp4Q^H*QwP}+iMh}`&n>%1+=D-KSw_krXC;`? zip}YZQuBBhW>0jpChjl!i3L=z$b*=UX|m%$KH6zOj{h7t+TPAv`_Tz<2u0>+cLZv7 zSDyv|^IQL&I24uWW*cwchkBhPI1`MMk^I$Li%!Ob3^l=vTAwq`r-eTv9eNZ9p|;2m zl0)ni&Aq6e=Qibii$Twd6>Zx3=b~t6=|Hg9vd~wYFS(l(5oS_hc%n0aw%hnLxnu3J zlc-F@1n3>_^@_rY&n|WOJfa*?QUkN@h;9dRp&hBV$9@Z-{x$c1P|AH}iD{zfbKeQB zGLJ7~4HSf!`yrZ$5ZE_vc=}63lZ~r3CSE%`* z4S05>Z-rNi`=H>AzR!^{{DqywKnHus37GrT(7r)>Je)`VmO_a5=8@Wq!VxZN*&q;k zx~klDUDPSm{mv6V&a|_B$?Aub^O1rVh$Pzt;DtuSmY$T&Gq9+*uQx@DXfT1}pKn<; zM5_NoKYK-DM-;?YA5=4lugXys+TXTU7DiY2&ON%@OQy3<5-U++9&ZS5gH_H2gz~I? zhoU?C5D}>c`w^gCm}@=ZMmFKHHE{RH$aOpT2_(9&6cK|vk;yi4wS&rHSsMxcIVUzo ze?n!&_nv`q(#d-T7WFn9BwJD{tN*3@_I5L=?n^)*z9#8&eVD5tX^A zpMr~amlzFi9Lg6r6p98}!y6aQMa~W`ElbG4V_ND zC+6Hjpl*y??bf_0f=B}dF-1qqTrwxY;7r%2_BV2j-`VRV@H2#>izd;@UEp|Dh7mt1 z1TGm=)}EkOdt)l>MDJ*K7>y^(0;a)JoP;ZkF98L6bT^r#p#n2w8% z$soq3G!x*dDciX8m=nmgm@G+lOmqfLD_ES9khGSR8l{#BU~$CMWW{(oHYVK#bvBNW z65VVG(J|F0eo+!Nl20Zyq`9Tgo~5y=ThQrquQe4 zATx3&M3M>gy(}~&lE4#Oo1Vh0qrbxIE1qf!d`RIWdWju55tBS@`|WHZE+th|GIpPi zhv5Eu^{zSMRG%S|#9kDgBpvULbz0ECDO{7qHKt+ZUW)(J8MPZhZQuuj*CUH#5{pui zMd{e$c(sER2--LP^wV@#BxItCr#qHsI*Kl$UiY^@(I>-J;nn3jbBT!QHHhCeZV7)N zsW)1QDx9ksO0J*M5W+}gBLV~~To(6elumX`K2VirBgBOP*fP;8565M$7jnsnd9wMjc&E{dNcLg=ZSv2IX}ZN&bYj-+@X8oxE; zz@Z!`(JQAO{LJn|QdAsP^vyOQIYx+>m7GyP4RR^Riqf58h%6aT67g+!Bz$K5*k7L; zJuz85aZM>W(`u~vsnpLIT##cZ&7V9J$uX_IalNT`dO}{P&8Ia$zN3a^>k`*e2X+IE&oB`Ga-X~j0t{(&+ z#i<9ECFR}}7YY1&pOhXGU8=8E^u4WH_n5A&YZ2DjQ^WfrEko5)3DPAByUUR$vx;lH zRN!$`D!SgCW2{VnNIy2se&t_eL~^`UMn%1y*mL8iwAvG|5|g_#>m`$buG-fnbJKYK zWk|)OT`kQ$=vY&P$M@mkmb)&q{TaiClxQhgJYYV2^4ywEmSXUlieeOWQ59#w*?LS+ zlT?_rt2_QZCYdW^WHm(-ux#`ZfyX!pBJlBsy}hh>rtl3KeS#Yx#GdJstI$ciaoq2O8!gD^Y)1TP z>H{hGQ^@qqOi1|okswP~>tW&QbCbD|)|YKz%+&NwUYtf`vEeM>pTdqtk_i`033k7n z(QQ1@-^VKkG~~TVE}tf176mm7H9r{6O1Vkk@eiMR8I+jm2>OkAYBX`1>WA9UHs{lBK8 z&q47 z#J>Mr(tpT&y3yf;@NvsTBJzj~re+NYC47_0ekkwd9TO}36q{Jtp7htl4&cSlJcOL= zPYg`rXDAN%(8xQ5TAn+Iv$~D;0n4FlWj)DNJD7S`uJO;iL2Tk48%lKgTL;2&bj}Pj zxUb+>3XNuqeZaR3e7J9mkWQmp)m{zYRA;j{%DwdKIsmhsK&}2Sqptg11hT?*CxjF# zuoXIDALga~6qge`B6oE(FYhh?b6k6!9tTPTs zu7&!Z=F+S~FKQ*ral^U$ybRIB7|@hvE$B!uvuHJEr0|mgJIM>zys(fn^&Bf(hO%`E z9$wabUY?y&`d*h^Y3{s$rO4D#Rd=2fl9Cc7ljy3)-#KMV|SVUk|%NYh9`+4VsLj$s8#XS zYyd-~L{o}Wf&4%EUIc!!)YHkbQDC|ag#7m4?j-T=d zQ|mdstelJ@z=PXW!01CGhMGMxUmUo{?j>*NzBWUo_v1)1oNg+^w|Kk~FDfS^-M3M6 zee0@s23$4+Y!uasy2GNITf<)SBF9oi8rD-WR+Ph66q&TG0Cg-V1rLFl^klFEDcj|9 zDj_^nKfWHyw@Ya&i}1|AY1M9L&*BT&8hXK(Nx)h()n0KrgpYsL#erugi4w|P(3{yC zf{N$&TcaCWpBua9p3P77gf&+dDp3**;K@fr+KlqczvL8{UlDGn1EM5k_0bK@j50pG zuY1g|kXfX$V_=s%v64PC1At(AyO56!jiOkf-Ac?l}uYjH>V`o}6+M40esM zvkmDUg9^b1rs1se&|mqyk*A4qQgS#GwJBEY{|AW76B z*=HkVPhcPQ4N^Ys$YI38WBAhc5j|j{RrCeH3CKGH;b-p6?6~EQKbZgW&5`YGHsG-h zRR@dUlTOyfy0!(kIAW#6zo>M_>caEsY%DysN{5uS;7S8s`zZlz!js#kF|K02*_84= zN3rLIIew;&(j(mU#Y!K65^0+hJ&K4p7fBIz!RSJRt4kkM*uGM?m5tw*c`K!4CWq{n zt3yO`nrIk!N|iVB6!7VrPq<%b&*^b1=ENrUN@N`#HKb-gckd|XiQp}9FQf^MgH309 zuAC-b=?-oB!RwsMTI5$9@5ol2lVIoV^g|8{Cd2~wC`B7b55|F&@pro8*fy}4pM!%R z9sn~e7_YF{A#ZV%Va|x8?P*?Lhkm(am6ehS3+^g7+MS{uvxxDaxpNn6-YRg}GpFnh z1r&ZO>(Tky#yqo>J>4O2KR9npvpqOn;>E<-A{9SNwSa2_zjqg@jw}QPYe6uxqU4J; zRg){Qg8wngyMdAX^XpXogHE{78h2bVMqlt;7Pm`}XfnpAS&brt?s~Q(G5p85L$X&v z@?;sNw2|^$>a3%E)uB1#m2;G5D@T@WXeGTd^NIkDX5Vn#SMaaLnYJf}DVsv&Rwsnr z?f8Vmt-CQt}jcSg{7?;qi0{lxmtdw>Cn8)p%?@KHmDbz0hu zA8nsCX;Uo-z7lFmSU-}CDq^WLAz*$c&OJpgH;U+!5CQe9rx2RZBnI%efw8$?X-Pd)yX2JJJ1_dY2Ms?fOl`CyXmy|4`l)jP-aPb&7Bv86Wux?;5YC zD4PFv6ptl|4GD-(2+v!?y2JktIkJTN{!7!%cLL3fD5EaZV_g%|Vz61;x8`~`85jq# zE5a1A*ioU>h6i1WoD0)O?R0*K>vR~LK_y9w%(|<1-Mp-2_v4PYG1aJs6dBGE zNh@!7qS@HFtbYG=-2 zz|B&ES9FJcnsEvbY~}k|&%HRfI2}0z)W|ATh6=ry9O9BI{+LE>y;oP6m;!K^RbYCF zfrSQN@%`c--99q}=d=9z#O}MJ$iUHlj%$ki)MtQRs%6d(Y8vj+P)n`HkLzPL&&)G= z9T_wC%mM;)x=dK4H(dec*3hj%`&^%LsMITa^o6^w(v(2wUuWK-AVH4+;T`7717w`l zy+dZ_q3AU(Ra6$^7u=YIO8{BS^M^`h_Cj;bDtnLw@ws(#3V8>~=!P8!i^3MY(pCY0Sfed{IPM7UQKI zw>qEKCtJgt-_$RE3;0@u1<((D#NNGCkgdB}X{okDN@Q|- zKRc@y_~z{#x24s*#xO1r+Y>mi)YI2OQGd?gy_YO_c7dp0TtlsU<^hOZwfBqHZ&NSt zq|PzevA0M?^@-vk1p9|66T#gp?@fy1ofLVOipqUvR^IUKpa{*?ByFVS*Z#X=@ z>Eh5CZhM1UEaSZSaj!}bU)zXsCmpBN{q5H)me6-%e01*TC`XX+mauAaYi^exiXpo9 z)$kYJ&u@i3=_$AGxdI@1^3pbKXsD{U)R}J{ENpS+Qe~&!jU|6WFPGy!cFv+(+H#MD zg~peZDI+`Qb^dITI$s~(Aknp!f8%BUJhgPpQ(^8N6a6>FW^&uguAEoOU5r8QgR84( zCuD?*#uO6cdfmu|mM-D-o$O3=zb`j2{As3lYD4`~f@Nv|1DLd+MSMaDZ|U5{{Muf* z+`u{U75MaND)H(hw@+f2i3L2Elh4Z`s<@^%owv;+jTe27H47o;{BvrK3i#2j)8g)F ztucb#O6nSE=``;07L@fw3RvL%^7Rn#4QcC0|4$cusm~$zi{W^90e5``}~YN6yi!WMVQYeDq_m z;^Q??<|mVh1DEX8y(4|m#-|d$p3T3=s&wGexPurm;tRR2RzSi;99meaS3Y(+bgD#* zZ(+VsWaf;R-XQaJ(HHHfzlfO`E?;RAKEKdKG$in@GcPrqpR7LL3SpT-M_`|BCU2xn z2+G{LZCHCR{H|=S_1vRkvnPDzKH?yq*o& zc;=Zs`}h>M(r+%(dicsg_saj;s#5Nl3{%a>!xrkxuPSqX>u^WatG!1~tZ3e(foA>& zOy@7_T&)QEda_`(y;woV;E1UzuFGwzoH8nGi(0H87hmocvwy}jsZ>7@Wqv`q7!Xmv z7>WOSD~2

4f=nyWC2%Xe+F8f3O+;NT>GpsEceG<@QHM(9ELead65Zx3Miomcom^_JeatbBkYzVapsbCy;>%|L2*Q$*(JW# zCnBRE{jc`DI=m6ve@uFMGPQi7>8dSu1Anf)(7em$plJ}E;3ddTzi4>;c>$fc?ThCk zz6Hk7BlJ+g|7e75hLgyE~yKb;d?dov?;Cloq;UW=nH>Ku|(iNekJ+<2rN9^Uk_jK!a^s9Ew1C2=m`i(G0We)jtK@ z#V7hb6)Zt7%9o&E(^(_pR3Lki(m`zhC{V)#0xd-3hI$`i$wn6K--cRFB$juQtV4{am-o zmB{@GSRC)soz7bWmRKN1FJ-doY)8QdJ%x05@|n2YNfEZ7n)lX*wN#ks5xz>T`&=Gw zSx|OACV*WH>HVS}P>pYSYnOOYGw32^JbO3q=f&!-shx`n)>COldZ;IFdD6@eZhGvt z2-nQ_yH!B^x?fhk90@eIF0UAf(Q>I6w`|(5sZ+cFNtW~iD)IJuN;F}1ue!RN(Ueik zda*p8ayuPV7>&1GE~9U98@!<5(!Z+b!nZGuuF!u4#^5p@xBcTO4e+}|CG(Bj)_}m^)VD<5Kd&p@u9LqJjlm`mR{ULwfrS8r!H%pq>18S8}eL4nEu7hgPxVNEpp1l;vMB zSXqi-mL=shLfW&GI}M+=+oKMx+3lGM8UiMOB1%e8wUF<$oGo&_yO?jPTZ8|n3LYLr zlg}IIRJLA5Dd3Wx%;Wwj$Q3^2D^u;(;JouccL+@(Z@@~?dZG#{VBCHPbd&f~@Thg0 zNhJ;IZ2r5MaMndq&ymPnmyPs`vDr2H(~vArIgXp^+zV7$(B(nx({cnufxRP8(wyn^A^_B;Hn)p zst5Pyr%sAyoj6_5icV{OC$>+mBN}|As;taw-R0#Yn0-(QIJQu}apjGqx-^Q>ihSQJ zR)oFQ3%wh#volsfeyuXOt;=hX7Uul79vhJ}|N4KESx8pFKZII%A0M>++O{t>r_Bm7 zF_@X}dbvS%3YB#l{X9wcG-Pj}{n}@>S;gujIS@M6KdBC#T(_XuD=vbfwOLX~h%#^a zivj-!%)Tdwz(44Kg`%3tJ=aiN^^f)Y`ftl*?HaP!C08IbC59p~j7Pt6g!u)Ea%c8r zgv5~K37F=iJaVp8NSC|{34LFIgRD-6KDxk^ec)%My}EKeNqgP<8zX>0nR}cj=Ooef zOU1FqDMGobf0|Lr+izUP@wR+gbmZJ<%Pbbt*0hxi@MSr?Gy92_H<+ta#NXSkdcC7+ zotoa~_y-Z<#L(=6?0B(wg(bi54qDgb5hnjNOIZ0>Ersn8mS0MaF~H21ZtJFwyHQhF z;KID_poT1Y20X}*OV;AZ3iw$6>)HkvA7lu!8yyo#IsyI6a8w*|An6bg9Wz8+Bq5PO zNCRT(4(v5;W8X{2`5hZN{Y2h=3GsEH<#wRhTrlZ!%Q_zF#aqX>1le1-U_FAVDqyXBwelwW5Nx#ifS1k4oetT7`{po3AZEog zSRx-k5|V|gS$Mi*$B_#x*U1$O{E&kTT4PGiwrB!Kbl0$`)r>bBhE%4L6s=In3n+_? zvm_qfPJBi_5SnfUlJilQl5cYasmzIL$xgmvF>($yP4b1bH7DJzbg)uuW`O%@3lt*M zv=`QZ$9>pTk<`p>rsw(6HGKcoTEb4CW>}WlJpQGD0n=O+gDs;~g4C8O6!U-c3;)N; zu)YU7qlMU5YLm1-s^|VR<3V_*|77dx1zy z#h9bzsCG~RmEhZVUvicTAf(ds<&|8g^VZQS@AIH?3u^wHw}lVx{gZcmy-G(|iGu~& z+u!Ab7xQwGE}gmIP;Jxgj4=as<2mU0)r%kO8?k8BOl0P?Op*4b^3zih&y|;rMFV=l1^)a)+RoH01dCODYJeIl3fvNwE z85!agy=6>Ub!hnS_N1Ad`u~8^Dr!hZ4~hiu$O_D)2|!`{XA3NsJLE{N4I$KDO+OBW z-61I_O}naX9Qgo?y}#;g^|7QoR?U-UEj=T_ZtEY-!#`6-EtY?M!8(>&+m=c{rCqCQ z34N*3Dl2N(v9dgc>F}vvUp^FR6kHH!$}UdG6?+YBBj--n&H*c3Edx=ZX*xSIjHefW z-EV&Z>z^9LBmL7@@(r+GKMwD0n@eP##yR8(q>h}I8y ze<=Gfk|Cp2Ur~Hu{S#98p?v)3aI3ejgjZG$yZSyuW3;Jumbx^QGyWHsxN()vj0d9( zPl|JS#Q^UQW0rYa^9-XRsp7z4Z_awI#i}OB!a}=L@q`uS<(|#Vkn~qpwvBUJAE#y! zPlS^(sYO1hs!JDR|H1N+&`k63{|=!NU&f(uf;;XqCo@kZ!lcrD;I~x#)xeJD^xmH) zS%01gWuJ*}69Ou3?i`kn3XQFg!Wz~hbKCiMq9ws9pt`VbYp9S$1S(CoYqA;+%q<-z~1T-NQ(RnOzt>NOFy=G=ECl%7+4YbJ%P z=UtXFfz$^|$w~?T*Awsz`>X_cUT3FK3hAk<#9_2sG?+uRCuZ(Js!f|YG%Amu%=h2_ z00P&b{QHM%I%fkREn+eXN1al0xKOUB;7F;x1*?v z$YL;8rudO9!f%6e`l z4`(U5g8OjAlSzh{XBo64FR(`ZMYp$nPQ&WJG3i#;?So^{hXY3|Hn3tgXMhHnU!=Yk z*dYD%kf{rrlS#V2y||f5;A|!*igSi3Efm{9;^JXm66C%hn8}4=au$N9P!HzY{X=B; zd@DRto9N)*|cPF6Ia--Att7uSuFW^WH83*MR9>p58u$_-2kd z7ja!MKG`#La`=B$VRz+|;-%#b350NEFO3(<&a64lk;X3S^r=oWL2Z}SH>VXzMM`mC zzPz;(u)Tk2#na=mvid)8^FEP7Vz6N9HrT`vfa~CkdZ9j&+%Z6&ZPhF6oaYO9(#;j> z=W*>>@qlp2Jc;VbPLIh9SMcRC@$a>~LF798;W)HrJ?XNG!#c9Xk`ZZ4d!lrkMK$gNlPI%- zfUuGM4pqz>xL$P|AGAOf4X}2{AkqZ#9@;y}uTc!enrlUwjxWJ`0m5RQzua(|6%CK0 zP1oZzQPeb8Jt1A-)#I2XSZuJAg=N`1pAGI9|GK z2VY1?eQ+Nt)%U@pSn4k?kP=Nu_DeR5zAcXT*3AU+A^yJe|2vVwpD^}={J=oOVQhJ> z2>h%6M6|PCa)UqkM+EU5s4H2zvOu(RiP4?NTCd(#9ML6``>;8Padtq8HnYgHy*r5? z(d`ae+%IpveoiDGrQdSAyC}*vi~r9(PrwUfBn!)sBAH+&!i`Rq4%G<9QN`Y@Fa+o1 z_=fMn3p3=0<9hD*r+i*%?UisdSSjC}jiL-ih~2-C+C9GV5_2J2s89rLg52Do!;(Dj zNjU~qB$EQ^OzelC#5fnh(tK|fZ-zc^vBfKW7hr}$uV79dSK zi>y|LZCJ4=(tf!SxuK7wXctVUNm&y$!n%d8`+HUfgkwH0hYCySb{gvzeCTw0vB7P+ zZZ30f9g)$d;wYUUQNX)R^25QmSLW?3`t3O$P8FdRp&l&lD{W|P4oDg% z%7B~qI44SJeWkjKE4b{d*UE2GAXsw6DA(^#MtDclCdG^*;N|G)@mxrOj%*i+xc%Jm zuWm`hguMRU%soG-UH)jz`KDY*vTm}x;E5EKQ3m1{iD=oKn=?EHWwG?hBj1lGbj;f5 zR?SqSh>d;TI$w&;XNEu2AMH+IHyL)5AI;V2HsAgwaZ=#JVrQ+v8)zg3Sl1=%uM`dD zu6NuB1SgrqCw!SltT%2-vtCeGA8tth?OVCKA2YkqA$SAH6UVN#{Z@mydF$8GVaBTt zuSxryU&m^VYBa7tS7}P?*EQ(0mc9celv`n`=G5YlW3As->Wl;{R}`DaxK~DP%ueW~ z^*ao_)YOhUekbrlB0tas11!`&jGXgeY)J8nytPg_<+z^ft~iS>58D{Hq+S&qP_94h zyqW(rSW9$&_&3HsN)1JNPYyp(k!aYr=37K;!pnz>{%SFZo}HqXK1aMc$d@9`z{zyq zi53B$JI()tXT20wfGkR_Qz+@uG5qj9y?z{zO5qEaWjFlmH?@ZEfYac+qbC_()sjU} z8%M|0Z1DM!_f|d~2$cMB79$(+EAff6yy}R~>yWD7v!DF%04ZOorulYnR?+Oim7bEe-G)>gzN#)E&wmhgRGj z<)BfL*|U9+Bfu;aX#F=3sl94M`Dk6`LRQo8tO%@M&R?>y1E3TEu1c zWM!wh*J^uC>_zc7#J9JRD%H;=ha#iHJ&woNMJtKt$djU5btG+SW8!7oC5Qo0;z7y= z%8c6kRhdLrN^5{-D&t9MT*_Al&ls*#HF7>&h^k*c>RbUFu3(wwhpn?g%$z-rm8<60 zjMwK@?(p1~U!7ZdW-Tfc02B5)t%3fJ!1bd+KIVT{0qCwM3{f4Cw293k&hk2rMcw)( zk_G=x)cWc;ZActJ`?9ii0(Dl$IBK4!qJ<5h(YZBZqcj2j=aLo!^{{u4mDGl(KWZH4 zE{@AJ5d%Qg-v+IkK{#jF2UPO$7@V4DZG4uOPN!-{wrRAN<|wvmO{`gNa(wz-tW-sG z@x9Y8VpMq@9!tPABgnyV#TwRv(dRrrg?tazX06g^qlCV1t%BrVUryS7KRza^74uR2 z$LWndPBq=o&lR+2(d#zM(I_}Xe~|F>LYUHtHr;3-{Q36Sn&=4;)juj5-BBD`K zP`Pn{RD7JW!TCD@>r)pLY2}*@5stzq$K;nrluuFx9Z}CdX*|l}7o^oYszgtmV`L3u zXcG#_bG>1h4aZLzzXrdBOkZHs-ZS!Rc(OxqH2h@T%R2A4%#$?u3^yj6_O3j2pz@YL zxrB=N`(Xn!0o0hV{>K=Jth@ZD$3E&wTrcM@2i_}>ZI~}!8ePtn*dN6(O}6o4hVPBL z*<)@zH9y9HeVfdWnMylZwVAt_utBlU!3h3WULa-C*#ZH{)U!Fp1qx@jCqz?o@{y9d zsN2p(*WM}y*oisb*?E~d?8Mn6GLUzva~h+HsfpBVnvEhrb5^dmV>-(VAzHZhtjrbXSenHY$t`gSgzN{H9=yy&w&dyD`EGyTMm0YbO%EFr3RFaN|KD%v9dU z?4GIs{6=(-EGGnlxiDpN9v0V^$NURSi9>&>T`939z^8&;8ilP*i*nD*I>AKDKH3ni zIP9z6z-SF?opLOt8VK5(9l4>juT+VIp?#cQsaqS^b#->YyR$hdL!N9p&dGQif$LFs z6L#`XH!a-Es5U|tDI8j zgoVY@8Ss6HlStoN>KBUC+ER5KcdyMYq-1a427A%oDFsN=&EoKk;?FxmFK<1H_; zA+b7vTX}N6(lNMF&kDqDY*K0_{?73E5cG6E5?+f1I*HJsMgX<*0k5+>R8*7xvS@Ir zB0xaH+7YwHCwi`VpJ%^?O+)*%8E%&c9C{~wRc(5HeB!ber?7^=3qjO0H&4ZI2cH&0 zazLG?R$vk7`N+E?kU)$|P2R`ZY1^r*duzM-|&tu`i4Y750gK4NiK~d(_y7lF5`oq zf`7$~R5o8;63ID^rjC#P`T#{}{K5x8%^isjcoZ+cg7qgI)|SX zrcNh5hFX!}^uspL+AC}tK6$C~(Xr|?>XVJG+Og$xm0*8I9;D3ue=oFa`}MfZXBDJb zu&tuo?V@F7A;t0}nS#s^>x0{Xn|IYZA3yeNY}PSQy3_0@TB_9S?=ft?3r`QKlzBM! ziVeJhK;4XZ*Ks(D1yC^W49wVwr2HrM48p?g&xb$I6)6jwdSyvyGZzoeP)L9yyBUEt zPuVAN=6webxr;dN<$hp1CGFzv-?Tk-be!P4%X`0c?+?Y0gw9RQj0e9`d+$V`aZ2ky zxF}bOS~b9q5pMNiz0OV6;{r>%^i)qf_>vTz9FQ4*Z zH=nkdjx$(`#DC&|>~ZSG{!@f@^d9x&qWU`*WE@$h=L{t92KQu;chU7`mGc0R7xcQV zif&Zw6`fT>i+c$g|8C>Y-n*h2)Ob|DM~km#=(6Yb6u3Q8Fa?446x58uPfp2zd{bq7 zf#?I-@g?~95E5HHX=~Ay6TEmRoL=(ZebM>OAMf;`!ZW@-xu6m&g};0n=?>#JU|3T@ zG4xgBFRtD%g<>C2tMb`+z<|r4Oa}E66w7_^tX|N`JB|iRZ~|ctMS7`^u!(~Dmqe#^ ze~67XJqdW`-uYgmZskdmG#`f1P=koz(Aw$5fET_q+;31KU#*J$JG}5q87aS@IJi21 z8XQb#rE}>uM5;@Sit5VpDL`lGJg@i9{2xPS{nv!U#PKx?V$|qv7%3?s9intMDuQ%u zfD#)Z$`~MiZO}(T6e$5|l;Z9V}OVVNNkUP!2Nvp`rN(WCw1%QF>Fk^t}Jqh zv|e67SbW{C3|pBW3**a_$$&cxA1gXt^4($&n^L(Rd*I@6J4ZiCtk|oasrn8(xOT_s zKPk~P(Ei%(F&H^31w7&fsh%u}ZPKay({j|;n(9L8n-?F<7v@%f=0ia-KPC&JMz7jv zK%Kwb;|`h+w9c9bP=eSx#Q7{htc-3ilpckkV$EeNqQ&u2C6r)~!&n&3pVt{-lKWIX z?*G313DotMJgG7YtC$k7t}UL?TDx*O{Uu}ceZ#-NjFZvDFbVZO zC+xl9YVcP%uR^w~?>wgmJa?f>OH#~`vr{z*siOBb`UZ(>pRvvOHz$2iE)4vBt&?>P zNUw1H$4+OuItR_}iIRrJOlkqf-{%$%VB66N(BWw|SpJX7jRIEbM}CQrqfU@D!d~bK z4Y=ogL>lZJlcyXtr6ozo#)+XRc^-`dy4-5DqoO?rtS$M;jugl7x@R%9&rQ}fT>mlp#j%yQ{ z1FdGqxFh`zRTBCsDC!yJEsQS5s%@01^OWn~BlSno07)$Z+%zD^?UI;hPF@|I59qcG z7Jbh*wa3-jBk0`JG$2th%;H z;|Cq~UszP}(+Ks>zJGgBA|EJ%s$1n!{Zv;J3*R+Cyb+-9?<-d*JL{>lwvf7>m;R@6zBW^^wn{6=vQP$>F$Q zfuK8#)aCuCV-fapK`|dhI5nzkC=kzL`|swY?!Mk_JXqIF?Z3&+5>jB z*nIk0M^P*Fl-^_xQl5~pD5I(_N)R^&-+{wn6Qsn0^*;nXYQCGQDNN6Y1 zEgD!9+MP6B`7EM3tHVlcyFLZ z@_fA{hPgfQpi{NU9G5!zadHf;s(jgI3uyk9xo3S;rBEH$^Cp)__)dUEbVvCkX^WSc zqC=}9@5*UcH!wZo7=iVL2P|pg2h{iLs!vQ|T2j?5!?1E9f)TzBd$OU- z_=4et1y0c~18qJ`@XhWS*XtYb%rJHeU(rAu)tefigs$NdfSH+Tm#+$yJfOT_HB?tJ zW8`ca%TQbrPawGQ-=m+!0I1x42YfTmtr;-hG$6yzD7XNT z$+%8v?O)CMZIx9=v9_(e^^v7P|7Whj;o*TKT$7v5QE`kt?dC^E&#Y!poj2G8c_625W*v2@?`#{+=!vS*6>ulp)A0j{n(DbAm1f1qt?R;Ob`4_mHPPXj0{8{vfMX`c3HR%p#1gOD;&{%}+F>ZKLpxM@AuRY%OJ z(jwoQkK^^>KZMh$gxwI8HQ>o!scIACU2T*34ZmylAdl9=zr&YVtK3#&lPMWRC};h; z%}Sq>$n2y?in8?^qClsLAALsdFOfd7LRr_AdN8a|mW zCt_PcfCs=EHBOzeP&SB6app4*tnfCipH{vZGXRgGEoEVOvC_bgyB z^C|MBBj6a)mmEIAv>7TV8$hE6vt$>7KAw9A@vU~VmAE3>j3k5fMLf-KONJF4TiwN! z^&UMeu)724D_=&_o--Au;s0sq0TkYziEbx5JUf%Py2s3+s!ETUIDcJ&AWbN_0w8S|REf%L6dQ%9W`?stI1JFRh=%hs(Ao~0; z+Z?d7xl5t=JfK9GG#|EKZ2L&FbTkWg02fcYDld|GaPu-h`!C+KX$6_ctWVY{8=dU7 z+NgV5mRYVE_6i7~t4pKEB^_&_6FAPZ6Lu#>;?xzsjPYmpaL_H#Z}9t)-|{OF$urSkD5K#`@}_SzhmCWafY0OnIZ_9;oOwr6^#e;WtcNR6+rRwgduN^KN_tTld6{GVj3FC--}hpA=XHYKbkYjTH>GZ?bB&bs0sv#|N&HkA zzOA^-S89o45GSJJlf!yFMW2F;JPK0EQ1qZTBR4YWMO*dn-hZ0=^jVgvzx8A)h) zD5L+gt3oX8KC4?^1=fWT*~0W-@LevvnGxkYmq`;ozD2N{i80@L2zp+=3B~@f_eOv+ z;qm3r470wC!rdS*ey*Xb2;P`4w-pL?vBIozId>DsJO!DYEEM9+{fY9w=tLEqomSm| z2#Ns-xWMVvawbb1{l{pMAqZ#)uap&RPO3hB1iaC|Tg{ETtZZVO=&j|<{^D{2}o!4P3XhFW_D4J>g zT3?-Us@!W>_Z(3KUX#Z)S)YOUe-Zua;*zNOvik^TV&D@H7=ME3+amyjYeQXHpb{EAp z(PGJbq@mbyZIKU>^m$K(j9}UhH#$tju+w7Qnf8G#R|Ev}zdq+hh^zGY-#QXFaY!3c zISE$!og_4)12OjMUT0fJrwhDT`$H#Rk!3dqsohPBvn;AaBt_CI^=xliq&?^^*0}@0 z!Wmvlb1(U`JtO&%(kx<_6+!MGN~i0QMq$y>7zjXNyDyEN(Wz(#o(o&`FHc(%OOF_3Upq?Z`Hp!^l2gV{;t8*e_fM-5(7!S zCbb*<7rWR3HiV{_LGwx@&Ez?x1JgH|)`g1d>kpr0JaTNea#pG1bczPjXDjY)ngLQ{ zPJ93aFjP`)NBqA}18&`|QW8Z)9jIsukDebqMIg{Jn%!X!aLY5?7=tzfK6fT=?y1rW z<@6a}+R*{IEd(Q%3e9JEld%V=bM#Cvpv?0Z*26&}ys+a1WISDg2=uQ7<4UOf-Z)+Z ztB9Wpf*Q~twGbb?sH9*SF=a}`C!853lBZ@$oE^N)VfPW(@r@mbco5A}T1fzC;gh*} zzRfoAipHgZW~i?Mwu4M2brsFmKdGEWb%Wt6Qj{_7^@%`7ZGJy`nC1x_}LC z+HFP2s5Dl+5qk~r;-9!+A;GE^EtEAP^<6}Xru63%i0>tdmk(YNdWbQr#4)hTs2aQo z2uuOh+&QfS=dQdwW4{AMw1-3Ob3vDFmy#~Xer98o;`3Abn@qNc!q`#uYU4;=Zem7- z3So}HFDSXSL3<>UU7QC#);L?!xQ3by6`3X~NE*q-K7f;#uKX?Sp|NIzRxkU`?v4 zaGZPct@(k!s0PC`yk`A6uWH`=H}hB%$l|k@($@LA0mr5}L!2Syj(`%Fc$?L&`FrUj zKFf>bG|;ns(W(tgS7tu$(fm}I(zN}^HbO2 zW#kuDhGnY8tjY4kHBtXdM2h{(KOVe@_eKboTz{CDxOaYa z^NYwtf-irR63ZU+>!xHZq&1{&97HH$@GxZ2*|h`TrJkoxGXxmf+0@B`We;G6{}4{1 z)3Rwrum#$Z800KpAaL~yq6E!<_{~VTInk*jPu>PJNqT=tx~E5FdC1}4{NJnipq{(e z)bRx(+@g4z9(|Lwe&H;jqjisNuWI=&+8+IuOFoCqryW=zt5v1wCsokLf5*|xIz!+8 z$2dFQn};vKw+JFxe^9VM8V2;-OlDABE?KCD^_|VmoLDyM@#$B4903X8z{W182Sjos|_vp}`5g*BZ- zHH9WyUhUY&;cY!xan4eYCw5)CX%=g*^(;kos{h^<7Sv0CCse`~5BjH+VHm%C(6gJ@ zJxk)?@jU1#f??gVWzuYbu-0OwmnQ(GE=nyU%wi`q#OaG{m(L z%?!7aVjkIv%DHf-<&3)?k7uH(Qk1P>>a(cHU0pR>$Yxe5eGVVM`v)q^RM#~)NNfsK zWMk+F=Jf$EER9w0?#&_y_)QaryWVCMD#cbCUW>0FTGH500j1MYF$|iZTySt8=j(L? zW}?=MFp~cQkg)ycQc@lR=zo<#oO#*ZIvB7>I`8ZkL)33b8tx`1#&S0AHi3<}$w9^s zE}KHfTy$$7!w!2;`^zwMWY~sKzN<7l2B{YHm&vnT8KE>F;r8ac7sAIfT1S-%)%Vy( z=Bs4M<}H?LUj_f&LQ_#008eoICVcRF2a8(B^9)U}XHl($njC<@>$f>o-|OV&!%3BO z*Vrpz0q5EPl3m+vh1NYTplsI56&E1%5CD;fhe<=1eTiRX zb>n0?Z%*U`a-NvA_r6?_6x>VfgkXd{_Wh-jf+r1nZ4fT9mgKNi4!^cRqa3e`bFs1V z6@>Q{!BkC%%s_g*vVftToiUlsJ5+JpD#osmzC&ZuQ+vvKUE&(@U4>8svFEzqO-996 z!w2MrlLglE=EW~=82?_z*v}r9^&U!QZC;M~{me4HcZNt{(ml9-S zMoDmr{?p|o!yafgf0qlIuFs;uB;V{3q6Aoc`q~*Ww|o3bf(|rHu8&*EHqQmd)GboE z3RVn;yh=~oEZ-RAsra9ku_l}7ilHDv;kZ1C%CHC9BZ?XfjSg1jQA-n~d zJI%6F#c*(?-aPw)ZlOm4DTAURAqkwf-b_SO_=!&do;Ta{;n0xc4vu;svMn|8uaM-a=*7v&Ca% z4mA3R-=7$rQ=G@F`It|{8u8Tb%1IYKq@vKScsE)v5_PjsdFUA#eCfK%?W@rwE~swn z1P~GB9Q78}f?X8r`yNo+Q&0N)*m*%RsYwu8rn&GRKn!u`R5qE1=SnYPrRi`#@jbmf zTz`#>bdcT^zr+5AKz7kCH@UENWTZV?ucDX!BasQ1_XeL1A5$128d7fX*d1KC{r(gSvgjzDxTA6umPmf8l6OK>LdMor%z z<`=R1kYYnqG3G6{u^As_T0qYFHw2`Rwgz3KNhH=vy7gn1b zHwPzmpdbLoqv$LMn0oce`LhmdZu9NBQ_BjOKa)e4C^<8wZoYAGTBER!Wl=7Za)|FI zqedxn;_Y+js~4sLQL6KRkMq`Wv*Mu#bFZU#_lcK)hXPT=b3d%axOjfjMVUOP_wy0| zREZVnxa4Oq^#VI+ZNhKLvQ- z3`e?oxn^FD_$xj+YrEY&8$`-5Q|AOaJF+1`{RD^NS)P|Z=+;!C!rBK}jiI>%F6V)? z*?Zp@S7zD4Z#jm&mQKrv<=Ml`1{BcLn&D**;>}RIqGLyAwBFgap$fJUQzu0ZPE^j} zDZOgwEh0zhanx&>3`gp(nW4q)J!_s03&^s{F}kpag8ML&k@4@;m?Z*!EnlEIfnW=Q z5qicBfQ;Q2h83iY1JQg>U_rjiOTMD4$O($%Zemycu}9ab7anH0)c-w_p4EGV;+c?n z>wG!b@C_RvIAF=j)RpM`L7j?Wk~!BfrC%`*Xig}By;xe27sse~?sAufEBI%TsrmyV zY;{`wia1N4MdFF;04889_wFTVY8*nFkO<|K)svEEy^)ZH7KLMm#Pv3(yIF0h&P)`E znX@^PafGBMV2C*(8S1?-#&-7U8tg2-LL7K+)2Gloo%W9w8jaWBst22c*SYWl?15gJ3>(C9+W2N zzqEDfpR@2s@uiFPfc_%+IM27dH5jKnwx(P_&*uhI>XEBLFPE3?5VqK=RNqYW(NSnCE+W%4%_)2 zLo^KVWo4`b+$lUu34CpbKm(tyTc>jM?37I0g|uDW+4lMTH?Tgd7X?dSTW%F0IY-P1? z5J7g$F%4eW=0wcg#Ulo>qv;lkAKo-zj99ovI?(9F{rDukTXLEJpI_)69VCV)PPki@ z;GTnqtw8zI{!jAvHeB+0r<1V&Q_0&VIeS^qU)HKd%npiQyTapD)EYG)zWkUG&kUjG~OO`4+|(u`H#MB@k!bhnG!0ldjrxt z<5Fg8aNR6|B}Fh|ytZN@+UYLBy>($+5WARP9-TD+TW^=)^f)^ z=VQNpyk$twWI}@vD*j8fdiQZ+qim;CGivTa>lxw`dS!5S%?)Tn2eROnL?E6Nw2<=T zqqPXmlHl|1Nl9Cq6f2sWzQug3?);=-T+81*>>9XkCDVuNzX)!A|1;VZvy5sN1#V%I z=K20AHBL85;n%~wkxo!!1y1Rs-M!Q^d9&bk;?q#Bk3oX5J?tp`AHKX3K@!xTlckF~ zS^BQmuKs{O-(1ja1D1VzaGk?oZ#f=OkUzL0Od(7@;nCJjy*c2AQo;?IlXPF;%?9}+ z@1jw^voeMX;w%sMk9HvM_u#Z>ORp?Tz3U827*x+x6xj5ea8?fpAT}hBY>K@*J%*A# zuQt2N>Rsb-D&w1<2n2KCfwL1>L&a|kJP>v(4G&RUab+-O2(s1(t_bfj=&KbK0+c!v zcnBRVgNb-7+Il^Euth*rT-sSsu(7xFm4aM^rrQ5R6ung6soEr+QtD%T{OI~3(MdVZ zMW0&2FYyM)#3S7@J?4}0{rvJivM-$t&QlxM3)ZMdCDJvI7O)4cp`(^P#?1SkG5xy31c}19oM_Gav@sRxNF{tt*j`#d^$1kqoA9tAl zQS~h0^YW|op(V<{j`;Q~iGVK||LKlYpfaXe0ROrq&^sTm$g`FS9= z&0g!c94Q$BAZrV>2LY*W{~`1BzYxzvZv&_Hx^(f;U;)*hX2bp(*|X80EAu3O5EcH) z`a*njtz8e8Up>=c8c#C@Lk)MKhFzW-1Sg9^Jq1VhKEouwLpZ_E2HqKO15e;Vh9a|( zCKs~DKbqB!M{ug&jkP-aRA;-hE9vR?C!7xxw60#{^o=%6bnE3bwh9mG+C7*&ZgNTR ztvURqG&{Lw7RI6TR3pGYtP(go9mG1g!B!E!*MAnzuu%8w&;oxE(`tk*#(mzCr=u=5 z2Onhx^WcNf7)e5o@f` zzzl$oc|Jor@Cpor?A&g!+K}LnBEHKQ(bOAD+#tob!T{jy&*JP_@Cqf7v)w{J={@pq zU&?d&pgFLc!wnFzBd)2R*({}N-2ik>bl33|ts-|KgTOjFb;MoZTzMq_^P|v$^JZ$J zB*Zao>i7rQkB4N$p&L-Pt@*ikbKGlmd|lf8rsb&dgv-XlQImWX_?u*D1JAWtoE=mX z5b>*hPH&`H%)x){prnA~Y9u?sRV({R4&)(E*vN}B+3wQrEh28opJqxS1}PAw1OAt) zjEnMeW%9F&U#il{B!1!Xkg}AXll2~=ND{s^Pn)9j z67Dg}43uLYuS|U>W$GA`BEW*0M&T5Mwl=a`rS{+ z29xmXVj@{0q@7V2uX}LF>o^xeiB#k~DP1;BWU<1P?esmpuKm{Rp~x;j%wNpPnE>>~ z7u00yo{I*#gB0JtW+#18<-XPXh_S;P5e}!I1Rq-o=?VU1<@9T?a{5SB;*-@*-EIVX zqKO;g032^@V*QURBo_x$E>b>KJ!pd<2|M=;^ou9 zmW_CA7L+kbJmgJW8)u9tN1_<3utzxt@?qf|wmpHR0+-}zW8x%N&;EjE1nJA~gi?2~RMm+m3- zg6rh*`~+h4!anEq>)ri?pu^YBy;h@e zdKPCG7{lk6^-vI+_2|3*W@#VBa^RnV=g}vGNJCx{isT2v%-UYUdk?lLsbEE&xx^x4 zqNE1JV>O6_YQiJmWg<@M-xn4vyAFKdr4nW?udM zdo3N2w|wKt=kG}6O=W9B)P#JLy=dDfS-`|!FjSX!Zaj}TDfQ{d7}&}4L)3qSw>ptD z-OibDrM_Uu{o4HXDK^p${K4r{BUyK$=5>{EEqnzbA|qL^jWkEC%o|M%d;OrpL|s~1z7=}u4V}AU^2?!MO zY&#C;vbS_FrMVyUl~VN179eiI9YLtIk4$V@JGA~h>tLJIiwJ>fRvKD?@V>uCApW-X zxyRag>CZ!Ygs$poPAbp0Yl2QL7BUl*AtQ@z`3R62!?J@lR7j>9du%-5FVzaxH8uQ? zS2Ljb7fVeyV7rW)7pl=1ybXPe3kCj!xpbg3`HoSR1+L=&u!DvR>+?{~0!VW@mmk7= zG&2wo6E!VRmG{EMncW2t)CLon-SPXiOA3I0>3u~oQFu8>72r)qI6n}uy6JCt0Mve^ zUwKPr!8dYh7txOs@$BXkZlBuk~f5QPeP zJ!26tfx7c)*j6u*5!jd#=*3-lX{Dnk|KR02^ z+=2SFe(jv+=IG$@y-rTRIZ4H2*1$8i$$E(;zTlsFwPu;!5)iqr!j`uH7%R68u?T@q z{6Zwf(4U<^G#sU@6!iYaU&!Mc5Xt!KVn-;`CG!DhWzb4s0y}Y>Na_O|mM#Lb#*b-i ze+$LN5=s!Tl@qhSUJQ^*t6KuzM@L`?#y77ly2>co?Hb=j>NuTuK|4Xr7rqv>B?MC2|jCLGD($OS3jig zpc`0yp20cgA7${O<&>)Yq;i5HH0q$-V1gcbxxH^;X%zE(?9;3(3!P328Yvu>>3;2ySl|dSN}%q4!iFZ3B4N+cBynf0j>tK{ z+c&VEO#`B_oTg^P>UzR1qR%eBMHcdmT;Bm_loxw5HU~)u8EJ-7y##GdENK*aO;_nu zagw|XDQqs(Egi?3E1;2&VNJ{DUf;yX0x>@;H-|KP*8ED=EB67TL;NDF-XJp?epwau ziLv0-pb3J?8qG3&#QAdhIdA*+B8zhaCwQa+ReB3AT1XIcoduKh=3Vxx2X<^ICHWt= zO*~M~!rfH4tdN_8drx~|r^@8S&TlNnav9`ii{6Tix_J|~uGk5D{cUixCo^S>#nTCr zImOv9Dc}*E%-HDvHRNPm^(7TiBmd|zRpHKzK3{?Cz1akJqG6>e{^p0FCP%oLYRWO! z#qNIsz>m=ikkNX1$PGQ^U1P_{}=|1-eQaOX@5jZz;K-oj{^P1^|@0@E>Nh@iDq}{b>GTRe(k`v%dosi&v z_ZK!`0}{kc6A;46FPDhC%3hzYrO;%Cy=F;ws!v%*mgu!YbZWqpfP>m`m3L9RP zi~?P__&fOGtnX$P0SzTOl`n3thxUEK7!Tx4Bc}sqK9vKqcrwl-ecUe2xbwLR)A0_h z3HvyUOjaSUd+U$UMAH?q<+YBJ$la&7Zv#Dv$8KG6S>Ku91-KyypU9l6zl$X7m_SRNp8E6IKsNgn#nXl{?)>l+&EuQVa?QZY+RrJ*MhQLi{h}K!*&$3YU zI`P}A+2SR=VhLYLF#CH2h(s#UcMc;4Ra zy(k2v54stHNC|BJMw0vz@%=+~%O|N{3uUckQJQ6Cs$oYgBm)+tS0nsB2q#e9E?Hmb zA>PY)%`udsCgv#%NCJe}poo$&W-dT$4jRT=a8GK;3sUx@R95F`o7rJcP>WoK&p*uvynJW=JWS)p=)8#@dTtV6M=8yg12AEd&pU)Vzgo;kBQ26wv<{szq!&8TD>Rd+az zm_3VCr`;RsC>)8~6Xh*Skw2CI-^DxII#2Z3QdOhcf^VI@`D|A`te|{uD-13>-bFL) z0F0U86gpg%gc0L>7(5%Iuw&rnai#A)wx~^0)k-d(BaZrg5FO6CpjMx?{nfqXzD{5X zjDusP6YWcBYwsX%!}+k?|7&h9gx3TWI%y{!Ko((icNSzebcFPVXz|GEjQ5u>pn%~w zq^-gL^KP&53BFL)mV0z2U;X^6-_@z8LFK_f!{4T%@k2>G0tN?`MH;W~@C7}uW-AV4 zl>bf!5|`QIr$@zs?I7C^@ju&a-1Pet;zrv*+%mG;XMltZ^4C{wuZr6sFjp|A>A5-$ zCA|BIXL~PX5ro8n%?ev*FY0wk!X(2S17*&mJqD`0mf1+!*M+#Q>9yS)?$aFoa$c^D z)X7O&1rD&F3Hz=?*L$IFL)h?~S=qv8#TXBwQxKCsTD`!thqxT~xAwUM@yQj%2^`5p zsUO?_jJkz}Z7Cb_se>h?OfOdJuTcwMoy0TWj&azasI{8ygAe*3i@TsSy&g`umMf0& z?Ro;$w>4*cldttmM-I}*dZ6e2wYD+@sGjG5rrv5p=uW?dXaOW!{%RA{&6Hw6a~x67 zN(`9@5ooFjY~$HNM0FH$GkdoI7q94*b>8YAc=)M`ku5bXj>7r@>>g0QCRWQs&~=BV z3FT5{MZN|LM>8g0QB^}y<)L+$_@ro`5x+Zu9@kRn;PWhGl@#4TvRt+JYC>~usj?%m zN0wRNLHCQ@(ZLH2wMlfcj|w%2-H`wvhF!Q_leWY*rurP+PyaejZL?Ks&xi^6Tu3Jj zVp)bYv^KgF%l`5jdjq)6&El<|2(aHdoiX`kVa(Byw2CI^Dw%lqh5E z5kn~_D#BsU>8Wwsn|LOza~9D~$mRZESNUeWFk$4(T}Cf=X7#Q6wQVz0nkq|s9vGQM z7YQZR_soY~^Y=s!y_?Wl`Urr0DjJyw6mz~OReBhIhSb_ZJzo;NX!9~jV*fmV6!G>Z z!2kZYTiY^VBo|(PUp|LRqAA~$|J!rSHQ?Okti{=A(3W#F??AhHS(Ni3q!b$#F z5XjM>(V+h^cihKHRbz=J*gQJg*pkIDHj7&myOngdUs2AACy(gN=OMNXe?KQJm12tH zM3p__8a$wLvlzm{X@s>8+znhf-YIlKI_Lt7qv6_+Oi0;A*=7fShK+67qz zq?>Upap>mW6w_zd0mfx|4Uai9-Cq~792ON}v=hc>Vme)tfc&4LVPpCLH?oI2EJ0Nw zWty?hAF})v-KhavcAD2UO1Nj)AMF_>DSe-+CiCa#anx;zh*ww%Duc@EPei|zosZf> z<#Nh=4KHF;uAPe1_K;r#v{GDg4Ij@JQfnI}zK#f6d^P@lyMF$=x2T}*m+X_FZ~L}A zl8co_yxhr61j%ne9`4Db>Q?}Qm53zG50uO)s?RSzJSq3KM;T|;!<(JW(uj{VGDr&R zIC}#w4<6WG_YxZB%fsb(?9vA~FaC-9wc6mW1y>;xcCywrfK%ZXa=uhJqw7XFHH!^2 zj~2+M!oDvu-O8uJ;cO?w%3n*lMG_?72L10Kv1 ztCjk~HCe!TrJeUYg_qA0qTXf{mcWuVI+x&TWQWgmX0q$zmEmge7`Qgh+`42A@cV#K zL`cG@{a6pf2XkyeRQ^7^P8k{sw+0rZiyKAa&(RD|-_I8t70V~`{$V&WE@5_=iEyK9 zIB(E>OJQo$eZ8+T{--Q1G{FB9!5pJqH^T8-D)JdW9f6F=M3E}b6CA&h1Ab!UvIm

zDZBPN>``B1a33?B*!}D>NiuyFTOi!D=q|va;x>f z=6>UqGi1p{kkp_0-?6iDJcnipU(7As7hrD-13Qv;u+gt{M%3UhAy`#QAYsLKK_uPh z8>V5rdlGV%8ajAg(_q}J|EpQjq>`2q zot|n6ZxziVv>@MmK6E{2K>Bz)3M^6bB?o^j`np8F$a;l~UR&t*O7S_PLCxk1V{O0Q z%;JXcJR7qq3(2RQ4Qc6BcrB4xq8*Pn=+d@ZsIvisi z)7qMFifeCS&!J*ZRIc5t8%XL^!`WOMHJHc8{G*45dZXjNeYFg4M6haVE_yMM9ceX^ zkPH0Oy!>2|UyCygg7cebth|-KC>zfmvF>8yix|a^9JY>(FO2$A5gi(+e`OB^#*^rF z#|@pYQfd%Z#0u$SCWNka?CJqk!lvV;i3E{ZcTwbhqzXT0BM435;lpB@1)-g9!9X5U z*eO9ptxflfdUEmZ2)B%S{s5a;nXOX7Y5eCS^sI5f9wCS6OqR%+{5DTlmj3;_E>^Nw4yFxvkBnA9(b4@J4ke+ImJ=$HUh@;5FPhpH)&aT)O=0 z$`Sufi^M88@2=SJ9E{_%rJ!gK_gTY9IG&D~0`r~cK|@^MfmEu3g}F0$o-JQhQ{-#& zFAPc_BCx?B!|OJ>uXa{pBHy=TVT|4_*i9?%4UHk;3q$J>OruK)SR<#h2OL%jf0T_Z za3XYM*tLI=c87FgeGc0I545CGdPSY#N$12cYwZu|dQdvQz*r29mSuzoT$)n? zXXJ(ZNI&pZ303FaN?ZCD;{*g(wKAy4(SbjhN-=opBVr; z1$npSc=n7!PpqtVKfA{X0z{nRdl-G?X?f(kFl0)f@CEoDL*3u=QIKET z7|N%zQ_?yY;8kB0`p;Q-B`}I;<+UCpl^cev-sp*}*|LbTh=u24J zB6t4WWQfWZIY4P-voco2U9BdG5NIfol?pZpf(*^xmV8_uhDE2DO03b^-l{{SGmlo3 zRWfBhDX@w5NvUg!*xXv+hi+iGc-=r;gAL^er(Zc4L6-xzX+1|JcbcIDggHHl6HyGK z=j+NH?gHuGfp=kMO?FcaLa_@jKaX)nP?)Yc4`h$Mdjb9eoyrTEhe4vN;1IwMp}UBN zFMn+&{2o?%eH-5MGefpy{>#)HqSQJTuz{eL#PY`>cR~|sqX#d7fGI}#FPxukOR+q( zN!o0QfPf{Em_9p-BnteGE{8fh7zwgdJ)aEaTwGP!&Ic|LwBiAkCOY*%P4I1=+w4zZ z!fVnTCYZFfM`ms2k!k0GuN6Eq^L2cVk&M&$68at}^iIO=d7;aq@VBAT{?!WfwA)GD zne!)ffg>NmRt2L_d@(zp;{C+VGjZMS9ldiNd^V;r@+|QSUr=-k)yyNPMbE3UMbMRD z_;xO7;gH9Jpys`O5ih@Z!~+Bn?waDGz03u@?Q(+$BTb_MNhL*^=_I&H_=!805 zck2s1NC(#?Bkq%FLat~TB2>q=v9|wDz;_LnU1J%Ez)hI*Gv!0<{1|+5Y!#32k zx!Yc$3f~jGwAK@8Q(yAd-+=yH&NfU<4T-Hjyuhzpn$EG9lcoeJfvaS=y+vu@7jUvedColkz$lF3iMzOqlUBD2W%3Jn?j)oC_CnsM zk7ka)va2{iSwgyX;_U2-K0n4=AVl!Kkg&H%i0FMWac_wb$@@~$-ZHVW{iZPn^m`z}!aD_& zVkAhZQJJEG#i}-{QPefCnvGhNQ`%UaMqP@YKGvY|G{w*eYuso;Ib(`7Yc!`=SYoXj zttmFPSi8ov6#H}7^NkJ^M<=XvqYK5=4eQ?MLGkp$dN=w|eEqQgjRBOvAZ&2s1##0h-SbSq5B`FzuwecDyB^8_2m`))Ou^Ek- zl&tI6?8Y0En>kogV=jf9hs|#+pxi3N-fsMla_27gUgLergNN8hjYX8=$Ji&0|D!xD z!In0bQOciTD;l3uUQ}XVHdaxpYp}JAb(B~2*oMYN%IhXsO_bB@Z*uRa3 zl%r$pNh6a$VaaVKfS(915)joTolA6t6FRP%-c6;X3&z$Y5^Q7k44m7unvXnqBXq!C$p0XEfcuO-iSZ`%5xc%*$hXcU#!F3t zredyi^Y1sO7)7q=*=uLY_+LAZ#7@h1lpADmYX5)F%CVwtknrUVBzX9p%LvD)sr9Ugz zjs7^L(>BO3y1){EL)_HD#n&2w#~@k=V%8J4tIm35>?%4(sPob+SP-ySiOSh*!Q|M; zq^rlKlPy#rc=_K48djO5s^se}Tz6r~$`ZAFcJ=+L&$~=jJ??+rqIzP$fcQEF)SzO{ z;3|Dm2=u*;uQL6`4$ZX6d9|PZy8P3Y7G@g59ZtGg-o|n`25AMkMX{ckG5HBphT#Cb z=)=ydxlP810jOkCVzn zqui&y=|u7ug7euu=|~&8DM7JtmgQM=dAK+uV_1Yusk~P-&ddguo1<$L2z_N~9@i<@ z4r~UhShux^m#dDhm3yGLqLU?OMf(RV9Q|z9;W)V2Z^kW1ITn=dD*azKT}11V6F{c` z4MBLdk$nl|Z2ns7H-=0QHdPNSGY)$aw!q^6)Xy5^LyT}UZ!J_7 zq9vSJWWUVepOmlC<3wBdGPfG{|Ax-PAL_@C!8j<_;WJgvxlvV3Ic z9wiP^4^^ry?D-o@KkkyQQ|u1OmKZPcAH#y5JE#`+v9+=Ah*w;{5ll~Aub>C?sQ2@}-X{1Z^U&jUH{$3j`)a8_!niZc$f-3&Q2N)+k(-*=2e*GL!i zNxO$^j_W|ASy_pCgwlZ`K($%ZbFB-YoM;%7EP`&`xNU$9tyAo)f-#C;fr_TJX=?)+ z+vsDLlf>%&SJl1PWQ?{mUU@?ld^Ef7XFBMiU~>PqdeUFT7Vf`NQYtJet<4WODwPO> zS!8D;|84&sb)bJ`%KJ*X?L+74JC74wUIe#zdR@zN7C8tsx4yLPsRa(t*X5XaQEbSa zLhf(W!_SLgBi06<)EG8Z6g{H3vG=W2(YIL@x^zG1-a~AoMN@3dn05Wno*g#>2J}&0 zVS!E{maj;kpbsx~>jJZ7IQn~fcclKI!L>upMb@wcsyy{8xZhK+y~b5h5F>?EjjPXdCf&>(D1{nQSlqcun^gX9!vhoC_+O`} zKSbI5Yy42*-qRC>H?-6BJ<&E0eb2uO#7-eP;K}}dZ&N!T&VNX5M1U$O)3fDnjeBzB z7!hrzh-hZg6FCn+vcx9SHlUhKLge*2vusF?h%C;ABnMkhTPQ|puEB&?m;-{$rX&o;khPniKTZLAJ(b%|Q$A%QHd@82yVb^mUb_nJ;!u*LtNBURnb-LDjA4`46ewl!JtFLi4bVc^ar|6@R{@Zw76! z=S4rJo8Hd2o*(3AP42O^VTLJ7QqEq=k8s9j`#-IW2y%4|qnv|y^HNxHy#6X*bI~}} z9n+M{3ChA=#FVyGT#Cx#crxstPfMSKqxpzwLEc_x=h)KEy(LL_(@p=!&CV&x$w!q* z6>HF5uOt1WFdn))tu{rU`RA5PA>9e0?X^Y{+|H=#F*E6;IHQ&>LKByA@6i%G!fJfK>!x{@l)shFfj&C-t47eJ3V2ABdK^o?24rJ_E9!z6E%P1N<9Jd>!fm4eiV=wal;#uI) zgxnrd26u7d`%Q7Sk-E1v{vtt3j(igveDvP2G0K)kFCvhI^?Yy~=F*USQ~0T`pmC%i z#ZypsjEo92r69>jv0&ell9MBKYZFXT5~cw;S;Yx&af4^nPDrpWNL~?NZ5aPGEQQ}GWzf&vchX% zoKo4bR0A$OXF=*$@E1)iQ9pcljd3l3;Ox%n*Al^J^BqtW6x|iEW9k+ezaMRt<=H1T zA!i@#aSz{zHazwOj*e97?=TiNg}22XCbJZdu$>5~C=-QnWSxuWHzw*M^eyJiTk> zU-b>^(ZaD#g&L%WEvi3Lb84;_RZ#UnYf2<(Pg-i*{m^09*9nI{BWV}cDV8tfEHrWj z7>eHH#s#t+L@8L7Av^fx-ox3{9^Nr%X~*w+rI~ zq$3s7UuN{AmY*;xVu~oozdaTg34VaFjm-v2$6}11LE(R?%;`8H)?S(|Z%3aNnG|RJ zsky=2X0jHccVn}((M|lk^lq~jl|Y!3q+(7oQYjC(ET%q5xxP}mca`$#YyL{(a9!K@ z@iFikQm8a0hOx5Pm)~OkKf7Ba)ZUC~bHIbvn(wiet-KXDSoT)d!v6b_=Qmo1eTRTk zpK&S)B?y7(bpX)iW*jr&Jnh%Br_XD+&h|OWBc9D0mZVmGj?nenG2-avApWWeDi{}$ zcFigd?gEmsKkAo<&zlevofl1{1Fllr(lfao{XlWmu8T(aJLHv&KFA??-CTLLitejO zBa;Fjk2i^0A}>b13#R>nh8?`MQ(@q9d<8lND<*Rx(!@1YSS2rOtOGnBkV+mxVlz+9Ipra#7eY{UeGEWOpJP9 z*}~yV=CIp1QaR(l>AR*gy6dLfCB~wgvEMawB*b^9s%uiYk`ePyzIzBffLIpn@Z}=} zDk*aRLo|!zDx9QGcFY$n0Wn#8=^o_%G*ge_CH`=08j!X#y*&|?trOLO-kgYovEjcl zmmw-AW#ol*9N1#_02aKS(*jkzoul&H^Fi6TOw0yy{Fv`a?zUCnta((?fhGQK6GB% z-Zwra?Z=*Zxd}hx6;Y6%BFJ1`WU`^ZlP4Wlem)gR{yxtHc<-4bb|NXiB|mJ}!96rbCgp z!keC+(e}I}%&k$gT;r-v<2=?>CveiQ)w7N}X^lF?r)|BCRu%o&$+-RDwLPV;!|gca zMS)CJ>c^11FYdeZI#+xt3neU#-(>-#r^{--bUCB0pCH<=g@0ZC9c^IE{*ljj-cyyi{3t32MU|-)z!5~pY~CVJWai~MlH(p zv$%a)!ZlYs^LNWl;gAPUs4i!!!yvN(9h9@Wd8ZvHgfudMVQHGk?j3v4&>C|kZ41JjdGGX zc@pV@tu>$yTLfc+D8NUFCI$lN`U`*s(DYx7NSTu8h|^+F_BKX^a4Q{-W@PI=wy>Q~ zuXOY@Nzatv5-5ZV(FkLLsE3Z=1p4s)=m2tAupry(*!c+Lk#}~4r-^{XzSV;SfX-9b zysTmBaaIEyIB%qX8h%h8Zl<6>NCg}Nyi~Y)2haK#kY%q|h-=Q&21Svv4obQ~tk7_w zbivx16cISw50!#)kXF2bv~-r!b@HHtM~gDAgaKug4!W$s&(PNbK9xS_mi0}-El?~? z6#wzuVl;qAo&N!NicXXiB++0lV%g;eFF7p{b4BOIJY8xZE5rz8=RIrVsEfXoq?MnQ zj)JgWz&hOgCRA$xxk4c8Vmj>R#KS6qJ@`=kv3Tp#tGUNqT+)MqzlnvOoEy;yIR-_G zEK}y_M%d~fp%Mi`4FGlbQ1rDHi&>W+89Vavt-P?2_V%M zi{7P}RJb~Xq}7J1K049Fbem*Cad)%UiWCH`(-Ma^RCy&TJQo>q&ZL|^1@>=a$)4^e zIFcc1?KWLeSWEJD_*QwwKpa-~t!xGbZ-6P@ms)<`w)lzu5siEh;SZw{iLTAvGw+9H z-VEVFp93u}fPgW1*!Z0TK{Fxoz1X*g9s(FyG(P_B19=2#yAjAF)GD*xxMOMGe z`1WjAFZApMAtAO5EkvNZrEU`X97*!T31}p2(Q&y$Cyr1CWIl@Laz;7XEROtTS!426 zJ?~Ik7c>M?dSoKRUn!UaNY63nIKV*hs>sehjKaiK=9SHM6kkv@Vck{W>r?kJFtw-N z(fKAy(jvR>eLaL?bgzH%;T-W2TS?hJR$m&Ib9TKuRsiQbuc_$Q-vD_(KQGhs-k{cP zgoO|hrYt+*70CwwNiySQxc|X;^K{*Cs4dP_*Vk=TF1QKQ((AJqip*Pjg#XN$FO`O` zER@PVzL2m!>r*N(knuGa8ni*PoX-Z5KS7657%L^QW^cDv$mtBsU&!EiUZg?cD(|XHAA7_Vg z-p@6d?ekVEW7oX5soNMA9Dh~`-o64IU1-pw-G&$jxOn$g+)R{?D74f&kb3WwYK2do zKjLDow%px>=c}-Y#hFiMGpZ*YvAwsOGK|BfR3-N%xKdN^K8ZURNu~bMyyLBW>rtfi zQu_FMAZHGGY|?=fREBN9UY1EaQOiwh;(KLG6EO4+geugyFLnGaqajaD-KJR*CK90X zS24{?P8E8%Td;JFFOY}27)&U=9ns(7n)LN6AqZUrWpMLUFu6yA=B|k-$j<$o$=(1w zk{Me6s!(1G!(${NdR^AIYGF@>6g=NpDuscgHf@RO&wOy(JdH*ejBKA4u0~_rTJ2O( zgXr|hGR(V^=6M5w%V6}j1*_%DOebP7k zS|<3Kprw$efTmS*_j8e$c$(&m4BKyOMWrPcL&QU*KxDs`wcfe(R{IH!xN-R+*E~h# zI*LBD!uU?nL)z7**i%t`ekyRnWjs zyor-1nG^`!7czTh43`%aMrO0Xg5~)*N+7nHmtz6en}|F=HExspundwhWYKO%8 z%@5)Af2WzJf=1MD084gJ>5A*S`t|HdVz~wLDuPrt3hD1FJcM>57%a!WshY!k-5Hhn z!sGnP9QEjW0{KnbIhB^d>V=wX2HydO7o{Mj-n3IPb1w0lZy5Sk!3Rt-dV48gv^Jo( zI&F~eA7TVCIyuRJySCV-%!v&q(%|O_kBX}yNGCz_?WaOpW9%s?bPKhxtVfJp-%<`& z+X7}d&(xHa5EBSnH1lCyOX3waO8-&n9DT6l0>wUck?C-x^5teL&8oI zag3lpeB{#Gy{)+T0GJ89EObfh`ICR|Bg7(D9EN^T`CeuQ;;GNo&dA){9>R@i*|q^u z>!p$7e^@4??fy4QQ!aaBC&i4F1S6Lp?RyY83NNh2SDt8ew!>4rNR|5kG#H`Dr*v}f zCB$IFj|Q7Y&d2(Ti6hqS5o^HRK}KTx#%{mwIyX;2ECMY}()2Kym$nzhi>e5J`ghk{ zN*mJd@7aSUnyBwW9*-do;~`(aYt?9np-;%$?>`8`>nd40!=ms~v4I*L5o+P-uoCY-7%E0Hqw>zCw8Onb%?e9Yai- zRGY&u0A%WTyz;?Ryr!0f@t_g1t~~8kT3C5Yrhi2b=ARPMY$e~(YDYw2`aK{kMi{^f zAt=m03{^y=Pz2CrJ7orUwlax;E-70JUqg~%V*9k8{dBP(N=0tSaT6othb2hW)jqHd zDkN~)M?Y=U4rvMrJpA^cpDt3+6r|f)j%eRrLwQCCVeQj4scY12jAzT*zU78_C5a>> ztAhxWT9jA4p^dl(=mS0-Fd%06yg5&ScxfA{_N*Bu_a;m?W+0(+yPJ-Ddwh?d6-8OL zGOg#zqb2J0Eg?C~!>+49K;0({pdrBE-r?dS{WJ1=RIOLv0y>te$aO;fLDNZn$>oW6 z2NV=^HwN?z!#?rR5#@R$>Sazj1`{3g56l?6$Dz&AC(nxEgf}r7iarewnR1E=TI@p&!Ih%S@kz;!sa*ujTzWSJZicSx|T+;9Z_N9N?H ztL~be>6BYc102Q6c8ZhsP>0uX4k@;SJ-v#z-LWd~7FU%A1WZ;HY>#rn;fVAHKnx@M z4i;Qy+`Y3O=Md&2?DlN^h^FQ?NhYSpj zjQJ*q^>oZo0vB9t<#*#Q#h;JDc79`o-gOmtX@C60r;|q%QH+;&4H5svIXZQgF~EDu z2JaFtUb+mx-Hte`*ZzbDBxXzZ5N5Pv;)5z41$>VMY$aXA1N(J|%kt^nIq)mhQnz3= zjK(wf{6Ub)XuB_se++$ep(yQk*mZ@AccBpm;p4u>LY1(S9~i6m{{>AMloBP-it(W2 zi1LL!q%r~p^0E3}`+Xq6M@9G0w5$6bGOx7~RI45-q(%dj)<&?@5aNxGF-C&M02(03 zX$IU8LS1L%~%z02j+OS%J#IB>|3>7VM#RIK7?#^{CTB<0~9jVW@f- mpxKUxTu6a6>lmQP@(2J4mZn01zXu^Q7!Q&_KL+NZ&Hn-D$s)c0 delta 39698 zcmW)mX*AT2_s3^n7|Ylh%h>lVd-iRR>}&S1i$Tl`B|c;wgGkG_J!vmR*{7^oO0rhg z5Y>o;G(>#Ul&IMTrmSQC_uYS5*$D#tso&2%tKsG4MaiH=ULheYw``vQA9sIQ9ylBuCH>@L zU~H7=x-wLu8j`F7g|t*8i)pl@Wk9So|22HGz*$gGMF=ANT?7S+E)R-YlXna~$&&>GE z_{)f-&8mQnM)K}o_omhAp@>ZOae8?gY!c_CQcgN_9_{1 zjvqMX{^D4mE}(Kjs7>$#&ENOCa!N3_(aR<6*=M>oOF!Dnq}wxd%So0`)k0Ab1G$c?A>+uDXC!f#M0d8Tjwn;sNw52;YMZ z(0&9LbILg2nAXp-9VGaR(YOjS+ScxZu%_!LSDEnz_k(kK=0Z@xV|En!vZlt_yOQh#wEJ{R{IcyQH*dMkP=&+8-Sl1n0%Rqtv z%P@e)0{c=FU`eo`hM#ov-hk7B3tegD*EIdcKBXz^OX{?qe(@&F^ik#MbyIYTmVgcv zmov+Y;G`m%2=eE3jp>G6zxC^B%uifFGIMx!G>g9lLTr@U&S`Z5Y}dDyi{_tl#5>G^ z8b7c6vFNoNu&0xvjVd@Ysp)~*1p3mDSFLNwH8GfTXWykrNemyqQU%Ws$}jSMj&>YMd+EPbGEii z7rhcNh|=F-@nx~~)f&X-(_N+8oQY+15V5!NkcGLdok_YFdz=qayj3?9Yb>&FHb^>ayp#$$x2;ru0=Z zi#M=b^F#SXF@Eo3hUV;UTF%Kqkxlu>c{Eoj^<-Vy;{$Q;vZZ?mx=lAR+9|pIH0y`n zdY$b-`ERKB7H+Mv<3M+?uRdI7)BvSX-ID0J^0O8i$N#Wl|HLA6_1uHJgs`5uSrik; zSbonKe(h;K+lRWP-EFe5Mg3zk#|mXkkjR{Zi;}9^+s$0IM_T4>!bGQ8sA^PMXLZd3 z(J!m0=HR^+$gpf%dvMt_YaX^%jlc<1F~)v)#=7pzh*q4-<&iWL>Z|AWIgB~Z+cf~1`$*2cY#Nzs++%!joYKG zpT$P-|0Dlv(gc&E|6N>$UFp1x?-|{tb&zHRWvJNTEm3Our4Du%mfC{Z9hdopxqk|h ztuASi3D7ET7H%!@ykn2frM_|&tSsfbn5Nv9%DRau>oOI$6*f?{l$lauHt^}Tc&hW& zF$Ol3&#khAypb$(MyfaWO%yxzxOLjFx8szi>Rf25cUO#;Qj~X-xEXDNCGb$vpyCbh zfD{+m+k(xVT5k1{%{EXJ$$Pjsmoi|8??qbyN4vxFbCR3cX-UKR?0kPUPxrHxjO1(7 zgxrrrhT#RLk-eIB-G$PWa=W!p!h&5)gT&3_Z9=#8@dvtYI0yxU( z-~K(a4104o0?rD2dzDk5nlcL=dmB=}W1u%4_{8MyPhb89QN+Fq+?SK1aJH&TI7J2^ zC_P;d#T}O-t2>DBu90|?DGnz}n5gVGYxJG)$u%a=?x$!JbE)RU_uUK?CiIj-RvP0( zd8Y#3G0|nV(!OYU$NI;Q=NT>9gha8${2*9vXK(YfEfK>GuW1y=oZ{|t{G^XcyS#ap zU>Yvfn)@DdjLvP+wNp(=|H&DdPXm%(xQ{H#NITy-Adz=Ep@Qp8Q4JJFM}4j^Mi=J# zN9vOw1CT!v9V1DueWs{Jwn(a5z~trQ!6Q;jLu#nwS|PzzwIZdH+0PSNULxYkNLBo*a?u%+DU86Y2c*o8m@2Z*G&Agg!H~fu_1#Osb^%fxS_ebuwQ64B=_Jd90Jus(C$1j zyxBN5^O+w>6E9JfKnZRG{dGz7HmO;Z;n!LSF_yRQQ$-SP8?J|ZAU4O_i$pHZxT+m-HWA44{pFe0*Na5_TG~prPb}TQtQkx-So|f=H~3|H z=PiE2ydF6INtY#WJ>Btr`YlZH$$_llsrIUe#|`{)2YD)>A7&|U&ckf{;K(%RK1w;< z_qm9O=9gy_KfqA4w`=W>U-5jq`tQerZ+?$G3Qe~Qy_hjw#-+Y)dR+c64E_A++#aVJ zO4C7*XfuX=yFt5>);vYf4m(U4(~IJUfa;vC?}DL%4Eyj*LTt|fZl0}5(aBt2c}}dE zB{sZa#_7#bF|cRZJ$4CTLYM)wa;8u7ZP1HXS2a%p4oztjK8Es>F8Q*{3^YcP=0wz9 zfI*tjj}u()H2hJx-?i10!Z9vQK)6U6IG8n0nh`>jKxA}vY55<~->-g@jF!eqHc9^m zcj=o<*Y(Q>bLH%=gOzi^$UcfwZ~CC&VfTA|aM55-q1~syU6`z#Qei2jz*269TwwR79c7fe}5Wnu9jAh<>Cgk{($$} z1b!ZceVRh5V-+^oM@OuAWfij6C*sTPfi~NicoytQ66=_Ukh(9g$3xdUQw;qO#}6Ij zsSahLiV1r0CDS6GDGz?UaFK^}Pj8%tu;7(4*l6)tcbz4sv*XowKcXF{e|#QOUN}y+ z>au_$7fA0B|7j7u!RAmFe~*{C!%0NqJ7k}zEeM8Xn3NtzmcOHb@rv(w*}PDI%Jezc z^UnV-uj%o(@0Qj~+1L4mkJpyJ%SrV48u#v<05}o%PHZ?0JEKXcXvbc5xLh0eZpuji ztP2>2xg3O4kXlHJy9`NKeP_CUUD@G(HR~nuI5WPuoOhgojIQ6YmmwzyV-fA@j^CgF0~|Yido1LOHO+O zCr*6@gBDp(1?s)r2zWt8O=C+-eI$46ar0kzCN8fmXx#@T^=SUgJB(#Ed!rN%Z)s} z{oaM;Xm zyQ?2e$h4s`j8D7dW^n+Gj6h;Moaat7_e>7lp(ZL2leRun)`4Sv3J!<7ZY9iySk+dN zkF~9LFJ~tty9NZ$o$2z*{gDex%bF5l414gLLV0!m)H-gkj#G%8nln9Zm*wDTqQiNA zmv_k`%Q|ywnX}K*pD2~L{x{7IS(RL!E74qC>D^t?=WWJniUJ8v;hx{CBeR;9JNBC| z{kQTGmH%s{8I#*pHf`D1xWBknSiU0;E(e3m3X zF4+FrPgC&XH~^UK{115Vww`}6H72WF6R7$?Pcsu5es5^6 zK$Zwb@eh9F1ZvXvBA!X$dc(iGetJler*C{NA{)Ev2U_S8y}Eh3j?==nOyUhY%zStA z?^0sgFU2~%kVb(oJY3uv578Mon-8waVR==DVaUkcHXs|?P7yuEkIDSo_i9in+oA@~ zXwc^tsF*%1L#yIr*89N}ts4?u%_T}m%b(;&2CEG6&8nu}6>BjGO0Cd#k(6OnS>@mG zt#ilKDzMLRQm=1@rihheq}dRFA@VQ_mw|r+2IX<$IPsfu-^H%$iJh-@ zf_i~7K=`SWBVlXL9e%nz7C={Lo}pA7gieG*Dl2K#Hh@p1#uoIPBo9c<@73$kqEiis zO?=I(&?b~RcJL|fF(()9cJbmWo7#XOmwwLLURhY-EqR!Er-!~iae`WABT9co4wOA> z6WoOR3QffJBH&5T$Nxa+xe%W`@@fXzss*@nZFt^REXEL9q=!(AfwyvuY~3+3)9agk zUNP&NBi}JHJ$tF3l5x#L`xN5QlO+NQ}s$}|~& z%h~)*d(b0$7Xxw7<|3Ru@;#LJcYZds%?}|kX6W!*AQg1rk+0n%yWlQYo;@2n2JLLt z3F|oVc%J(*SR55vy>%EtMPvg4B}X+p(_F#8t~8%WyR|H1JCE$+8EnCPC2TJRUQ9uU_w-43^kUR1V$56lV~XsQAyYo zV&r~QDm5;V+zwAlB&I~Q$DpFplO&=dQ=b#HCd4es2?qEeh} zV}FtpBQ07WIv@3^N-ZL<x)lx#<{TauO>Y3z-Thc>=w}4rc&^=wzxpOD`sBDA6W!J)W4D)Fctj zT9AeOhpc%U)^f792}S$TC_?KEh!%CwAmS8eWr)dDgctms-$%|2i&<}S_0Oz@N9o5W zX`oUx2ysSgi4g2hueehwVMh!hosGC?5nRMDt494#e4l4Ps<1-MC9`<(DKx}8_G+NG z570x7Wa?C92UF;i*;-BeWcm&{E`c0AtaG-?D>i8&&BdnS!&P*S|4bt`l3*A?3wv9* zg%zaW#A2-rl__<}3%i1aa_A|FgMXF1VBk~Ytd^EGm6o0`?V194v!>}?nEZeXKV6Up zw^a$H#;3- zqR8(Brq>C9I+Sf*l5l`KQ(&QX(Pl~`MJ?tTcd3-*olkjHs1b5QSs&#|dgtM|+Nj8@ z%wv;gP4W6h+opO(6wH8ufmTw2_`Sg++AmV%#Q4+&kz~0p-KuVzf5`Ubgg78_i`RY{ zsVq*CQw(Jh?UIL!tW6#JbMbnV zbb2hIk$5=y;6_|5gs{%KA=D+=(;CEAj*c;bKs4`ZeYtp@>u0=2W6A@%Jy%oX zPTlvHl!8P~ZKXj%&kc83cn(4CoNdhR3cmysg(ampcEoAGg$xaSfJ8PYQjd;3KT7@~ zVUPWUiyYJFkJE6Mpt+7m)qbfU8zvgY&dxi(k$u2Jc7I}OtaH)Z?Se)f_u<>YJin(X z6kpAXprPTlFvqdzW6&qL47g%vUT_Qa)^U#-QFTKu$P>L7ff1TVVPWfH2d3E^7?e#> zWlkhu>^>87zGU+N4}M8BqjBScT(9o))mzMAv3&(wAjx`r83n=ofIw_^#&d(;I(!Wi z_o(3cm$O<6ard-E`K)E0TlL(#H7>?AJBj>p{Kqe9z13jMKGza0w4QVp?Z+qpH$k&Q zDQ@-F5qu8gj2kSydK+#TQ^v*hCXnw3xX(RJHOp;lQwFS3cud!Lv-p_5kjofI?)n}g z3m!ajqj6QwmW1|wIKJE#Qgn|4PS>x!nr_Mkv(})rz>@y99s?Bud3d+9%@Hii=lnq^ z6(Q3W@x^u=Xl^eIH*JsV%ZAN7{1z&hdr&gU=q@doQ)BPQh)kDWM0uVB?REy8(%$-dDTi>$jTV-J!sKGX|%-G~JZk;{Z2=`Yk6_!Z5X@!@L zr$Y}%=h;UevygiG;h3s`_zf!RKk3q++(7VWZpjDJ^6nndDt8Hy@u_X$e_X|YMA-+B z=@Wf^{s~!ZMbC2Ex}Cf{msq$P@z>qhG{(a_54JA1 zXx;Q>FAEpON}4J#d~0`vB~Q57SXk8aw}PJ_t^jujjW2tzc8Vr|9|Cx(n1J&QnUs>% z25Gv))D()&2G@XeNqrq^q}=VJg@2qe^IaD%^0Nzrj5xS%Vc_oB&xc`JHZgZtX4zK!FB4Ho7lfH>_)JU20pqds)*1CCf+QRaf|+!u3NW?{ zN>mPla7=Gr#%IEXl`pw)zt$<$E;~29N{y*QbC+m9B-1WYF^KmgpVOK>E@6fd%uVR& z32Mf~0p2WQtHiT+UCJ{unQpK$R-O{WAP|0(5@hu8Oi5Nt?)>GutSvxB_7Ix0k+dc z>m*=LiHU?!Tyg_M=dLQf=3z7p@jQKtpW=P3{P7N9fbn|EQmN0rX##@8uI0M>`}GVm znc8JtN~!;ppYYC=7|Opk5^<2E0_Y3dEvY9$?B z28=QK^a+dh4GwcHglkIcT25p`Q^tm}4X(@Ya1p`b9Y&liMV!dJ>zF$NwTe)jEOI^< z=w$&D^Ru2#XLpK10-7KnK~b1F{{o?fBu1&6Vmd2e1`l5`LJxM)oYNl#*)V(nm5aF| z5$2xpn|sOp|45Mak|~mk5gFI;!sZC8;p3nPxzb@j=KzGCo>tol&K{e$_(bAtcue%W zZev0Jd}#-(&Vmw~n*^kkPUojCTU~v)@HB@2uh7kWguA&EpTLZ+R~{*IVhZWo(R4XOveFB$C6(kp zqlD$+5or7y4YwzfO_4Zp-g{;ZE|r^E-9czQLQkOh!Rw=j!5J>6+i^N!3571@N&?rx z`poWuQifGsd&3v=X>jE=f$b1cK&;lIitthW>dsJp3hYEw%$_no$LR#%OtJy6B(1{bzb}^`VnTc{Mzis zCA^hA>#`6-w05#5V-KtJ#lui%-d#9x7|W$qJ0lC+``(b%@IWg1J&^-axnNBJ%^THn zl>xGo;*nN-*IjN6ldGTUQ0M$zDRd;bsY%J~i-Ue-Mq%u%4e4>_yI#{^OjS!85=V-oXf2C84Xe)}@Y zV@6LUv9<0_oBH~7a!l4~uY7S^u=+(D?>CmrCN19}GX+A79>LAl(mlgm#u+ z?CZwv+&x(CIHeODz>{m$5PpYi21JVm@()(hHMx<01(Zo zx>NBvbl2o9bxyYIz+|F>_E+s$(oJ(XB=cc>=TFt&xvMhBTiE<-Elao>w6Rea{pN;7w7%ylIdRkjcO`=jeg0{GZ31B^~n%}-w_*ZkoDzzAQB2p>$W*L0v< z&GHD=DE!;(*{%b%RTLPD_l-$+vaR}zyr^FJw@mug=%VG{6igh)J=a+<`X--U=W0)X zAt(ETnw_eo7hgb+O*!V}*-G2CYP9!Y?a!HD%*KT~DwIo^RDGTTCQpqk6%e%>^LEi! zA1~<$o#WWv)TgP}iUkJQaXYE$9>Ep+%7wLQOL!7J*X%nEph(F3_(ui{L0=5{ln zc$d4qI6nP*!h_K6fD)z4(g1>x^V>SRoK(S7`aI*hPB2O<>ySLOyy)}X-D%X*QLJt& zQ%=bJ=9n^LSaRo*&K9k-BVb{Z##&1LCA+)v#6ma6Vb}3k<6lnsvcC{oQq#KF&7Iw%$z}?>F(T ze3wo)tFYnETM4|)IUSu{0!2G+s2tfUxP(}gk4-Jf}{gr<(r$Eb<4 z(D#hMN7|rt()VQ|cw|x5BOv@Tw)^BvP^RelGW#5^+tG+@BU+HY8jXNIfG%5<1w1-@ zP-cPHx`AhKq>c8MJ;3Gsg-=g1eo)h#uFVv9*8jk&lp6wXbKs!>A6>l#vJM@F4vXBV z-b_psXpp`MeO`SRyd#rM+ThEc=;c$JPy0}RRG_y(qe`tUKm!w)Fsu$Qd~E=Ex7gxe zM-<+8B%*jMeqznOqT<8Hi5JeMB{kt4!iSYX~<0E37NJ61+vb=!i{QcSM;Q*orl zpS&%?0V7}g9{tPrI6!P~m~^LU5j z{-vbvBeK;|#WR^smyP<;PToe$}A435LYa;iqE{I zj~~kJ{zz4qUI*k|ad8RID;}G!Tefe6W!o05I@A*sGmYc}U)*NEMBCb=-&GkR!}hTk znru}?nlw?mVIuv5eQKXius3xim^toeI9;K?OJmYx;RwO;AXt1AS_EO_r5Sxg?)+;Z zx#354E<%8(;VGU(cskFFtg-y)ZS&=x1CLAp+m%k(1knDkXd-*BVmj3uQ8kCHJ5%#`HJ~} z+I4LLO5}7nlAB9A33d$8HnFWlv*(ng+90|ZhXE$-M4L#hA}uF>aH44&CJQU0i*J{u z9HzI}mLE#^N3MaM$jH?>5D z2&@>age?~n66!gSwsd7fWO|#O%>lYCtyV!Jryo$XT2fRcrks-FH(V>=r%!6|pZuU%zwa}d2wgZ61pPndd zv&O5`rGc*e--u7SYEG@ui`?4h8aeinx$}TZSz!;Ow|J%D1SV(xg}SN-&dz)sat5N} zq~7nOVtMVFzaqHC=YAda6S8g&`$EI?7I6JsCP47Ncp%AQ4G&TO35#wZApjb7y;z8- zk`QWv#S*6>5ZKlKu#*trU-hYJWwQ;>*2iKQ!`OajI~0Mdrf%P&9NLK=vzx24Fd0ij z?bDV_I_dKsH94ZTv;J(A1ZQ!fJ7?`&N6tQ^n@}v6DpI=BcD2`Ft?*5E84GhOL{Q*a zwGO6h@COI7;$#Q0sIk-va>{{ou3ETrIh8+HoaKiPKmgYUB9#s9L96I_3n+I3)o{+ACq3N(nNFQ6bi z<|X|Xe9V`75B!0@yylA59&hh@1S1;0tr_YU%g7PxIEB5JA%)Y1!feeSj#;-(^XE^a zr6&YM4e783P3N5KM#~_1EX~U49#LJrfdzG|lsR(0N_%g0<(hW*S{eI~FPkyvC3nU` z{0Ej~&+VX$DC=XFedIB=C`Oh{5$lIDM`k++rH#W|*+5FVmZ=}sM80NDks`aRS+Vm) z6`qpbOWKh8?x1Pai+1l=j}`j#_00z>?wkppzv3;Ho_mWSyGuMbB-r4Ew42U{NxSrj zbZ}@v_YE{cX1X5S7o=Eesiil(&-*d4$wh}iLL;NXhiNfO61=iyJ6Guz-pkI2eEYGf@*gCIG6GV+j4R-%STfluRMu5a=*no*8B`em*8!F zRP1*dyd~9iT(^UtY}-VTX71eB1es-1*-QX>1t`100qZTd24!VZQ9;8y1g~X|FvPTg zFhS+b_}>@2hi73Y%vJ+H*T^YIf0MI9Svi)NXKHo;hS(uYqov0L9A=si(Z2_}O0EKL{74xKqk(pUrA631S$QRq<@?y2Gx_xf?j`^A(Y=u7Gj*xa zh(q|W68m*f!Pd*C*HD6q09GYaA8)OpR?{2bnAlp^mTyo4o2 zVm58%rOD<_E}-Icq!tXa8Kju1wG4^aX&@)StbRnEJUckT1rYO`9GeMEWBPM!y|zEAik&tzZIxva6Vzs(|XFBSc-j>`5IR;+MKqFEd5o0 zAIRpZyV=E9t<$*GYuYJyY|)spYBjKa2xVlY!-wxbw zxxi0Q4|mpDlhOe5KkA%W_0a@N;kF6W=HWmY=l5pxP{zo^rL`Y~R#K4-Ldrg}e5$7T z=L;2?HzMtgD@*vd?U!no4rsyxw-)j<>I;rTSTCWi*^N`xz}`w{bAPaSa^Z9jJLdd7 zAI@{wr12YBJ)fNQ`(hl}(kx1Eaw$E%&a|QBeA_KiMLMu)tCj0=)fTp{T24*28$tTo z)M`2sic4qP*d<@$Px{rAXqR@r&i3O^$p{4->jU89Pq=R6+yQu7KLmP~HkN6LsiO%akQp7 z&H>s34-qOOVakpl~?UqtnVi3 z8$E%7r>Emu1xt5s>>QMg+#DT&rPYOJw8b%#HGZ{(_SsG5Ebu_NeD;e)R$ zcT!)9659)V5&AvH+f}V1pgB{6OULGTbKonNbf0vnBlwU#w@hlS4*#k_&au!Nvyz>L zqoT2PN!%-L%NulvS^DGVg~WBALXoXz&r`yQpj69+b@Z{d$b+MHv76JP)^)%aShYT$ z21=cMvaAbko6%{y?pfT+N?;m#4TD~mniY(u{o}$d3p@y{6ySP^Sw@3$m^Ihse+NXP zt`<04yOrvxmN~t*UlP0dVxk95x}NDLRemG3{{XL_|3lm1om|Iv$*<7vN@4+2v?iMU>bO84(| zGcM{{Wt>}L@Q`F*;qD4!{DO9>IFWd#C%K%5n^VO)hvej+>8QMzZDP0WliQS^D=EpZ zg@0|?%70Jvf}88HOP1F9$ z^5NLnsadhw&~co2j6f&8zXa3+XP(+FS*42#XE)l6I~e+#21d*W zCQU>_xs_~k_T`_SocR|?xa(vC-8yfG@Qx3h=%SfNYjs*v(QW?24mU0jR$51d80&%2 z47LDJW!JjH6fFTN7H;|TF-5q1Vexnbe;(HZIZJtlAw{(MmB=y)ymGfzsNKz(sOe3q zi}V;-i%q~J2gAtODfy2cN5R6QrzFjNTe;D$jZp*$a0tyW`6gR$p$nL6Tu8n4vYZN)j|roRgT8 zkqw~qu!pBo{|OU)Rtbi2kUniG5ubu*MahOqo!N|GtD{2~je2i*jc9LX{}ic0-*?E# z*my7oz1Y#tH3FSv^dpZJ_Nd;HiLsS(drPbOQacjVY8WPrdw^{7XJ<;5lfKO1wkKqV zM|Hcty#5#2vUFCM-}-j*c$Zp)dwS-En+o90kYT}6B~6~BJL`~SerNtG6Qjlb!Z^WtoyUCA#_1N)&-44%XXyLVxR^`k2(04lTgBfNG+^qN zqi|WsW{f4{jMSYl1nl9eWclH%Q|UDyg>brxee>Nb{na0|gN29-x*fW&r3YdD&o_@9 zUR#pRTtlR)jFF_g#btPC&E6SS3uqG5DydIuWgctG?z7XJwA02wUDxrMm$AdzNH{*% zK#V&!0PUc(axuBnJJ8pCVdYmKFg(6u1ly<%z25%2o?&`~|I8t`6VC*RES`x9F0}1|{zxJR-`LPacbU_rH2r||pD6f3=`av`#f=vQbg6Xx6^%D* z$b;*C;4-!2aY~vV`o<-x=Zu2&>q%0*D|a6Mo7HX?z+BhE*>j|A)~iZx{McJOXt>f| zVAqxPqk7OtgXfw`Mq^)2nSqrQ5-M1NCH!NWF@p?_eI8sfN)T9~DUP;suZ&=0rVsTM z*uSuj*AB237;KKu1YnJTx!Q1oYdU*V^0@G8_(>{h4W^igT`LLMykH$rG3i@!d&us$ zFgY+yWa!H;{9`o&=G`OuUQDTBXs=g%eq)tvu#8BvL8R~GPgW5Ecy&gS_H!Ce_qzM> z)ZNJ2Nve6gjN zW)P=2y)1|x0Br?Y{`U81PZ%-Y=Qzo&s%hLP4XGve=hW3lcS$4fHV@Nl6G4gc&P%Hk zWgTW!lWkeiDmt;)m#@P;G@nUa4DS!U77$g?ucY%&o)*~>CXpLOinC}Fr~3Aa`MVmp zvG0*8eo>DwQhWoG*hRjvGc#)=JfzXyn?KYx z;BToxGo+~m>ad{Ke1^_5oyk#TRY($6B_0}C1<@YiCrUq)$moZKy@e)ys`LL=#dM;R zma01Y0r@{#5moSW=$C_-semXeHBD07y1Pz?XX^IhNO#TKh7~`xn(XVwrp`y3lt%J6 zpNfi9m0*f{qcl6{jj;t9*@}@eZb1*eHfgW3CTAlA2e!Zo`j1avY`)fyYHCGY6Z`gO zlY>^%Wql}(Bx+pC9UX~)L*mGlPn1GjVsvSdKxpXp&pRS9YMvV&OI;CM!6oj~B_qWs zpQNM+k@B!&@1^gNYT?TS+9zTEOuTs;f7B0!E5B;Hicv5*Q9T!7jIi*@FA-dqH8(qj z5JBT&IYJMn5u=6U$9sxG2qr@1W<5j^kENqgYRMkz&}qGgf=sS*Ig(m`hb}qTmC0=d z7{?7O$Di}HpPj5!EEoSB-a%6NGD=z;b~_;;Lc#})`#;>^6DSWOxxe`+!%oNFDF5g< zJr}~nla|K6|2X%#WlEWSmt^$tarjObi85}?Tqh~^<0jrbwHj4fc$*~NFLje&Ox#`f z-3;Rd=>J*Psw1K*&Ew+RoNO^6`F_E)a8;d4qbkYHllR*o;gyKFb3 zekdx3PYgV@m!6aUFda#~knUbtA(ahxpDQuO=6c>vSEwC+zK_q=+9m zA@V6#&{0hyFXAN&2C2OMSyCa}uu=+QfBhB@;<{wDOo3Ya!VnT7d)+l2MkN{1@2V0} z*ZaF=cp(t^No5n5!bmTC`3Ep523PxVs4%-5{vyyRRw$d6580V@fT_{nTeB^Zj8_^+ z*}CZtIi>k32(~)>=cdw*QW*+{z3XsXJv-jEvppNtwT)&BxUI5?4$0dH>jL$QLJp6< z9>%Xd5HFt{A3PPwGHdX{+$!H|XB1b;)^qVkMQ_83@McA!Unk31fPzPmYOnkyQZ{z( zmLha!Ug&YDas~n^hGjFo;cqnYr&*K4n!`NM;@%C+{Ne4(_LuTo_~Jy@%O=&)h*!}p zHhf3?1nS;Rze+Ce);S&0?$x=(uC1$Q#MEaV3A^v(KjA555{{IO}6hM^j?LdWsQ7YD5x+xMQnrtFC@K)WwsQsJY=CM~QO3*`-Bv7Zy|RN%buHlYBD8V~&@o zVyg-ed%RAfn=>_tPKgoi^13F*#+v(wbaW1BskK9hu0BVPHWDRMC)5*fWqL(M)j388 zPjk5@;R5-S>S?z2N`i7%^&vbtT)%ALKqqTcJhz)~G<#8Qfgx=PD3wTiV&N}l@Kt4( z5*LN{1F+1oksnu}82>EaP;HtelQ$oh2OM;$_nVHS@DReAYo4Aq)+rVwT?%R-0F3b43utrCy5j(`6RgxnZf_0_?Jn0v^8*mR}knW zBOc-`(R@Jw%sCA+HlitKe>sgXzqBz3{jwryo~s-t0q07@5fcRYxib(+ShGah4m#&6 zcp#dO%yu4pGECdGwaaLILL#AS?(n!2ZEzTdG;H>CTHFlC?71C=B`STJK>@6}(wb;8B2N_U@zJiE?a=kLLNO(p3ZK;>}eJI6?;U~ zTCrm8ipF!Td>NNHs{Zvy`R`~+bq{E}ZBK54)47uncz5oI4SaD@nirfb=JmtM{0~io zj}7n;#3u61&tx@zIZ*jpsB=$qKIfbMdALxMS2qYbx<12KGKF(N@EvF8fFh!TDE%M8 z%uk}&E0|SMh7E9>izzLH9|A?8Zchcm9BvPnBgP#-L~(vpk|*JlfWDf>RQNaT5z1rV zV3&6}8Y=;hC!~1g>qcx49mwDvd=B_kiGAfdqo-j~^zVVv4_6dv!MSp^0(0)o()tot zWZi4O|6}Mp9HHRjFn)V-&N_SVO_`ZzOPLvGH9Sz(e4-4QDnI5QE;k$nQwU1_X=yvtMUdmF53K||9*mw_QUR5T^=`WrFTG^3pZeQ za2cE6K7WYsNWpxq(I3y~osQUKFY08bY`3JOV(8O=LG;Jn3*zYhi%wzC;yXQpjLBBV zj7h*?JDeyhauv!0wN;~I2FY4E0+z-Hq_n)w?7I?icIJ~0jrwSN9a2>=YwH7pKE7?ev}N#=@MeF!po3=opCkw` z@z<8{#?cEq@*|%|rVPmv1ApRIz)1ppBOLX)SHdF+tFzoW_@o=ngk08haQkCO@Zew( zexR~2{u$Kwi}FfMHK}7XP=#25X*kwq)CjkX<{CgCe9oCv`~m`*?SS1SULAYMu-bkKE7;N zJn4pu<>C%6$sP*sJ-L6V*z)>?c-x-gsQ`zvgM^G-cFF-{qV=mdeNAIy8=c@7Iijgm zxWAFcC);!S4Iu^$39sf;y%WaHTyDc$z@fcTZvW$&;#M+-+sd_iPdH*kV0xSV^~rHn z?npk0sYHm@w;qr;H^=BCCZV)q-p*X53UGg|a78s1K4|8*eZRy@x&-8SA7;$5)4e_0 znM=~tUYV3ifaUjBQ(Js1GYQb%N;pjF$C9TE@1w1#RrpQ|C24Kep6N)G-k} z@C>Mncbw^DhR4yIP=04awtY=hyAxZtSE#ImHGfiA4#r!Td_GcxR8A=A^qEXWj&7BXEo1?djWo zS2ek&oW8!d>TPg_f%|30hVgZ+-^Ll;+rY#Ku6?#abR z$WulAA@ZtNTaft$oiyB`QPgP;IZ4FQR{6E%nZxfmoD`lB=SM??SLt2T=DS~bvW!E> z&=##sMH7HJ=o8D>$IJIglr#asu|kSn;B0Oldv>hIB#24->zpjNIQomX@tM+&9sLfb z^yJ=e34zaM{JZuw|3m_rcS>apI=dQbiy5h$${q{t8>i0Y?2c01cJrplQN5@r;g6Aa zBc=7~xgg%beq(d7c=-O!Ya_;+CN~zr#wEcwM_O$3N;mhEAEB=*c3cbo*uDYBENgFs0FBA4qS|*e*On-8NiF;vZeDN5zj{WvCZ*WGPh!D z%Rd9_R(7}ZNCTAaWF>3zfMj6%+bmEe%f>Kr-}9Y!@H`4dx>cPlc40jIxP!hl_)Ve+ z&$K~)i`&@gqbIXDyD62CL7g4SFKWm%Y;a2B4ucNLq*Z!&V%2BBcMtsx{L>qHDPx;= zEEQb8(#Yc}0DpEiXKc`}?(S(|I<>g5!x}tj#tx4-!84m6!^k8Fv$?KBQ7@6Y!U6_5 zC5G=|U?t2$DyMmv>nn9HcfNIhFE&npxXd_%1&mh@b~}(Zy9P?sYFk$MZ-4JkM9Bro z@Z74C1u0FR>K!x`HzayU30I^qb4zLPMDD1%rq-s7d%Iq%*7bZ|6OCiXJHe9Bs?HrO zlRyLfv=r91$PypBH9e5!8y8ZcnNwuY49B2Dw-{lbyI&{zVPvu6oFI;r@)JD47RPe3?iZXitaX%g z8hHIfp~yzHWp$jH%BN3j`7eh-Qsw$npoG?fJODn!CNNoxMeFiuaOkPB`E1 z%O;SIX()=*sE)VcJp8)FL+hx_SbThP`UjtH>;5|nJ@CTY_zC4L)Ae0aq){qPBp!8U zj6Ha~<2ALb(M(avPNSZuylyjZ!M|e3*T5&7itRJ%zj_&)PA_8*4OxTXH7I4uSyyaVJ0SsX{oq;rwvhHLY% z-?S>&V6L;L+(-R=P6)t)NJ6wxi7F8q(AIQiIaO!w_Hhd>l6cQU3$6pWGjSUjvI^&# z*}c!cDVxle`kkLunoP9HZ2Nx5;$%XhNc43^o;Sn*clG14wGFqovHw6^L8^-?MPRC+ z1&e&9R+?5OfMcuAYFlZejmQZv{~nU*<8(OlG!Y^hlK;^sFpyJ>c8LQN5z4v6+_iQ< ztj8`APRXX#P$0vZ$Uw(=`5Xv#S8DS$)-aJPcN&|;^pOf*h^OST{mwdeq zvX-*ovq?l}biL&B2ykjA_&GtoEv{$e=&?m9YZ{dhpSX&=bek!1F>h5=3E*@mmb2;y zl#Wm+fNn&OYUy`m^?bi9DOt8_*05@0wd;sL`L;-b5f%?OCyla`9#1$?v|~VkyWp$- z9xW^=9O3lvjM|%g#7R&`6XLIw1Gt%I08FPlD1JDE>S0!iE>hyX&gAJtCH(uju~}nH|_-3+#6*6^PAMGP&)(EQ1YS0F%WVYdrNU zyFTp`pyI)54=yX`AZZV=MGS3$0B*g#d~L=2aEUsW4CsX8zqZa1VcpWqms~}E{5C+E zAFsq)5pdlYfrDk@w-M^{^Ph&61&)m<0d*w4CWdw3n2`MfrdT4oV>jZuVYctUm1w1< ztDE`h0_?y2zw%wrT?pGG`WjhHa}r`8>)qU;5q6S z5kYb#9xaFlaE6cboTnHc@4Z9RmmkZQ8g`M%o(_GXv~!0oN|OcTw`+4`q1?dY;!4?J>(at3Jgsm9|qprJfl?x>)C9q(O1 zM8=87F5K)An|f#?Z$tEH{TEL=VADiR#$|G6*>X9ZO`VCOq*=rZIt{QYm6BXbiM6v1rHm!J8KNOD;8 z#onK|pY<{P*FEFJ>K;jbZWd-a?%z5Q@PX%<;_+W)qbd;C%vs#e`cv;wJ5aG|zUKXm zGp1xk3?a~sG%x+!w=uC8Ue~m;G5KYe5s+YLgx5a z;nt!zQ22#M)DZt-syUpr8Q7cJVnRktEYI-*H>;Lg7O1cn0Di9A(l3qP8=h}P3hj}B zhvG9-8u6_^Y?1#sg*ZvaJH!3_pg<`g+8(#=j1*kUyPXD`6$b>R{lcn3x#L&8)#llB zo+?=j){2wD%`k8zuVmr$iDIF5)PTZ3n2}(?Kz%htLAACPEpaa+gwKa;dip~ee(6g7 zI-^EZAm<`dE%ru_TE4Nr5$~Q#S+aYMno|}GE&N7j*zvFYk`~b=yei8W%|*O9A>(fy zm7yp9=fVir0B|x=*+akXSNZOJU?=~&pdV53cx|E|&9|DqG^li6V^?CBJBg4&0RBwF zk0}ZZ)+Z!&#Bo04wcaP2eM-hEnoDgblp*tM$zGi;Fa!^sD{as(zn}9uPLF%KxVks` z2&hF`BOey!O4j+&H0zpF+k$$v84u)&({p~A?m-ZBN~MWZ2qsfGny)q$x#mjG9SQhfVJ2i{pH&Pxi=3fyh6O2eZM#ExjoydzfPg)qr%dCh{dsxL0 zQXlY~IzKh=@!>T^?66c~i``=Jhha>%F$mUt<#RQ8p``M^aTKs{yKmTcuS4VavcxAN zgk??J7<^GaRqsXj9}e!Uv$!QB==RQe`w~N;BqpaM))`~BbGOaN_!hz+!L8AFT`G$5 zV~V02cz_WHvdU_-QObRNg$El0AiyAouBc#C^{ftbWO%;0IAum(P>wsy$v8>mJN|ak zg?9aC9;&0>(w3-czDg7!S)cOPmNKn=(4F8<_Eq?EpZXhDEq^F*8yefZr>&1r^Omk; zI{uB3mvUQqSJonP4reFrhVY=SIPXUr+1GWKVF!W!o zE_<`2tF$3iLGHnWW?ammvPmOiLo76Fn$K+mDC;+7sg1P&Jum(VT+(6ZtDNV4iO0Up zjwV}pxfTHc@turVqXFt$G|l@n~k1ZLlmbomJWM&ttu-JRiSB(wq!kX8iMREM*1RcC8g zYGWTjyJe)#?8hU)3i-0KK#RIYisLo1e5jo+dh=twLg18o(@Vy*v=`h=z-1;{NvrJC zn?tVwHKGM4uF36}OXn+FP&<0i(i@$*Jj#1{%IPh#Hv=#`PZ&%c8D7pM+2(F`)>^IPL`a~I>!R)nE2RNlwaDC8qT=|O zCH8$O^h2=tZrGjTYtG!II<7ie-!*I^Zuy7Y>ecEC>vw)x%aOV`3^^Wj5k8b`a`F_9 z!wThjAMpyR`wG%_#dtqD3HPW9^8i1|--DnsIw3ma2nL$}E=s*hFOpL6Mh6ADim-)x z@joovYj0j@m8CLHU7_42SJ}q8rAQVllzuw+L#UO1zJm{B)eHipcN#FfB^P{Fuh(|{ z#nmKQ3uhQzdr5d79I5;d7L{e<3MXT3hwP^HU}eJHod7m4%SH#e$yBX?8*is+DIn)f z)3T+kW7hrW6nq|edMoiH9YZ6)rB=vq`O2_~Di$|pH67Y%RjF(s zn|v-ziRMcVeyyaj)IoZ!@^gRy@AB`lHh!biG19rj&6fJ6-(zVR_L<7RUZ9jOf!F!7 zz+D66|J87)+1qy6G{9<`yvJ*~xF0)W|J2+g&{_h|S4mxID*+Nume?>z$0x-M-aioQ zmKqFM?y0pVt3M+LO9ezEs+t<${!0{*(XlhveGyL(7RMv*{Y>0A+WGT~RKfQ^(Mb*7 zUC^pLCJq@MQ#AzHf4~*uDl1f?v%cFt-<#lyanYG0jJ(+jU|MqzTdsvVcUBx4RiDTx zRuz-J_(iBdUhCT*3(3gD!W^Qi6SSCm>aijtO{?dP+ZtJ--BY((u$}VX3*@++$pj~c zhgs}83?wyde00^J#yoIU5V)k81+Q%a(Fvn#pk4*5B_nFZ;>FR{yp#k z0$;t7H%09QQm(CVSzdj1p%ER2Gl!vXEEoZuL60UN;gbGwv%AM*zQXY-!$>9(t}BL3 zs!u@E$d0OmOhKR`Gl75VU7{nt#s4MGz2J)qk$=4jbr=*BF|`nx7^GI~tZK-5G~UR7 zoiDO`RIvV{ZNzTjv`Lnkk>6i+L-E)IL;}pSajSFm7)(286Y6peQB|;jh!;w6Op9Tl znlH}JoZxo&o_G~}YK!!3o=_5zI?A!(&A8Q)UCM8p(yu7#x5yeIx#x~Ov{6wm{OmFA zF$=m~`KT&}Wj8p}%ZhdxVH@k-fJ|j1b4ag4gcqU8`=Q2WTZv~P)G^!`@th8THZN=* zzK(f-fWL{rDLIABqwL^;k)*(9_P}AjgCNE2WE_{RuhMb64fEP;Y(MeTi(zWZ6p*}f z>OxWu7u0f*`w8gX7TX;%Ep)V~DHae{v)tQ8OPt4?TL(v2D20hx>Yh%6dfkq$faJMt zKWr5xr8Md{DZpU8bKf$N$-(l+uS`fIshma5?e-Nl?q z%acsY-?KWdHM>RW+xSc-UL{H14E0gzLs`w$nwSDBGp(b!cqxv;ZS-F21L_kmNMW zmv{RI_Wd2~XSRtNC9Ny1VDzSIkDGtV1o~hH-j^kPIHKn{1A)7Zt7Gxdh;(1dLN0mbBQETaTljh(tLpba{H&-zuBfw318b}oPIC& zSxPXy3adyqkjxYN#r+m$b%iQTod%`YC4HREXTk+YZs>al`A3-w`6)+TJGAul87lf? zvP@>C@`s-T8xXjT(OA3IkKrN-|F7SD_s(X>crX0n%Lx%-9v7GvaBNr*|7!5M-^Vi| zi^e-YmA^1}`Ok(pf0=<)#>^B1v1qWNe-2TDV04lJ)rXeFGQ!~TI!deh9h83=^1ga4 zZlTt8m}Q|-$x%_vXX)dx&q<|hR0bN8@00VC20w-Hy>W4xDu9Y=7zPSO7froIYe!GZ zWPT3`WKfU)HT9grCq;;(4IJuk0%U{_s?ktFDrYm0wQbv*iSId)PNrQnkw=Q_mbds8 z$+TyNj#k~vn-+%5FfEhaABp?GS^}6D+3i~}6#{aLE=g>>XPKueKpO_=RP*trH+^k4 zPMOUAs_ikqqG&P@34}lI*b7;sxC622BABSmW!=w20r8hP{1tz$?0-2#N18?cI4wE# z$^N;C1Q#G~5aKs!^PR8VVUjK*)#W%r23eRo4yW1tTC$9I^Nbg#q9}!7~lm}Lg>SZpStkloXkYZETY|X<~ynKU*|?mcQ6F z0hrR61WZ14#AZCaoAdeglrUxa6mVN?l5*rnwz+^1XI6S&2={*S(|;m}%%yZ5`9>d< z-?x!*2?_tK5MD%hBGD3^>DIf^)PpY+TczQW4dY;XzoBOOc4mRag46>d@lrR*4DN_C zvH1}410ED0lI@opRFA_JPpAoGg@335-(*SCj-+^gl1tLC=L;z%L}E}SHQtb+bAK&H zm^WB$%yudWAA$iE{Rc-uI?0b7GL=i~u(8P$wGZ9O`Z=Gs%#-pG@qPf0Dj2j`|Jho-<=cAjg>ME+)bhS!waI-kp#sOZoQvHNk(PTSs_%B17(7P~T$3*B^S)Q0&^keTV z+}j0F^;4*=^T-HtB2-m5RzZ~~cR>*yX{g;J8~byjt&xXrNk>!nv->*c!gjT5EJ97J(pHQ}VaP#shjVaiVQzj>m z13Fa=zxWAXuE2S~C2BuFDT*tlS@?_6XA7YI%ow-TdIG4uvPMICB90f~>%YwHAsH z(2L2$vuQIvm3dH+H(wMmIVqWt(P=@UE!@&)c| z8gj3R^8(PP?cXDWyysvvP_=-$@Sy^!t0Tl4AGLnCZZL&IibKDE&a4oR(<(IuA>fm> zqF6-a7@u)M%V_qEzaFjv)N}#g7f_Zv=rMWZy*{ideax5fQc1%5JH+VH%$tycPGTHXZ&S!yd2kmEiV^=SGZM>{r`mTCVNn~jDKB7h@EXXYELSbc z^|U40-r;nsQi^r{BvCH5V>??~wDpzaE_Dml`D&5<_u~zf>fNK#-##jtbK2AQ{kP8E z40d=|`*BHqtt8Ok^NDC{%Hm_rafOQG(3&b#-nHwYOcHfU_VLFaN8$w8{@sg$m_`Mj zlptsM#lD`%nVO*^!FM7;z~yrV0U0+Z1wV;epEYE1BfYxR9H}`+1NVdk)$>8}y>qtjugv)&h*5IfJO3>s}B zzCF9L$8R8$zs*l+;BT^!2_+1moj~=Rc-Z25KB;lM&iklx=OvE_k^13K z%0F=`lc;Tu`erNy8R0wP3wMTVQdV(|kPdKZWSm)tmb8S8x+ghQsF`Or+!e1aSh(07 zEhe2Mdt2P`m44wlHI+$&AG%W6IGuNBr{IGc>mA&W-j82+Jks=XW2r;sVu|}Cl&HLK zeNyh-pq!83*+bGx>Q?_`8(Lu524!=wX96Eo3;!oi{}5_X!4frR(qYz_IN7REIis2D zxH9 zrUOvG2H5zF4M4^h^$0&pe9XN+{3pWosmFu%yl1pwGFjY%87`-VULi)PpDvwDHS3EH zRi2cd%ao1H&G-w83TcYHV%DC-J52LI(1KxR9V3aAMIsr|vhdF$N2#eAeLM#~P4*9h z;%bq8VIY(I^`wCR1N>B^Jt&C;$yFG@AHJXQ-r?Nti~mS3nz6J$ei0(Lpb{KAk^1_< zx0zKksbFWw2c@>fo!|k)s**qn0seu9lhKe@KxJlGCX-Y?oYURzS#%x|sszYb1~E1u zZ*>09MD$;8}Ld)^QbX~bi99R^cZnix^ zvIIjh>%XApe)_k`*mwDAEAD*eNv$FXvbhtYDt_7tA@muAnnzjrXTJW3JvVgDPVZTq zpx2Y!m6+C~CqKSpg6guPs^-P(=zO2Ad@Zfh_{7CZv@`JWsK z5sN4RCQgL$tggZ};!`>glejdypydPLYhMmT!KNRLr>Ju7p_z3%{ux64BrH^(oRy{W ziPB7;EblcJ;kNetaVWZdPdhWn&g;RK=KWIThR12_i zM950cc-+q+-~NM(h&$Ij4_F=>u3y@zT~q}($w$T-H*K%6(GY#;jHu>jxney0kQw{604iGG1R@SV$HSvwl8w;sViHa`>D8H&G`Xs9Ze%?F z)|GAdjqt&!!{EJ=*Pv0UC?8gGyHXe*KehTGuo{{9JOODmoCUPDTHf_8hR?GUZOc zJoM_5@eVYU9AXICV#^HF^h$miHWczs)kFgU@`KN8Oe@fz;J^A$Xp3mzt`(L?AcR5# z75E&SX{Lky6^5RUzs8}4fs;WF$fg+i1IuvIJEY$?^kY2_udcQp@oY+y4?ne=V)WY6 zi_0ROfBFHQ(C_GYejAo4v&$5n98UM2-^{pD*w#rF-`4hAap4b?;^XNy^BQ(Y9V3&1d8Virdm#tTk78Za;FaOT|O-1I+7Cx@~jyn7^ z`vy6hIvjmd`cI=W!0;~^cvSdv@esw(c`Vfu*do;XBe)MTQ%;>|D!@dY&Fc{jnJgRy zc2L*uVh;8dwBPn9tCFsFPzskS==<>6pkL7Cb-F=i4Oma$SSsL?X5%9dYsPv1MVx1~ z&__yLAPUniRBmQDy zGl;Ur8WJ?(_1dU@VD9lfi>GWEGOZL*0Mz@lXS(DZT7D44#J&nyxc4gZRW@hsU%4As zIC#^f7+HvLXEdTe?$c6qp;1S9Rx8wY5I(%j0OK$LBK>af6LUUMy_-uyjMx0TziI89 zxWfAOpSXutzS8<&kAU3@@*IW6y`jtN$uIiA@m&fR9V)zM?18yCBSZZSy5g>cUiv1@+jHd{wuYKXFmRPWjp|7UT< z^n6b2`doL)>8*N(MnIunaMGO3qr>|d8*v|-F1gD2#Kct8-YBw@C?z`4k=RzALP4)>I+TrTC#@)Kd(-9=UPuES{m=5q(A>~TT1o>> z>HzG32Bq|NN1>*$!DrF#ys{V10=2*5Yr<3u=H$alAZ5#$@*d8w7k+hBEa)#7YS>;8 zA4+M#0J4stWO-d0aKk9M8L0TLqm?ZDOSxYVmHc|jTOhBfF&#e*B(5T5Vma+k_xRiq zE)LTse@_$(7{JoT{+5tka%mJ1V=dNJe5c=qyi`cZ+iff*Xda@>tAnudQ?)mW!X&f2 z&MI?^VsvW^V`SdBPx=M80$>(+VH$V9n5m?7@36boNEYjm-ge^}M4Q*)vv6F!@8gVg zaeUcKZZTPX3c6KnM&v|EG*wSE^q<8IjMaPduyX9MzM6bh(xJl2ruXN>^g?a)sXRKG z(9<+0^(DSQVD@-wP}4L7*arv{t2!fTUTY;4@KjEoc-S+QmIa)@QKxO=7em8fv0>%f zWJ_d+P;RtxDTJo2i{KVNC#-UR{>vaKZlmqwAwyScKQP2N`}D!2G1UiD<1@!XiNe`p z#rin%glhJcpSW&iVA(J89s|aOppru+o0J1R4vsu#JN^)97L6uxr*JiVAiRr(@qPeY zBr_XxDliUpI(t17a#ul%*`9C5lFJqm4>p-x^xEheSz`|>eMq+QML(51MicO~{YifI zBn}pFQ03au%hPe-d9!Tk>w(eN6t;=#Ex?LztNo0y%Pl;imMl=`!P*)zpZ{XGOZuy; z9+r(|$;keS7x!7nTkk(X^N@Fp6MW<`CG$3<4?REK5m?vDyLKnL+V(Pk=P~KJ2!9^K z0smG-o?9U9J49C)imE%p#|BO(cE{qSQW$R*#XGu$kT%WFHL3W@v# za$u|-**dV%jkSM=k4!$&n9WXk1x!)F4%cotc~He1>ViF=!}t%d zJhdteXM+8tT4#=yk)~{r0p@NC$St!D;<^JOwH%$N8qwG*9|$_(|C@Q7xf7T{#zMn9 z)OV&A&%}-4YAkb%P!n|_V~k>XmXJ5fCqVpDw~xs7KU*o0Cv=`=$9pO)8vUbxIB^a> z8?&$%^>8v203#0>Uf&6-Z|MDZOn%=CJ*J9frESr zYH4zE6syBXv^g1AKL~eA4|PX8ocgl;@UyNzI*@e)leWX<;gJ5C39kP9#+ZRY=>3%d zbWS_ITm=)@16vM3-$y?T<9>DtCCav5%o%PH_y;@a$mJ}dK_v|V)prH88g)gpZ5w3|>t*>ra*Qb3FPybz>v!h2 z6aC2uvTR|CzD9&~c?Z5fqW%sWy}^@EpBHOhBMGcZdk))Bm8vQMktA>ro4r%H<(GJA z{paxX)oV|m;?aLjr}LD%fJHzdEs-OVpu3x^`B0UL2`gTE3&EE~Qp^bzSZxMPD8kIW zueL9`qOY2pseYJLaMW;ZhmJjkdmkTbBj5XL{NNMnLuPri$N{`Y9D0>~r#b&o--eU0 z`hTjs47qP45id`}4j~pgI_CIwp=+hn&07}Z0>Kyg|Jz0ywSCOAf@T% z2kBppH{Ku9S9{doXp<$lt85J<%hN8tY}9Ih*a)O}4`YO@_%vjE_Iy^FAW0w;5sW?6 zV0_XO2UCFa?J&m_#yke*?Z*9TiLtbhihZg-~_dnyd)-eZ^a4 zzDY*gh=@s9X;TYZpO+lY`TG2Tu}9%l;~1*Fg`L`0_PBSw3t1uRw(~6}RwmvkM!ca% zu7yuR!dT{(68Pu#384zTnI^c-F(#JtHF>Df8LHo;6TUT0&gW?JUQ{HpISM@F>14hd zuutEy({H5~ft`BXnUODjX_=joM@Hs*~)LF(Gs|#%&Q@VTQj%)*ItgV(CS)H z&X45%H;7i^Yt6hm_4WqHB?h=B6;gsA;$#Fy16JGu7)T7Ik&P6VM|`) zn|O8$6G?9RoF(Y8SMnx5q0i~|0=Hei-185;@y#c;4i7d-(MieRoJpiICiyR+{ZLIk z!z~9s0wAx)`fMhJF{JlYU-)>z9p^IWN-3H>2|1aBCX%K88&jZK;wB=4C)2-4@-!yD@?vOH?fD zbW{H9u0_k~ygaGR+TyZ!iP%%6xsw|;XpDXI*9XBR3(*G+ulO<+ zaDyA(#E(Z0ute+Sz7ix6XTHArUTyxJU?+a{3o~=?TmPmwWLgw1oUTW%g-8R70t9!A zUd93(q~w3GgFqOtX?k(*q>2ecli27WjBU%*kB_o2<0M5iU&TY1;LSyvgt*9ZPL}Wb z<1TH?pVag7HJZ;64zaYgjK!b&z)?Huvytl z$5JkC7S`lHej_J(nCz(WBF;kh+!;A#QRjdnm6p>g6Vgxmyc3*V68cbnczHflqw1!j zSQX{yDg?oauOHBjwE=mSh$cpL-~x`Nt)1i2n5@ZXggH^_-)N4KS;tsXMRMGj^hiKGylfj zyWbPt<7lIoCuMs=gs4)Sss7e^1;C&2>G*#DB^Hre@T zu0upU8?Ex@#9GXThlxLH_8j>yep|5NuHMv*B+of;eI4g_cvpQ`o)5jmsV_TkX78%R z<7*8>_^%T;z5v^~+9Q3Bw>qzBNH3ZFCF`h)xy_xMk~)|A&9-K2C~TC9D{79skg7Y+ zniA<6yXEWU?neF4kV#R_WN)Mp@(&+7QLptltSZCP$anCvJ5>&SFG0GBA5`6eGa&M+ z$bt;7v>(v|xOulMfm?~x6%%3eGk$Kd{`%Z&zJFncwa~Z}oz(VZ8=Uk;1{w8!)Xy7f zcH_WD9dNDO7eUN!@$1|02Z;7LE~hg8Wv0jkSJ%mcyRaEit$yz6Bs_6H1C@R?lMZR0 zP?L)*aE#AOeO+`q+Sq5x%77>FK$V3jJG{FuI+k)sY2Lu$_^C~{^UE`BiN0I$+Y(ca zk`Vp!f@sLKawpzWWyo@?N^MUlO1KseF^b$1?O5jb>vr3{?%r5Se53x>hVi`k@k^~c zKJUBQmvo6v{g=&1u{O4{%$^wCZ$b9Cukc2^3fjqipkIo81t9&zn;gO7?A1%tWUlAW zH`=O$4$?(%yIZf@EKi)AROfeb-r#=W9y}+ek0ibo0QA=X3o(OJW^=I9e=0kdYas0l{=cTk>s8s zf@gTA*7^FVu28t9JAYTY%RAB$-JcwN%Yzd`5SHh8wFSK*R*V-y zdJU%ZJ!S;1CmPQ9nWP0szr}1 zv81%lMzbm9i+m8BY?SDQCH{)6<%sCG^9X1Jd^-NV9e(&=l z5{wq=S;fEo%}}|pjN~XYNRQMFdEw$*ZBPmVys*iBs|UD}9y+HPrxl>2M%j{9aMY7h z-?=Ud4PQzZ2s?(~D(0w9g&zZ*p8&UoCj?uZj-Nl7bV>=Lz38Xh+jvuNAQS{M^tHIx zsXv4XuK)XCyUF5SdVTSh+RMWhfR>OI_84PFsE!;~Xlt2-If#Uv=NPxCtOGgUfnKmI zW0z67M4Y+TuiZ05=vA2QtPq56stxfX7AyP^1cMwcLrg;e67#n$YW`kwLf^ZC{?;oS z)QV5ZX%k=KYXYKzhy>x0o-Hw zk*~$F=_9+8WJwPa8hXv;Z4LkGg~`C;%~d%J2hEGqKZpt>B^AamZuY<{^Q7mYC6L3| zFV0&s!Jw@hNQs8p$b z6c+T~JV)hlbT=r|Oef;MTt>QH4uD2=m6hASn+~AzQ}4iT5_Ug@3EqRaDqyXl~w+D^lHZ1b_b-m0T}T#nd|xUbr> z#hHgie3^7i%X{lK&4%{RzP3(T{HnV=*%N5aU<6BZBFtpmA16NJZT>dq^VIetSx9%5 zaFV1dwIc+)4!F&=A;s8&(JtBA-Re>Np|w;C^wax93aD*#H}R$g+liR>-N$xH?`rkT+=b|~S`D$(BaxLmkvE`I&%VR`pteZX{N~PGm3jP- z*xEn6V4VR%Xwfu&=Y}Uu2v`(7r|u)`<wyKuaQmn3p1(sw|8kVey` z#p=XGD(d*{%MHE*biM=5fk2%@`O#3wD-d)L2G>TsLJp$P+I+A02QdQL*jIvsLc-c2 zuS5rNV%p-bBnBm=w54Ck49d#=Tfx$65Evdr4-O%OhKJFQpCFtJKSd8eO*j)CK|dQw zI2Rs8rbovRV#DL;=i>?g)~)EIL_$*d1^UHHgv;T{^psQrIh;aIODAN6U!iASC0q;7 zqEoX8wD25yZXV%!cs~8cO~S44+w?nh!rkzD^!pD855phPAOA;q5?(+rEFu(#Kczo= zPIwVsLN6^Nl!sT)E2{`E!>j2vuL!ThYw6^=dO|~ZBfY7a&=UTJ{Hzdj9}nFnoyq?mgi{_%MCsBVjarj6Oa=_!Q2hf1V^vg-_FGW(jlug24O& z;Y;{e`nT_dAK`2|XOX}SU!wn9Cj1Itp|7qHeuuBq|7;L8!?);vw+TDpyYxNsK7p5_ zk^p|(ApTg^UlnC<>V40@%oS%aJZ${;f`d zQLgku`@_6)LqqJ?3KkVmP=EY0MM6#U41(AXc0MP?pcDvcYyrEV0B5_z!ZUSAtzhml z2k~r|!pM=%7kZa|>p?*rxtG$etHOHEc<2@ula#idpDVGhL!`-`xMf2jTnHki;z|pEgj*hWP$&ILLjF z?k#o6x(M{T%q&h)b*$NuQI_l82(Q#RvMPi>f>RTlbZF*d;+_t*ONeO}isBK)am!lr z)^Xwg2|ngb7EOy>(kB&64#JE49;+f_u7o<^yZ-C)$nMF^6*2m?2>+8Uz-BDbIykHu zV2Lc^oR=fy1Zjedl$mtAA$r&~Z5@g_ry|T^+wmww8ED+$;tQB4%*Bmen-Lap3JhnD zDe#Y?GBN&59j-=q$ubskzFO*dF01fyzSa#A$tHwP zzS_Z4^w;L=nc}enxQ+>2q`@_lVxHSBz%lG_F0bUVHCdF!Z8z@{{@}B=Q$;n&xh)+A z%1bYSO7*Q}WjkI^hAtfNcYmzr+POyQYM<(OhhtCva$PRs+$!5wQJHr_=U-K5l$=z3 zFoA8IEbQS5$cxoU2Y@r)O;(o_wW~)TC~gtt4huhhbe|nydd~xT=3X}KN$PpZmq@b4 zhW$||4mr~%UyA1^eA>G=?POXnoT$+rBaL^G|EGX)V1$;u%jgp$;Sc7 zA(sGTf;=86uu^D)Y`kiP9Cz}v-%8s~SO$a*0ZG45>Gviih5xVUJp8Htzc~K++0Tk~g6~~Kn znek&(LSHU4NvAhsC}MaN(CHr_!-|~?-L@f%`h#FQbAA;v-rf!*RC$C+W;8`2i(jbl z$!izp!y0-{thJLY(6yIE@`=$~cM++X)i958@~r~@Hwxyi(;5Y zjlrYFi>!Cxg9o+IZ^!_C+ zz`U41Umc=%=uYeR=?jPV?&-5W(o3xB-UzCj;;x(wQQ-{Bt89XYYo%JDTDuC!sv*b*o17q#fe#2S}77uwZw`cAfn zj;)uhjfJh3I(O8GSF?Rz{k#yNklZp;Qt!4W6%n1r&rIP@`;#P9=mA+Q zOe_5q5HE)vI0<%IvqLpj7L?3HMW)OxB)b+c+90+zNu z{3$EbVU*P*>ZvCd@G>T0bgja;w^j$|eev3u2Az|5uqQd>1UDB?RPQuKP3TzT zg|0X0xTue*hxS%hL^b8QJfJ4)4HN5${Wi0QQxuO3$DJ3QPBHNFrVkv_a%Y5R=K4ig zcc$AmhbhRdkS^zDI@jX;h09I_mAjIv&RLw;NT!T#)b;qHtN|Cg%))y3HY`0- z43o!o|K3((&@m82Z`vBT9Y@($0XLAGQS7Bl&?R=(1De*F>Km|&>k&zRKuQN9ju0#7 zcQ~(ZH|v@7o4rr&FS~7jd<=hb1=k7vBFkE%$1U?6V?YH*-K}@xp24cy|c=b-1Ul zY5D1=Y7$ZLXEuza42_YS6qP9{b8{_3yv&4Ytgw$&)D=^tD^D+JB%y4n5}a77gVacg zUJ5nwLHX{^8#e@kGcu>&h6@Kjv+qZtxO7*9xm#qMOep5U^=?6LsiPVm&qX`BZ4cGK zt1$Afds_K6%;7Pn-r=%l5>CVjl*y_rGOU{Z-3w&~eZPf!A0JLNd?6j_;OjV{j6+?t z5MITBaBRJS8%brX%4$z#|F*8jG{Evi58obW8>xz1dQmuOxD@EUy22CIIiXHC6^bBd zF4_ny(~L?77i2p;)U1*wG^{j^{#epvRD<=Qjv9>WSh6sa;U69C$`iy@eohhQ&DU2;ys$c&* zf?Y@#Gkb|qk+?9=S?d5}`N8~hwBoh$M{^P<>=dUuvQ@rqlKVhbE4k;MK(t&6)r2LECkn|Hq z1$rl;+~&Knkz_O2#N&hPzM^4cHz>IZj+mN{wV;YiNZVbZ^N$O)jaFtex0$a-p+Ye= z8Xa!jftwx&jTHj{iim;}`Rc6vO{|~|Ng|WwqODB78I zwL7;F`R}&fZE6>8%KtDAO(a)+O#qg$)#u_VnXbButjl)qSO3_K{!+ZJtpp~}>j2QI zMLA@`9(|@$Mwe7|_3n1wl}5ZUEF`;Xe$i_4Gh%CHAR>t`6qj-Qd1~eCNCF8dqxoya z!{?nzPyH)L?Jn1C`Dv%oR-mA6_qb~2hl@x`HzY`3Ya&ZpQ7ht&(V&SB+vA=3U;bAE zJSl&xpnA?b`?luetY#>zAd{01!A_g-`)Fx)#TdZ64c=XI9QL~TSem?JC*TIm@0Scc zyzGBeqsZTpo8NpPM*(T6zbR!0NZHvQyI7Lma1paPHW3Sh|G9{RumY^UZl}!Spl&ee zL|otL2x-R*9N6w#NSqq25=+Lm18&e#*&3Tyhc~+RFR>t^t-}g`C3L{>JROUKY8dVP ziCmM3fhBN=#Cc1ZhZ6?j{4Hx;r?0zbrD1Nk`F2gT9KP-6!=-PeeCLuNC%51%{Xdc0c$zmysI-%#D{KV_ZL1?*rbEsQ}FI zKR~jwE2F;a!`~~1UxFOTMO~mpD7*&H;;=+SJ$y-_tzkR^pF3~35h|9)7FfF&S>Hfo z<0%9;mWKr!LnQHNVE~b3$k^CyR}xdb{tx^}!&jv9;Bg+C2DuH^#KzRL#&a*e+njV| z-!-Kv!nB9d7yV#8A-I2)_&YQ?_qf&Ib?77>+aY2 z3euH@VF}eg_>+Tbam9DOVw_N=C(vtrSHJzSH$WBqNN9^wU%(|rH3zA^EHRkYD zKKxWprB`TLcph9X$WXCt`$R9OqayBb8Ru=}_X|Zh?TC@t>&I z2qFus$s>U=mH!{2;; z5Z++VK4fNndg9OzH$wu^lnlzkZ|Jz$_)H9^LMg3{bR)dlco#{SZ+5;G*gb>1(TGb> z`9axPl8#&VO~y8NLw^)|SdHc0*;Q_*TC@ohQ_Ex$$_V|yQFP!F1C>uQk4XGjBWH)~*hpOJrN8j#x zi6eg@?WB)}k?ME7&ihE;b8@yY%A>ApY>)S#e8@$@BXD*lE%Q`|1qftqH)1KCv79xR z-buOfiVZ*%0!l0{TGY;sLTWPAo|WtaaYb-Eu3l*EXtfieFC^V?QCuJym;WJ|lAY$V zXfK?rM7yBRbc+~=3V242t;rTPYKYV){#M#h#F7rgOJt09N#TwasO?ipHo*b>hD}%x zH8&0Z(WorOQ^?|YznbFOJiHdB3hpcqEzVWZF^NbcR~RZs1@2uooisGP^0C(KKAGnL z8OW9C>W}?EoPXjMFr-x*-3f@fRgHWI`P4oTZ~L1mhgs!yJ6!-Dpfya3v$-};TengG4u;3x4JHn0+cB{W~rQL4dt?WeE*bEd) ze~tPr)byUZF4q#krq*n}7KqFXVHa2TrGa=$O>wsm=BoZO5r*Tn`^2#h@WuU>bc-m~ zWH2vpyaX*|4IQ309^Ts#HJ~UkzD1%FOh0Sb_l7SE`HwV7FG|k1Y}M#DPqsrtFgN7R zhLHa~)dGk!XUS|RMZSiA$J*k0hX8YBvjxS~I2ga~qV(~dyCb3;(&3PTQpKis57j_! z89nIv{3zkep4Sm2h4z?0arKj8>!~qr@sl|R==gQ>Ny$E)ZEps64V6jH6PYHy3pm7PwNWz z!`J1XY%AJzTg$&$%Us$hsTA$^noa8}uREsM!drvIH2f+j^lpBF5*pjNS?VVP2Z_hj z&?3DWSh=3$QiN>w99z=YlB};|}<)yY&>~&`G6+t$0@|Ijt&IFOo|ArFPF+;r8Q5@uk#}@j&)0^w79H zJE$0c7oP}C(SOQGspooArieItD?$ls+)W;N!Kl6|rDEN{h4BX{a*t`=yh`TH*v(ry z$3@>nT{=rFN{Q%cbWQsBjTnS6V=;c;RK&#}2%5dYFDp6wb2|Mu;Bl6rdP|X1(ht|; zLUc;4@fCl(WRpd?#$w3~0=0e%t2_P4ZSyn+Avv&pnva3OxfR(dq53eX<22ldR-8BM zHzErH3rUn=vQi~BSsRoukuZ%P>!>GQnm24tJ>_`%Y4YLA2_o7V%2Qao_o2fqFiZ@mw1Luvs)Vn zm#*Tr7nUCnTikt&rdZvDIEg;#oQV|#Zu&)>73qAvwaD9fS?=lQeMw=S<+!7?|A2J8 z4I5Twv9m^ZJIbMMa>UC{6iK!&pyX#{V76^X=wb-mH%K)EFSGx{MM)GS~(T{#J0QH_kf zs|U9AeB~q~kVKWIXxMd(TIF;y2Z@VQQ~Ps4qCdZ*s1T`Qs&7I6p-#YcI$*x*s0PQ5 zT*`O7nVy|T>gLU!zL)>#n%cPcDM3oDb;g^|j-szPEdcQLS(~+Jdz_j}jagHC+q z@i{A^@0z7mBuR+>5YjJHwo1L2a30_8#9YSz2Qi6z9vYlu>(W-zewy*^wWWe^wh&NT|q zyq7unbz|dK+MtJj6(o_i^Z5Js#-*%9q~l&%1< zQG*1C4o4c)E?9&uiNGUQ+d2E|^D=cCX3ca=!y(~&J z9ly0@s7&56{SSZyk3Z>D3fyLOM{E&IO(4OYvT;W64+ame$!y2RU+k7LZyAjagk56C z_-MHTCl48saO68LL7(3{L5I4_ld-%nNX<*O!KqVDBB~8%2M(iMA`w=FjiZ8WXf(?1(;dv;OY1;9eRi#(Ei0=N zchrNwxff}@xODt)xXECPPL5;p(?ooPpfRrD*YaZ&GctAXeFbls;`4{jqE(J%q({27 z1(cY&=Y=6ks_cTNUs6nY!I)3#ZW@LdMd?Q}VlZA2c{%wNW6_M5T73Ou z{s+Fj2o%p0FSxHvP%x0b0FRct`>%8vC}vlr!BtJ^NZ3`-JM-Ij?Lq;yVz1SRwE1XAR$U*?KcpQ73A za^w-PFv=Z>x2@d9iMV{`Lq=$AO-XX^*u~#wrO0WV6Hx3Lc7)yt>O+yP>PC42M}p@# z)a^7L@omKxZ-=DfX+9q+s)ut`PTl4!02Od6JAw+hoLV<}BW5B&H$R{xf^ek4V8>tm zMU|<4{tm8PY|8%EzT%>(@{Ja5_^owa`UaTg>bfDkLNhEmleRCF!X0x|nQ`G3Iqck! zjT{2@e^`R?2t?@LK9W)cf;R&2w~agqaV|2chb}1;BQDk>VS=dKdq33_>Mfv?S)BR= z>3+JS>(dHY_iqS0(5GE@kYZeojF`*LIT} zxC){HezLbn{ACt%S02Ywx3Ayk$IU*v2Iy3;;eczZeVmUR-bfwGeMyR3{ChxCRtcKD z?x80&PS;&t`JkPhC;K~C_heWU_tE(5O5QDI$l40ijeY8YZzR7xn`)2tXBtC!tuai0 zY(`8VQp>0!p)Pv=i6VCvO)0h(!lb#d%Ui zp+3z$2pUzR;ksyhS}24az?`GQcfmYnFkNID#IynU?YUSF4P~0 zd`|<%k&#T@as+=lN=Lq?HHe9RFEc+G75(9?@y>1n@iqA31M%4RQP_p#loe6fzaLGd zcr#~n7j|+cY?7Q0YKKo2M6H)`(XB%(Gzvk-srB7jtPx!z!=7V*YO$3IVZ)egN6tr{ z;u5I=%wGwEL07F&JM&4m=vp{xCfzAk+(QMP$Ci+L2zFM;-F3$+epp;l=tY|h%i11b zUw|W09|F;g^m}-4ka7Q>48bVOhtKWBx|y2w!g$dU=eVJ7@Sr>f^PYs z)GTTNTw%SX7on~-v7-wS%C_w_$QQ8SlMMd*iiYQotJIv;4G3v>MjbGT+X8JBtRnqO z*0>V7din;2M#fwdQytBNDD*!rR{JmI<+$?~@ojGyq4!W1vsz;NsyqDS$ z4!=SdyA7+1dG7hZ9|X@cn*S3QeGYy6PkzeXuuNHx`_Kr33nRY9yk)SHb&Qq&Zh`s? zQlUI(&Ujd8rf_}_senL%T)eKgj1T1O0qhJ_m~oAwFXN zOd`Qa00WTY)UMr&gV)>P@OD<`5W=H?ynzUO41fztnT$ZC;M%~Hx;jkbSeOXWA6irG vkI{MZ-vSH;^9NkxMIm33VH%MJm{Dm2fCUSo!2btEX54z11o|_uk8J)2)%YRD diff --git a/fontgfx.map b/fontgfx.map index be794be1..024acf39 100755 --- a/fontgfx.map +++ b/fontgfx.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: fontgfx.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1188:0000 0000f4c0 +DGROUP 118a:0000 0000f4c0 @@ -35,26 +35,26 @@ kitten_TEXT CODE AUTO 0000:5ba0 0000080a timer_TEXT CODE AUTO 0000:7940 00000235 _TEXT CODE AUTO 0000:7b80 00007645 16text_TEXT CODE AUTO 0000:f1d0 0000010d -modex16_TEXT CODE AUTO 0f2e:0000 000023ee -16_in13_DATA FAR_DATA AUTO 116d:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1187:0004 00000000 -_NULL BEGDATA DGROUP 1188:0000 00000020 -_AFTERNULL BEGDATA DGROUP 118a:0000 00000002 -CONST DATA DGROUP 118a:0002 00000076 -CONST2 DATA DGROUP 1191:0008 00000102 -_DATA DATA DGROUP 11a2:0000 000009e1 -XIB DATA DGROUP 1240:0002 00000000 -XI DATA DGROUP 1240:0002 00000036 -XIE DATA DGROUP 1243:0008 00000000 -YIB DATA DGROUP 1243:0008 00000000 -YI DATA DGROUP 1243:0008 00000018 -YIE DATA DGROUP 1245:0000 00000000 -STRINGS DATA DGROUP 1245:0000 00000000 -DATA DATA DGROUP 1245:0000 00000000 -_emu_init_start EMU DGROUP 1245:0000 00000000 -_emu_init_end EMU DGROUP 1245:0000 00000000 -_BSS BSS DGROUP 1245:0000 00000e22 -STACK STACK DGROUP 1328:0000 0000dac0 +modex16_TEXT CODE AUTO 0f2e:0000 00002406 +16_in13_DATA FAR_DATA AUTO 116f:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1189:0004 00000000 +_NULL BEGDATA DGROUP 118a:0000 00000020 +_AFTERNULL BEGDATA DGROUP 118c:0000 00000002 +CONST DATA DGROUP 118c:0002 00000076 +CONST2 DATA DGROUP 1193:0008 00000102 +_DATA DATA DGROUP 11a4:0000 000009e1 +XIB DATA DGROUP 1242:0002 00000000 +XI DATA DGROUP 1242:0002 00000036 +XIE DATA DGROUP 1245:0008 00000000 +YIB DATA DGROUP 1245:0008 00000000 +YI DATA DGROUP 1245:0008 00000018 +YIE DATA DGROUP 1247:0000 00000000 +STRINGS DATA DGROUP 1247:0000 00000000 +DATA DATA DGROUP 1247:0000 00000000 +_emu_init_start EMU DGROUP 1247:0000 00000000 +_emu_init_end EMU DGROUP 1247:0000 00000000 +_BSS BSS DGROUP 1247:0000 00000e22 +STACK STACK DGROUP 132a:0000 0000dac0 +----------------+ @@ -69,9 +69,9 @@ Address Symbol Module: fontgfx.o(/dos/z/16/src/fontgfx.c) 0000:0048 main_ -1188:0bd0+ _gvar +118a:0bd0+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1188:0c0e+ _inpu +118a:0c0e+ _inpu 0000:02e0+ INL_KeyService_ 0000:057a+ Mouse_ 0000:05d2+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:48ac+ MM_TotalFree_ 0000:4942* MM_Report_ 0000:52fa* MM_BombOnError_ -1188:0d70+ _beforesort -1188:0d74+ _aftersort -1188:0d78+ _XMSaddr +118a:0d70+ _beforesort +118a:0d74+ _aftersort +118a:0d78+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5330 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a70* CAL_OptimizeNodes_ 0000:5b20* CA_Startup_ 0000:5b70* CA_Shutdown_ -1188:0d80* _finishcachebox -1188:0d84* _drawcachebox -1188:0d88* _updatecachebox +118a:0d80* _finishcachebox +118a:0d84* _drawcachebox +118a:0d88* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5bdc KITTENGETS 0000:5c3c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6194+ get_line_ 0000:6206+ db_fetch_ 0000:629c+ db_insert_ -1188:08e4+ __kitten_catalog +118a:08e4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:655c+ LargestFreeBlock_ 0000:65ea+ _coreleft_ @@ -178,51 +178,51 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7ba5 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) 0000:f246 textInit_ -1188:0d94 _romFonts +118a:0d94 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f2e:01f4 VGAmodeX_ -0f2e:029e+ modex__320x240_256__Enter_ -0f2e:02fe* modex__256x192_256__Enter_ -0f2e:035e* modexLeave_ -0f2e:0376+ modexsetBaseXMode_ -0f2e:03c8 modexDefaultPage_ -0f2e:042e* modexNextPage_ -0f2e:04fe* modexNextPageFlexibleSize_ -0f2e:05d2* modexShowPage_ -0f2e:06ce* modexPanPage_ -0f2e:071e* modexSelectPlane_ -0f2e:0742+ modexClearRegion_ -0f2e:085a* oldDrawBmp_ -0f2e:09c0* CDrawBmp_ -0f2e:0b24* modexDrawBmp_ -0f2e:0b8a+ modexDrawBmpRegion_ -0f2e:0cf4* modex_sparky4_DrawBmpRegion_ -0f2e:0e5e* modexDrawPlanarBuf_ -0f2e:0e7c* modexDrawSprite_ -0f2e:0ee2+ modexDrawSpriteRegion_ -0f2e:105a* modexCopyPageRegion_ -0f2e:11c4* modexFadeOn_ -0f2e:11f4* modexFadeOff_ -0f2e:1222* modexFlashOn_ -0f2e:1250* modexFlashOff_ -0f2e:1320+ modexPalSave_ -0f2e:1376+ modexNewPal_ -0f2e:13c6* modexLoadPalFile_ -0f2e:14a8* modexSavePalFile_ -0f2e:1520* modexPalBlack_ -0f2e:154a* modexPalWhite_ -0f2e:1574+ modexPalUpdate_ -0f2e:1ae4+ modexPalUpdate1_ -0f2e:1b5e* modexPalUpdate0_ -0f2e:1baa+ chkcolor_ -0f2e:1ec2+ modexputPixel_ -0f2e:1f50* modexgetPixel_ -0f2e:1fd6* modexhlin_ -0f2e:2042 modexprint_ -0f2e:21dc modexprintbig_ -0f2e:2358* cls_ -0f2e:23c6+ modexWaitBorder_ -1188:0914+ _VGA +0f2e:02ca+ modex__320x240_256__Enter_ +0f2e:032a+ modex__256x192_256__Enter_ +0f2e:038a* modexLeave_ +0f2e:03a2+ modexsetBaseXMode_ +0f2e:03e0 modexDefaultPage_ +0f2e:0446* modexNextPage_ +0f2e:0516* modexNextPageFlexibleSize_ +0f2e:05ea* modexShowPage_ +0f2e:06e6* modexPanPage_ +0f2e:0736* modexSelectPlane_ +0f2e:075a+ modexClearRegion_ +0f2e:0872* oldDrawBmp_ +0f2e:09d8* CDrawBmp_ +0f2e:0b3c* modexDrawBmp_ +0f2e:0ba2+ modexDrawBmpRegion_ +0f2e:0d0c* modex_sparky4_DrawBmpRegion_ +0f2e:0e76* modexDrawPlanarBuf_ +0f2e:0e94* modexDrawSprite_ +0f2e:0efa+ modexDrawSpriteRegion_ +0f2e:1072* modexCopyPageRegion_ +0f2e:11dc* modexFadeOn_ +0f2e:120c* modexFadeOff_ +0f2e:123a* modexFlashOn_ +0f2e:1268* modexFlashOff_ +0f2e:1338+ modexPalSave_ +0f2e:138e+ modexNewPal_ +0f2e:13de* modexLoadPalFile_ +0f2e:14c0* modexSavePalFile_ +0f2e:1538* modexPalBlack_ +0f2e:1562* modexPalWhite_ +0f2e:158c+ modexPalUpdate_ +0f2e:1afc+ modexPalUpdate1_ +0f2e:1b76* modexPalUpdate0_ +0f2e:1bc2+ chkcolor_ +0f2e:1eda+ modexputPixel_ +0f2e:1f68* modexgetPixel_ +0f2e:1fee* modexhlin_ +0f2e:205a modexprint_ +0f2e:21f4 modexprintbig_ +0f2e:2370* cls_ +0f2e:23de+ modexWaitBorder_ +118a:0914+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7bc2 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) @@ -230,10 +230,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) 0000:7c0b sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1188:0000* __nullarea -1188:0928* __ovlflag -1188:0929* __intno -1188:092a* __ovlvec +118a:0000* __nullarea +118a:0928* __ovlflag +118a:0929* __intno +118a:092a* __ovlvec 0000:7c3a _cstart_ 0000:7d0d* _Not_Enough_Memory_ 0000:7e3f __exit_ @@ -243,10 +243,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7ec6 _big_code_ 0000:7ec6* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1188:16ac __argv -1188:16b0 ___argv -1188:16b4 __argc -1188:16b6 ___argc +118a:16ac __argv +118a:16b0 ___argv +118a:16b4 __argc +118a:16b6 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7ecd __PIA 0000:7ec6* __PIS @@ -271,28 +271,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8210 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:8255 _nmalloc_ -1188:092e ___nheapbeg -1188:0930 ___MiniHeapRover -1188:0932 ___LargestSizeB4MiniHeapRover +118a:092e ___nheapbeg +118a:0930 ___MiniHeapRover +118a:0932 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:832f _fmalloc_ 0000:832f malloc_ -1188:0934 ___fheap -1188:0936 ___fheapRover -1188:0938 ___LargestSizeB4Rover +118a:0934 ___fheap +118a:0936 ___fheapRover +118a:0938 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:84a4 _ffree_ 0000:84a4 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8511 _nfree_ -1188:16b8+ ___MiniHeapFreeRover +118a:16b8+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:860c+ _null_exit_rtn_ 0000:860c+ __null_int23_exit_ 0000:860d exit_ 0000:862e+ _exit_ -1188:093a+ ___int23_exit -1188:093e ___FPE_handler_exit +118a:093a+ ___int23_exit +118a:093e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:864a __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -311,7 +311,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8ff1 __doclose_ 0000:913b __shutdown_stream_ 0000:9155 fclose_ -1188:16ba+ ___RmTmpFileFn +118a:16ba+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:91c0+ __ibm_bios_get_ticks_ 0000:9237 clock_ @@ -322,7 +322,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:945b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1188:0098 __IsTable +118a:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:9653 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -355,31 +355,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9e1f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1188:094a __8087 -1188:094b __real87 -1188:094c __dos87emucall -1188:094e __dos87real +118a:094a __8087 +118a:094b __real87 +118a:094c __dos87emucall +118a:094e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9e2e* __exit_with_msg_ 0000:9e33 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1188:0950 __curbrk -1188:0958 __STACKLOW -1188:095a __STACKTOP -1188:095c __cbyte -1188:095e __child -1188:0960 __no87 -1188:096d ___FPE_handler -1188:0952 __psp -1188:0961 __get_ovl_stack -1188:0965 __restore_ovl_stack -1188:0969 __close_ovl_file -1188:0971 __LpCmdLine -1188:0975 __LpPgmName -1188:0954 __osmajor -1188:0955 __osminor -1188:0956 __osmode -1188:0957 __HShift +118a:0950 __curbrk +118a:0958 __STACKLOW +118a:095a __STACKTOP +118a:095c __cbyte +118a:095e __child +118a:0960 __no87 +118a:096d ___FPE_handler +118a:0952 __psp +118a:0961 __get_ovl_stack +118a:0965 __restore_ovl_stack +118a:0969 __close_ovl_file +118a:0971 __LpCmdLine +118a:0975 __LpPgmName +118a:0954 __osmajor +118a:0955 __osminor +118a:0956 __osmode +118a:0957 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e52+ __fill_buffer_ 0000:a0a1 fgetc_ @@ -389,9 +389,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a561 rand_ 0000:a5a3* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1188:097e ___iob -1188:16c2 ___ClosedStreams -1188:16c6 ___OpenStreams +118a:097e ___iob +118a:16c2 ___ClosedStreams +118a:16c6 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a5e0 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) @@ -404,7 +404,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a84f __FiniRtns 0000:a84f* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1188:0ae6 ___uselfn +118a:0ae6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a8b4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -430,7 +430,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:b38c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1188:0022 ___Alphabet +118a:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b38f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -440,18 +440,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b43d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b448* __get_errno_ptr_ -1188:16ce _errno +118a:16ce _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b44f __GetIOMode_ 0000:b484 __SetIOMode_nogrow_ -1188:0afc ___NFiles -1188:0afe ___init_mode -1188:0b26 ___io_mode +118a:0afc ___NFiles +118a:0afe ___init_mode +118a:0b26 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b4b9* __set_commode_ -1188:0b2a __commode +118a:0b2a __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1188:0b2c __fmode +118a:0b2c __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b4c5 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -478,9 +478,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:bacc isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:bae8* __get_doserrno_ptr_ -1188:16d0 __doserrno +118a:16d0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1188:0b2e ___umaskval +118a:0b2e ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:baef _dos_creat_ 0000:bb13* _dos_creatnew_ @@ -489,9 +489,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) 0000:bc1b+ __shrink_iomode_ 0000:bc5c __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1188:16d4 ___env_mask -1188:16d8 _environ -1188:16dc* __wenviron +118a:16d4 ___env_mask +118a:16d8 _environ +118a:16dc* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bd03 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -512,7 +512,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c259 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c2c9 __EnterWVIDEO_ -1188:0b4e+ ___WD_Present +118a:0b4e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c2ed __flushall_ 0000:c381 flushall_ @@ -534,22 +534,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:c9c9 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1188:16e0 ____Argv -1188:16e4 ____Argc +118a:16e0 ____Argv +118a:16e4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1188:0b50 __amblksiz +118a:0b50 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1188:0b82 __Start_XI -1188:0bb8 __End_XI -1188:0bb8 __Start_YI -1188:0bd0 __End_YI +118a:0b82 __Start_XI +118a:0bb8 __End_XI +118a:0bb8 __Start_YI +118a:0bd0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1188:16e6 ___historical_splitparms +118a:16e6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:db2c _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:dea5* _heapenable_ -1188:0b52 ___heap_enabled +118a:0b52 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 0000:deb6* sbrk_ 0000:dec6 __brk_ @@ -562,22 +562,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 0000:e02d __setenvp_ 0000:e1b9 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1188:0b54 ___IsDBCS +118a:0b54 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:e217* _ismbblead_ -1188:16ec ___MBCSIsTable +118a:16ec ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:e271 __mbinit_ -1188:0b56 ___MBCodePage +118a:0b56 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 0000:e34c _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 0000:e35a toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1188:0b58 __8087cw +118a:0b58 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1188:0b5a ___Save8087 -1188:0b5e ___Rest8087 +118a:0b5a ___Save8087 +118a:0b5e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:e369 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -591,8 +591,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:e535 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1188:0b62 ___EFG_printf -1188:0b66* ___EFG_scanf +118a:0b62 ___EFG_printf +118a:0b66* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:e57d ulltoa_ 0000:e6ba* lltoa_ @@ -619,7 +619,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 0000:f161 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1188:0b80 ___FPE_int +118a:0b80 ___FPE_int +--------------------+ @@ -635,6 +635,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020d40 (134464.) +Memory size: 00020d60 (134496.) Entry point address: 0000:7c3a -Link time: 00:00.29 +Link time: 00:00.85 diff --git a/fonttest.map b/fonttest.map index 29ab235e..f5874f69 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: fonttest.exe creating a DOS executable @@ -588,4 +588,4 @@ gfx.lib Stack size: dac0 (56000.) Memory size: 0001d960 (121184.) Entry point address: 0000:7d82 -Link time: 00:00.81 +Link time: 00:00.15 diff --git a/inputest.map b/inputest.map index 762d70f1..222856a5 100755 --- a/inputest.map +++ b/inputest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:41 Executable Image: inputest.exe creating a DOS executable @@ -572,4 +572,4 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) Stack size: dac0 (56000.) Memory size: 0001d1b0 (119216.) Entry point address: 0000:7ad2 -Link time: 00:00.23 +Link time: 00:00.46 diff --git a/maptest.exe b/maptest.exe index aa136ea772ee6b8f3473fe36ad74df1148231551..17e9ffe21db83c8a69a3153e39ff79ddbffe7209 100755 GIT binary patch delta 7191 zcmW+)XHZiO)4ex0Jt2kOr1#!Yk={kR^j=gt2qcIK2^|tZ?23&diYN#o2*d(dv4Wr? z&44@@DFFdNM7giuw?Fpm`Li>-vvYRlOpGC>#t;nGz(~L!009JWObmvf3;!$rKcxR< z0`L{zx$gULzOiIo=0+Q{^aTn9ln{Ev5u2o@ZoaAPkb(z}Gkl2x7z>;= z1)ine68v&}QVMmE+97!CBnX;=(XEzXGXZpNT_OtN{xL|@8T`jw#he8Gu`^26QvYDs z@-XEeczf8}FeLuQ)FFb+#Y(IP=BKG;CpAZl9QZX;GbQ6z$g=tA%^Qx0h9||^#<9ps zAOCRNS^asUN52h_mrPOo?@T_H>F|EJ$fCbwS$tsotNeymWE`1!GO=p5mhhuw18jti zQSq0{+R8^n3NhF@)LF4ZZ2C-%^(KY*wyn<3bmnmEO`jyx_0x*BC9h`? zR3w1b#1@ai%nD$)w-im%S7e(Whfg?K3iqe6#7{E&Ltb>0BI0AFc!Nz}-49 z*c8yZjkb{ry24P44bZa|>E7L_=H;FrMy)C*4)%!Twj&yUH2V#tCLRm5W1Lo_sY=&# zi!hmXzo0PB8Ldz2!i|;$?|WEGd``*1gHt6hC8sGUW5Hp}xt=M5cdY(FO0##aFwfSc zip@UW!Cjy6x&KSnGgmP)4pUoN;d@~#dnzrXWQi*t;9k?Yj0#D-(2+Y@-Md4vsn|I+EAy4oJ^8h?z(1s{4AhJh{Bu_;=D!66mRk$f9cQ6GlB}cxc zO-R7+C{Ga(>e-jxP2JSp>BzwY8Gw8e)j;kA@K8u@G9gU+`<+`VcR3Md6)L#l zbHJgP+&9Rd&PVn}Bz4l>TG&1CF1Ky-DYMG`fKYlM7H4&2^@6X@u*(pXD;3B#VVx2< zS#>7Vy#@GFcl&8k@gw`kh{e3kDH+;wm^QiV2~^Km6yJpAIB?u_GC^ z*!*>^s~6(qzCin$In)Y%^E#vJ1LSYJW#3|cS;pDZZrHnI8F?(Qg)>)uhKAzTpMw}F z3@gwxDz}44)`;s|T77P>ZMcry!|HP49kh`yv$<0TB$kK?iP!{61#1j{&8!eRD(zc7 z^786H%A2FWt`EI#r;8MX@qb5sM<6TQK;e4lO?gVU_l5FRmHu^QGa;#ar6b#3muU$4 zd4?Vl$Y%BTzct|GY!>i$-AD@%3SW)xW7xQHTab>gW(T`?lk87;OkQauQavSnEc~sq zwA>+?F@w^8cWFX9-^it7Ufmg_ZyagS>9rP`F#dS!&4;I6hNRC&8dPhn`lmvV+!=~g z)o}e?Ig|${92o*52j0B(JXE;z^q*(E`A-8eJ6vk|%8^v#XBg&C=vJ6Q=>pPq^AJP) z(SV=Bb*v`5{RqBi1?BpS=!0NjIriiu_Nuz8xXzi9Wx)6e|JSx3hl{P11rZ9kcS$1P^MI_j@p=n1!0QPH@6K|H75xgMzY>X3_gMRJzXiHRpwo^%k_GtjmJN2T z$lDV;pS}3>RfzwdQ#{O&N(Kq;!hUc!eZsoUF3Sk=OxGi?{hZPcb>Fw6x7sYsOS{CFv4l~yf!hTnT$_fX%IR7iwPluo#1l2mX7zl=(jn><}?^#iU7u&ZD4P=^-% z5`F#y@;+|@02K2^{N1memu{Cb9aFKp-%s~p?zyDNOw3j+UODDY#lk%qA-BgQzq5uM zj60!G3pak$UeTW{vWo{TaP4Cb95k9IN3orvsX(TQYs(zsm{rcgO|0apHwtNu#Jx>9 zBHjUXMhv`l=N{r0)A#o{lBApuJGWedFH3@o04l>K*pK2T*;q_ z!sutHfR`f1qR87aRMGMVQ$n-y#I=j)m9gj3XOK~y^WUZ~@zbZL6r(1<9%|GycLz!H zT>+iDYa(*B!T;rqO%#7DhY-alSWO5-@#PsWxM|b76c9y4DYz(Gd__-)-3oE{6xvPZziw8FqY;;^Y-F9@F#St!{c-_!r#`>WU+ceU-e_fb{6hqL-N zmG}FK6p{kVLn*Bf?Y+`^Rx8WD!LB*`yJQFESRCfudfwM0q}Jjx`MPrstw=zS5mv85 zy0sQ|Mj*HBgMA0)pI&gyS;LpAMT)(#;z3gP*+FtpyoAP3kOUs!8ijXM%_GjSvryb4 zjv}7mPm|j|dfhX91#MtW7PunZ_W2k1E2Agffc)u3-uIm(xk;t6W7TZgl1fRiGJZb$ zD$*f(_p?-Xl``gINnuXosdmOR)UH-Ya0$2y=Y5Cro$iM5*?yXq3cUzRwV%{YUXWX6P*d%ve;m|UesIt_ z^}f|~>_K*)^Fb=_mKz}{1>?wB0>5Y3{Fa_Q^EAy-Q>`g(^-T$FI&3?D=uXi&hS4Pgg|?+&oj{%_pTFPGi5w zA{`#d>Zep-ONV?JOCDhVDKyV=&mK(+!jtsvNV&b$zE#T_+uF{Or)~BhtTL4!tFFmF zO;lgC-A{PGT76A*|CEi-(=o$G!iOO`i5gA%knbbD6dhv{@D?if=w0k6N6KKu zKkH=8!1*reSDj6QR<2TOCa=n}8MdK8>~GH#Fw>2)z2y`})zaIpca1N$;gVvyf2O-8 zq}Ac$tOUOPT&Mr>3<_fT`iypw*8UFLp5vVa#9Lf+r?=HLVfE9s_7`@C&==#iMeDL# z;BcCb>FJ>Po_IDGPhd%5LzD%7xE+r#F3sKx);MxYF-CU9KKI{_rbh!4f9VJG*J_63 zDe1B+iVKXWTS-)yCDy^iX>|IE-ql`nuXCMX>$K02$pfBaj&-r)zhxRqt z9bU-Yo9B_5-TtkV#X)kuuyVMmgq}+nRW*q$L^@Vsl+8bqCY{S$&lE~u=k+rxb`Aax zWhmVE$-`6e0_N`|U4cBP-;TGx@}x#?$|uYQZ%>W(fmFllAYlaDrs<(~Tdwnx&>@eH z{^0h!0_4$cCH+2%0_(i2@2*yPYq7DDDOl{jH>>?sIeg>s)CxJPvs?}4@RLrDAnMV_ zs}v}@J|VuP--=bnUZ)pSBuJ|0_D|(7l0wLt&Py}da}Unm_|X&Nz2`8`)nn7f3Z2X1 z@+`2DK7o`$&U1CbZslj{!ZzOGg$G+>Ue(JGFx^pCN|^CiV|SV=+&?0P8K#P1hNgIt zD4W1iL~uQ+9EE+wti5C9(Y6O|VZ%W{VNFxw8TvVv%o|`y`!_(khle+7YGx!Xk6>x0 zsu4ipS`AtNLG?1yGVJ!%y=Rp63b5`jZol~6^uP6$@q+jMjX4MwcT;8SS>EG@b>6o) zOZAJV91%c$x|0}e9Vh;T$?E?Ce%$j)e~&E@?$!N~_qYtKZW7S~(0Wovx6bTGT5K@l zHcUp8Ja<26ld>5dJK$cc#b`ps+6PaUsCWc<{=P%p7#baepba2dyQFz`V|M;Lu5iOx zmASFw3P6}&h^;t5w1M?web}Vm<4+R9H!>f@0?XH99k(l)6~vklA~wbo-5+O}pxzi= z&X@g7rzbxV$Ln<8i$wC%aznU2)W;1ByEgZ4d(BxT$c(?*u}``g*|Xrn=yz&W^gT~8 z^~8Psy_P|H(KhuS8gb=X+ynwtk?n)AIxjHlnSL_L62^Rg&D^Tr?21T7?nL2v5}I;m zm^U$zSI~lq!~B!_m33PMdFVSK`Hzyy%X{VY#HG7lknO(r@BTZy{XHlARCV^@VBrx# z)10uR*=y9=r1vPsxtroQTw1*x#e+Oh>Yt~f%WKEw1rza79-_AIfQIm%9iQaW_I{!nNDeop`*0$UNV8!vQ$R-b%6r}#UbEAy7;ZNrrPe;z>6!$E;!cl^c4|-6CxA$RR zX9WiUww}3boHv;LxPqwPb~ichw4)P9EfFlZ7NMXiHd?XoJ^u(EY3Uu(~hd56^`7wq;|j%Om!ee@9h_eh50 zHZuf!hk>50ae-MbD6T|t)Xxd*{{%-9Swszcgy$|sbOkO@Z%JX+sGH&t8SWSo7TsK7 z;MfEPi5Y)!7Fj}sU|vKU<%F22#)60-h3A>n=mRFbhm0*A)A_A=7-;FwQFmBLoHY!k z><*iDmwuDNG|ZfCO__AL=6{gSs7={{w%sm7le^VYk+0sj_I3>*Yj?*-Sruoxr~smH zZlQ#8r&2FE5T%~dt0J#xp&V7A)MU0aJO4(v;*vb-z5c4uN=0Nx+$8Kg(lf7n zVqpI~cfgllc{y~18v6s|&|*LBv%S#CUh^@Atr~TjLMh!&Jr4c4t;vKQ$Xah;qx!QHxLT zy9@fhjhvM5A81$Qvmlwe)0O zB7j$AFM2}bayY1>vg?f82>0r)w^^)?dm@Vw0FXeITSAO=9bcq;re{TzSQk@Q3!tvy zr|6QJ7x$9H3o6X)2u|MIfl0RSA7qby+|RQLee`z2Xw>*&b4w{z)Mnmur2bl81omeR zYBY1r<75t1Hy&K^ASXTZ)Rh(gX6ChroBHOJX%eQUX#s4jVF-RpQvC8RBtC~XFOK~2 zc7j-TW_lAhYUg;b*L-*?W51kxv_ehw^Oh}|9QrGCBx0&wtUcHz_}d+H2#lk%0O9qwSG zV~y9wo%diQWAQZOs4MJbeXwv0sTQ=eiv&<^)BlF)ejr}zCoQKw53J4jx?I@N!+u!c z0Jl%W<%Kh_M(%WS7rUGXm#y#j6k5qHK{+@&)3C;D*)sZ=FFO*Eziv&1)0Q6sAjB=4 z*i?AOpJx^1=>bxvOOxp)?9#h#Ykb#-Oqg*kWpRM-@ivaY8vl&{3p5koBRO`CX1*z@ zEy4t8T$3MZ9J{ek|Qv^y`&|2U#v)>cp?N_vtXW#So@RPG-3fkdI{!G2cjTT#x&`*A-kCq814&D6 zJrcPdhmHk)-Si>bRCBS@^8Rx#+11$mPJU_?TGgTZ4(4xXV1+*_U`Vf6=Y9v`M(D}^ z8XgaJpAK=CUmGs(72L_o3w2@B8Eg56DxcKtVD>bYfyn&Ddc_3@j52fS4)ntA+D_X5 z9h7X6=m6kU+$!S;cL!R_HGL?Co^JVc=uue@8&E366wMaec!&r6YsqCr4$WcJn?8E} z!pqWlg6^kas;HU28g&{0zXD#2jM;R>zPM?_j}fR9lSB3MDLj4>jz`!hBk7l(~R zXx?Css_;Sy`~LYNJa0HU_C?~nejnw>Gt6BJ{5CkaxkB_ImP7d)Wf^>2bX-(hU1gX= zK@e5-V2YH!fuRv>Y+|~7hn}`M7mGUxh+R(4T%*M%r^vwqKtx*f{sVAKTzrC=YB&G~ zA3hXCk4#F0wHP|OfCeB3gab(yUE21V{5^UMpcf!Z$-`EPO3I-s8fpvbVVVrs)6d>( zZ-9^Uvb)ETtRL3ic8@+f?RMF-b4Ve(TB4a@>uclYx@*|Nl0ne|a+(FikLmvFDGY-o z@-~efI~UA$nSX$-tZi)V`~n;brORAiyEl5?*|cXkdAPXh67~T^z|MKh>E_pbY(ymK z7DYEFl@ zeSC@79L|_TL!D6gIa3I@bM672SOD~GHz20^8#n>2vMuL;iel8}Ht_$P`p*eSvY!E? zT^N!-0L;G=hGxE2PJW3UzcyO=`BUtNYlD^F7ZX>6&zjyyht3c7xL&U{IzCwK+SzDy zbFj>nogHpE-UrngJsy1I`ha6}Z?Mg^b-?&1GyWlTEcWcRrz~~1EKrKh zeTKXZH5TspcFzP-+(kEZb-jE|Pj4|Vnk>2d-aJC+Is&+Zpvpi1^inY%2{HiraKJNy zp)*&nEN}!;K_n2})v~I{Bx03w&?W{mL&lDD;~uUN&`8uUfY7%8g;7FdL0%;2`0n3| z5dlTL=$>eyvzc-#fMP^d3gXd*n%=mcx-#V%0P4Ck1kbmnz1x5)X(r<2e`?bL1Dr(+ zgOO6%Wj^w;%x;XKjv57YP@L_3Nb35sG%5r2$BVESnu2;0l8XG&e1s77NK^Neeg;Z7 zYLBVx>_P3Y0T&!iTlwF`bO|4)&S6NXYJwfYoCJK-rU-;>BWOhmYq>EK&(g+a<#!VZ zW@OUSf(7=r7k`P;fJA$gn5w%VKz;zEmjfy~udI?7t!T_5Q}I#g(^9HV^n(g!>&tC@kX82uX`t!vtSV_3ae0!jy`fNSHXq$kgXOs3gEBJO%I6m-bOrky6__ zVjbkFbii=NE_RD3N|dk>LMbKpgHOR5stSY!nd7$pbCTuW;W1!nwhl#lQZR8wO1DdJ zuj+!l;qhw=Il}V2zhou6cY|RH5JiXhOOQ14vun}D=%~Re+yLJGk1T1lZPch?Z$D$t zKzIiYwRAE#bHM_vRYQ%MYd|M93yy(4nnGKTSp(p;aWo|^Mj}}eyokg$BLNMLI3j2g aIeyUyFVl<$WWW;CKM4T<+{ufLz5fFkb!E~3 delta 7187 zcmW-FX*iUR_x|(DGy9C0v5tLTvQw5M8GFjU70NcqZn7rw41?^UQnXp(8>OgE))q?( zt)yfNNt!G}h>ASF{{Qph+~*D3OoO<)@*69BLrx%-%9Q@P$(3v3{K=B z1?ZS$yT5dIIxNQrL4<(cylfxiw%;Zepk@Q$<^lpo5#>n#(5M}%CYwsuEFw@0dypUF zWC&(7U8nJhr~#~=|~@E^0M@8|o+N)^o{ z{=u;6N!mYfb+R&t#s4nw2q)<|vfPE4>}O}y7f)OBepJm`R(wKPFUs8QP0vzd5{hR>6(Z9M%b#;RzG&?T6N4b zGoDv*(;aKqaX)DB@6xnKp;NDpsZt`4Hp6J0Z-o)s0|)NTLit>u*@vO-E$*2A9Z;yu znVkirin-Q{mT?{FF#x2Fwv0m9MSzkkU%Ys(N1@I}2Pq{V35m@mpJfEfb{4ob>Q7n9 zhshtg4IBJ5bl(V`MY|RgZ#QHSgqKp)2~ST-m_m1e!!-Z~ z@TviB8v-JCAag$NYv*7+Po0X~sRJH6>Kx5|@%@F^LBHItX3*ou@Sy>t$TQlO=V75e zDup*#r=g^(U!d=e+0u_2L=RI^T}uWO-kH;Sd^+c=cnl3yE-=iwI5l1N)+%HWf7msh z7-u0-k!LktJhd^a_FzlKIi3H063)3dU;PrCIvtysv&<6Ja2znwD*>@27vfln@b9`jx^%+4*Wj zzC!D5l<$q4$n1P2+<4j-E%#O@QR@luco&dH9F)V#VRLKgI5~XUWAj^8s#&LGWskE8 zu(Cr`O_Bl8$cBX#oC=DY;y74gi7eTqRMnp zSLNhnI{_7c?@cA0oqheD(SpY!b_33OX3E1i%8}&y zd~*Ybao)apOV@oNOVs=I1!;9?^!{QsjB#3WG}q&%Ow)S^ZK#!Ja85|#SSjP7LKCoc zkaIdOTMX_&EpaxbpTw^`2C3~&pd}~GGb7%@TZc?OF!J`ovX+boXV@`3w5=)0cSc>k z*tw)up{o^}kDN5L=WcJ0a`` z#58xA84=v?(VSL&j{HSiF}BY0lHeNDBoEUKn$W0wCUb+_q0f252RMm1H2=ZF<4`k* zR)w%#<0Ww~-pxp#JuKe%b8n}64{A+Oi3KDf(D%>78Nip%AD;e#u0ey<{b zeR|}5y{2bl@_S6)z#Dz5si^r=TU?2Sfg>Ta2m5kG7c7TvnEaxqO0v*p`kG2x&czkr<1L=lFu`!VyMS-5o|j|v z85D9FUGP}mWoAuklo``+;z0x4t~T($_Z`_brhI|V$z+M>++e~NzV^{xW>5A-1qbUe5=$n`oqz%jtIj`prKqC6w9_T%+KR}I&sVKY<{Ai&K`TA&% zXP%qI_B~%Xhvc>l6aK)+CNH&u~rW`}JKPBodFKl1Ii zOZ%YJ+Varjq5nYkXK3WzOjAaglY2!Q>Y@Z%T!}Bu&RkU63Z~X51K^+5i@;}%u`3A+ zXb64ab!QT7M+Cvvo2XLYh+*R^|5^Dx3$?Pqbec*Z(ejLo2)z z+SlyBW8TpGBqY77V%~f`tpV|F=E%~rUd$;&hGP>ef5=n>&s zv&9f(`)(AB`o(UT?m$zN5Q1&QDJmz?-GAiQh404@TCvcMYYsuOYW*LqWp17poni0SJTy1zv$f23CGy+$tli0rlJ3zm65Fz-GdGQaUfG)ivCZzbh_{_*(JA?Iz( z^w;6_K;QrPgu)&wEp_IPexJ%+7_MB^D+drj9%^t%cSz5{4g};PD?h)FQJp4a9BB9#)#}%dNar+-^#Af>R#4>L7MaR+| zOJb`!aW?)hqeHy~7|kYUQ{-nR89~hd9qMa{rWX{&P!nV1=r$SAi<~~y7&-wiin-bM z;W(!60hCs9T-*P+qRp)e2ThM|oXzqg`27_APt2U_ds(~Mj4t!EvYEG$H2%Zl{&6_& z{c6^6V~?OH`ZGHB4Vga)G`n2Cycc0vGJS|~Yjc`byn6I;hJ^Q;kZ0uXP}XUs(~^(Q z;!nw-MIWcwvWgV)JzSl7z;mz#*0j=2=gvM7f4?j`-7U+5y>cVr3iap=A^1tfC%N&; zs!y1S%IoA{?RWi^wO4|tN$%r9um2~+g%T*1fqOpC-2InBibg%(K*ml>t)zmy%KiYZ zw5(rO`>9!k|6u=h+hOn3>o!%_Wis<%KA*hEZ_n@IW?EzlX{3rb-Rz1MSosn?AJQC?}?g;+qj*^kMx^T;Y;0iu%dOom6GF!f(d1@>!5c~CK!ZF=- z5kdtASHH?Z#G~Hw=fd=w*0OOm50O#Lb(Mmr{!sy|lay>a`_CerXo%i6O@N-^OszSD zmY8;JU6t@R^2uw6gSQ*HIr_4freN25oK^Yr>U&O7S6&ToSye>pKMgN(FU=g@)9!vQ zvX9qZ+TQo@Cgo6Cs)@(iVMp#rq`*nvq?Fttf-ZrgNI*iu;JjEb$*OtRNR$3!1Tx4H z+d)fBp|pl`gc2(APUi7BnQ{WzMSik=Kv7VCBod|)PQK$b>D4|ySvZ1LzMK_QRj=^j zy+C*sC;0q--}2qY7dj@>4tW8GyY3sQFnWV%N6bWG$9Tz-SJSD1lp}OQxaid0KRbQY zu6`n_uh0fylW!F=c&T}`gF{a84K4&8*EzB}rvFwHuy)Sm#3sm8(k(5VW^(G9PDP>ABo} z*?-N_gH&fGLzL8&RKA_57E1j&1E=TtQdh@f7otsi#_0hK+Jsv*08!B>y~fgD+UD;IVFoj! z#a3!HV-izFQLv-)Mm2x9*>w8|Nt`yIa^`J?BzxJu`5 z$!kF7Iwq{B1S^M#hSpoH^Zq<(jQqX#Lnu4xai~JcZmBDwnFfu&d6|xndJHhLdRMz; z{un1jKOtl2H@pMTysp@zEO-3pk}BBC@msxgOisG-SF6yeC#iG5de8UlemKzEncl1# z)c@I3(+&IH+(}qJL4y^6fgTsR;}~{)i_URpuQ3ta_s&<_Zl|jJ)8nKtm^e_K#<%pf zDdZX?U@*+<(|uRIo!N!GeK(`!abaOMO%$NC_u?$M-w*ta*!`|U=bTgO?UO>00)~th zo4H#2Kvep>S2xLZO82T=V9^Gry4PTOd~JNwU1CIp=2ccgOq$@AAmeFPtY3zpk#0!f z3Mz&ak6Q*EFnx)CI<}trtRxr92^bIyoGL}$vfXv+{HPcBN;0-g12v%DnqxS>6J87= zh7XFv6Qy@-jnfCyR-M&KWu`^w*d~oSqO_*0OfPy$>DWUB1_3_uK-2@dcUD+UPrs)z zdyIsd@p2(ND0O}{>}uoAzZ zUvnfg4?4Z=PK*4C+v(!u*t02DQ;jyO)P$;Ip579Y#A3rko*)YAfIL=eIc+2?R9aPe@^_Lz8ug|IGQ*dShQ2& zHapK-f5~4sZTTp4w^xsG<)|q;G|iiznWTCz(BMJ0zD!R-;yn%yIzOoo%Nh>c|tb?~|k0*Apj35h1^>~=(QMTV)HE{jgI)D?N;xJ_`{gsr{MG&Td zveYXeThmUNzX@bIu=te;3O`JkMRK<%m*-vwYG=stq;!kc%1CmBVTjP>(FK8$?SbHh z0S_MGYJ(4@-XZffcPyZ&$YFSZ4;mm7_55(bvcl3s5>Apby6+&ut}LBf8*f=~S+sr_ z2V2c1j(&vjf=S^7^6@pu@$JR6zu&#KFMK+7-IvbAmaGnaOt3FFk?Sy(bRb;f(0`!t z#W$^D9s`;xRlK+UG6Cpp2KEoQW9W8n`XAf*`r*A&5)$j&r_$##1DkVKv-5OtFHm=Z zf|U^;@d~qQ|AMK<{%0#E+u!8Et&ze@FZ}BlHe{Dkrr!9!0&%BW$ z7fhATY@QplOu1&FHJlhMkGA_mta>iq1OU|q!n6oxG;J%W6%b?;Uc2U3sezr%J$;2? zUz|7WS0ofS16GX{=2XbrK4dw_7QpRyur%Krtq^dGa23hRn%>MSo!X>vzF5`!>8i2J zoHP%Vaq!8+c>QY4`zI+e&z0);`K}meb>(G0^0jWB zK?;9m%_3?u%I)v-3Y!!*>VxH@$8s>%HeI&y*x2fV%><9U0Jc;1q`ay3%A+j+gt8_! z(Vj!g3%Q&M9Y`i+InE%@Am3sAihchhMCjAu0iM(18xrj7-9^m(+r0J;xS(NU9OV(y zgvwAbL+^b+bo0i|FILB12fLH6m-*NqaS%FK&RwuABCxJk=kMix#9-0Y4|tK8pErF9 zDO`c-m~TFIb64YxXrX0RSf1yUT<=XEm#NeI9m?@Ysr2_qr21NV^y@jUVlNNkX@@sK zZFylLa334hUwb=@4--+)tY$egT4~`DKR9cqfCKA?7RoqW<76>b+&)f9DK&rKN!0S^ zuJrS`#LO=>n^ZTg9Q+JQn(?AN4=<6yiz%uIR0;3IX%Bey7aev#`yd$WHApBy%VyNLbqwEZ6sr0aapO%Ap_!Sr$g_u}Gz z1B%w!c2WEyk6A)yDTo(ZppY-y$+X73RcDSr_ff@REG@mI_|s8$Tu(sGRl|GMYwji< zWtIn3!bKsUjU)0J5{*s@Pq>|5j&L@X`*UzvIF#xSeb>`-J)X73c_{G={;Kx6HZwk0 zI4lB>vSQ^(NOOH6NYEXYcRLao+FP)o+eiEH4ELV_k&Z+hDH72DEsn^xZyxCtnG?Yx z!J5Mq8j6fF27t#Bx;uC2=_3Y)yNz@-P4JzB;}WF+Jmh=QV$kFweh)iGan z*cmxw<>Kb)ZtM8fDf!eFGgr$u@csh_?e@smrSh9MA{HLz4)%NZHJZY*o&dz>FDkA5 zZ>K5(Qm9gDMth9M_Wp~S5HoWN%R`>llH`I5PaK`S|9Of1P6zE_ZIKs%D3D$9p!iS^ zo@Vw$GGErmKPH|DK$lu$0Ug`zU;Y8iz@U)D&~RR)X)^10jI#41DDgzn$uU=J69)QJ zwy?k@RR-6h~CG+5B7uK^_Ip~g$%XozC&fTUFH9)4lS;& zEN^QFy;)mOo|oEX*xm=#g!a@vE`Qh&+ERPJ{N6zL&u}mWIx~2|{^>Qn=Yx0bn||mm z4$bc}e*sbccHvSsHe~7Dn-KKdFPw1~V$X(@dueS_VL>RkvwTZtmJyVoBMLwT@|!>abWULi4Fcw! z09@4#ADwp+UtEWj(Zgg%r_Bl~g>1`c*~H;qQTa#H2)KzWA_l*NX>g;vVrBSdA`2#hd+ zr34%de=d(V8Eq)AY*WRw0I(J&ad#h@pSL23S;B0&kS2Xtn4_0s(2b9iNlf)r?Q^<3 zj1bc%IGz%&#h>pdo^ z1H?&jF(;MqKfcKrfDB@RO^SfiIAKhLXmDk>_!1xtoEagB9NYu&2}FtDEcpG1&#>ns zqhk*O2^fJLJ{aIGC6ZsMN%AfWm5{D9?1Jx>IAlVh0-Sy--nVf=DFd*xl)c;HixUcz zI0XS1Sj^`TEl5NccU~g`s1+2%-o?@lXbBpYm|+Ux-(?*XC?8Q6mf$Cxz=)gcQZkCh zGJm3t2h$DTZxEPLIfokaHCIYBESpQQ-bNG^ z)UJpsl*Brsf_xBR>jp?t#EgV^sH^U#QRS7N$O=CerRf>EgEfkLeCPHcu&$)Lin7Fx zHpS*DbRw=6V2pEDzDN$O1novp?G>&Gk}tuGJ&pov&1JJt@8Bdbj>< zyBfb&^O)r$DoNm2^$yG)lNL3oBy-~o=&mmKwRiiYn00}mur_y7O^ diff --git a/maptest.map b/maptest.map index 084eabdf..3c3f1a6a 100755 --- a/maptest.map +++ b/maptest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: maptest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1362:0000 0000f0f0 +DGROUP 1364:0000 0000f0f0 @@ -37,27 +37,27 @@ kitten_TEXT CODE AUTO 0000:6dc0 0000080a timer_TEXT CODE AUTO 0000:8b60 00000235 _TEXT CODE AUTO 08da:0000 00007bbb bitmap_TEXT CODE AUTO 08da:7bc0 00000605 -modex16_TEXT CODE AUTO 08da:81d0 000023ee -16text_TEXT CODE AUTO 08da:a5c0 0000010d -16_in13_DATA FAR_DATA AUTO 1347:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1361:0004 00000000 -_NULL BEGDATA DGROUP 1362:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1364:0000 00000002 -CONST DATA DGROUP 1364:0002 00000076 -CONST2 DATA DGROUP 136b:0008 00000102 -_DATA DATA DGROUP 137c:0000 000005a5 -XIB DATA DGROUP 13d6:0006 00000000 -XI DATA DGROUP 13d6:0006 00000036 -XIE DATA DGROUP 13d9:000c 00000000 -YIB DATA DGROUP 13d9:000c 00000000 -YI DATA DGROUP 13d9:000c 00000018 -YIE DATA DGROUP 13db:0004 00000000 -STRINGS DATA DGROUP 13db:0004 00000000 -DATA DATA DGROUP 13db:0004 00000000 -_emu_init_start EMU DGROUP 13db:0004 00000000 -_emu_init_end EMU DGROUP 13db:0004 00000000 -_BSS BSS DGROUP 13dc:0000 00000e82 -STACK STACK DGROUP 14c5:0000 0000dac0 +modex16_TEXT CODE AUTO 08da:81d0 00002406 +16text_TEXT CODE AUTO 08da:a5e0 0000010d +16_in13_DATA FAR_DATA AUTO 1349:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1363:0004 00000000 +_NULL BEGDATA DGROUP 1364:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1366:0000 00000002 +CONST DATA DGROUP 1366:0002 00000076 +CONST2 DATA DGROUP 136d:0008 00000102 +_DATA DATA DGROUP 137e:0000 000005a5 +XIB DATA DGROUP 13d8:0006 00000000 +XI DATA DGROUP 13d8:0006 00000036 +XIE DATA DGROUP 13db:000c 00000000 +YIB DATA DGROUP 13db:000c 00000000 +YI DATA DGROUP 13db:000c 00000018 +YIE DATA DGROUP 13dd:0004 00000000 +STRINGS DATA DGROUP 13dd:0004 00000000 +DATA DATA DGROUP 13dd:0004 00000000 +_emu_init_start EMU DGROUP 13dd:0004 00000000 +_emu_init_end EMU DGROUP 13dd:0004 00000000 +_BSS BSS DGROUP 13de:0000 00000e82 +STACK STACK DGROUP 14c7:0000 0000dac0 +----------------+ @@ -80,7 +80,7 @@ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) 0000:0e72 jsmn_parse_ 0000:136e jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1362:07be+ _inpu +1364:07be+ _inpu 0000:1500+ INL_KeyService_ 0000:179a+ Mouse_ 0000:17f2+ IN_GetJoyAbs_ @@ -128,9 +128,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:5acc+ MM_TotalFree_ 0000:5b62* MM_Report_ 0000:651a* MM_BombOnError_ -1362:0920+ _beforesort -1362:0924+ _aftersort -1362:0928+ _XMSaddr +1364:0920+ _beforesort +1364:0924+ _aftersort +1364:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:6550 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -148,9 +148,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:6c90* CAL_OptimizeNodes_ 0000:6d40* CA_Startup_ 0000:6d90* CA_Shutdown_ -1362:0930* _finishcachebox -1362:0934* _drawcachebox -1362:0938* _updatecachebox +1364:0930* _finishcachebox +1364:0934* _drawcachebox +1364:0938* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6dfc KITTENGETS 0000:6e5c* kittenopen_ @@ -161,7 +161,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:73b4+ get_line_ 0000:7426+ db_fetch_ 0000:74bc+ db_insert_ -1362:04a4+ __kitten_catalog +1364:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:777c+ LargestFreeBlock_ 0000:780a+ _coreleft_ @@ -186,16 +186,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 08da:0005 __STK 08da:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1362:04c6 ___iob -1362:0944 ___ClosedStreams -1362:0948 ___OpenStreams +1364:04c6 ___iob +1364:0944 ___ClosedStreams +1364:0948 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 08da:0042 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1362:0000* __nullarea -1362:062e* __ovlflag -1362:062f* __intno -1362:0630* __ovlvec +1364:0000* __nullarea +1364:062e* __ovlflag +1364:062f* __intno +1364:0630* __ovlvec 08da:0072 _cstart_ 08da:0145* _Not_Enough_Memory_ 08da:0277 __exit_ @@ -205,10 +205,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 08da:02fe _big_code_ 08da:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1362:094c __argv -1362:0950 ___argv -1362:0954 __argc -1362:0956 ___argc +1364:094c __argv +1364:0950 ___argv +1364:0954 __argc +1364:0956 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 08da:0305 __PIA 08da:02fe* __PIS @@ -217,9 +217,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 08da:0359 _fmalloc_ 08da:0359 malloc_ -1362:0634 ___fheap -1362:0636 ___fheapRover -1362:0638 ___LargestSizeB4Rover +1364:0634 ___fheap +1364:0636 ___fheapRover +1364:0638 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) 08da:7efe bitmapLoadPcx_ 08da:805a* bitmapLoadPcxTiles_ @@ -236,7 +236,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 08da:0b40 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 08da:0eb7 __get_errno_ptr_ -1362:09d8 _errno +1364:09d8 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) 08da:0ebe* _frealloc_ 08da:0ebe realloc_ @@ -267,22 +267,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 08da:1364 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 08da:13a9 _nmalloc_ -1362:0644 ___nheapbeg -1362:0646 ___MiniHeapRover -1362:0648 ___LargestSizeB4MiniHeapRover +1364:0644 ___nheapbeg +1364:0646 ___MiniHeapRover +1364:0648 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 08da:1483 _ffree_ 08da:1483 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 08da:14f0 _nfree_ -1362:09da+ ___MiniHeapFreeRover +1364:09da+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 08da:15eb+ _null_exit_rtn_ 08da:15eb+ __null_int23_exit_ 08da:15ec exit_ 08da:160d+ _exit_ -1362:064a+ ___int23_exit -1362:064e ___FPE_handler_exit +1364:064a+ ___int23_exit +1364:064e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) 08da:1629 ultoa_ 08da:16e7* ltoa_ @@ -292,7 +292,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 08da:1a99 __doclose_ 08da:1be3 __shutdown_stream_ 08da:1bfd fclose_ -1362:09dc+ ___RmTmpFileFn +1364:09dc+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 08da:1c68+ __ibm_bios_get_ticks_ 08da:1cdf clock_ @@ -303,7 +303,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 08da:1f03 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1362:0098 __IsTable +1364:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 08da:20fb tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -338,31 +338,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 08da:28f5 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1362:065a __8087 -1362:065b __real87 -1362:065c __dos87emucall -1362:065e __dos87real +1364:065a __8087 +1364:065b __real87 +1364:065c __dos87emucall +1364:065e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 08da:2904* __exit_with_msg_ 08da:2909 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1362:0660 __curbrk -1362:0668 __STACKLOW -1362:066a __STACKTOP -1362:066c __cbyte -1362:066e __child -1362:0670 __no87 -1362:067d ___FPE_handler -1362:0662 __psp -1362:0671 __get_ovl_stack -1362:0675 __restore_ovl_stack -1362:0679 __close_ovl_file -1362:0681 __LpCmdLine -1362:0685 __LpPgmName -1362:0664 __osmajor -1362:0665 __osminor -1362:0666 __osmode -1362:0667 __HShift +1364:0660 __curbrk +1364:0668 __STACKLOW +1364:066a __STACKTOP +1364:066c __cbyte +1364:066e __child +1364:0670 __no87 +1364:067d ___FPE_handler +1364:0662 __psp +1364:0671 __get_ovl_stack +1364:0675 __restore_ovl_stack +1364:0679 __close_ovl_file +1364:0681 __LpCmdLine +1364:0685 __LpPgmName +1364:0664 __osmajor +1364:0665 __osminor +1364:0666 __osmode +1364:0667 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 08da:2928 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -378,7 +378,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 08da:2d9b __FiniRtns 08da:2d9b* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1362:068a ___uselfn +1364:068a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 08da:2e00 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -399,53 +399,53 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 08da:389e fgetc_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 08da:83c4* VGAmodeX_ -08da:846e+ modex__320x240_256__Enter_ -08da:84ce* modex__256x192_256__Enter_ -08da:852e* modexLeave_ -08da:8546+ modexsetBaseXMode_ -08da:8598* modexDefaultPage_ -08da:85fe* modexNextPage_ -08da:86ce* modexNextPageFlexibleSize_ -08da:87a2* modexShowPage_ -08da:889e* modexPanPage_ -08da:88ee* modexSelectPlane_ -08da:8912+ modexClearRegion_ -08da:8a2a* oldDrawBmp_ -08da:8b90* CDrawBmp_ -08da:8cf4* modexDrawBmp_ -08da:8d5a+ modexDrawBmpRegion_ -08da:8ec4* modex_sparky4_DrawBmpRegion_ -08da:902e* modexDrawPlanarBuf_ -08da:904c* modexDrawSprite_ -08da:90b2+ modexDrawSpriteRegion_ -08da:922a* modexCopyPageRegion_ -08da:9394* modexFadeOn_ -08da:93c4* modexFadeOff_ -08da:93f2* modexFlashOn_ -08da:9420* modexFlashOff_ -08da:94f0+ modexPalSave_ -08da:9546 modexNewPal_ -08da:9596* modexLoadPalFile_ -08da:9678* modexSavePalFile_ -08da:96f0* modexPalBlack_ -08da:971a* modexPalWhite_ -08da:9744+ modexPalUpdate_ -08da:9cb4+ modexPalUpdate1_ -08da:9d2e* modexPalUpdate0_ -08da:9d7a+ chkcolor_ -08da:a092+ modexputPixel_ -08da:a120* modexgetPixel_ -08da:a1a6* modexhlin_ -08da:a212* modexprint_ -08da:a3ac* modexprintbig_ -08da:a528* cls_ -08da:a596+ modexWaitBorder_ -1362:0694+ _VGA +08da:849a+ modex__320x240_256__Enter_ +08da:84fa+ modex__256x192_256__Enter_ +08da:855a* modexLeave_ +08da:8572+ modexsetBaseXMode_ +08da:85b0* modexDefaultPage_ +08da:8616* modexNextPage_ +08da:86e6* modexNextPageFlexibleSize_ +08da:87ba* modexShowPage_ +08da:88b6* modexPanPage_ +08da:8906* modexSelectPlane_ +08da:892a+ modexClearRegion_ +08da:8a42* oldDrawBmp_ +08da:8ba8* CDrawBmp_ +08da:8d0c* modexDrawBmp_ +08da:8d72+ modexDrawBmpRegion_ +08da:8edc* modex_sparky4_DrawBmpRegion_ +08da:9046* modexDrawPlanarBuf_ +08da:9064* modexDrawSprite_ +08da:90ca+ modexDrawSpriteRegion_ +08da:9242* modexCopyPageRegion_ +08da:93ac* modexFadeOn_ +08da:93dc* modexFadeOff_ +08da:940a* modexFlashOn_ +08da:9438* modexFlashOff_ +08da:9508+ modexPalSave_ +08da:955e modexNewPal_ +08da:95ae* modexLoadPalFile_ +08da:9690* modexSavePalFile_ +08da:9708* modexPalBlack_ +08da:9732* modexPalWhite_ +08da:975c+ modexPalUpdate_ +08da:9ccc+ modexPalUpdate1_ +08da:9d46* modexPalUpdate0_ +08da:9d92+ chkcolor_ +08da:a0aa+ modexputPixel_ +08da:a138* modexgetPixel_ +08da:a1be* modexhlin_ +08da:a22a* modexprint_ +08da:a3c4* modexprintbig_ +08da:a540* cls_ +08da:a5ae+ modexWaitBorder_ +1364:0694+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 08da:39e5* __set_commode_ -1362:06a8 __commode +1364:06a8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1362:06aa __fmode +1364:06aa __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 08da:39f1 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -476,7 +476,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 08da:46d7 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1362:0050 ___Alphabet +1364:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 08da:46da __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -487,9 +487,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 08da:4793 __GetIOMode_ 08da:47c8 __SetIOMode_nogrow_ -1362:06c0 ___NFiles -1362:06c2 ___init_mode -1362:06ea ___io_mode +1364:06c0 ___NFiles +1364:06c2 ___init_mode +1364:06ea ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) 08da:47fd __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) @@ -509,9 +509,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 08da:4b14 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 08da:4b30* __get_doserrno_ptr_ -1362:12e8 __doserrno +1364:12e8 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1362:06ee ___umaskval +1364:06ee ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 08da:4b37 _dos_creat_ 08da:4b5b* _dos_creatnew_ @@ -522,9 +522,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 08da:4d64 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1362:12ec ___env_mask -1362:12f0 _environ -1362:12f4* __wenviron +1364:12ec ___env_mask +1364:12f0 _environ +1364:12f4* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 08da:4da6 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -545,26 +545,26 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 08da:52fc __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 08da:536c __EnterWVIDEO_ -1362:070e+ ___WD_Present +1364:070e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 08da:5390 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 08da:5565 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1362:12f8 ____Argv -1362:12fc ____Argc +1364:12f8 ____Argv +1364:12fc ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1362:0710 __amblksiz +1364:0710 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1362:0746 __Start_XI -1362:077c __End_XI -1362:077c __Start_YI -1362:0794 __End_YI +1364:0746 __Start_XI +1364:077c __End_XI +1364:077c __Start_YI +1364:0794 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1362:12fe ___historical_splitparms +1364:12fe ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 08da:66c8* _heapenable_ -1362:0712 ___heap_enabled +1364:0712 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 08da:66d9 __flushall_ 08da:676d flushall_ @@ -576,8 +576,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 08da:6b06 rand_ 08da:6b48* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08da:a636* textInit_ -1362:1300 _romFonts +08da:a656* textInit_ +1364:1300 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) 08da:6b6b _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) @@ -595,22 +595,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 08da:705e __setenvp_ 08da:71ea __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1362:0718 ___IsDBCS +1364:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 08da:7248* _ismbblead_ -1362:131c ___MBCSIsTable +1364:131c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 08da:72a2 __mbinit_ -1362:071a ___MBCodePage +1364:071a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 08da:737d _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 08da:738b toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1362:071c __8087cw +1364:071c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1362:071e ___Save8087 -1362:0722 ___Rest8087 +1364:071e ___Save8087 +1364:0722 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 08da:739a __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -624,8 +624,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 08da:7565 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1362:0726 ___EFG_printf -1362:072a* ___EFG_scanf +1364:0726 ___EFG_printf +1364:072a* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 08da:75ad ulltoa_ 08da:76ea* lltoa_ @@ -639,7 +639,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) 08da:78e7 __Fini_FPE_handler_ 08da:791e* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1362:0744 ___FPE_int +1364:0744 ___FPE_int +--------------------+ @@ -655,6 +655,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022710 (141072.) +Memory size: 00022730 (141104.) Entry point address: 08da:0072 -Link time: 00:00.57 +Link time: 00:00.39 diff --git a/palettec.exe b/palettec.exe index 163b2a13e9a15054027b9df5ef7f632f72b42eed..5ada5a4b515c767c077f253d32481e94ad6f244c 100755 GIT binary patch delta 41172 zcmW)nWn7bw*N4{|-8o`(cXyXar!>+rK-dO~aD(I+AlR*l`BM~>p1=SJ10@uJfuy=o z0^&gGe*T~5yf~lp`n)(VuIqcgyoF7?g#m6sfe>#96as@p2!r4^{{IdCUlRZ8P>39R z+m>hNLJfIG>SYG^PExuO%^VL)r$+PYt6mnx5%EPmEqf!8osze^S(PfO;C2wurpuLuXHb8;h z)xbcsboh8^aB$G-iNMb|(f~@k<=D#W$mk)6%oD|q%<~|#<~Hfo=Bq)O6)Y@FN9>fscoA?ap+ynLtWPYT zCy80OWtGT2BYA26`gy{?t?RsRWcuKi`I*eOs7W0x58>HNUW%7!e1YfnPmOO1LG|fK zarJMjYQHlzwaEWw$iFfR{v6RkBRS8^eNXPzily>ov9wgzg178{ne&GSw}T}}9w4zL z*vAWdlY71*Y+cN8bO-P$A8}3E&E`3Ca3c68x3aEai@;anbfCY|N;-#8(;IM>oMM_XO{FMw@Oj|J+1x}aZ2sQ|d@ zM&K`-ke%Lv|0(-`24>*9{d(hEC@vX+xv6xc3nmND3k{bweHBw6`0;Lvr}`f?Yb9Pc5aF7xEJ)Ikl6& z>as~J*qK)yu&!9HUgvH8xEPcQ}{_a`t(F21f-9rMbtr{ZvDf~f{}B37PKF&}{IJ--RA z?wRaAHfyy~)0k}aS2-`*3{4WMWDQAEh8xyljF%rJ4X*Dsh*RsgRMtZRs;>5&uzN~B zYDF_2*H%Us%qJ1dlt_`2RPJCypVJasC0j9us|Pl^!k@w14AXJ}s>gnOB zP3XJTC$`DPeTLHu6wY8fa>X#atHl5Tb+4<}WRviB)MPfCayHnJ#aY}S;i1*q&TiKh zU<(&PQ72HfhLyEkPf|rzQLV7L^)`r1-RkB3O8TpPSZyPkpGp>P1|;hi1vtm{kM+8U z&{7$xE+Whbsz~Z;8Crg$yc9O0Pic`dqi|;!{~$iiym{Bi1ohA;8PKzYp37_x=TyWs zVan1j)3tli!P1<}ed5OAb>4}C1H8s0n0D}x`3Tlsc)gFHoLNn45vWdwh31gcs}yJ( zjO_GtZI)l8n=rul$B;ZVi8U?3Ou4(;-1FFv46jI)?-YS+71>vm-k79QG5;!iro<*y zlzgPOrxYoAue~$Wc%sN3lvp%U_Jp7>hXrZ>l&=WNlJP@`!))01!IZGd?~zl!uX}u6 zDf-gI5?4u7{-->TPs!_Y=bk@BW$*Dl%g8xZm7mQJe)<3qR`hb%^hRH_?iDShLKKDY~2XgPRxl+O)C*zm*ci%ocKgRSx2u^cTXm-Q;PRiVo{VO zX|cWU$}~!t{N2p`)cPuUyAyjN48_A}5$z3w@%xut+xoF7i!veB^#%PYFSmucXw5z- z7TvVjKS$Ph2V1LqKK%ir#UlrI2L{Yg?)(`Zwv%JmLp?{F>Tpo81l0?Kd?)zGGWI%d@3q;F=K>Ym=fpk?iUx=q~% z;EauZMRVzr+D@m~8l~V@UyD){_>X$XSM!hES@;ex=8r6HT`Or5pD$<`+5Po6rO&-y z$|<$90EB%>e}B$s<`WbWv9Fc7&ddZS?babK&jwy54^Tv-KEDn)XC%V`T`$;!q5m-; z1xN5#5a>AKPNA$=XWcX}14$I?R;d9{JLYmJC7pOl6y5L#1c%}-|CRzDY3sFn#&PO~ zXa)CSKU#vy1D!Hq;=8L(YEB6oe=RnO8^c#c3KN`P{?WKVm2#yDBs`S++9@+%eB{*TlnnIv|5hoC>PMdYoxW|-lH9*p zxGp`#$oS_!C)J9k`PwdKU`~EWOwyss-J{R_!oPndbyPiWX?3^}wP+2IBdev3=#7 zos64F@Eo3%SFk!R+(gs6gbuw80!H~Ir@cS;S}mTv<6_UiSK$4J*EdH`)15LW(*1s# zdthbYqhvJ3nCN1o)dPdHa7!lLevtUr<#FM5%MvRMOvJbu8#raPPG(`lj)NSr6;4$v zB7c_8l#f=7NTf>r0ZD(iDDE42M^&ASo}-lu?Z|;Cm;Q|*ys78$0h9>UTX64Bs2f!{ z|L^sk{oZ(W;1*`bWWb2SlT46Y4~qJ3MM>eGhN_6ZNf6EN78k7Ksf&MZvZ3sGPv)wI z;{+ZyH8&uv;D}0V8UElnivRM3>*~l_?C1!lWkJyYBfGRP`zSr;i`5&YM5<*3*f7tb zV3DGl$u`Wc1!#hgzpe8fZ-GrAL)4Vy#~Eih7O32St^9D!iM#d`dApp+1S;%AYSxDY z9`PnLx48T52esrd`|__}&`vs)B!!0wL*>oF-=ENz-7af$(yh)ysBkf9!)!6U=Y;l> zYnyZP$7N^y@~iJ-B%cIp2}>L_%<+>L;+!TDO!1@g>iE=SPxpVEM-JGLKrkB)R>hzA zhyunzh5C)iN6lX=7|5uRcmzKei)1^y5577bf1soy^dP#{rGC*c3N9p;dLoWoZVo4oCND* zc3TXm{B?J$I2ZV>(4Q0y`G$`eNp69-_aA|!pJrRPdDdbv*BzERVl7_B=6@{nNGwC7 zy)KE#%_yJZr$_>^C7DF|f*%RsVj2~CkuA6?7CvYYtLZnP5_>FSyyDnfm_e|kv*Ens z#9fHQN=zto39%8mWVZb}(@EdftjEb1elFNB%fESCp)V3Q*d%wK7c00#tu+P3V}J_} zQ>gawc?JtFT3qS!P;2!x?i?<8*uI|Qw8wo-2e3eII>%{uogrN$Z`Q8;WBTWb)OUWb zWGyjNT{{Vo=HT_>{_Al&>)(ga2?Esl+@H@It)3f*_OpB!HTD~#ts93;6vU)PqQ#=9 zA>EXTsjqd9@WbZeJA-UsPc-plIAH8nkjvu84#p%wm_Rg#QNF3oslaZh%<0NJS}ZlR z?Ii3XCwG11iO!8)W?piddr;`?xgMW_yWBHQ6Xn@Kxc%lO03S7YpkyHAFb$fx0*l!&k4@b8IOXu z*yz@6Chf4b_1elCqoS48vzQ*K${DNX!^XvJt12;&`8E{HTU)G)s)JaRM9H`G%t_BI z>=SFEmefV1uwv1{-Yp^vGCgxHQU*~MT1Nk(B_k{Zb{?F}xt8wG%!$N4Z9vV9{TiFy z*~$M&YXp(*C)v7wztaHNt}FxQXRpN_1&gsbjK?oST2Hyh1RJAxVzxi?LoM54REPLA z*1$}2!Fxx^4yrNwp(vT5=d5OpRs6}9`l9`P3#Bij6|e(dF%MfZ0>DVi8XpulwucxI zU{Y&%g0|$pr$wHK>tc&{dM$+lM?rX8Fjt$zlv@@C<&N}kmm}k=hiVLp%xk7TmT6M3 zitW%Ysa6`a@M3C`O6`=YZv`7?uH@ZtInhgbm{o>TOON8;LK=bK0C9xnxP3UdYLI}_ z<<#YK_$;ainCe_|bb&nv+ak`09_wC@aa!n#h;~TJ@x`khP0XF-zeph3CIYY2Ber!V zY-&J1KbCrd<{H5Om%`J!3P+`?vE6(@Wce4M?q0dVm>@J^dMdsj?yStOaEm_Of6zzT zI8{%mEEJj43NAX&9?H>!hqBT75fP~d2N9rd*fF3!^7dNzRqF?O!?*0Bz0tHaU=_DD@(WTfy&nMTOB(JMSWMWkD}u9qD-1_^!O_5H5tQ|jjLex< z;Rdiiv?dy*>FUB+$(t%3T(#H5kPEL8UeMmUShS(EWr+-TdCFb?0$N=|@X{_1`=I^W z87MvE`bD@^DYJ6qgrs^yE%v@u8Wm=*o zqbRhus399)0A}5*b<*OFfQtu~btdZ8-gXU*>$B?#t>VnGfXcTi>ChrLt&(F>;s{_o zE;SaHkxE=kkBVUf({a%;8H9wCmPmMN$_Os~Wju-=lO-Or8l8dDY!>B-1d@}dQlnJA zV{wF3xUMLEu%Hbo^qFgdEc(wQK-E4TYGGdwur=rGP zdG}KY$)^*wAZ|x);r2v)nmz10hbhi(7M~oQqSQ>VOrtB|!~uIhH&0ES9zz){otPHW z6q`GAQyJB=lW>xlh6f0z6+lR!n-4w)Z?U70Ok{VZyA?Vl@wtQ`#(VJX#gL!cxQVoJ zv&{5|b&(>O9ylGhr0S0;q-x?=B>jDK^c{T0qr8mUlq;uJ?TIN067`#EZIJ$&RD-%W zuJ+S{c&vR&LauF8)Ji-=79S_n97)YGvOF1?#950^=a|t3^tpWSqNZy@=pKTn-dbGD zXR2*Z60RpDReUydo8XMxIj??p++#n zky{A0!S%G0F~doz^{DiEY{IN+3WUukq3KL|-mxi2_XKBbFlQ9iR;}r8-GFy|hQIvP zCiCZFQ<4D0x8|l8-mkAPn$nY36xvLh)P&6*Mv@{E7Ly}SYTMRW`NXGYx}CHg|BA-v zpPZgxi^dy95zoDs*j5l|th%g>_Zg2DcX1H+tTqL z$g}671z*RO>y$RfI>hWm@|)4I!M)me?ioiR&v?qQ`Ci-dsfKr|FYj>ZOJ+PM45<+t zDQ+?uXnCGxic08-iKe8Uh&7*0(l;{Gn>E5?4Ac!;QwhyC6WiH}(q$iin-Q4#{nh?0M`d)&qIL>p})n z-eUqHjI(}FZy|-d&{*#tbv*it8>GEV|Ac-@ti9yFllX{)7giPV^+XYtaa!$oToO>% z)0`=uELyAmW`90%9!hA7UHQ&*Fn zpHH8iK&yRNm)INbZ$-o=@SY||`>IrbyMd96zIQ7=6rbj+_lwTTOua9`W<7IWJ4>M> zSXm+J4O9hZVZGAEuTW1+F5WZ!5rYTVGdhM-G%U*+t%VX|{JCm$vb}r5B1sn-xh-gr zqNmQT+Gc0GB-3$`e1SGBGEqn+Up0iSCn4p8LGroox1U9?7M`uo?~sX;Nd{t#&yL8x z(7w|PA$#hScHuld?=X%2yL;aaF*JzpIC-t7=W)j-2 zz8=TaCpb(;LQ#L#@OXdW>KC8m*R`D!Vo&6CKPTtUDfEPfK!+2R>nLhB*&+UVdi)Hc zS8vHkds^*B3t&hlU4=4{i~;PwcPybU_q>@ZOf z%rXRGyZfae^u5!M2r;i}u7mv5dWfgD9m;P#=fbM@{=F}v>@$ZK8ObzL4)w^%`wCq|L}zHd;WQW$=&#pcBjsIy;rD)49yn zxpR3azmZG~q+nwokqZwUxzoI=XXl9ae+n|WAf=C3Aii;Zt)m4j$X$mLgUbh(J7bi> zbIOM+I+vZnN)Z-9@oOn^c9E~l9$G-}hjBWjpax*@r)fXKJqpVAN6Ebv#i{^fS6aB@ z3Lfq4T9kShP%ib=z|dqbd;%!Njv(dAhCN8-cIKPw^(r6xF8JtvCmKh6`FZuJSC#nE zvbgYMg(4nj5ji@I_G(L^q_ST8;BM)}G4_P7d(T;f z92S(|H2xQab!ngdOyIcA+p~h5DfV6f8@PGDpMub^cdtx%f|GM9o(MPllyv|mGmanu zVqQ3R-(%)sdr1QFj@W)}k)Lyt7kszUymj_OHC*?%{<)E!-;w3quuX$AdM1#fOFag@SZCl5iOPk;9)Bb^{&`X=H^jE&Fc-22E^|PO#2jzsza+e47gq{5R&Q6K_edWV| z+$VOm(fxQk} zvUe}pXi`ZgqCU{u==EDb?nXey+|KcaWALHOMn1}L>s)HU|JW&YOUDe+lRiL%E}&h@ z@3J^OFEuNnX5BDUee2xn$H&?2W?2}D6=ipWYHkgC!-X16EooR!<+CCmHIb##w*A$x zpaeVwX40Fn!B5^PpH&Xwr1*08lD}V0TUmf-2282+IC~Ud1hUn2gRc_TqAB(YQz6_u zGcFFC^ki}3AdSDu?uaJ}1= z3NVFr1;#C(SSWF4?_Fy^aS~D zUZt0uoyFWca-R`QR&j||Kk&=Y|)wm_eQB8Y5WY)@OcDE;DLql-M>O;aO%o}phw zfJ>Hdt1a2j>k3M^-@iKIQ0X)XVug(e^SmXW9RCn``h-%@)4RM?6cd6aYUFvN`$71_h#MIqO4coKb zce^-aBl!Bh)nNJcS)jAtqcfq`dqkS;embAcTi{U{)5=zv6+2?(4?vCz#sOr&{62Z> zI54&{_FiYqv3(8XLDG;sH2;c%+A)h<(l+uepR`cgKF6(fYvN@qdR8VZxW2(HErk-Z z`@pg4B1goZIX>sl`{X^{d4Hp_UhQA)?PnLmrn-2?ALV}-?etGy6&uf~Ip)iw`pAE9 z_xB#faU-9Me(4kyX_ZFy2Sl!nknUqcua);`4~Jf$=uaHNjU+kh zw|rz>{?gC33-;ds)4CoJcR${a`-Uip{@c3!!^)6BcZ_p|UWMq;fhhj{;(w>G#=$hn2lg%1T4ze`BssM%S;g! z!ds&DoD31*D&cegyU(0BH~~GAVgIp7@cjpEYO+{0p};C~Q6`qRcF}I*pF^Z%Q|cxU z+Q5U8BFT|FgEevj@F8wIy%)B$PhhaCH@49`;yVH-9&)UB?+(aObuZ7@of!Be+Gw14 zjQqZ!a@0foxM{?&ab9eQJ5i13Dqwg_6oP~jpLFC7F6`_sD1&Y6Hy zM&uFqsqQP|BI7Vy=3DbSSNW_RsMiI_BK4Df+52pY3tooWz?`R0$}k(dW<96OqhA$; z4vCUtpE__LfxQkE^rcm%_UH?KLF`f@Wcv%I?yO#7Joa!?9zNgi9W*^D`c2zl?JP$8 zrcjR3YtO6G`cC%;yeLM3>csFcA?|YFr^ZD4d7NXU`a2!jRZI|QUp_F`xB6I`eEeT= zV3HZqC%=viFesUvk;CKHaz&X)c)Wuv&b??)eaPfH=^?bJa?f*3W!G%QCoIJPYS2ds zuT&0W^xE*>(_Ut#f3$sEaf8!b&_I1dk*6YzbqUij|=r zuRcVumx+Dqq5OPU*_6}{AkB>U2m!(d0vF5eT22C>6m)cP~j%+A>x(+#C5+1-|m52c*mZ}dqS5?<&nt*G4G%6%h|gYa7a#)Pd8oV&nilq zgUyEH)=~y1GUz)>c;BRl$U)(?)t&ko)@^XCaWx?g^sD?Y3>5ym(Obs$w@A8p^$%Ce zTP&KKRp6UvWXU`_#0aYveoa%b6;_kQL7 z|CTV@r{WpUmeCf3!9CWqK-JQ<$|Uis*0GMF&0P`_AC z^5hwo@rbnm#|x1QN#>qj@73cP~ z)%+#pkw1&^-KOdbB!AoP0d!e<uXB-&%GU<4uexgYsY0kt#JD9-j>0fMKBg+=- zj=RTp_u&i#oooM()Z+hBuZP2}`@SE*q$yOS?yNI7}(*p&Unef%cdBD$GDk^+A z;bTgH>^_1=$Y>X|rt6E7IDlDNx%kZo98P~yL=#37$K+Fp;h)IC|7n3zslS*w@Yte^SJ27pY`v$aLvlneRXXIe-;YJ) z84*MDRf$->BPi3dGUz|kqe@G}_8mSlOUmew=>;;2=D$79UM2vUF1MzOy&IR=l&cKi z=flGR9@75+$_8{8IxKvrb}J=?ze(yY^i}Oc@PTxm<0enuL_d$}T;|utNTpJ zLF$;`ja?TJmS9!uI zH?{}IWKpgd|Go{Jo9Qh!{oQI7C^CI2cTb}P`wF@HT}W_8)*`-A#N}>;U>-tJ;7o;(ZUYT*iOR3tErxl9r~Yv9SGsA$5~)*Gz7-gL;9R$UH!R&<+u%? ziIMb6nIUPfc9cWGR*8VNaPxY|){AD3?EjdjIgHo=;(GW>&x*!sCq-ctvdL6!cejOJ z=Q%EQbz50w!?W{`Ok>f{=P%7u!F0Q_eOg7>^eNnaXS19(*KyN96>aayh4K+k!B$KK zspe|^2w+$6VuG#yRTNLJqD+xm%t=h#bj&om`BPki^?;eK71yFt@NF5rtF)bw^Db$_ zVY}{){B#e-FDRV|(5SCN^tEwvLCrSyL`)kAL+(P}Cb1?&gHi z9t9#t24zHPCOlx}gNdYC$Wua+x@~%a4xxOcB=m2OP1WOIMU2g^9ERyiaszqF@s$O9Lzdk4Hzo6gzCM>u zyK+4`sJie}Hl1K26Ztc&>MK%4*-d;E3wP}hE_!#io}D*GjiYmLt2}I*NAe9z)&GdY z8rxf5*JWtO*%C%DPX@Q7KND8t>pj`KJ03?i9-n0|?Ey1kyV|VMId9CD6!`J=D}W-} z;hi`6iBsY{@Adc8Q8&h=QGO^qRTzm|Is8?xv2vxc0e;&S zALgZ3Q^JL@G5x2n^U{H#KOv*zx1t92q;R$&+&I)iZr5F-z<=EMs@dhI8BK%MRe$9h zxF2qEDpZ%!X|FoTSp8bJ%AUmW1ppStdUdAq*A_QGWFL8=I;X2(NLN0ch(8;jHzCC0 zSM}Q7vX%%F*5j_!e8leVngwMI@cFZ@K>EI^`CpM~eQTF=Nh9zQc`SP`|JS7}-IKeQ z60IlGjC3*2-g2gyAKr1_YZa`S8*r_F`1ZW6em(q7@0OfG0H3By#h7LD7GN`@a1nwR z_pFpTW~f*t$m+YTCTlcl)Vkg*%dON&1y%J6Jnt{P__La$SB1MJq*4l3Vqpw%@e1Hc zn_;QeVT4M~uXTe`vC8BxHA|H@rJ&aWGOTQyClz;p`|lP0Ve}hR(I#M*4TT)=@=*x| z4RVUsEQLEtW)#Py?ccgE;InqGIH6aq3Kz>+l`hu%U~6gH+yQrUan(@`b=5y7MXq`A zJ{c*J(XQgVUf}xO(VZP{?z}Zi;l}WWMJ)R!{h!invS}I6~k{ z2rQ`vEsQYo(TM#dbNT&y+ygfQ;3H_L+QJ4`W=oNYmrZyg#q2u*o_v7QjI^~U!VgL( z^7hxNGkg(0N;0f}oXfC$m`_bagg!SdOnq$9c^8dP3$ebWhvPd5_A;+PnVv9aa~G^A z{1=2|lj?Xg!#NdkIm1-z62VyOBGGWa(^I<0uhL`p5Q%A%mT&0%pC#)V=U zu~40Zvc|~NtczFg+_-kNq0_crAuGQK$=;#`JAqj3R8l0$?w4oSA|lDARutl?&Iw0( zqIJ*E1bqi4GXNurWml$~lGg%>t${;6rpJ3)X3+{D#*sHuLiwG?`m;V8{(wPLUm!K^4t-e`n$J}C_5?j-OY<*#-=mQ(+q(U9jg@l(b~ z{3lb$Eu~3Pjv${SZuxY3Q9H@<{1p<~Q_Wg?%$+v}Cp^9M(jte48=aOtV? zyvE&tv@I7(lefE|=MHY2H;RZc?zLU#F4DeVP#^cYpngF;-yynS4p6Qv=_B`-tu&p& z{EsZEs(5kQTU>yggDAVG4Z0{>-MSU10Iu_R-bSrKHY{KZL`;7%`x+Aq;rq=6NfT{) zin=BwvWbKMXxQyCL7Z}OxFs7Kjt+sqfScFYCLss4XXr}io8IluM6-w423_q@SoT^H zU|9w2<{N{CHdultW0@%8l1Vq6G$&%NDn7&LRgQ2S5E_x|jMb zm?nJ7v&6D{$4VqvPIZIgF7h=Hsz9RQ5*5Be) z{v2*-=LhPY+;*$Hq<5J%3ReTqPj+I?SPIK%)6Vb=cE0-XwQfwIUptqhf&Jg$X>CMv z;JfH*{zRRu9u$NtyL`}3^$Zi}KdS#HVWDuY_Ti=bp=>`B*OT?jr0tCwSS8mhASKO) zLX4p0>Rjtx-Xc1WRK0)*o&-zOxSF45l^i0+Pt3dU$T~nRkqW(gv0Cv-Rj$_R%B=~l z^;$-UKZ88$J12Wy?A)@yqr&H;WlcuqIG3?y8M1r|*EpOr;z7e!G!FyYdFff2W&vy_ za&@x`c$qzo>fHquczSlf@ax>}k#?yW^-fp_M0-oXZ>>0r{KRehNzdsf}2$YsMVc1g%pNc48hNn#xQ>#*ao z;j(4zNH#h^!2QocMFJyfF+qo=!M3qt*JPi(`mp^>u5^)Yu(fsAUvsua>uRga4Ahg0 zJ7^I)zvE^-3;DtM-_AgnjzZv{v^`O}_&yNqA?RFTh*rUAe3@e4?OsLl1^AuRkdvQe zq8Xjt%ulf*Z7V)*xoZ*m?r@&i?>-nfUxJ%`On&u9I~(UscTGw5}G-&d~t!lG#4<;jO&t z;jknnV*RXTB_3lTvPI+^)uCmGDuwQWE{O~0(W&`9SExRxLt(!#^#3fgIsHll{HNF}-nEWY z^HW-@G0gS!8J zf0JafZ>aSMtCJv))@*=ma6UQra>Lo%!ZyLq82dF)=Mj>M8RI^IyWq97nLcBhzUjVi z?t<}S&dPYPZ?WNYF{hhkc(4}okH9sBXieBZNQgp)CIJ#PwHuk7Z%vFo?KH$-hXCaf z_z(=}e%!~?#@89LbKJ(=N%Lcf`mQX5UaZ`Cqk*4hgRcI|C3!6@GG{kF7> z4RtKFg-Oc3s>08-5?*UcN#E>RSxV;n{j@%F=}0I-RIWI?sW`FYSQE6Jo;Ots04V>G|bvJQiiamS#|TlS^4y4Q5Skmpbo>01BinJIw;O zB7SnMO&TH1egx@nTd(1aEvuO6WR$;8Ivr3~u2s?fHZOoTJv$t1ZVZBddCq<({{g_= zjtus$Xs*;0E*dQMDoYtUW0qf^Sy61g`U^6YSw8mJv`weLDk%t&)BWo7Zh z*q=D*(5)NH$pkQ}{uIp{h$%jbixE_Km;X9SFj({m?8{xxv(O+~L|SB*Dp*;N_wU$@ zn$o8?Y-XJMX=sfg1kEXp*yvYtK z>bHcr-;I?n>Sm2e*rw`tfwKwivjP=&c8}hckL-_*z#6D0^E!EeTsgwe2GinSP0j3F zPISyG7;Zv;3YH?HM0kVgz-^ZF`|Jl9l}sa`(Cqx7kP|n#^WlG2uIkY8)bf$rfQhg* z`oKA|^t{SjGYM2Z$6gwF6sf2yV zmD?>y;Yy8DHmbk@1C(riE!0Uq(mrcAi!cFn3Xayb%}CohPS~}b6xueluEf>BxS(Ye zc$48PT;yKJiETDu*_>rZuz~=jDa&Z3mu)tZ`;1kJ2a~i2G0$rCpP$+A6Xx0WPCKJI14^{1Zt$F#jy^hG zIs$C9h}bmr!5sBvS3rs9(o7xDe4Rwp@jT>UDuS8l84Q{!Nfn4Cvj5{`@_V*iCeg9{?)qg?z ze~6i!^pz1FAb&hX{#K*&!J5l<#N^vn zH(_TzlI4hZRw!S`v}Q#8!^QK(u1wI}Co+zKuV08>&-YLv+s_+U zy-+;2p=B%vei3t-zh|n6z5#p^sjW7?XBXH*5%$l!!H1aVg*_=fFGnXEiqxhGGabR$ zhtCDIJY2uxG_VcPzJ&GoU`(0_EFv;p=D)<430Q2igvI6de2z8u7;GLyLA=os1=VeR z=5klqVaMCs!`o5K&KfoUHP!tQ%%uOOy9C!V*HKDzB>oBAFj~~w7VtXx`y9m2$AM-K zv5iafg?{9NXd_qU*lBi6+Ct&k?6GaVeo!~_(K8%HQZw&U!|+x zPKhbj;I*(wNxhd1K=sa) zw({15YtWCK$od^m#-LZ|QX`wtN%A1yH#z=~0dH%*xpWJd{1j(o|T6gvkrO@J6H4 zjy1u!lea^D}TL$Xq78Nn!VJuW096HL5;p?3HA^QRIoWr<}U2>T|DdYlC4Y>O2JM_V)1k#?M z#c_m^LIFVm__I%(a_$hS4BNV6MV4f*is195eip1URh(MM8W*(FVH?QmT^UsV@O3a$ z&{&6dN(ViZcjeWVu<80~Dd}}YhBCoX@~aq{Yn|wu&1;OdcJvCCl;~NRvpX|j&oSx6 zfq}kt-w40XM$ke+=J@&zIK+d{e2UX6SGt9Qg<3s&MEKi z=TYdJ4SmVsA+lbVkxjG?J+db*kuaid-}6oA!fbez{zwW7(>&EfdNkXt)3TfweaddZ zkY)gscOWTzI_+WlE5h&AVc_imkV%MmW)iLk{}3qos+YWR+eos1}SZKw+xQE>FGrr>p4UA+07+lKqCU7(xdO!x zH)`qc&w$5O_-%Ty-Ln(N$7CPKh@VsoCLZ$2Z1y=1k$CzPxl@{cN8y~h>i78!KRw@< z9b6DmSfFysf8u^&X!u$7F34ZyuhA&!L7m{!{aX9bcf>FMzS|2t0oDUOTgqTf48EPV z^=|$^JNrTB&KPvH0vdf~kZj0W?*|}y>j1RvU&Ejl$%y<3=j=iaQdg=7E3MbfSI5Wp zppj2o38Z?%2Jx!ytE&^0H1nFt&KI%D+VN~1Z%_I)|L@QShs&myMPn6ly>gqx=(cm> zTrr{v`&nWHe^IeOlNbv|1h$&cag6-VKNZg?4UV5qV?>UkRN!;`0(%rdzkI~0;vtsB zG(Tz!{LRcoPOscB|8Bg#a{nLaBdOJu7rWNNNB%zKUJG?Z{WbQ{pFS{Mf?7(!4<21Y zy?zchi!j6GI2v_#SttwsgP=L>IAur}X7kyI(hksG9Yxl>Ol1?)gVsQb?W}Jlh_eP^ zwD<7T7Yz+RYlL0a9G8Ha>cPR+noDr>%OQ+G8BW!nT${G;FX^=0{`up+%4w2%-oj6cfu zk;d6KVdbr}(L&}XYKA;k0+AGNgrma=8cID> zW$=&jb4|GIIj5;sA8(vW3?{QS4e0eJMj;~7HkzH(Dj?U1H zA~g7m3Ofo@WKQz^bNphmy!8FWlnbn?;+yxgzTHAc`WNF3VMkZa>iTyt@5e?wA8(BUTU!eOOO9f2$_5|PWT$YH z{?VAlHmb>4^m7zEI7K=l`Dc#JkyJA|M3jONzE(}1>#}j5%PhTEZ+rOOhHWMhU0xI@ zpeH|QA6yVBpp8r9kJ_NZV7HHRCni4pL$i{^+-~H86xrbT&YXPjU{#-G^Q z;^E{Db)-xKohGX|S5uFuJ-N1}xS?2ydPNK<`cDmN_oBNCg6%=il0SG*8*oll3DdEd z_S<_*FCY-a%%=r~qATXsV6|+L6kkZpyZc(y!pS-`7t||oa~fkReZ2(+F+ME*vnx_LsfLDy z#ZnpYU9r2qze7X>5#H^Ow2vJ3ZqBA`-{d{leInnO3bD(n=kNCv#`9ZQ{m&31THLEij>%A~HMDXk6$ zi>Rt*LkJ|iT(}WLd@y^PA))qmSjyANIB;j;&6ndxyC3nAnl@H@VwI3izNfg6YC=s0@Qi~ax4a)sC z%&)>%BkT0yD5KIxK{8ZqM!t%3qO@=A*J}H)h7q#I7}-q=Ta|&ZQs_ zeW=4X(Sa&%62$8B;Zu&zEyC;Pmhf$mDy5&4crHlD^vXr#tvk(6o|y!rm{SVis~K7V zLf{zJGY)nLK2xNgk70Vx59Yks(4Kjf=?v1C)m<-WkR4!h2c#EFLg2jxBmBgjNvSp; zV6u!Gij*Gvy9giqVBc`U!*3QJ5J`wiJ;LMcwCvQ>lv@(Ki3cefz6tmk-?;CZeK=2V zC!Gwxjt6O{)BTK8amsd^Dmb6h_OoBqaV{xQsd73d#-Oo=uC}(WrfMn#=kB+5{5Vdc zIYTW4P_{~qj!AZo@-$R*PaWsvT}vg}@tx2rec`zS;bPyv0d`aG3l;S8jOQ&{E|R5! z0mX8u0|fl_G+%Ay>y$;ILj;mCHnMyJikZFvL25}_QMkE|(GJuG%|_C>GILx1orMR& z=yYkpG|H4(KUAmV6!i%FV!hY#X9_-%^dE2nU#SaDG#(q0DW9zb2fFehWp4lb23|X; z$NhOxL7WELD=^K&mg|LNOYAxsC5aSiwfO@+P^JC%pGTv1s)6GDBXl@k5p+NLYz|Kk ztd#oi>Ikc290K*(=fdGE=DYaw&%%t24v9*6XAu@Ku7e@yiiCxix}7*wLy>a`zzG!a zUd1EWddyS4@8wM&k2iy8ca5`tGxD zAaRPyFQ%>+wW)($d1$rIy0kwP|0xx7u+@kLlYm;xQ8_mkvX+O5;)@jkg^+q{ew5YL zW*!NV-`rxzR?wr?Cr|Brt13|CS;M<=P|@rP*`l>|<+Vbge_k#G63p^FBd49a-pC63 zczTbQe@pV~Xk&2%ddb|1nrH_I zT%PwP5r>Wc$IyAlQ~mvM{O)_XT-Unx-dq`Fg=`YZ-n*2@ho-du%3VMojcr0nr9zjDkBh|KM{XX&+I7+9ADoPb4Cp>^?eD%3@`UFf_Oa@ zol56?#VD^PtA!jqwcp?!TCDa8f`Xs*y(kfi2y@FvFS@R9f#+23u-$f@yypQjIOO4J z^$9&>uaeguYD|XJ=7pm+h>7)^>n|ms5>%X*?_=)sUtd$>Rr`~>i?BcBdL@?_*mCNt$f-RUnhu|@P8L6lA)B2aSPC}Pv5>R3mzbdRd2iF)!$N! zGZkZfx!MT;vA|~jy6ZKiW2vyM`J#8I(wa-~K3~M!A*M&YfdSfHqqAc;o;o30-b1tv z_~Z{Vv7au8WBdPm;lRKZi&rSqQ? ziNLZkod}uC>&xrd)%vvcy9gGO$DSS1>Yx7dE&-6Nm{}Z8=F=+ZoQ}rJSUFiTlhKpc zuWY~h$y} z_*ungry?!A^W^49#%bD4Iy8=TwC-fEUOwGp>QryE;ZZWDscQXj+A~i7hnn&k9Oo_P z$QeIpN&jUJlfRnzRqpK_$K@r3FY)~MLSH_~6sNtM%&Rr^CcBL)z*(E&h-!2IRPEi* z2e&T1g|aI^Hx!_7N%taCIkNj!b$@El3vk}V9`;B&{V&4G-QeK%V+>T$TNV*KbH zjS*A_k$ZTTx=q(3Uf+Z0w%*V6z5A)xtc!c)4yU8l!>%U1V;mBaV(2PlBzwf9$J!Ch z6M_CR=}4yd>y=90yQ%$2f)5T@p3zUPMTkkMA442DOO|KwVSY^9QMr+?+_$~Tv0~rM zpcs$qM|p_L8@&{BtXxVeORt_&E}b#rjA#t!(Kz*HYxk4?(^ zOY9O@8U}xEexWe%!;5rykG;oKFnSuy9D|sSkzu9<|0>zcYDpr`&y2P1&pH_+)ERF6 zFx6$RaI$fX*YMG&2Ck+7;Y7g<>|np4DJYhJzIJ8EaBIq_2yWz1(4Hl6zr$Wgov%aImLlY6+CQxjoz=w$^y?O<6OI!B<=r*FLC$%mjBq(x}NOfZ8KV zn9L-J+D3mU^`}D`jrbPsHHf01Z|qw+-q5%11#h|gVsW~IyoMFJ#bWC2JTIowf5BFh zwAxjE0{`RgN4h^OiIFRSXv?P}2a4>PG!!Lz>dA>p(wxa>d1y|}u)3Rn4J`E%N^9#X ztz|clZ3E8Y2eb;Zm=dnXS_v(kI<#4dPu=C6)|*wtU1ZiI0ArK%I$9rLObPcz$38Au zbyWS2FqyjYANQrELoet1f3~gV_{q0~G$9T!h0lt|xqh%bpXG^^#1}C(Evq)DkO?gR9E~SwWrBC6-zyR93UM&*p9>e)Xt7gIYBUW@_iEn#LAXb)^Z#%VS z^SU$xz@C>SV`f;(_{Zu&2FUOK! zQXp)uFUXN#UxoZI7DaB%@{wNO*|qCCW0!QhjMX5Rw|&=s6GBt(MuFMx8Jpj;OPZT5 z=b+C#22R_LZAt+`tHO-!B~dtK^NPznJiQ$W!@TElED${7;V~9eXbG z?a*hro$mBa5~Lq#7At7+jIL_>>BwiBE+L1vDHgd1v*dtGiqj%&l^q8WL^5i}?4(Rl z@xr&e0?lf|c)BwoKD~=YaXT>|n?rGZGoIOC_mjcnta6+Sjnz zpOP&sIlY1X67jAUa8-&xOi9~i{3o?%^vXN|mSAl2kx_#}ZEeK2!+p*F!mX7iEHm?= z`zRfE=ajgFu0D*WB4f8MUZCx|w#S3c6^xI*Fu>zVpf`*L1Kh{@6MHaTCAA=oCExR@ z8OnRn>Ng$+|Eh^L1rQPbm>sCVh*=4rRCi{V!`&tu&YI}ad%EZc3mdKx_G_$xe>v<- z?#t7HV`exjzb4Ut5u}t??R!qAm49kXUcOd`VvotCY{|<1{f=9|G`wAmf^ zxc%C|!>4tW0+K+Fgc3clF8(!A^ksEb+`xcGctyCg5 zQ#H|W}6h!R)P>pjz9R5ZCYXL-6|f3 z{=P*ct)RN4UuAIkcPn>Z^|~s8pw`4D8g5G>?#QcWj$K*R6F^9*K!qg6zK6yn2_bU1 z0CK1>sUv&uYR;j@mrq}wR?6O@(KGf~HLJ86P0^uSStNs)Bl&H6oEDp`i~hcX+^{*s z=1m{INji}bH=$aZ5(B5MeG~DY8Nwppw6eoMME=cBJOh-xh_jw@{D#uJdAXgxB7JO& z_>1>2H!`5#LwDa7Dqp9}>Biw%Pq@Os=;dqTMiAj-`qoObgv(B zsHJ3$>uen%f}JZT*r*E*)JNhIr5&p|6^?h~MP!CuGK7xbt=8llWZ{n9{eEBWo0|=^ zWwa*q(xu9keBd=6svEod)d7mX*NcYMwKa&kfbZ43O1@KXL~h-K-PJ4Y&qM*+7&#-o zm8guOC`(cxS}m1ZrFahYx!}@x8{g4L0=PouN1+W%d0}(8^aVxe2J7B3?Q(Nw&t5zy+v+sL`qi?0j3F=D$Q2qKaRu$2{Ph)pVwXv z@gIYYOF=_y3BM46kAB@qN=J!rK?2k9DXK`$h=-9H>lTPr)#9SO|GfZTAr9GHcrl}~ zT!v0Vu8D_qXzZqqr$r; zV_hkDn-TI(B9H6GJ@>iJHWUcWVRv=ObMx!sf3Q!NSNFy^1F$w}jklnWY>>iXzt+eg3 z9H=GIwlOdOMZWwc4Ct;1@a26D-LdH_scOp7`2AI4%s|lmWP5uB%hEXHX;m(Zs6^8> z$F#U?p0}-t%mdG{DvXX^u}Qt1e9z!If{5YxYJ3S3&GI=X%Bu)!M*dLVjxl(9SC>}2 z`!5L$@-zZ>O;V$)eSyvP~;L;B3zkOnfZpL~3fhzm#zw*mcE^C#0ELUaP21d=+ zy#_3^E@kZZbp?IrSUFP>nJZ#yNg-6mk*a0UlW)<%%M!!f&F{-2Y$(3``qQ(eqKSYIIsm9%M>yS z#9R^!@jP(QWfO8$Fv^^OH-kQLqpWN$EYehrIp13F!MTlQ?u3i^MMI2jtUep+6|Q7* zP@%s5;^4f3AMoDgQ~c-ff|XBRDJTS;0aZVkZ6$7T4eonF|u36@C^4m4}4NydK_*R z)lA8^vTU~*M{RvlI2SPKmU#9n#n>HZBIjt=s-#uf_nKS>IVl=$8S*7#ACRUgn^8OR zu^T7-;9SZFdCKW+;0Yc2W{&a>ezd<813e2}g}rb-{25~}U+xt6i@+1LfYi=~-8uSL zsZANpvB}~T{OqhhvL+s>kL^6bt1E1u4!f+UhM%8v?g8pb*5 z*w9;{qjRet<<|MCH#VYygWX(m7h4X5s4=`#!cMlI0@)#;2h2VEM*~d3DI9n20oqmS zr7J|*?+Sv&o7t&wH5P-7cl1(E)S-|9mC%=L|l-`XGN(j8v^u zB1r;00G z8AgTPK|>_TJB7M;*NeWX8Z=FDV$n4jqWnq{)}aSkWF;y(S&8#I^Dko;Qw9MW6{dc9uoV-$qkj-KB#1FK6&+@@v2-2qI^3`xtsGVRLz~*6uwid z5{~ze_@M4HTo7fydi<==@j(fjoAN&^E1>_V@Cr+En4z8ChbMN~-DlT>SL-cut2FHF zbEYCyjkEOLXC+BvtxcUq5-$6R4O&y=c{VJY!1?1Spd@=+ra^;yKzChUI!@^N*OyG5 zzCDf*N7;H(Y!RRJd1sU(#u2L*f($iHOWXvmmfVB^p zNqRr36eFoRSo)tgxi+py*OJWbj;Fs}-AuZn9%R8FtPw*xW;pt?;I~ zr29@lPO!OZ4!Y_GVDV-NcZ<(k-J6ysl|6i!lmA)#?* zoLP5$8?jp*#~4|IaZz;tPO*0ei0p`4|C>hF(d!qI;|6)gKatRO9DH(VC|3F2J*HqN zG%w&J|NEFEdsO!7EARy6+ab$C8!hU`;D^owlk?BWUh(xu?;X0I+3ZP|^rJFy8z}>` zh~j+t1sUi1k|D^O1WY2zy7pV=@T_Zf?v|)>oMPJtOafJiw3QyqtEdXb+4XQbJYUEJ z-QAXm6LmaU4&7y2f-Xt*jyIk>^{p+`rOP%3wE6k>L*2C{(b;Jg)1oQo-o^6auKWdB zduL;=57G^%z3zWcK{o0K3#THLxlX4{R<@JQs3Qg>#7FWw9)L4GudWm$;Ex&z-XMKO zF#BiY9de_ME&Ooh!P`?Qgdq+q+e0*ri>ou?CY6S%QVVz{p=+m->G>Sd@i5L9@4ctp z!56;Xyd>J^1HXHvH@Q7838$bK00+#h#E-U^lOm9OlyYmoHufg+bR_Dyv5Z5(We+}U z-ht`AW!w=te&4Prxh4@9GRMC_rXY+)p&wVVtR7ar)LNST9|csioeTCugm&gvv@(up z4VKpJx#o|{c@(XXBK4b)Ehc?a2_e)Ou}4-8?TEjqM0g8U*#u-HAItLsFnK}lqT54B zZd9J9mQB%Uk%;3|Ldze}j!)*VpWESiFQz``nN6wyH3e2>6~${gDC9QYN`^g_Lwg?Z zly+Pr&+QyH^cfSWyHH^=nDo#j1hHoFn_MCz>h*?KO`8LX#;zvA7X5wej~y82)ba$Y z_Mn7go)6}9H#%<~7D)#9tEj7Yt@F1@fAT~Y7LL8ka-D+?Z$_n(W8q>_rKZuH%D%^@ zU}vcfL9k9tLvZ*)gW*TGXj99c32T&W?&^E-V&ie2zq)|!L4w-F6MUv20f`vXe?_Jw zQHK_cP#rHXRpDG;mfxq6QTOO8I(gG)8_z=yZo!ftE*{3+S=0yqqvM)BTP7*p=rDiM zES0(?_*SvQWIXYJzPIJ(_|QD>Qj+V}TL8gnZS{qnHcgDRNm-zgSgg1CQzalWbTggew)FvI@R(h+f;%ovU z^6b}R?V!zf23_hu)QYM}FWw2&>+d`+BVbVMT6IKr5P}!uM(qs;zwAv1AwCO&Q-yB3 zkR+(Y(VH~Ygs@&aQ{n*}8XQ`TBoR?#2;3=SnP1)iYtyP;E+4n)yKRIpFs z9#7^nIYO2V2OQ~CgXHBFqhCKJVUQb72-`WxmUDc7Lrd0!62#n{vc4ldUZRS}OFiQ* zjDfaT6U3Cpwy3t#h^mZ=tt?^?p=;+|AJ`H-TYTP42%MXwNkVUKsDBAUw?o|u-Pn~4 zDOjNBF@>w|7s=Z-sG2BdHU#N1pOsONma;+d9um>hH5uIabg-v)^=HRhg?d-ayWz>6 zEQO2#epQ}g(@hBQ2x-FgH{mV(N}bs~Pc^`menB99qX;3Ge*z)|4jPT;`6(p+Rs z{-Q9snbd|Lh?{^bK@ZZ0J}_W*xrSpM|Lf;Rt?PO##sIv25=6JwOcQF(L95M zT3cKi8lx$9t>ns%K7=$xe$1orugkZC;ANlr^06XMcgfMc|@lNwP#b^{azL;p?OX3g7LeZFVPws~6AS+4ns; zSRFghVbbHii{X?3%h$h0ud}}xfQ|#F*>rW#Pn%5j-kju><`c|hyr~;qSb>p*ugq_q z{&2OkOv>s!Mp0754f~T*y>P^unhK24l*i>Yz_X*{@KymA?7%@=gAnPg)Om~17geDu z8Byxv=dzSP#=pDCa#%C?%+UJ5_*Y$NoACaMJi9T!&zBDbvq<2mJCEVK_~v)Ley@wv zBsm$*h%EC}ZuO@4gdXK~*6;{Y{0wQCbHQEXJ$XGr837wH}J3+VOGi7mpXt9Ry zY2JEx(2TP3;IWMAEU#zCAenV+KpY!SQ#d1dFl9jY{0MbUKo}i92o3!SqfBCnU(d-b zY6efzslZ=shuuhWjJRl=)D8p^CX=A1n^z_@ZpUN19pvzV&W<9#&y%<}7rb%(Ctn`q zSPeh$e06B`(Dr4;9ITmaZO10!RYl(fOS(!v1i-sXfCDvVRP~Ctun= ziIw0$boK5Z|9tr)pGoa!A)BsH`%woo`$54}+VYxt(psjlt5V)1PZFR}BmQmoutRSvHaK z0suF1R`WnC)63sI{{DQ}7 z1Lp55Nx3CQn8cT>`3q#66Zdc<>;g1EC=YjSU8wYiP^0|b!j!yEYm@hb;K>r&k!=qJ z|KeGJk2$DN3!PF~P|Q7ldBcNXyCeyhNX`?BeS(V3Tn<`QPzB}I6+@ZNiU3)b{78HF zH*_0OBaNHWm%cmAn@FHwUK-k8-$rrP4v*s#8uO=B8#XyV)45V>B(Z|XyTG%Zb_|OG z_8{h9oZiMutU}N=T&~}A+{4We$QfXDecsF&YQGrtF`PxbSk#}KaB)ud@Y&=88CYnt(22hkJOZu z4>{tpR^Z*iBE0Bvzr(<|RvICj=6|u^oP{L;3caPm>*yd;6_(ub_s32q1BMiHADZ*V zHNl~bK+%~rD{IP}=b%!bYYN*-8i`pBCK*}hGt)Nk>*2;BK$pIofXaqV$q3HlPDKRZ zNxz#%N4-NGLr%1~e7yW8p3~}1ee67e=`ybsTBmM@&dom_?AEd(zn*An@9)OAS(oHL zQ@7>cv#W%ivr8WooVx0s&$v2Dgjgc1f9Y)srnT5_C|)*d_Zg)2Ab8G4-a78CbWg<^ z%-~wEXCN5Bw>Y5maJ8ADRZKuq#o4B@q@5@v|J1A^*(rV2^_XzxHy!Apke#AHShK9* zIry06DesqUo{e1}6^uD`rC0Y`*iRuHMBjZOrE9L_u9vg8ZPW`Tb?taYr~>Nd+Qz>c zpk&Hx72u!Kh(_WD?ev-Ti^!-pXLWoP+T=Fb2}C4z zU}Ve!OSc4|-RmFLR5}XO&S4*zV{PqDzfDiJxHU$Si|g3Qm9~BR!hVmst!VSY-J`_> zeif)bE?xdX$&}rd!9Dsbo|>kT_hE3k?ANn}m@nZ?7L*60MDdnuBw|Gh za>TtuCn_fHiR>IXmRx6z_fy+?WR_ul1ZA`PY(Lgng zDLeO`Mm&Y)g4LjuM7Q2}7!R7~1;S1ijlA>Bohc&8fE@VpEk~1fsgij#CpEwCpC#4t z;(zZS?fuUpe;iuajlR14o+gf?zj_tusnS$8D0kh8PPMr5$m7C);^Yg$>TV0pveeh1 z{7oA?h35)pY!G%okKUh5xe_R%Ljy?G)Hbpr*(zL=A5wsq=VbN}PDbxU{``fwziBlz zVq3jt+h)fhAg!S}-swQb>1uN3Oe7UmSl8xVm2BP9;87HNQA%C}a=<7=NNgNB4!?WJ z6t#nh@#ljtr_a|HK7z1Y&!6vrOIhRbUjWf<(1c`AXf7D%+hNkVlJr)iDps?=q2l&Ta;>G3 zwEbmns5n-=4r|#IbQA~Jg*-flE=X~DH@`a?nX~ILTemkE!%QdV# z1ZnW42R0r1V1sj4kDfiFh-z?x=13Yt+#DS;tqiNEux>Ay1duz#r;?h3RNKcxXm>fk z4D&I}k~`1DZgsg|eV@~*y94HQP-Uw!L;@C^K*|v=0-j)QmgPG7rxwRSwRa|H)CxDR zUqj^#m)0p2YyBfY`qi%|k}U27@;@d+%AT#&YxgJAA42MsPX@^!i*kubCa9T-RLxjDkW?DgMw*x}W*-P+yowyT-j+!VJu*#6|_a+#+dza8}9X z=u!aPG@dl!+)y~sCa;esw+!&`F>3iYT;0{5XNCcIF(UkQb+-Xw$XIjemd<&3W ztAThPd{cKmmP`$VT{|j4Cd9^S@Dhil(LZBu4aTf0bj#}EG40gHfl5E4$37HJo^-%P zssWz;q1QqyBJ5RtCS^*)(mO(%K^fzD%j1@RlmBWW^DCyq>?;j!+!7fj>qZn#p?sgc z3WuzW!Y!Kxzjo7tY{OWYlz)Ep9~aDfp$3hkEx3}v*1{gq;ONp6Xb1Jz?Tezl&pr69 zeJaj}h^W2VP4BTa)9bfWwN+1PTj;^eXaWr@a_DCAeGAguu5Cx9$}?z`{%D#Q|1ZJa z5$X}~h;qC_%W43VfQUzhDU)<3&E6A;sPYoTGuIE`Nu(m5R5=Moru=&Mz6uPzw1QUI zr|^wuZ^K{NC}yU<`o*ksloO17OA`AlCWO)pW%2pn=05we_NeQY5xU?C=0rds@F|B| z>$!;RJPxkSQW?r-l6oZ(qjCCpY9Aye;FY_`G@y&>nXG8%(zehu3Rq(jRQL+3=^KVx1-=JX>EdAHXz>RKd@B@)o zzKGRl-C|JP6DC*F#D4RMCnE;4HC!5Dp|Sq8ht2M4-d}{S{bA5UqDQysF&h3;oZ93W zC54oy(nucmBhV;hd?K}`>7*1&jpt%v#d}?EJM*nhT5(|| z*|3KA9ZOP`oPnWCAjg0*w@j-|g&`GQR0HmiqVcfRmGZW69K<^`5Z|xrEZxRCKXROY3ZqpTq}!0#NlAA$H`vME_ZR7WOk`O89JI}nr#VEdQjvbogNr0C= zm7z-`f!9;mgNEmnw49yPEV_nfWzai;zrT9CVR+4(sP~P0UH#yHf z$Md0jvIb$G@Xm0=1MI|avaa;eR1X|(C~c|wAQr7-xvmQ;+>Y)wno&4KP7p}Go)*{= zi-7jw^y*$pPMRK(O1$DEKSDYt*ZHj(S`?#g3AoJnfFXra@N2(+X~GM1@nd4KNh-+1r0+Awd= z98r16D|{nHIl<2&%){u8Giz%-=sO>JioA2}PS<}@6%Q((OX8yV_JwoBbT{KxRdX)` z8xMq(p_)!O!)ZNwlP2zF$rfK-8`kR(^z*g?8IbU!QQ_?0RfhO30B*KLSB#KB~4;2W0=METEbPRcL4loHjjv z+ay=odnu;xN*YPM4VveLI=&y)p$hDQLTxZ16&Hhc&5xy=<)h9QUCo1#DLl&PvAbrM zSAUGbmh#izY`wJ?Pq8F_OjS7X5+j-ishn?5oBLfj&O9*3>VIoxsIno?DLGl2r;9P# z{X?ttzs5g@Tkwj4zev)(Fi{Hno~15TS~RG;&1u9GtMXsUO;P}7WIFKi7l0W9lWmeR z^6O3EFQG+ySq?Igm~fLw~)+WFKBbL3QZ_AerxI^WjPoKGW4#+0i0Q4TPx0!f~5a^;{xvQLOYJq-rQ;WL9W@Ep06iU5Lz?ahWEh<;bFkB~9Vi@x6$|)R+GD-cpQ6XeF8(FAAK8FNdGRe~R)3P`Op?p| z{?fS(fV9w+vXmEEPoZu~W*vM1vyWg0ped7teR<*9MzZm%Ue zbwuqhocZ5vlL0`CCD8ED`h12OP=ct5U1t9WiqOTlIP%a$eqYh<9NZu))e|~vCqHye zME%x?ru`r4esPF;BdP7h`E~p69}g~j4s9U*Lrj|mA}kONvu zeTt6O`x}BSx?e&!3y0jyqxRC}=Go1;E+;xN7|N~z8qLCYo`+$VA`8Fx2Vk&xq7>LH zdSixlula?-Ap%~V*dmbH?AWH*8Cg~Fq!GPhdAmgb%<6U7g0V4R_yI=d+MoC{P|5d0 zsDS5f?f3wT=J-}cypUhJp@v5&klPh3!cW?^oO;Ce0@29uKI;0i49db%O$~;h{w=*q z3sxc$-EtPy_fu0=z1n(2s$WI@enHpK>=sQ0enTHL_Iwo*Gud|M4;6%nguX7*4l7tO zDgXT)N}1+s9Jz9xT+-ARlW?-h!PB@yE#AC|a7{^h%>tU9_g$&!l|U1KK)Jzjmd-D9 zHOOB3KKl&Bj4&?=&Q1x7xH#6xcS#(D9V`h!e6aMlD^(9g7d9XiXc+{sG5yh+UjOxP zch?$eZ8-OEI2a<6dBJ1+GSVmsO|Hgeovo4yx*Z#K>2N@!mV?v|Nu+X-=WeoU*9E12 zqi6PlxTh&g@62Vs3jpVmYKmTDaV4Rp(X8Kf;C#}Fg}reSG3KDfEXkN>oDWm@m5#$W ze*VN+NEH5<(V)c9MbW>u=4jMKcl{H-H@hHtJMnX@@&-V*T@z{E=uvpJSum#@a?r5` zH~}$mAqa-1<&YI_gIiFN?&g?gHc|D&NfYHSVFcGl*)4x_hT znJ4?4o6;CQOs4-?i}>;8w)}q(Zc)9^2mYP1aJx5KQHtqH*5v)v<_cQF1#g*@57W7w9|E0XXsmBb$aKss0mu-LFF|8)@V?82w>ft?8f8*vT{b<{(n;X!1XkN+WJ^k&AmG?^>QZ8#>vk=N@km@G^=Wh57)u*O zl-Oe#Y3w2nmCl$by%?Fy+cRjS{|SQnI-dEZjjz3CD(*7Rj6!pEkN>|+Bx>DFVyjfx!zjGZ15|~|M{`C^B|(p)e}!2 z9&3{f0x}VZZnN&7rai$=6+-5da;OO_lQY#L77X#X}1wl@_Wa^7~Kya%@K-hK|&NhUhFk|{XWrv?FYaSp^VfVdcxI+sj+b)pxuaa+l!t)3AuyTl3$27Y`rNC>W{Ve6Ian7weE6 z=`4o$3Sp#Kl};H}=gQ0(8&GsJ{C(f417Hmebjke+U2Nx!P}11))~|qETyM2@%@QAb#m7$i$SX$7<{r2dz8CXd&i_>}ah9_-3ntg>0(wi1$^+qau^f9&i0MhZayOpVkag4lNAWV!HqM@yC+nP=$fQvJ7H-1N8N;T zW+v?9$kuEt#5id*eYD5%(wUyjQj66O>)t$6uLCE4t8O8{5}?7|vVh z*Og2(ObRb1s{TL2MedxZd(mf%oK*%C^F~0lns(`d9K*BBwh0h1JAgVq{AL zd4&~}GJAN+!{q3r#P7UX{SB`Id7D+Y6vbXpj;|vy?1aX5+EvJI-a+GF)H?iZ*{gVh z^VH|FS zNV}qDF66<}v?{a}p^eT#zKt}qC%5!Y@=PTJAVi&cC<70@pnac`g)G6!__&_9 zJ`D|$u!WR7(01C`8HI=i6(0>Nn%vpVLJILcXm)860AH-?nL|hJdum_+LQV z8F_zZbW&J;g=@v(9v8jb&Wk@;7pl*sC3$au-#Iubc^;ulP?$tqaqt1ZJP)3FtI`u0A}Snd zg^7B(pN>d(Ds{0`G9vw~C#kp(0HD4XZ1bXac`^URoKr;J6)0X(_92we=pago1jA^D^ex_DKBZLJElVm*9gP= z2}Ow9B8ZnXwZWm0LXgg6B#LwF)gyPX*~^VSG9enQaiC~$6=*#?vJ2##GY#IfwNT8H z%Zyz3r_2)6E#2jeXd398296X+4)bsRTMi^bN4j*3czfPiEo~{=y#i)eX5j7ro#_k+ z3a-PL7l0)ty`^8*&+dv`5lO1V95j~c{et2@Y%4AuDtOFf2t#HQhT$T|h42UuCQPd$ zOj0mih&BD+0mb@aAcz*4)`P|%dY2q-N&lKdNo}pgqd1IJ)D=6wW!)ah@Sde;uGy(X zqM2*iZLD45A5AkGl9M-YctioJ^? zu`>LI1W)5^CT!wI?WcBU0s8lZ7 zgkhHfp_! z(Tql~VeqKDpdYC$IVG+_R;EGtLAgpE z?y0=syr(vs^6aU7tz*T`LrkryykLzmWd@+-*<6_%vMiUG!f%4e>?sPDKVM!#v`U34 zozRQd!Pxa|&1h;Nl`7N(BrhxIgiEP?X%s~+`wFOfBIJIs3)CZ;JYl17sfa{wklE>k zlgX#>O4dsqKD|!YGT!|TfuU@??{s#)hp>YF`UmVRnq{Q0X0}~k-7teDm#wkr6~L}? z9m6{NVlwVD59%A+P$g{x%3g#%M!9LDhlvvLN$x-QK9Z0qerusO2)?Rztr;?27-on< z+^fVOS|Ab~H!jrtU3U;R)FkZong?cBRb^z58?*urv?dWlV6)HE?|+ZzI1?bh{Z!ZM zj1siuN#}_RqQU%!+mP)i*pDF>fGFM-=D%+55G6&@m3$d{8XqvnAHL3=V6ANRuK&Xo zu%Ip&!*rjLZCWh`2pP4OV>C|x3+ z4l|PDW!2@Jx*{~>Fm74$TFjO=4b|Vp3u(U3RtY%P4GVKT9(h4Mc|rpaD9DEDvlAc{ z2J6+(e7I0PUp|U8G>?xoYf8OqCE977deZqKU&13TF#OR6d0n$Jp&yh6l$PE+qS<^6 z?5XcOG>32X-0yvPe4oP>+ZFd#TQxg#uZ%D22CnUcMZ0=a%NK8JhaCQ>Jy4bp&1Oc< zYK}fK8u29%raVmF#C`!Lcb0?>O#7WAt4i$(L9aq?a4gBO)^Mz2F0otv9DhhX)eQUS zE{OtbAKpv4X2xJP z9f&_<_-wK3ko3#XhMqO=dGRYjW>bRuKyH+@{PhUW+|HTK;6*?e^t|0UE`CuDih0P& zD$nf+{ejp#30gM3+ndr$f5}c?-R9Z$8?E8WpsJ;BV8L>p(g0N@4m*geh7{FQqHEd? zf#pcW4j4gjnG%*}*Q|!6eW3X2FD0=U-}0AU!~UGkGEGT|h=0BlE^1hs#{V@ZRRdCj z(dzbmgViMgocxb?ZRYMtis0$@lG_{k@kyN4B0M{>j6B4`t)GqEV#kkOI6J6yOm)S% zYWH{%GT`xI6q<$P37p;9Rlfb&O7Z>duf97`&oLU&(h0jq-MWQ_pfPdkUb60!uKMmw zaOfNSOL}Mf#7KUBg&set+e6kHTBh~k(*3IrXKh`9lFYUMYI5ukfNv6yfkohW*R&_? z+Kc3Zm?#g|@qeUvu1uuXJN1aX+j)6$g!l$Uf)6Oi9DsBVK;vMr&i9QpB;pGU8G*Wm z#`y6>@ZQ4m`SC~KZVBN11S5oQ35)oNMi6d^iTg=JNZyi?_LGT_y(K5_rx2ldTS>VS z0igcrh;PCM)EcqsFs6nkQLC27)ILDesnuob=@Spu9%3385{+t&nI?ycrnP2F^CLuy zT1%#tHPNQlmT6~Cbf`VbbUa3Ms&!@_cOjmrb!ED_6Fq7@|M8ndpITq0pFc66Hjo(< zObn?FWrl?lPu8AdMw}*|sf}cwjRJ_#wda^IvBbFAc;@*8Vqz_cNlqdr*Ir=$caeCh zHiem*Mg(dp%=8RmX6Vrv=ZBDUo+plCAQbnnT!r%XKfd=yNB3Y+sEvGM`YH%XAXQIeykm24t*jH*M4S> zj1tFc$C+Oyh?BKnnN!omnc7+A+&uAH?RVzFBJoEpi^*Oha%z{EE33qxwQJ1vU&POG2+~*R(wnl~e-6bE)_G#J(v3 zflM%$tgD=9?Gza1z;kn`%U-7sQCb=JbVpBuOZOL#MHO$$;9`i>A1QiJoDlTrK32>2 z=)vza-ist4R zKV_nb|3DrkI%UlNz93jb9i*o1h1)g+2f&gba$>zL@(PyDh=ks&m=8qwZyb7%TVoZjO-Woi-&g6;E}T zLb<>ykpOD1yzSJV82ZY4R-Eh_!$rGk<*Ht$2i?=|z28Oaa?P#FN)aJDEup{Xs!7q8 z^E$>C-dh)zC|Fe4BdFx<02%wpBClh__ze+t;D0w@Qm;V-oVP^FC`neOS{i zvZd$zF7^UaF!=j^UHRp&`h;vDrKl^y*Wy8!Jw(KD7W>|#KPj^u(=I`S;a?#Ss4Yf7 zz6kxU`cfQH1KRv6$G&3im7hXK9l0bO)!D_E?079Q=3dHeE2FWmb2X*p?65r9{F01` zVncl6+tRTffgpJ?=`w%w*ClF5na^dkP_ah+@^L|qM#Q*jI@+UjS&yp(jOxDC~L_oz7+alyuXzwswZbkFH+%RIz~CultcDLVd}oriipYL+pt1fNJL1DD@$_KjGH4hy0-GlV?LlzS z*lxsL5$kJFpLkJq`Udh$t*abvTbPZ!yizpvw-()kWX<5lrivzIvPUBi-HSm^R)Mkw zF|F<9>5up4Uw4IC@Ip2jwZ5ccz6!AnZtLi%Xw`QioSo5(!#3ik!nZTuwaa!O0Si+p zC7Sq5c3kIJW^}3#QmZR#P<(KdDuK|097|h^UB4sQIs=Hc{#h1sys`y|pLP$C$a;Mm zoBL7;Xs~-#034rpd1==I-1_hC^#UwZKnYgrgNm#(o^fR=yhmCqdqsB5q-=3tzK|f` zbGg-}@1X%A=ka0>U1)S#Hfk18#TOyJ->>ss9OUspqul>OVrqVeMR(#+DDw=g^)2#x z@-5PsOV@}T9OBf#h`VIUx>WDsd5z#CdC;Xv>7C6pK-lPc7Ju7O)nESj*RL(zJPD*# zD7>pOT(_*D@Ns65zjsADbF0ixgXsjd>#+2VNe`kAI<2<1xvuEufrZy@`PTW9WVV=N z%--7H0HI`?%p7F4YRBtz>!gJ>cj+vCE@x_mzZW=WxJT+{ZrZ%1$9}4Q^x0*kxRR@_ zLig zWU(8Pot0KLoEOp!jJ7)kkJ8G0chmP4YkFc!lFAMvkZIz2q7$F%QI!5_0`xCeoxggZ znfcer?lskX_Un^!AFRE-ML)B6gEt8pj*Ox2#}w)L@J-x_0J*(K>cZ8hlqEYBtC0NW zHhzSprKymVdd|79+%rsE_;Vi3+1Hj6l!W`L{J zvLY#_MQfG0cB-~^A>9nkZmOKfPCOVw@uc)xN0}uFXwSr+&14W&bv##@rjEt(ARKnn zC)}zn!=~Y^Tm*b0_Z`W}-IrO0vWIUVjx1Bum0J@>$2pzeN#hV4qhOqPG47QdZzDBv ziCmiK@#C^^;P`$YfwvvT@WgHGH4p8~?{`Fmg_6g8|DAM7lv_V6hiok7T@O3d$*=N2 z#eDo(qIQ4E4d;S*N2Hp^DvjrRN?Dr@xb1|SKM&3~=GQGpEJ(U09XZNB^WKr1Pr3dS zQSN5tvD`_vCb%r+&btmZq`+yEay5k&MT#-m$x<%58(l*>KfS4Zh4L#dr_Ewr@?46b zez?+Us$Bn=mkH}I$<$KU&=BR^Co^){u%wmtLmO$ap{l%k<;okbvS*|I;sC`QQ3BI=S6*4eIMB))vU62Y2(g{+bkIUj83 zh4Y4XW)13Si0K0-KZFSdzHsQp;o$w*sIhBA?15nJ(DV)gPf1%f_ZpGb4&>8U=%?JW z?>d`!H;mEej6B1n4~aWc!f}Sn(%9hVO0Idh9I)vl@uOE*=2JZ>@e|&)G({o{M-|#8 zBG7!{x~{cKPc0xN_yi%R(~q`~%kd&?pa^B`eA!Eb+j7ykcbZCVf||vE+RsBZ*Qn3KgJ}=do=?imsm=Yb=IV=UGT*|A=8hl(WF^!j z?u62NLL?5O>cg_7=Kg$^Jz`xUEw71et~LvQ;Ppi=L@mr4ke!po09HIXUa?_8Ymh8H z7EUjn*(CK8q+3%mX0qy2PjU|Q^yw}SL9>aNAvO^^_oPknx^YIh`I zPSm`=f}1*hcb3zNh^Zjx#F}f#-F$djOj+-eM`x~5^LKhTVzG%RQe3LxG89YXs21fs z*sB-T9-z-v9O<16N8JfyiX}n!<}J%Z2sTh+7qXahihs7(OLDRI?(_7%W2v}wV+dao{aA>oA(>yF za(Q#rWi_UKoR&4R>M)d-^07pMo$|Z7Wis}F&~J{rSC64T z>n%;w&t>ac+0;@K1QdDfJW{gxREJIJs7C56Yvf4D*J`|Xnm6={ckfY6B)q+dP1+p+ z50-Cv=%yW;Tm(@?Hk?mLzDPrYmxfULYu%?P-L@XukovWgQq*l(qU$eUW1v>e!y*1T zj{ai@OYa?(?I)Mr;`(hh+RAAICUak>*E-p9^&r^!_e~*Bq~OzJu3Ngem~5QUGU9bA zK3|czD3r!^MzRoyR^GDP&Q9PcVxGH{2sV0_Hy81~I0 zb_YMC%%WeC(a#90U)xW*<&E z+BGqwzuA^xYXgm3qhWx?rA1*8HO=5A5;pit_!Tyy;mO!s!w&ZsY5!~kcoM=$VHZ(j zm>vZzcN(z3L5|I|C&Z|RucMz@e*1%UI>z(VT86W+xG_1kq~^ijzz!s#1j@P~kG}`lREjs{``wE%6Ham!Q3GZacH;m%QgD zYLJZH`uBB1T}rrO&$A)bIrCqo4LK_BFt?PEJyihE9PbZs z=l(-=sl5|TDCPOtM`K$iZ>`Ex^!!mC=Q0$om|L_ZKhTWv^y1g#P$>UYM`0Q$eCqFb zxVwyoi7!pgn5#CLhM&d0K6Ip(5)W8R@*kvJ0}_=~E-Eh?2Py+b&{>Rj%gM*u)PJR= zt0(~1o}Z_@*1;c7KC!f z=#!@zD4KY11`rNCCstW>s5mHn`6O+Gw-UKAxuV1uAk46FPvNK9-A4g}gj$74Dz*Ud zJ726CqyI33-a2(I*7UB`$xAt=WRkCSMWwosmZU2=PJIaE#(ZdH>RUCi2=CDFOf|4G zp?Ia9X7J}{$~G(&yH>xCz;+CQKgIpVF!ilFt20!8Xu6-m6HgzGUU6bseDD!<6djQ< zsmBy}hs3bGwlkOVgmH>aEA@haLnnK-X$ytuQr+DRWDff9BdJOmVgP#mSO)=#}7tveRBv1loO#UC$>R$XT_v7t<2x?%Z&0 z*@AHHGwi2J$)hhT!Yv-<0hz(VO76Ek?8L9Dcm+!oyC!Yi^ocL*i%ifesyJ-pTGFo| zsoo#%I$jEb^Tw;vx`GPG$hJQU8}ihZBjTf35HESVboF?HrM1&owZ2UR;_-3f zj3Ahcw_zN_Y*&Em)CkGH`_xJI=R*NqU;YtXnHuUdIBhC-@i(6RByshu%K_`|9o!~aVfi&OB=%_*l zLHAgl4`*yIh|7k_ox_N6^UoEmNuQG`>uj?Ue^kAL9Gn$z8?-28cy?e2h>8ViB=0R< z;hcW)Iu2@MHjNqD2HLnh@@{usI#Y{K9o4c`rCp!b?V9A8E%`7Bq323IM*JJMX6#k+ z`4=kXJ2(}^mc zURbeUq?0s_a~9xn#weHi!1fBGz2d04C%&qYkNbB;0(mnVbhN97lXLQI1}K#>xp#!s z=vy+Ki5PlQ2DCzw2;2BfwY?O!;C#SwmS*fn9&jhDF^1Y-@@4FX49--ym>?eAu*(^Y zwf^&UWF+P#A~G8sm7dIuN5&gFECW+KLf^@I;;!_ zpi94$H1>O`b7GU=TF(^9GLeb-qW~IoAfMG9q;4lY!P(rXkvaWz62E{NA)gE61jBnT zYLS~2yc>-uf;`N1H0*K~pm^)n%>4gg+iR9*Iw+o(Iz#Hq$G(0K0BAg4Cb$A5FsWU$ z#}`tegPe1i-ibMhe1U);OZVnh)&u+IX0rpPT(rzD1k4Z!7DhAwP5mLeMzwtp5T1xi zat~N>r%s&>SRu?<-k#&gFuAToaRpd%Q$R5}I<_jKS9T+pm|RTBUOwf)BeDt`d`u+Y z&HP)-r#-ytx_Qz%j}d4yYmE;E^-{g z3EGLBoh`nVXp71UyqO)%tE1AvhVvDBWHk;k4_!{(GFnj19a4B~;cL-y`-Vk{)^utN9e>L6jBwPu zCN@4Q<3f4=E1MO0^PzF@-b*QU>y-*rB6XGNx@()cna(L=TT5JM!WkUsN98szFkR2n z5WzPvF=h(K$)+!sqE2>S|axEpvPUaM5@_vUe4xg-U(Pa2LQ zkDkm~w`385Gcu%2&$D6zLG3qj|I_A>OBc!(uKsT0hZw^3R$MBtU4<&t`)n$U#flgN zC_|XO1;y7Hpv>Z6@Ez97CTR~?0izoqcnw1=H?V$$p4bSeCi2R1`=T9u%N^2eryZ)h{U@?Skgq}5DNEjhHy%r$K zbvg;uNffTVy{K7cl6TER6xn~qO1crQFGA1?1t9cG`;PLS=)^)!Dgd-lhDqtm*F)Fw zw8iNL&uJ)!J|=giZ|F<~zp>Yk;6$ZwD`7vf0R6&7K7easZ>osDB~AezW*ix}5U(S&TjHvIVsj!-!>@ zI_q|N$PcP1^Qy`#i;}n;M0$EkrBvfveDC|cWE;q=E{0)8$v*M3C;f|gJv_2xMs*1u zko`#Mkq@OvI|}Bc#Y`jekk9N75$%gfW^_bR<_{oDf=#T5{9d)zF;00zSxChwIYuL7 z9)8q8g(7uh&-FM*ZK~hN9{9Gg@jGq6-S;W-B4axzb}6MDG$S9pqw-@$G^s>`p&(u{ zBR1aCt^LAM^G-^;AEI6j5D|#bt;!FA#F6?6<3}sdrw=90aCI9q&}Y*u#a)nPSas>K zE&Sh*@Cgu1{Tsa?LJ=Lf{#Q?t{@3U}fD9b}w@tx+Yq2BzFU80Z8Q3NrtB?MqdoT6C zR$N?Uhoo`i=>GQn`IU2C8ZLl@p)O>1;)gT1^~&z5X-DFM3*mMDyjUQQW1KLgd}gB0 zOy0QxV?m=U>{Xr)6Ly)$QhDz>#?<5mY{S_3k9#p|v3|H1v{iqmYUtDZa(DsO=!&*t z;htR@2J@mJwRs{Zr;X~{WZ2Mkz-s?rIl-6uMXo5D^iE$Y zj)%m5|IRdi8XLV5CBuF54Q4j{tu0R zbgCQo@$%_Y746<%IhoK_8jskPe52=ygwm;`G=JRX&dV~_R4)Lam2+;%DDHx9%AWhV zc$RitA)%biveey<_HB4+H0HZqNfhNBcKGdbruxZyo|nWA{MCdFQck7IhR_m2@6qWr ztujC2QJT`UGhA|F`-*hLARv(|5JM;0lz}F_i1VTEM7}kRGa>eO2wOEt@ zPCx&b3jUdk_sAhm{J2!FI?eS-BkB)Q(7(}3djNTaV2tcEDmd7rffY7J*a%w`yWd_V zdQ9P!wEI>wS2MSyJ)%}+7iRrs)e^!i58|Vq@q7B}F$m|8USL7b|!Cx`B6tM>tX+8|_0Yaav4*v%v z!Mz2$^B#M)lkN;HZAZ%QQY!R|;m~s7MyS5qON8oY?I_X8eow^}>oh-l$kv8qj~8PL zmA5!bloI3>|H|oQb@Y4PnFsC=`dy&Z=Ks#VlmEpK_;h1-Kk9*9R z7pvdAjlpraWA*OqGqu+d=@YI;QyLlxjR;K*d}ZHHLiF|b{U@)!UrUGnX=OI?*wn&v za8CMnSY-}1-N4LY!y95jjAsEmnP{6MM`KYTqGs7~uJufRG;S0yO^f&EQEL5b?p$@Dteq| z+2AzzJ^9+zVZ=z>?G(1;rFzjAQ}cu?`B}63tFBS4UCB_1aNBM zm^j|lm9FUGgNk}BY1m?ED}@a7o8fxoLGRdarv`l$rI$E4Z30+kTnXpALPxn#Tr0zm z&fVtYXqDteuQG1I9X5>pi?ETih=>CKTa}s|G<}4P%V65WF7;acj3!5meN&uO!`n$O zM$ySk=pKK4qs^b>P0QPDJh?6bPcpMcdE}8Meb_5}?JhQYIJFJ7Q?@Mf_X7J|Iad-d zS4bERNz*zZuE6#V;{l&XEwN9+XRl~?|Bv`C8*4hs4G4j_u12D;kbEtHSdn#03nq$d zAJox>_4Ex4_vHX43plQSGNuVJ7h=z{BBLM*FAVsd3k>>Sa0nb4W~}H7oW2O34~X-R z2v^hC)dW-k3XlXen5r*Ys~&IEg7%*QX5!LRSy)cqTR}xh*{4nwa&&{Oot#g(96dqn zxpdk5-AStv*!Gy+@k26+PNJ}fyQQmzgZK_nzrmy+zXiIp6 zPyavB=VB}-cjC`qxCoGs5^PKBzf#k$P!ERa%FAPxd!1>W5FHUOG4yp1<<7qtaW9T+VDx-yWvk_dnfpqIi>e9tTv?`Rie zrxE`Hf9w7l{As_us5?LS!~WZUx_<}ZFc4|b_!@j%Uf5rEw&rn3VRiS}w~reO?-qsT z7+}Wc!B>w53wuAE?RosZu(SQ_*GhCK`Zsvr{@-EU=YwDDn_Kk|UsR!8Y}htJNBssU z$(s!AY+#X!!aS)+@GTdT9_so51PjlHgqrfb=R4g8n!b^r2bqsE3e%F$LXQ&)W24VT zKK3mpUZ9f&`Gc{2UMfWly5q&medJATx<;;xZ2gg{}gS`$u==FgOHE@^>+HLw-0c zQHJp-5iG?7NKgvP4mCzqTsg^6($Z3v(I;w~K>|?PEYJ*q>2nILz#1KfV1u972nf<% zp;TQ&&lvhA?B2UwvCXf04c&;2YvtK^@ecjt*z~8PUs2N|tIBH4&-j?WrmD(s+oqE1G_O1bV6@XF=SS?k+W&3_vwRCl{E zG-Z9`W}8@Zaq+M{5x!;zjblgTX1Q2LS16jw8k3>Hc4J z#_P+Aiiz;@*NLOJC15cMmuMJb<6-2_O5^6Gm2BLEl(n2wf{Rk^z?B71%8s~n(s0TMi3_0y-bk{|x{+?e!N^!dW3)(+0Ty8Z za03)cl`}IGWB*buCgMEd}$4XP-$uE<6Th)#aqQwwov y19*RgI3Wo&ibDZpFOhoWc@#@im%CO91AvH@`yc?I002SByS`6L`{nVKTK^woQPGdQ*BYQiUX7fd>d6A%NKbb`iUxAl)bgkYYiq z7@8oUCQ?MifVAiR&AT6V&YYQ@**UX2bN0TkWxauoy@3H9!Tu0m2owT?gyTT)lgNL; z{|}k}W&wyIZ`+!8`m-Rwqq)pdw=fj>g1=02xt8`_q zFn(zK(4bIdk?J9yx?97Xi*P++e6@ps*`lql zUwzo10Ii1t3(JQOXFH68VId*GM-C6h5c-->dW?fh4Y7idbQ^ZVacSdU1+>7ehdcQs zy#v6tX1ztJeOmAE_u*j+y+0hKGohs>I<$eLW=xG6$TQ?M0G;{~Ol$L++EoiVokK08HUsLAx5m(ub8fh1Z%SNq6 zN~NiTu-PqcnJ2ey1v)avQ@K94db|}bdTDFRYvX#l!}d$)2y9t?<^K8(_zc(p4Y`J( z0SE@XE)`w&Ab}!fEX8T~pEAaK^b8!_fxRdH3@fW#;ew+&Qr{g;5*AECa0M4$V05Sez(0ta^(jpp`ADRS9|d6a8>07uIExB z6sJI+u?b&q@89sFtpQ&!Yx*N!R*@iM+(h6EPw~&VRfaUwG+7 zg&_nJ+0D-dZn3|~@|&uqbG+PTDQkc?h~+mL9$x_VeD4HwS*U}l+Ev{t>)E+hPzLNW z{(WaRvvnYWD?-A7AJWT(z&H|3MG5cLp zPK2j;I18L2$xwGN6nF~*-$QBPZfq_{`%PdF(tkRWWfN->wd`NCbX9D!RydjzF4#4vY*RFU8&ucu3)U6Ru3fw zT`mzhBKK&&bq39;v8<%3CX;w9Z75L;!M7oGe#aNrE!RzS*~U@F4RNmPUu8+2kg((R zN>57m{bLsIm+!YEVCHl)4-b)#`ezs>hPD7ABy4e;4ri3D97r;PGe^cy^)+oTeV0}` zq4$L!ecn3;tz16%5S!B5V=_Na=bv_@4ISkTvF=0NyS?9S{+smIeD*>_@F^CN8&^^$ zldIdxJL1^Z>L4j$!a#M_)K%6bKa|K>Mzu=Rwn4}W%V`%Yr%YeKYGxYIBD5J?Ga$Q= zD4H;Okk#$RV6xM5+!!2&1bq2a8T!ClX*_Jjh%U#lqzjr|`}XK*_N@ui0hFmG5M>Dc zTbMl@!Ea2!JSn?aqBq%%o|Wg{A+H@;_$a*Fy_=>DW(@3Vb6`fDSCo3x?q)M9T1C%h ziiUA$nN>_(_Q|5mHtgRq`DYm5!6qbMQ${yQEc=$^M#k5v4{RTGLHdShcg3X{Rr4R2 z49v&Mu5l^#cdEDKCsnHH4cB}%uL;rK%d0Y*DJR94{vp;ob;tbMP;2aOkNAdW{3i)5 z>chu!{kv}Xsa*1(l{!jch(ta*{@|Fxc|q=(V+>w@QTAf)u`0e9HtrD)P%!Y(^({x+ z{ja;T7@l#Aot<7?Ts%~#;ah!%rh1cX#-fhf(NfWFb33%(%WWA|)=r$uYnxy@LX+n!-Dtlg4~At zemQZA(5XmSi{mtQb6V1!0-*?waC0EjV`Gx(g1u;&RfZ7Me4LY7#ixVAjhmG`N??qpR^j;Wx&Aq&&8Yh0&JcCGC-1?) zT2&N^;j;koQ{D_4$Vj#s&9w7)!+Rs%gF6pG+)Vphy7%_~>T@}!3ZfGT&a!zb!FC?t zEbd3*-(ud%+Vpb3ef=cK!3Fo^BoxBEi_CWo-ukHhE5E_MMO%su5QzQdUeqgt6#aE} z<6}#T(Qm@)_9Q#&U)>zH75!hO7p`I69~IRPV<=6bf56`*zToaE$h8XYRzEsA9yA~* z0Cn+73A&&R!vqN+huzI@>rw)74#2dwLdrptE$yx^t3hRREt-FxY>U4A^Ee_gC*s`6 zsY>2FP0OPQi%8ht7qhe6ZYWtV5ivzJ>AMxW?Py<6+6r~TVCiprlGhMW^v%XD7(5i; zhjnhm_r&q9v9Bdd=H@KD5Ux!lH1)hq`ejHez&y8$cKWZ;(BySqJ>Q3W2Rv&Czjo=k z%TzWi;IfsT7fV5ohCYkwBD?7d!XUyeB-!MpyMKKj9NJKA;VOaoN9{ejja^#1C-7b2 zU}SK7g>99@pOByB<0BO^bUEM;NLi%f5!$BCaw z4A}d0=KG{vY4k(EG0&B|H0kgIpCZT1UFem{&G(YZQ*q5-9{!y0xR@U`wRH-@fWMV* zI4PCpt+&|YKH&PH;iqfr&oAdk{pynJzS=-xYs8Nq5IVw|V8AhkaD^YfG8H#^^LIt{O%D0~IZ;!FIHYASt31uROqqJtEX&G?#-f5l|^gY4S*8*TsP2}=_oo}JIAAnBTX$evVejR`r&Jd@)bVNWcL;SSMuPru7{(!cn-sf-A zM@-TKJkBbvV;BaK-$7?s#T`n6qg~4{C~KJnb^U`|16sWiFHaO#Qs=UXSUUJ zB@@mt4(pE=J|8Do7&X}5&7tOJM0<{h74CNVQGdel*^_HH+K^A;2@}5+o}(GYYcU5V ziI&@^obp`GnwN0zWeeKm*(+_VaJYL$ldK9Qp{Y4``11GVa!`ADsdvdpklShw1-$ut zOTF0CH?y&=9OmA=*8g=byE^jA*Zpw>jE?*CoKp?|<_N`z$GLsM$EA_E?u^oY@pgXhTN?nrjpH zQ9~qM8_c#!72Ah9M`^@`Rig$3;g1aPpV+FAj@7_zSVU}lKD47c z;z%|V{%@*pKxH!D20KMF;`7lyYw=Q^EQF^A!5r*E()_<#mp>zZp1v5BSXrH7Y^78E zp=?a&2wv|cremX(2~|3uoU1-5t#OwpnP2wztq2*(yBIXvCB8*MrHJ{2X2Nbcs!B>cvz@eA!%Le_ayn zdvv#FhO%~yR8%RUqWd94?TmL=NEbAXrxyWFhd$W_q36N_fTF17l?c1GyVr;293>M> zcuEZs>Iv{dZem*mLVjg!{ZU`S*81=dgnaZ)8(1y7(@eFt@8mTBdzSXuMCv}PZ}25* zAF9CjsTEfNhEc0g3YqS%k(K%BVs`~k&_2MgQ=IiUo2oFJzIw<)piN~iX zJnDTzVJYAuu>6B`U4gW8@rDxbq!>KRU+K1DL<{YU`c#^L9k@yqE#@lcr13r8<6GqH z^4ptoMzX)|k=M=NXHJ!13#@fJLRJ2I?tGF0F_(ncN5Y+`|GWyrw}KF^qf;&-*bktK zQAOQGk%s}FCp6q@6y1u{D_}n9<10)A!6o=YI5D^Dfiq8E*J2;ahr66CUi3gwq;1HNy$V$F(3hrtYQ0rm2#eZ8B7+2+~gb0Uq8u`X&lE zJb5M~F8*2GwL~@3mZWF~IfDcoPgjN<_5l4-<5I1aQ^@VS?jBP`&e&kL?})CZ)Xyal zJrhDk=lD!^=Dnz72`>gg-{Wk^2TIo2(PvTbreb4nW~JQELM+pdwKzqQ(`2*@euqH@ zdea4r6H*0^4~eBtIi)2zY{tYShCmb(QpKa{895A_=qUb>n#?plJtJUAz)v#WVjvAo z@|G}6h)W%G_>!AUNK3~_$L}%}AcX%ly=#d)-fMy)bLVj^a&T9?%U3PJfot-F#tgh- zgX9mrVaFlNxoxxRJ61nwtB}eF+JPE z0r#l^om?=DJBJVufoO%JnNs<6E5LqS{2hOyNWHQso4ROXA*ihp&M$4snx}8i$_$=Btst zd$nk;`onOO{y^W;)*K6yq_|j7`pF|!CdvCorj~}LssAv>amL;0Dfi6}HtS|8#t0!J zMV~5~=^w6k03vr^ccdi6Dr`(SBUKZndK5K7o;fC@#3bTzuQ#Jo<3ve0saaI|VYhNT zj^PqVT9fl4liqYi!>3k{{Pn%j9hcLc*qlbNxP+HHp8hF|7jgun{ezz-J)+Y)YB2H6 z;PB0yjKty7kE(mA|7)V9_(f+4e2j`YL|y!Em-?z{KDofo<1=5Lw-9;A2EfX3qQaumJ#MXHrN6L)e8^vchE&Yp*Oii-Qs;G06cy895 zQIpV=45W5t*GZ>z*1S4BJE)>L^MKGGcN`E78p^{(4YU)G=rEmlU}Ks%>C zCO-P|NXk><_fzOz&DF!-w|k2b%=o0D?no@ZOH+O5Q1hle?)`WO7THI-eLerKKs zb!J5`M>%9gO*sZSuTHQ=w;d7DCKsh_>yLhmO9gndhL+Q`Z5n;W;c>2ksrtMp&vkD^ zQK}j#))0iYtDAel36{@NCIQRa#pNfvi67FygnA~WVUIjb>BJ2Z-dt8bRrH#NCxH)$ zia*t>SfQ73BiKK|z3Q-UZdTF>`U4sGQ^@4aY)Hhpp~E%@?FPjzonhri+g)~manduH z0sujatUTowkjA|o`+=f*A3&(?6-HiGfXLvj$h~sjl&dj-a0M(}a{!VItq5M+<75LNzCO zA@zp0M94nG({e8}Dn8(?2X+)P)#)ruJm9oOD45Sre<o#i(vAH*4fg5|n-h_|maYW2yvpUW3_P?EO;XOQoI{tO}k zjdlYGyo==Jh(;nB2%?p&gH}5RnO=?dLUJy&oA(S^jShT!mb6Q2V2998kZ%egtyodp zRX6Q21uO-#^MvR~fP;ZhcMy4n@Ri}4%P$Na4bUx*I08G6()(PnF@5!QdJ8pg6@-#Q z%KO{nRDE;7@}Y`$SFqB%or_Q@MLt{D$Cf!kslBi%erHrh9}NHKdtn4XEgzwEPgRs? zuy?lPaTS-)M}0$!)75}~rT%FcMa21!bg+a7(l0rIj6y*dY_|_0e)O-H@g4ME0s|O) zJAMV_Cmvo`LcanX9r+QS|#(^l*# zFI*2l0`|ICZGwU8n1K5gUUK28KQ9S*Va089PACo*N+y*9D7iO@D~H3rgv+oLy{COr z{QU3+$>#0#e?9pDQ-z0+)MI^5gHmkSm%XbLSX)I5FGTKF{YIz7kg&4m?$j+O;=yj- zPafOc7!r3CN^<$z1j2UoP7U(izEZLzJUdh33)Tw?Q@=n;nO|;Q@&@}R)V(2!9!jgn z02pRmNeX!3L&)^^SqR@24L)Fk*E5xvlQr_coN?B-bh}GCV&~s^kNT%Kg`?MmGvwIk zy+q(S>kP^A-`7ui5yvd;vi6e5MMSUDvbWZ}>HU>!Z`UOq&-Ibq0Ho}zH9afYwR2*p z4#-#rZly{I2v^~^>X1ttDe^N)*S+&)yl*mPlDq+3Zy$n;Fl*w_T@U^1($Wd1Pd@hS z{22V;@~p1a*L)Ic-?SUTp5$kacVbM-vsF|$A-T7X2qUuorG^n{`*LbL`w7E5<^Dz0F4#6B`&z&p}@*&bcs(}M2G(Eeau zU{2M(pp$aN(Xm}>&#IyIORU*xFnRjQ2&^AlT)%HXA>HdPWFZhc~^Hg}y%SrVvCojYEfYzkJ>}7H+ z-6>5uRB&9#ZPl4SWttYohwteLZN(%3{tPoaNb;LAMtrxureKvtDor%wX857d8Pme@ zpEv0Kjt_mFqoAjVINpxIOc_Tm@Ocr<-h81jjqgs0xf0_2i zmZQ?=%u0hGMORVY^+7#X>Gbf*E|njOE}Wh37F3|*HnEi~=pI-&9* zUtnerb^ob%)A&wuy0-UcvczK4-IDdR4viB&Ee{{uOzi=Rfw1J}TrODXW2@VwP&vC( z=7M^d&wuJ6oUB=UJw?VvH+YoRCxBnTrn=0g;Xf#!GV+i#@udA8Qmx|;x?_QI>HUC- zav@Nt_go3gVQ)$03HRdC8zFi&3PDA(IqW(^3FPe-0WeZRN%#w1tSw%0=KP-9?E~c& z6Q;LM4g7EeG;;1abkPDXm73tJO?=l|c7%5k>5%eCBFwaeAK&2M|Aa9fic3^<<&Tceef~w{`pEWs-rsDib1Rm0v7?qaNZvBZmW}#cM@J9oql381c=*{~ zvVdQW(!sqxS|GRN$?_v>H{D-cTCXHg=Xpw=KN%p(R`ZoashqwpTR3e%6W8NiQihqT zBHd!#=oMm4vijUC1-Y#^GAh}MsA9!n#2a*`R-uR`jhCjs?YDQ-dE8f2e3 z4(JB$(AN15jczZEzSAEyeF+MsA(29;Ktw8a6mLyg+d!VcZi#2?1Yci;UwmepGm{Mq zsi%6R6-C6|eM79e#`gz}bw%wQr)^&jQ$f*VHWQXwm=7N#n_LfYk@wi-G zZ~t74K-2zT+w?cYH4M%2xl0t@mR2YMG+&}r;6?t&DeoF8Jhw_W+GD~^SNIZ3utp+h za&ld|aj96-77dylPv^5Osk1Q^9X%q_W+$+38l5Vi=MoiN_iwUQUG%*Q+g{1*Rx)A{ z>7v4fDyRDEej;5C&y_$d&b#xLOk_4^)ZghS#*FBD3>P z?-*-*_0$J8#AtL)kGCpj;|Z}KEs4Hz#vRRb$BIR`L<56Gr;e@~nqa!t)TR(He;L=W z#UI^@ml+UYO{=F6SJ4!#t>+Gh^?3I^bUe$cDf0e%A1XsC<}9_wc7X>IU%Vji_>bx= zEATvBh=%rh$}bzjHxq?txB#IZX+r1LrS&j__^TTUXz7=mqW1>*e7BDD$r?;voN@fv zCrPd`JNSzBjyjUzHGF6!a>!_i`D3GVzRpWI${7_eE0HPuSF%;i4yG6J+S>V)Sg%S3 zf1%Gu&~$`0JW1+o9+!Z%IJ~hsbn_+F8F^(!j3zNb?b(^&F_@?6)d6$f&(e4bceZ%&BC_p(zkBWLrTNZWz$D9)Sde9G| z(*SxlzvGbL|61}Sk?@0w8leQwZ5=K1FR2u$R`GVWxB8al(WPtQ z#!!keJwi*363(`lJbU}}&&-1##2zGGjkKyZUW-)8sR$1lbBV|f68vGpH!n4>HTmAF zT7F)oGVJ7w_q+)2k00q0_lzrRlYwERWh<5xRA+qsykoSR@+W}Cp0f%N|z#QsB zdMFEhrUM41HhJG$>4bytr)xaFdyWu3TV+KP*(>)f13Q8`&DY4UBLjQwpeHOH?R<$) zK~+b@`H_Qyw4l2`ZQfxZT90hSwm1#cy+rwY`y3{H{~A*46^8v2F0&?l6jgj`?bzlt3CVu~~GB2V(sg>eSo$HR?zgBp{fGr*^hGs3~g(u=+t5{fEG9a-ph_FsI;Z!0gUoytyiR85EXpg$|9;^> zEKuWYAHn?_;d1yKUcEROk9v4)kn$`pgd+_=r+p6PqTg7!f*M1K_#pFR? zEVXCi;(F6qnrR^Wi+x?y7=m}>Ki%x-&eG+2^!O?;c67q{YBB3kvaY!`lo}#<$Gg5{ zzFLuI#*@H<*t$0ZvB>+U)KVY#{eNAd9-TJ0A%Ts#6m~09rc+Jij8`d2PQky^00uvP z#ztRb?5*EtI^=#gTmecg?#z_FO$h;Jna&qGr{MQU_dMY&3fJ#r@A$tGR5NI!<%d;- z{g%uE6C}Hw%;fgIel$c6-&eVIfE+>k7~=N$Bq;ae1cw0nEb#h_MStWuE`JVoqCIQ)Qi_^xw zKdX7F?CahY;3I^#D4K1MH9=_pP}5EPdKy_o?%^$gn#=d@%J1hBe+%XJ3iWAZe?s0q zbg{QqOM|z4NXsFGHKZx?#>^PC5Z%^U52^i)^U*xQ3SQMZTRHx$;f=dxOf6IRiNPf; zY&~e@`Y>u#avP7KUwUKqYZWN$UMaEoW!ZaJ;@H=`?bE4vkEeg@=>LfSK%l&r>$e_6jU5bXKvV_=s*07>eH zC|m!kWL_}T{*7R9f#fS~tsF{hlXD0UT;%LN!yB^sr*CQ#@}|aX4wxUAgC%zjHs;us z4|3Cq0GfP1>cUKRXvXF_wG~jNE2zzCuMV>Q%&X<(8YRyTplh09G^+DJnE`qj(4l@YidTTIZoTep}#jLWG11^EvszPRb@h!6%3iL zHizBvGH4$QKuHU3cgUkNVWBq@Rh2D$dwz3*_6km(!N&H7*S<>R0ZYX}NR~NZzm}LM zRFErTo$noz=3ETwgsyY`6;G{kn*O=zn>{hAtJoFsrhUeR2zr^^m=NFOmJ5Ml)(la# z)_;7O?~u3OH9mmZ)jW4ruzT{%p83i=(7(&>iZTM&apN;lJuA}(O?1kjR@$Tr{uXBE zKYRK_{!-Dq*s-%p$EK!8_6pzm!%L8l6g=#PmhrOgxRv6!r+BHtnk#($YwP9V8@aOF zd5yaL!*(;>f!Kb8-CYFFf#WWYz<8CEwZHzPDU5*zBgE&U12O+!Par#{o#f(vLdrm8DCK z@Uj~ssegS8F{cyl?T7yvl|9!rA}Vz0FFgW7y7)8N6p!+0e!G$KKX~Z3;ZKV>VSdLd2;fp ze4;p$drsrb`fa|@)#;lnp4(!*)pPC^6_EQ4VwDYV4U3fKmD{i+x6xFa^?Ja5 zM(sRYI>lSz*g+G$ss}ywH#D&w6Q-@rih^WS#&1yL4z+kuJo`_sVO1<)QC!_lUKP_| zLa^5a?QwF&L){4VAIq!8QcjeV>czX)NOI8bAln-b6D=xRf!jb4yVv+;6$^sB*dXql zd>~1ds#Kp%8yOk7ckN=9+DV)?h2I#*KTsYl@^pc{B~PlH;P=LHBgFnBCn&VT z5u%~6n(D*odIZBy`=f%EMe9PR~9-gom5zL0N^n zU@0qq=WLdLI^uMeoo->4jdp=11L6C0$)e~%j(Phnb3||`!mt{0Cc2w*X%EWrhH*Mx zz>0T%Kq&Ualh?9b5yvlPSscGWG6T+&P1?QXXUgCEOc6xSg}m4 zXT*)wOjC;cwOe;pqktQ5pA{tMB<%9NK_uXQC(~+*VvV{xMY2THkT}*wvTe=I3ouXs z#W6*-_tz@&&s~#!Hc$vh3PC#BjSWl;@Q|=}~c$F$iw(sg*6+Tv6bVoL!s6lqVNQ+mKstTwfD5q(?T1x>B zkGT{mYd~Fnq7}|9Lm*fOO;0y9d+_@8!^)tL_l;~6WX(DqM#l7tneuY*kaVm7BqLYy z(NmN-lkpn~L2toslvS(2qawIGJOs!z1O|Nh9}i^rea-Nc>iqAEFGD1UCVBeZ33~Vd zJjG_K3fhSs<^5G}V?LU_k0LLccT(miB&@v0XV_|Zca&Jt8La4V&7(2nUp6>33;ikD z^(YvewC-(VvuTTI3z65L=X<{V1npLCU=n=PK0&vox#w#1JV5nFssc84-oBX2z{yG? zyOs7OupqtAwec0xSNC;A_$%ziFvXUwkqRc|6<@tR^qF-y&tDuo@>JfdN+v4Qi~0|N zbng{hfwsTDIi2^?7XCIko9D8|o7@S^+6kLx1-%oH-2V3W7eA#bU+K-y3*)W)cU;d- zx#95J10r;v${Z9#RA8dKKfsUk3FzI=|F>wZEG~2JUYM}^_f@z`)vA_x-dSocZT@;lLAS*7jnvb4JB6bE%&XRcU=K%>ffl1@2!RKy6wdY zX%4$B`-uCd1#vqjB?tVvrvDZvZ#f0-h1r(n>jdN^fBeZ)x6b?DIq8UvizeSrko!a| z^dAb+^Nw<#BJC5RqmrY5jJUF~JqL&B`2VaA=gaS(+*Mkz^XPBw910}Q>b75QRe*Z` z6c8L*5c=xTYB!7K*WL{5u+UfT{*%AWocau~LcH$SSJb1`p=o36)K898QDuw(Z6&Pg z1nS^}Hv2^DLXaXY*g9DjcokHwIaaUFL^ zXj`VkpH_*gUqGJjwqw$YHXPH}&l> z^Xx@B^Q;RebA}>R8BTd%vQ<<%6Hk|I4#3=(V@Hig1fcrbJZvKGD=4YpI1hLV3X2hBBi%Q|Mi+z0Dc7PY+ z1jIy!rei!0`GMZ{{;rTi_MYu7I-yZ9Ph|2=-;gI5iRgWbUFd)h1$*NvLI!-ZB}A+% z>~&wi;V*9)$=*g{>waN}-V5MvnbDS=>u=p=iz^^w7rxS#-@};(&@oWnVMHTW3Rls$*#p8~4k-ZjrGsE~$htK3P(G^x$d}^kx3kWDT&i z+1a`k9QNUe%@kYng2nykFX~|votA{_0c)5COs>QX!NhR!eNIok<4UkS)^3_#zl-T`%#0-?TjSh~r=@Y%f^SH|Q>Fi0w))?njeN4}+zXL_Nr;=WZ?pNI0+Akkg9hn)1)kja|w+jJE8>H{`7REoC zAMz%;OxeA_qKuf6q6!(Rq*uukM(RpGGV|p#_rzi$`V!3*bwa^`9~|5kg4d zwt1bM#jaD7Q=Yn|3_-6hWSf@1tQDjxFN0L+#y!KlIxTswYfEMrWu>no_GP>HZJv`h zX?h28iQR&%uRMB;XBP+pon@H~wKVR&-YF9VXb$L8SM@B{C>yyhUsg8LHlWDUN8Qz_ zJ&Y0HmH%~|Mn+K_zQx%7R0}U67-&ISm@YMU^F;jLaOT1Q@bzuSmn@joG{Aed0A|JTq#i2l~a->_Ezpk+Za3?o>PZR`#9GU;VWaJ%* z-CsOJTn8#7+U@IM8u~^O;9;4kAV(jxqLB5oz4+TfPDq&vP3rdT_BiSO50R&f7>8*h0-1{3@DF-BcKb!$GH zjr<|_P0G+*MB#R0pZf<|#bc`7dfo!n8+?KNuc+C{V03wa_}Za$+cq)A%| z%-${rfytI0{$7Mw)SoRYUec;tQnOc>{ov{CCED0U-7F~r;cicc9SNVdE~OK=D$DpNqb1Cx6}y`S;rQZ(&O*ZfK~z0 zp>e$8XP@D{c2ktdH}`cZ zm)y=Q+&81;C$1-((#`DyLAG=iB12Df#ll^fy}-J$eI!-JQ@fnK{#)v(1%2T45Oi9$> zPI8FgJ>w{`JTG^G_QT2g*qYTli7ZS80~VDD<$D|#2|e$yiC4DBvSem^8-Zql}N#10u<9xVjQ>To+NEUt&AW+K&ywpA{*k4t9$T^nGG2wMjiPKDP9ye1?|#USd2svFrEf zQiIe{jz@HiNq^tOHW%#=78co(eKeFuJ;DlI}h3RBY@VJzDY9?pp5n7Z7R1!;a@t@*%0wsYH>4X|-WC=I0X0 zCckKJa0I$GXS6&tVCdGtu-Bhb>f%cv1?rWld=`FoE@G?7XgG~aZ0`C*F`m_LZ21X4 zrYJSv$TS8bUu$8*^uvdYmg?TFO5E590y(57(EbQRxx=#IFKBC0H`--;m+tO9oq5$E zLbx%&&lNlAP~COY@aO8ne$&woyi?v!z8X^_E#vEItId5VW3z1;G3cRkRs0&=iXQs; zNbi!dX}!qOxbjOr_R_FwLYhIJvs44JRySDe;J{ZPnG3wKp3oSo_x#F!DkJ{z&41Js zag|4z!BZaIwtf+rd^Rw?oHqDJIG{LW7B~2-4Et0g4fEj%C1It)B)8Y{S#fibLRc=Y zH7+J(g3;890AE|lkf-1+6uu9xSC{Va_ut=KUV3KNhYS4eN9$&5DgUp8_j{Ee_ADuc zdhDCfMN&a-4W861utXfWCHeCSypNKO@pHB$WkBtc01UL_H3A`6B z{64-O4ZB?K<4ruV!A5OH*E7o=^-IM1zu}mW&x+UODxPe&i#_nk_*Y#~89&TP#}F+p zEUvLG5+iP?y?9a#!$8!-OiR=CM^2|w_pyyUe-cyIdJ1qZr>bHf&6#VOoU|3C^HwPm zHTs$QQDL5YJa%SEL#oN=fI#P&2@mBesXx(7qT2ijabd_yQv^rEhK?P6^!qKgDw62^ zc3h5aFcU)xES0PyHijr*C5Ugw27i80&YW}=t2+Am(vvMMqRJS{Z0!Xxl)vI_(X$a) z_+@*|!VD*7<;16V&d|8h}JN}i78 zm=|r1sC;0Vaot8p{NHs)c8^iOeM(^VC`BV1azw%+1X0}tded00)(AEv+dz}o)``-7 zbuEUThjP^S$M6)3zB#Jg<+wrI3QRxVhMezgD-dB8>R3J&7Kz?zpNo!ybSzzOw~s7$ zfJhM94@7FZIOzbjKLdSkqg;u`{Cs@}&z+d%yP|6I$+) zR5BOu{Q1VVl&f&R*U|VBu`^ap1w%YlxgE5(WeRKBaQn;gurDXDR<>lfO;+p zl7;N6MWdQ3mc@$^)*9k$ z*?8Vin}`QiQNU%Nl;xM9yc#BTplX?))#LIbZTY2kzNwCxuuf1W`9VKa$I7U%>WT{iYlq% zc!3=Zn(tL&U!!_T8pYb}#&!1>FhX?&i*1dNsvF)S9QGILcu1 z3nnQDap<7Y6K!5dbG8JQ$>!XK1t>1`yqvwvaRoVNVb4G&WOD-8oTBbdK;YffVR!Px zj9i=F1Xv~rMaqvZz(0+?7dg`76_g4DMUi6CQwdv+x{jKfcV?4($!XfZ{gYDTd~SWw zA$W_sy7XiP-u;_#Jkx(xgP`W9PU!JFE;^R0MQ}@t=}^))H#5`TGtkpB(9!6C5Ih48 z9cm`XWU(~UZUcMiv2pdTF?Lfbp6UD{!s(4-kTMU@ zU}YDqBm8+*K?eWBB^9TJY>F5(8$7KQC5wz`wf}QhV~_dxu~(zsD`S;A`?3)#EuiP1 z)iylyaHZVih+*z)2sFe`V3k0y{tAi|oPwE|l2teIPa&-N1O|RXmt?G+>KvsJEh_v3 z6F^j0;BpIs$108QOTpYXaDTEIi3w*vC2tp6M!tMHLnNZ_9u;8U{XNqwk8I9Y_y53IC9@` zC4j!%Dl{b{A^2Jf*$Ud!_-VphPiRyD-lv7Tb}QVcAnR?ge6SS?{qy`Vsmv1TT{7(o zzEZ^bdHa?RhNQim;>*QbC;yWKB7 zST8}6fcNbQM5FR%4{j16>FizWukwEkoq0Ude;miR*=3kv=Du$xS2;p%D&@?bvqVB- z!!NWUGDFjS=jd>x+-GHT#v&^Bt!Ohu2|4!t_2=i`&*SlVyx*Vq`}KOhNJ;uy-s80= zFqOwIw=K5y;}?5@FN<#K{8ll%`nX6l7c6D~cU~G(h(kIrGZcs@ zmu$z3xezhP`w3x&gG=2zj7-xW+}|+Z!;4p`!oj!g5qgU@-1>5or&{nzs}8k1QU;A> zO&9B3fGJ6P?1_)Wvpkm3l)6AMbLF0;9(a$6{&FlbR^Y_iBh-^WyXqMGLF)iHv@&?A zh5zl|8HF$M9eY_@pmU$P`YC0PTj(_oP~YD0aF?{)RHR+BhW$45ZX$31ce--h&9dmd z>H`5q?y%bJHd3M(*(~$ku#5Tr;C;mFI&ubbFBLUVCT=A*InK@P*PgF58uh z7rOY_m&wQdoG8xM^PfW{!%DiPzHeNBu$ev%Vj}otpJ5NGw}@J#@fEj}3;q?z)c>m0 z`kx~KXJcA8K0%^>ptfm!UfKGc4{4yX2es0B#xD29N|K5fuZ$+}YqcMmuE5B^v@Mx4 zh>4rmdYPX+JjVd)D$j&SPwbSCo#r2A0yG}@xh=AJGEshTWo&Tb;J!rfpV&2?NkRTW zc0pjY$Y9+1G-n1e?nJ#i+GX(VbAbPM58SpuVboQaPPp<~l~h$5`HPr40qxyWe&$Le z2xBrJK#G%{&9Lw-6zF{ASm2vK#}9kgJes=ODjz?^8P#|;5Mt@3JApfHxvsnUWfnZ_ zJ#aDu!990?1|HBxN(r3=!G^-}>JlpXc{+6E8mC26Mzzu3a!(YJPGe1&1ne0!wMZ;C z^x{!2HLw7B>$iG5#r4&6kAVf3P*!yA*5PRWyl>J9jJlkDicyyT4uwHj~x0KUUWm=3* z;k@S@dE|5Ag0Fj}$=|Y^dbhT{lk$=$l2rez(wCnwMQbP2ptR!OWm0|$a@IJaS2WtR zNou<;{acrJ!EASc!b(YVclAsk85W?5Po(Y~CS*t?VNkGPFV~P|2O>JDw~!xWqL>D%{@?C^QG)F_q!d=BbL zzGGA_7W~VkEx{MmQ5f;iOIzY7zkns_iGBj~gIJ{cam?;{qC7uT>BqeY6_=cwA6Dl% zR&38SV;=qBh!f)Esn=p zjq%jKl^qsKUw&=^V`K*A%OXz~Sjs&h0WqCE!UdU*5Fw`RhOSKA%5c2uPrkLU*w(Zm z%1q9e9g5Rl-o)q#YW&&fuiTPDe$KfNl0lE5saPz4xN&XJaLXv4$YXfwz=^?@?8b4h z^m2jh+<>CTeY@U;)#>b~iX_F;kSuAt9l58Qik+d*f!8Hg+Xh7~5SLKMvn9&dVv9@& z`t)qJbRKdrun4VyCuYg0X`Bf^$y0WIPx2437o2VAAkYh`1icG^XgzQdQ08Le1pE_G zC}QNTWHEGzyfMIE3YvgpBX4~;WGYh6io z33pAsYboJ6+oARPJS%+%`1+hDW}^`RuI08jG^bNDNB8zM^dHn;8~`+6>AL_x7&aLu z!HE*=~`;BIfC){m2_dR$2^hMnPO~V5E1Ald1$5*Kd zzaa5iEv+g~xTJUc;m4n*%GKtAYUNYJ@(t}YrWGZ+hy!ugr8%Y4Xawg=r@CEu6>riO zT&E8Gztu%?xX`Q+4sLcjEEMsMY1Yv*HYkS5*n)*Ew z{p7#Y27l6kOIeBjkE_|@!1*roU$ZbKZ(1vdU;jyI3B+bP5ZLz&J3HK!YU^ z^kWDNxhc8XA%C)?64E%nc2`Wh*7_o0@be(Kx8i%rxrLI1^W07Qx?MGoM6J6w$%|70+P*JbVyOXhig6~3bJ~wFpseMn@@{xR zF@)szeChr>OHIzVR2&ojWr%&wCfBN4Cc&Is=^Er1_3I6-vH)Tfstaj|dtU&Ov9g4; zZ)?p`J4%7*uGi#|bv`itdEqD_?v(wc9#sUS8Se30%_G+$BkSS;Ll+;xt;fGDg+S}k=u`q>rTu}f^XoPxy)_xOWqmGpXB;7XoGH*1d zO5hNs54I9Bm znZnyd0y<8c1$XnNad0-lbL+ey`NN&evad=xfG#@#WJVYvA}K2b6%DV`mdb&%NB?kw z&9oxqx*nQw?0DMacfbMbBm~FACM#Qi)TIts2jBB6H6$F#Xk9#Pb|$V68+DAHfw;#qc$jYo#R<~3+T59fWD``6rRLQ&}g zPaTKRkh4KS5_El(r3%4rJt()qWsjGR$hKo#=$qrk+0^j__;N{i_4gp*s9ZGy($b)O zhCu%4`Ht>BEaPHRbP(inFlq;SWXO!49MzfLA$xz)hO_1&|F8|QK-{ppYtN$htzpx` zG#k%3nE9iv8bU=4;3o>zpL}mu@P%zgQMGj2vbq+NBqhs|eqT)L4zofYC(@S4emWh<^7%rb~-73;{-ZY#mXfA#)%JZOFfTg9$u7*jmFhU3R< zOAv&ka4qEbatqu>1+lH~{Csp)`~l7~O)kb=&36VjUW2(EgxtL8#h7j*cuQ`Z_~0%a zl&ckG4A!G~dDDx?*b$w-8CLCWZTv%w!ud|Gx**by%fRyZRox#NSIf5jXj~r_KhqJA=c&ZY!W}shL@sih5ZD4GA4_OA_-XpQM98~27}5HD zi$;KV)=R(PAPskS%HDNbQUR^~@l6De&;WiXO&vWVz1ks&iBthQN__VZimw-ja@BF9 z!T7^%dy>}=D&D^O@TyW$<`ylD=NGGLmF6~mA!v&rdZ_+L&fY4g$wrjzD-_I~yDD;f z!i%3Cg~z@7ha*XD;GukDU@y$@+2Wcv*ujACZQakReGu)?XQ$*2j!UDcTE7Gez49>! zAM7dKLc+eM@8;>=xXGiN@fO2+!F3uYVQvH&ft(YzRv;2{PCFu-p45|DUR{WYL~WbW<+J)doJi zSe1lMS}D^ftzp3J(UZ*%U`%v30^IVk&chT?)ARhErgE!jD;jcNujgvI_%=yC#Yk_Z zEX^(gJa8KEB!#P38!7qKD5-@%_-KJIu%av=juCnj(l>epjSh zjFg6FS^z)AwaJ!wiug;A(es;Y8dcr-_Sip#tI%lESQUXr_>bHp^jMP2nY}f)!6pGM zh*U7x_6qJ7ROna!t%+2)IB4p0Dn@k;#u;*-RAb!%bX2wAp1Z$X~kp0 zlv-auHF!GX2pn$)6{!xd<#S04S9FxiM!l4mNL~B8M7y_v6BX3^?<()b?Qk_3(-02S zsE9iAp2<=R5^duPCA}>f==dw6MaVh!x?X9vc!?^_81}YEZ`1knPqMrO!bKcX=DCP2 zU)#G6ZXenZiY2HX3xT{z2zz#fU}i4Flk)98paRygJMQ^{Rs$3dPU@T1A`azbqj zs%Ps%6FbB^=jZG`M*iYNp${}1?WxQ^(W$3Vir6hnHJ*1NN}?W@lhTs5j+v3u>!i-- zgOWVhG%W8aCB;(^s(J}g#1;@NQhT!cOXD$4D!rv{mnBOf zU$U)(z)3?_$F7k|M5Db?;EEmehqU?z4YA)6BQb~U%=21XS%t>nD=#Zp>eWh?baTZY zPM5}PONz9CN><7AQ5l=fy9tLPZ(^#DoHH81=R`%lDn(IQKM9xV4l zKuqZqrQ^ezH_JL%m3nB~6NjcW5Vwq%gKCmOalPb_13rz69-zYkU}?qC%ax(TOa+-KaKF zgdpdBi1UJ-ZACa*v^kGGdiS;!s3G~HFKC0r1Cww0C3~a8)$P)rg4~1CP55Z$F{4Y! z6|q2t<|n<(QokN2~0u!cYw;S2P4n8cUO=kv5ghC~bUt z8`ph!$o)I=NPpDb%V-{!8Zn^&TV1`TMhs{X80s$p4mGKumb^iUN>spBS?m0HahY#!X@&x z3nuda=WQL-{Ds8x}`2 z+K4p}MrwGIA?bHlzXgM(J?Q_7lbtSQ@J-3w{CXrsK)P57bmOj=GUu0Qs@idthU}dl z6$&Oayua{^f3AJ$>iL6?Cnc=-Z>N{FmVgOoN-XKb;Bh;>{s(rQQs)C!yJ{^e)Suf) zWrfG98tdUc#$%lmim zhjorHM;SSBdeQo;*f5JD#tEny%$tVkgr<&kowRI;`X;VzP=7C8^pxcpU>=&)kJ|4u zlk|O7;!jX@0Eb`taYHePu61$4>6Zip>v&J7fT4E1y;=;MxXF$N;@QXL`JQH++-jiA zJsNjZAemoQ%|g`vfV@3=w$!DOH?K|0NYyIm%_ZdQJ-#JxVf96u2S}?oYk3otZZP@c z8fVt+gH7O_w&NKCu(uI(-}ckJ!_ZJk?>p$toUgh)_sgtxHht?9?PB(7KQdNWjWC%Sjmo+YytC`#w7JPt z`=8xDd(lJn%ysYz+Y)dZuRV6_{>zYRQ(f75a8!#=cn{RAHUc4eWxBv4xw1D}A1(X0 zo3u0=d zb_UE(o=Woal1JZ{>295*#-lS7qj=0n(2%1G<^!QHeR8gKSj*;f*ttTu@xge9Tz4UU zKGd|~UoKVd)FJPVGP!9i1m>$~C=+Z*qk~lrLB24QSDOnZ|Km~Hvz-g@5lU;cjP6GfgHRVgm`38UJSvaOmD&cpOiYjKuXg;zzdp9?dFH8JdOZ@6-Q5Ovakt;q>5FQ`+PZsZ-1!Rh1;6FVlLn>8JiIs#AqI|s6x|u5ym_D2u+nL|gj}(VAbwI{P zi#i||g;D(|6@{t~8RtHyyZK&_)tNqUe&W(U3e9A~(?t&Yp8muCNNC8{Nz3@_w@~Ky z8Y5G->=n1$Oh)6H^!+SHCkE$H@#QYrG2q_?;aClV+!BtJ_x-r`D?h7Ymf&_;iSRZMS1z{}xxqGEwUMF^^0^o%}p*(`? zE_fU)G2y?FTwNwF`0me_AJo%z=gi{c0@ff4EZ7@>WJ5}@TZ(m75wMj`$vsd)cTv%P z$>aPYJ%b|*IWTgJ0vbtZsxkWTV*&|rdEvV)FWOYaPm*oIJ%*;=%lweF-R0q-c&PBy z+r$yTR>uUYu}u`!#t*3yRBV%=P)mkgt?%g{7apX#b~pkPS80;)jZ=kV2x2SP-t{oM z>}&ErdhBy9sK=)mHGsJn7icyJGM~i|6r>|<;OYlm^x91}Yz!Q`R^@)aM=1=rDD_S_ zb>S5HkOx(jAFOVINHo|2H{3+?@Z^ljZU^O%X(2QW+6TuWQ+WCRLebzi7~c27;@EAd zczJac0)AH#wkSOF%>lRm@C>z1F0_vmi*O-X?>Hyj9gkGDoGF{`h5|rH1gL$+|LgB4 z9;UdYGzD=!^|rLvI6Tb8u4G(U?+q9XzH)0MEqzA6c_XZ@UMS7i0Fr+?9|6c+7f#Nq}DslQnR*$#x`K*Oc>AU9%EKdtgdLb ziA9`_Tg5Wu*uS_8cCvhEyijh?-AO1R@5F_;sPcSxvC=pD#Mzwjt!;D0 zj~24iUXl3DtD`Bbc6nNQLN|)Y z*W>A^xdlO@R)`uT&&n+C6Eu@)w8$79L-flJ$L5LZBt&DhyLbEeX& zWuC*l$U_q|QHGFy{T_B^9|FRToik#q1K?2YA&TE7DpH!?o`HTh{AmF~Xb`!!buPRA zNV^o}5>#F>{Fdv1pPU885njp$X_!VEB|itA4UeJ)IZk{AhcLr|(kWsV*~3*8X)3(x zrK1^D%AeYLJy_CfBVV-;KM)^1V4n@}t6@lI+71j>;4pw>nerrHJZ&TS_+^s^(tUq4dA9tK?7xcQc!3RbT& zB(1&~Y&kfpWn_~&AJ1h;@f<$fS$HNY08;N%5?6+ol(d z5*$#QUhK)QBK${8zV-+Ubl9g3n@QUb*lp34&D-PC86uX~sk3O-O*PZy$>R2N{V@to~3TyX|zkY(nN}UIU6vgDFzBDS6VJ|#E zwL3n}lPzsgPTjjrT~>u+DO8*)Y{sj81ZJWOs_!or`X{jj;5XJtoIy|H1BYvPtp*{! zA(9Y3IUFXcSS>=3SG>~Q)n^x=36rSk8^VQMw}j;<_7>#hy_!9z9|ug($lKfQnZDt( zM?S$7EXeN)WdSkAoQ*1XoQPzJfW$OaEc!mYC4Jdnp+yytQ|wG*KFqF`;Dlra6R%|kqx(WZrSv!mgi5<4m^Uv@}PVP2NaIDCF44(8Pt6t)Rt ziTh8jp4Etk|BzGmGHySiVv*<4XgezGg7|Qv;DBF-OvZ$)91l7}p=QTW3RZZhz$3lj zMoGss{O=r&;OeU-_L5{TJW=cfxkbPnZ?J{K&W{%#g<#{L%0G%Ez`ja~*jj9fWa<%; z(^{@43&;1$P3j&JW^Gg=*6@o(K?3L}9~k$6F_RIu)$#bJCux zV+{A~AfSb zN00e7#1VossG8wI^6$h7MAN!t`OBkT{}<}PN>d?CWeE<)!RDPI=8i-Cy1F{g6+z+o zsNt!2bA%bMh=n(BN!sYmi{TmeB}PZIZx&(#hPJcpWezNgmbR$tS|jRBh^HL^p^t6I zc(c=6R|OI4{bDL@ZE6Q2@sG{5ZS5YshbHXb86n8MYum||7JjvDzem}oyb?I>{@nz9 zolZI#Q`~>ul%2*EQuxK7?5a@vm#u$gKVPUH3$d6cKV}CP)d#^Ot5B@G>nVXmO6tV2 zU%!16!8W2V{%PZ!0wC1PUgciW@iYp);pYE5+~F|!!I&>f#=b&G6e06@XsIli7``Y@ z^s_i&v%(_cF7n&*2l$Yg7&INTR3jlo@{UXV)+aoP#RGky2Vi~WKWMPWe3*RCHAY3> z4Uw-Hoj|hMrw(P^6xVGVy^!mDy+3~?^&td!ObeV_86q(GbwhVGMVY5Z8EXRMuw?w+ zXXoU{EVmh`K69Fp6DAUZ30;l5>0>HeD(m7fmpolalSkHVx&=N*-}#EqDRM@ zn<^Ld4m^<}d*AM>ASW1Tv~lR4-S1%yZn6q@;Kuqm{T(Qmh~ha0wRwhoE-P~Uu=KL# z6DjVL7LRO$vMG;i+-Vf`zbZexgNY^KYo57Xt9P48d;I%4jf!T?T!$k6nS^Rkp0qs| z6BNW()W-+$pE`;TB;k&yAaav+dPhyVXNk_~XMGQQ92VfYJKYV#!$6_K{?_*3CuD=S$#2Uv2g%xp7%P&=THI3(yFT4UTHWudmYs@!%^C*AemdCa;0S&u#=o+VL-2WN#FgOAzCq#MF14G<3qHY}UOZ+j<2UQ7)G+MwO%{2I?e zGSh-e1Mj#Af3(PI*9Eec+9$>E4}aG3dE)ra5{tuG$>i6CJ&;>H#DHSMG?07|K*NBv6Z zD=~y|{kp?_@~ip?V&8XOeFjrtz{RbooIlu-bQ+I7NAEo3Jvgs9=&f@p-A~8kgRm0+ zj#cLA@{DMxilfCAhTzw#)V|{uDy#A#=XxSpkVjiR>$LqVnEc-u|CYjdVcScxiHMkk zyw;slIee7i(~zS_&k}v28#T1>(zY@`|2>K*h0aDDSpwv-7U3VW|A6#|#)q5Gsm(EYT^^S&Tunw$e(~O2677EF{twJi z7}(+RLJV6*t6iV2Vr@~@AYbwGL&qF!a6 zpC>bDTi^{^5uNh>7xUOhIlGqk1TnGi!V-Gv{DpTTxG#USJ?k*?md!=VI7grUOyVjs zPd$X41O(S8WCqPFLN9gr_FH`n;ur!;T_K5M-_ zs&PnOkQqpI<{D7g*+>`42@|y=g*_Xw=c&IqJT8_~^5+|j{tX@s(x(5qetLrvfWs2s zoPm}$c8Wpi&I{MK#eVY#C5U8NX&Be@MyG~Xv&`<7#VjKJv@-(1!xh`~1`S_MH6dXJ z7ZA%8*!=LhKCq*=Dkh^tTxZIw3W0oTbs*Y2Zv4hbX`yA ziX96;MlPd7r_{3AoOf^=nN zDqM4!vF-w{s9MCC#V1a(ZKlIv@Z&3={VmxtnC zoDO9AA~d{PZD!@NVVz<(FOR!zcHFVUUCp|ZdIW@cCQykjASL}(f!`UJRiQ~sm}w}9~;QYVAA+EfA3ns5u`n2PbC*78Dg&a%P@wu?E8kj#4%aX-LJ zWcASqYDqu!&(?bh@pVh$r%eTCWV0wpr;O4%xBEM9^nebl=jwZ_2^IN4PC-sJl`cQM z`-kRR<|vSXUhpX6sf=gLk7ocO=T(==EQZt_G%};YRSlEk)vp1`GHq7viHAtZCffvM zEa#zWhREqO@VzyHv}M9gTc~ODAtLPB7W;D}grnMCzNjpEp#43q=fnf(2sytZ`NVe4 zW);TO;6Oy+iAKfd(Q4|73TEKPrh^A1&d!-f4i?9SUvhxJB@gjt6U%~nLBmU5FN;e8 z?>a_L{#*s0cyv&~>Z1J3cQn!&>@xUR6@3yRF_xl{Rru~Hb~ah#e1ZJNx=Szk`69?` z5q8Bx-CUqeNTkflZnR*LdWtV*08%$tJ2dOKe}%qAmCh5(a+fTw2 z!olBdj;NaQ6(_zYgG`;~XLwE82pdRbDtw(U?@&i~oBU`%h>%lNFllttFP(&e(Oq0O zdzQlHzT(V7axR=34sgXE-+}T2s0cydQa*8yqNfO=Q-E*U>1Q?oHeH-KmC+MF<2vCq zzaLq;LD*a9h~I-b3a76ua(R#qrjU3+x6ZPRFSdqNgcsE0prRK#d{jG^Y52uVC%K0&a`TNzN zmlMfHHdN3PU?yTa7a9g2A5MIGICcQ?95LItiFURJ>To39Ynp!!36KqEdzpb7P(&#` z3I@kaAA%zh^-FxH^sUmdzyq>(|H~O*GzsE@n-AxT{*rkxo(_NKMk&)?=58wsn(@X% z#%)2Rp4Jx207$TLzu-aNyw(J9f_78NEi}1&&bxY^C}QQfDF#zuz*Zz+N#0GLzyBIp z{1nf^k`&e_iW-Wd^or`V(Un&Kp@|U`%qUW_KZqa&G|C5gnlU&v#}zgY$h=ktt)33g zgOf2c0&XrJIq*F%&ttZB&bm_SLkgb1j%t7KG^*nX@(CGMw$O4HjiKIth^d^lA8g^; zE0S2tZ8QSeo2F(a+8lIv(880R{`F?JR^rgV{G%o}&+|M)qTsxC@sR?GeQQPGri(L* z54Z6t!>l4T8lCRF3f2kFD;@I-N8&M+kwBy8 zjQhi)#!7_&Z%jdDlX*&`atk#aT~hx7F}`9M&?E?Si#b8qlSm+>`QN|j4?PTg;UnBG zy1WIm?`P3SZ_ct3KHEB`;hv^N3c$D=B5dYExb-Mqp3#&zX33osR-bfe*|U;~CE?ka!=>%L-W z40-Ga?n4nGDJTLDJ2@kiHBzse6a+soKn@h@2S?atsHY)}>oA!#q%Tm9c-E%Z^Ue8w zJ&n$B6kRGi~lq$ld2twdG2un ziR7SDt1P`Rj6q@&>6L17XVHTa@22|o9-B*t;w={T2>76=PZk8SvGS;{QXV}9t?*Ti zvv4%d=~EptheMa5H^*c>uX)vR2hr$M0Mq(c28*xOkrG=qVSnnK^2{3Ti{(N6$4DK{ zps!9iyR<6`3d4ck2fF7Tx6rsUYapl+@Uf`odZXtD`7BowDf~y&ZaWBk^}?u%&pg#$ zxaEtcWnqcBYn#AZF4V0gqU+mEs-2Xqw1XMaqivtPP)j@Pdvh?865UO)wMlc<>8^#| z;FXB9%^ks9lPOhQ5I1aW;n$ZSKg{Zd45yN%B3;I|KLsqGOj#;pxXXlqAUvv1LS{J4P( zuNjx^-qovyUPE(04RyKemSyE8AyNE!>Hxb&u?Cjp!U_9WwjTRJt%e{ZKH@>(<;)@uWx$91j?RB{pkcCXYLaAVHp~ z2yJqW+I;y&_a!rx<1){U(zE1NaxG!*6-q+yfdDk;Ei#}nH=uuDpg;%~*1qO0Y@17p z5r#yyv22)j(2!hGRr z@t5>Z=R%>KW}W_flR}?M9L?pT;Nws!Q`>Z)H=Zyrqm=NuJ)76Ywjc+qV%zWp*l-K07nv@QZp|^nyL~M`GVnRk3!%|`T<>8tkUI@79tfI&gY?`?T>5g z`+6ALH@q>B$$EWQS!io}nK`-ItNvVWg~xsxa%Xnl=X=oN(h&{Aq0NEv+V8sB4w(ff z0-=+jD^~ESOvCnU8RN`DAV!*ZcyA%Vt)c-=lj`urea;a13R@oaMxA6@d8I=L^B#Z}4)noLQhf9#g73 z9<|ArjaHhEc))3zH;6pwsX7l46Lcq3eLhK>t*Dm!{z1~_yZK^%<@lnHw7B87jQy^K zt)q1Ll@c>At3)~Z1v`?k$DiFCMk~lpN}hH4Ed-4=MqTd=SDB`366|J0;GY29*hn*z zgz)FhnNSgM(ALtk2WPN3%~_rsgpMlopY2N?m1XzgqOG5Pr7!7%>%Q0se^^6u-Xm6G z(h-nIjM%AyClia@QyGr=NS9=*G^qSLbJ0rL&&P5wH{0wn{hPMo4PkDv8Y+qt*pRTG-NU)YF z0EPYL%sxmU;aaxCi6z0e^r5e9?um41ca_+~a&~3SB8ewViw^tc%E+jajYK%kL!7gG z#_`)ov&#e@lwX!)ZJLpvQfjPVtIO_AXtC)Pj80AUNXWxTA+Zcf7p z61I@X9n8niO*1QgA&gXq1Uv1P0rLeakHQWjqQv4s0JwXEcE$4^OOz* zt-2Xy1JxS9P2LgX65+V8U0bPty2T-1uZ#h-L=3@}Bv(K5E~-u~*~~<5-RbSp{Y*?HU%+CVxr6+WN4# z8NUgsE4~Z3s*f7SpeJ$7B8jK2_z(6lupK2gUxhz)qI}A@NLJ3+98B?%Zz!`>0^_$T zFz0TALH^`UDBp?{&gm)x@sXqW+!0z&G2&J1^v2r0*%MnX~-MUEgG2 zhuH@l%sBH~;g#waddCs*+;mi0X3t&dp;t9DIdZ{4XX2Hjhvy8VJ&sK30TLr5RV&DW zsc6xZ!^KXLdRmtSS&8o|!*=66w|8iL6OtWJT|WhA)HR1dpgb(#JyE5rAkagkz)InD z**+W!(=K!ZT{j~9i=$M3Cq!Q>o~wLX4$+HdEFT~^^dy-OFIrm5j&?;KSU7E)Ta7gU z&KPoejzj|GmdGHjoQ{8aXzQd&&TH4VlXz9TW@&`-O;ZDN!!z3I&jgM><$U891Yd~J zwH($czsL8ng?((VYU<6;t7Ud{w{!m{VQl?9cP$*Y6;D(olcXX&q+yOoEfjhTf(aGA zgT?uZr%ko+fD43q68Nza9L;ynjstTZ4nsVm;Q=oWIILQe4lfPuDv(U80yZ)Gid4Du z;stiClu&yiXL(5T>)UgrBe~&Uj5eLq30S(QX`82q+rNN~rtDo=piDf?N_?nfs6E<0 z0NHO27#4J=U7PGUzw3sTjYpPzG$wS9i3_l7#bbi(v`mn8BWxVcNv!ZejL-=tvZ5qY zQV8nE`Y-W7_TU2|i5B!vo{kjhE_3jc{sq4pwYAm`XS`m8%h)Z4=}Jn4EJ6M67nd{{1*)gIyW(F}2;D`j7v404i!cXfiykz75|_;j*2K z6pcAmmX3BY00=uMvU%lVBGj8DaMi0-fv6ZXQoaHv z6??ygWOKNSCDw2n4LWm{QpQyIXc+~R_`E3-_?D#Q^oL+(J)fOt-nQI$bx_K|+ItmQ zig>k#w3MR$vh}-G0y4ak2>fK-9_~J$*)~Qi+?HA#f7kA-PQ=rgX zXFa-(LYLUgq^1^5Nv+_Bq=Jx6mei9m(J|O^kl?*jm@7Zn>V~1GCLzN-7enLx&9=|Q zo=G@srNp=7#oK-0248Pr9|Z0xc(0xRBaeUKU%v}GX7|(9Su@**-?*5;ri<2C^xXo| z%^DbQCOZMm`w-5~HB{Nz0J9gtx8b(6h%Z$VF%xb-_XuV%xS_Q$bd$feL5qk<7J-bz zq0wbXDCmd8E#9hce;qnb7?ybNc5j}xLe-}cQA1i$2bw4Ffsj&i@uSe2VZ8E@+b1z2G*THE;(7!g~*3FXB9OkKwTf-Wvy(j%c0qP zK#Z;d%8!^(7`wF9g{a7ro`aP@k7K?a-Ino(Z0D2qULHjaz=qPU@LAkHzvfQV$xXuF z3!aukv9kKl3d;=2j21w|)@)H%q%SkTLo zLtT|%9(`ebegk;%yscG{*<{LnD=%w-l$;Zn^*%hS0I1L8jdj<<$o)Be*WW!WrA-RN zb`7+Dp2KiX?Z1{gxz84>*cJCvGiwfe$e)e9W!hq4+*&l%H0FtNkT;3|WY_r-Y-Yi1 z`7=Kw?^Av_ccSULmaz%aj&S(_pTsjn)#zPeFv#MTCGl0XH9!7Xc4cQlq+uXsz)btu z{m*0w@MSOlhM5DQIM3suwN7*F>$XAUEMx*pltjb%!Kxdr0f#uw3DH3?ON?A0gJjMz^(oCXwc;ju+r0v zqGGKe@gG8)d4T0;@7`2bD!V0ho3~}#r;6(>BiSbHf(MFK3L{mov;ALj)v%|^l{CR3 zfNe20s}1QZv<$wIfm8d&MZa8o_YmF1b10cUvO4M|H`B54(Ele(@AM7ew2ikA0&QE6cTa^t-e+_ zfy>{Q^Jl`{Z5Bg-1a(4qMX&lDD)lt=qHoMsML#dOeP$o1y$}2z0%`w9n}9(fp|Cq} zgby;5_YR8Bhd)%{4qDI$6Do8^Si}b#DtZSe<|7^|aYs_hM>s~Pyr>TAp_3O>7<%FM~d=T%>4((>^)s&6uH-NxUkzRSFK zAOE2GA@k8={FCaZ%z{FEQFSr%*>n7h>X*!tQhZr;IrCKo{&n>mW@Qz=y1ItMtgXY> zS2r*loAAxmEzGy?@b9ZznREuet-77r(TVS>?q+`I!S_}(nIHS`{nekC1B3X_)nAxj zhw#JIBh1k;{CM>Qb8-qlT|L8`ox{&pe`9`Mz%N$+V6xcwrD_gyc?G{({gb)2j{jBt zo4N4^zgfM--2RK-sorIh_V)4IYG79-j_0v>^kdmdRo+=Q9Uz;hZXX&I3v%x+a~?Ov zZ9rkM<`FgJ&B^V8UpVM&MU`cb2L~Zb3=Hg;i=We&=!wPKp5Vj>pr}7mbizepnEbxB zmXN~J@xrij9XsnUU)hZq3LV*_09NnQ_+uPX{wyRyeNj+uo=Vf?NbIQSN5`PhXNuY@t_nj`Y>L0I<47ANM1G9azN%8mfSbU zEYmJj*vCEMtd^i|$sE^1%I3{g{cYKn*yM+W_H=YO-=d6h-rn!$M~bp9hSE5tVS1Dn zra>G32Iql0iQ+#)A{UGD_YM6i7&purSQUEpkb*n0PSI7xiHH?t`|oB6yR`)6h~0K6 zfLtv$Tg7_=^(*bW`s0(f>gR)rR&`v2?dxn+5r4=DC3SI2+OPxYr# z%hZ2Kl^<`T^2nJM_4ZJr%662DePRCbQv35z{YqpB7>c`+Rg>xdVoxB0io%V$8o@5t2%>T1C%Q5Y+9s2U?%43Sr z_Z*V@eNgem64(py{I4wg;x~*ig&Gtw4FMPY$E<&gbRBUlY}qcNv2SuqBIWG(lrxPa z$Dt3#F%$0#yZi;^ z@k>A1VqD{TF$jU^c`F|&-5z42c4FnIITt!_W4=H76YjC6^S%jWp1pTSQq+&p4B|ti z@_o7+iQKE6UCIM$xY3Sa&;ZQqcMzK@`3#liYXGw@VAY<{I^7rtF@QOfD8z=C zEjiEJ&K(%wv`GkLg=GLNORu}$e8&VS!+B-X4=-V|JG{WCB2AShE=w7(vEi`Q-of5n z@`wxy^?%ibT7?)6=H4~KA*>wp?VI*Pb&3}JmQPi0?9G-Maq zlAUDft9UW-F@yLjNgLV_MI@1(q6L#BYnD{jA!P`e&!5k~&v~A6uKV2A^}AjM4V$HK zg7zTJt8>N2CgEw6*e{L zE>@m3cTys^UlDFM|Dpi4pyg`U-wOZgbEm*o3@S(|x_`?I5_n3H%`AA!r9@7M>ZWa{ zVE@^;#Fv=Z=JvqU0QKm=(tE&tWa>&Z9o9yumrLN`SxO#I^(687{o#nH`W+NbK>S3Q zWuOJfJ{vbMj-zhFlsrzk(*iwy!-j;qi0z*M=+H?>D=F5 z@wnk5NNX>k?ut6y(!#|@nNj{e<&15fQuQ>3(_V+pxV|wdCR^KXt)1Yi+i(@k3%QZ% zKSh#eERHhzMt%Xt#bn0H0HaOoi%yS@KzR3_&QdSqq2`OXd@6>dKRt{sktW#3;fGzl zZbe=dT;({eQlI7i@AEn>8zrgv`UY3+%XG8O5vP zYaGr@a7W{4ey*yfwXKwuDZEEzZ^RK_lMm$SVm`FC@HvJy7o(E@t71((9Ch6juZzJ8 zF!AWi!3d5xaq7jWgGDGfDN0Ycw>}y{tF|rw%SW%tzb1wA^I z;yah%ZM;Y!KjW0;(DSsFOOn$Uvb|(zYd`jkraT+fuBNxhzVP95*4fre0l#t)%8lC;Yxl!!j7a+BI2FTD_iEj>l&louoQ zaJC#E%DEnJ$}+s&+G-#~HlA}Wm!$2~>FZu99n86gHClm1DkY8J*@Im^gt1uYue@>6 zg?QOY9@ELBWpWbVkN(8hfny6Z>O35jC~kA_Wy|2g&*{Ad!Mar6;m1y^NwNn^Wyy^| z*v0|huFU(6PGdYt@mjQN^aly;@JvttwPf$_w05(5pc7_c@;tbZGkKS;t~B!&*5SSv z$+(*Vj9XAF08a-O1eu`{G)_KnU9imm-lab z^(sl*xzAN7NooA5gZn7Sb{V4-*tOz7x*Lf2qg0KG9wo&t?0fkw z4!xbYv3!nSR9`XlB#bHV-FxWZDCzL(pi=IU2!9gYQ~StSovS=WJ~+rA5(jzW)Ca>c z{>BhG9L;yyCw<~mEbqioTe zVgz2bBy`@;9JA`P3mK5>sj#V?6Ym602j)9qAPmC=wPWlW87GOgNcZgI8x^-b?S5CN z6GN`7L}DXlx|$7mjFAoJjKW8x4vafeFj0n5Vd!UO=2vSmao~q_;)j*+Oub-<$>ToM zIt3zT%2a?j4nq=jBU}}0D$RElImhu`F@C8xs(E04ts@~N^g^v!eeQyP-SVl3Y+S;IQHD?83|8bax0y{N zAirOgYNr6F|BCxT137$~Y&sgb4lP{a4PjW-W zF#?(?;<5Sll8LPO?92|c$#RowmzezORif?Rt{$rbOlt0Eobi>I-q-sEL8jt+T~i+8 z^+o_tr>z`zUU^Q}%%gWHxwLdTNuX-=28)!3Wz2XY^<=c< z%U|x^HSY}tn#QRbF5KJMUu>HF0qEAyuCk)u$0jtb6MjWUJx3x!PW|OuCqp}L_r~`s z_$KxRbjn}wh4#_yL0$EuOW-2nmOdLEMld{&_f~(=@lh8Msr#PFI~bZ*D6s=0O1c7@ zpEBz_100^UV3L2Jll!JeWSEsVOppGOZ);_@qjFbXr90Kxa=}i{-+O*|5`y!mhI8q@ z#>8Txj9{-WhjS~uBno(?afz!UKGELsV+N;x4(Gqh`{aya7@rJwP zzgfeKUE?oyKaESaD?jdRom0*d;7`hwFCyoR3(i(vgd^|6sm(Vy5`xILWmixU_?!VL zhJN$x!-W|jh9gpimdr_k+;4Ff`d*~~n<+cnqfvGlQBl~f(bzf!a^njTzT}to?RUy7 z5v)Xjb#Xl>#wDmkVOVlg5oL13Q+Suq3RHqr^V7pzEi+8Jx|O9~>xUb+63C z5Td;`#c`6ham;z<>VJt&oex1 z6$N6`n*1dBwY&T%8MRNA)4%4W7Q2K?`4e4+F?x}8b>rqcr?K+7CzaAw4&?b8U&@n% z_8PwJzM2ia$exp%UE9bUN^{7q`38s#=-I*iq@icu%Qke|K!wQnPgP3h2qjq!%CT=y zO-k_hKpL={;16KWrot<4zlgyTeO6QdwXhUiW6Hrw{iO%bnQ8xvCAE`9HN1+vGueq0 z%Gu~_6lIv8hSSFyS(m0ADml&7=yfd%Tq16s>Yf4JC&u%0&r0? z8-PI0sA;4d9WlgfsxdHKPYgfQ31N@W^}2hdxE)bf45k&`34*P3=T!4$*aCsHXT{G6zWdt?|DvI7^=p*@_&Eww)4pajv zyE|w9-G}9OuhMoT;yCN|a6&^TxJnJz8x_skMc1~OGHNK68g|JsEEnj=Gu$b~K*zx0 z40b)r$IrfOzArv=S@0OP;0Csy{}piDQnasr4S`y%Zy8&y`r6Pw4zjqw#GtCZJXXUH zSeg?T%ys?rYhvdL zUyc_>T>@#?`|4d0nex2DWTxxb7)a4!)~rdHY2xL}fB%->l*4PRh-QtYi(~(L<4oY% z>VowLFQ&REqBF5v0=LV)d+;agRy&LKBb%$I7?aq6`C({=fo($Ljvz=P-SCH*&0hw- z#P_@EtIWzR1^Wd@(K>#^j%uuo1#4+5|EPMO+TVR{Bi+z=6>h(5U^)5+;! zrQF4zQP5VGFfwcLx5BH;f_2k`PFCiY?;B}v?jV-c@iG{el zPD3j=9prcs9;AvmbQ_ouVNSCEt^jd->J9~}DAv5f+-k)5?RRc3u4ks`yLl!P=>o^O zF&L$!jD7sh&Hw7|c0FiG*z**&(3d?2iXbr`_GQMoJZJy%5}xe6YWTpcX#0Q^-Ab(j zm5d)+M5Zsk*L^F<6!l^wHrr+&ExE^VL8Lp9Yqt0u3qsBn ze|Xs#zb@`wyw!`KeFs+q=;pH-reN6+NP21Xh2MbqJtJa?U7H1xYWX|VcM)&KcnD)L zMcGtV^D^gK)FR8APEQw<$yr?C&_}og8ve5A9py(V)wn0C2-siE<>W1Eu=HV38z;w3 z{S(m@YwIAWYMLnBm4q@q6%DblV)~oC6xjg%MP-6f>8$vX1+qJOogUiZNytbF9hk13 zJ_NkWmWyu~u=>3=+%Q}VGl&B})y!36ZWc)?xmdO`0BS{Oim!RQ2Cz+*FS(~!0Gw1c zz7xM3iWr~&64*g=9Xqac^WQp@0dqoLV>#X>nLo`dRy!$N<`>lvdVJ*iu}HlIMCEJ$ z$!YMg!wXh`S#V2f?0q+~H#>zB;YDkt5E-aMdC;H}sLa=HHcffL#8h09{#$gjl z`}eefiHQ_}0G5F17m)y#Meg+XxkPD3F;{eZ0JR$n^oUSk1+#saxhVuCBSU7$)zf@e zh-09WL{`ZB6G6~=J>Esr%<$%IVS7=HRLeWbP6S#Xo~I*dVy0EI6o zD_ya%#y9@G_~D#qrRqXrJ;Q0R7m08MrO``Ujog3`!E>$b%mUuj zdvR=EfAEco%$_d#l!TB8lnaPqSUR0r%1lpjy*54v*71S?avq!qv;~m%2AqAlDK^g; z2e**R>T8_FM{zTdPXDy*Bq0OMd6}-hms7Vzu94O@bBVluoI?yL$w8S#@|hkQyL#zK zQ!a1*K&~4G|C(}{f~0QD{Iyfv$!!}C0zn%#R^5-GhJh}xY}lJ`LW9nsEJ85W9)xRA_7|u zFkJ6aVItR1;wD_j(hi@;NjE$}5S^+0uIog-4)hJeoOw`ezk%;W@uvjjm*PPSCMNt`a54%=HvQ&=^wF7Al*IawXS@{i5dY<&pyHzkYTz~r>*QX11SwDOFPv@m! z(&ggIvRaOn+hVJQ>lZXa56S*BESy|x?})h%)!%|q3)}dh2)|C{_oreC*_VMTfTCw5 zHS{2?iJN+1YPewvn$8*Jiqh3PU5;?D8vBuiH5&H%kp=LiHYO9`(5Ll6PF5;#{^93j zO`4gx@Hp$@v0UH}rhd=h;J~(zG|s*?hC=t%u*Le9+cdM$v&1;%$M)3M9fb}Lh&rM= zdG5?=73#@2$|GXDQtYrzp-IMm>Xw`Qk#>8wFq`)9P%&grQ?ax?)Abp+a%X?22z%Ee zYx-}EvWuwiE38*(lQYrFVauCZ`1ATh0DzaNhAX(aF5~O@=ASJ!VajZk^6%JFCCyEv zQ9ktf!+)hxk!eawa_&mqq@(dd@4Y2>eNKV*Ktip7ncx5o?K@JweQNfOKM-op%n}f5 z$J-ALLwwHltn;zCE6^K zYn%K1pA!?|Aoxcncd-rl(C{a(Lg5dr`yRkQCTH9(zb)A55wU+ZRd4%8ISQtu-eXfN4o$sloH7E_>Us-*$TVE7dn#`OXG_dGWr9(90lu?PDA}O zCTh;8L31ih@B2yL@D>-e=`o%a$Jnd)0yyY}sSkUxak+jL$P)Rp43$ccdz2u)kx`b` zfxuNPNFnmPq?hF(k!wxHg zCl$oU#MV*;H2Xws2|@%po|*r4O@vk9jlQ1kSW{!gUBN)G009qrsZyaDinWulmDENE zsmoZJe~1ziKIbp95up&4I;`e|M^dKDIS-F>?-)xB0I_0xG!jJzW&Ky)9@WSl0a%04hsaJ4k0JPCK^CER3tffa zGpkPeN%hY!|JgjuPLN>sg;HNU8A1Gp-|-*u*7`)Wi8qEDscLtvsiW(SVb)FN@SCk` z>7GBi&92mEu4Sfdd*V{o7DZ=ecmpn3_-Y3{O=fuMA*j*5L~qev>~(ZGO>&5k21G&ueVJQvuOb8nFJ9aD&1rovD?xk zF=D>5@(v$>PBn^r%sNgRtRB-!T>SW-2A|aOZ>`LnP!8$#*fQULd(5C^rYnoN z>A;e5P-UXPd2Vc>FItC!l~@v`iV?IvT?TbJG#w#@t3NSCw4wXXsy3ht*TM^~dhXxg zC=PS0u7!E@GKAConPUnp)%^;*ZKuum^m+QW z>{7uJ43}u1tZK7DB#hy6^-)<_rVATZhoXZ8$ec_r&Ye1tKrZA2OLz zK+@hK!pJ>-n2i+V_<1()LLBT(;LgsUOWkoCC#_i>s)t4rf|U7R&mW+?^{u`XdQ+dUo?NlLRqx4%XhlkX z_-={jS%w27`8f(x0R*VhvQ704xWJ``XDH&S(s~d$!*!R#NT1zd z%#?5PV3x6QdiNq2T8pssiApVWLp;6_rs2))(LaTcc`H})I3Z^}eSiPRXcn}to7>~$ zq<`lq&v7+0GjirRn|eWHZ@bJbq_xeFILsgn%8qwsMEhfT+YmM}ob_e^2Bv@62SC>! zUZ&H?n$+gXwOD*oYOkjyh--ki%Po!_Uk>ozkFUxjw84gNWA7q6gQK|QVn0Z*w;!Lbj2v@GvX;$vE zO7y>gcWoT!O8!Cw$Fc2RRM6so^MNeb4OUq71jqKE4FZo z8~BctNr06PFW;oi8($QTjy+7fluyWFc1Etvw58?=a-g)Y4|fP;I|WSnTyyWc1_nm- zGAkyxC{Q7+6^%1BS3Q=2dtF}+5A<35_I#lAxDF#DRVOoq?Es9WR3`dN0EBPXf(c~Jc~^9SYrI>pq37+Sv^%bwyX&O0EHteWhx^px920Ttf+KKWeRe1vvT4%d$=4qPQ93@VRph& zwAc3UQM+RYq?7D;Jvr_cuI3K*2M<@7Kok!E=fio~a2x4~Zn zKkZjnbe9Ge?0pI=9yJv<-U+!?kz*h_3O;&K zIM5gJ@zMLjw_PC}k7lZnVS?btdyjR82AeBJSjCW23&pc_QNzz~;5stqi`+<7#?*KW8AK+$3X5YJ#8P4Yjy zWI&xEB>-~l(a!&>IYk*I09!=_Jooiy5i00kXo9B9p^eM8KmhK`ZF&NEjc{mR|6Su} z!vQ$21-M@rAY+g4J&jNW;PPC^2{vxuj0v>Cqh!#ssGk@Zhm_&6;|4#dc(xQ3TzsrV zC?JLsTC{j8x?EC6QFBC7NqU5+wFmK~&F;UbT`+y_7JyFXbVHoURW#HHX;G>gFCY#5 zX_xM9-Yn;3>E5fy|L7^={N2wvz&0N=tyUO6ZIRbVa+iheEj#}wpi1Ifwc*hRyg8xDN;mvqfKoi*|gPL20~+yarpVA*mBv;7S;b7!=932;27`bAw_695bA&ijpCb zupuo^A-9|*>R=2s7?)xoSsCR!LNYJ^8M42rtFOoxi`R{8Bj)!W`P*F-J zZ=@u}^?q}^B=m%H)LxyN5C1)VW25e?jL#IDY;B}FbV>Vl1gtUU%xUyF7!ZR6V8hCV zE{}MH-z)>>sKL5I8D%6J2_X4w4C6p?1`^m*Q9*d9MhQ}U!K!B-bx~Z)S^zi9g6Coi rV&A9;fAUfYejXvJt{ab)K(zwrVRym(Zw>&mSM!T6!ThiCmstG|MiH@% diff --git a/palettec.map b/palettec.map index 897410a9..f619b180 100755 --- a/palettec.map +++ b/palettec.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: palettec.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 117a:0000 0000f070 +DGROUP 117c:0000 0000f070 @@ -34,27 +34,27 @@ kitten_TEXT CODE AUTO 0000:5ad0 0000080a 16_hc_TEXT CODE AUTO 0000:62e0 0000158b timer_TEXT CODE AUTO 0000:7870 00000235 _TEXT CODE AUTO 0000:7ab0 00007631 -modex16_TEXT CODE AUTO 0f0f:0000 000023ee -16text_TEXT CODE AUTO 0f0f:23f0 0000010d -16_in13_DATA FAR_DATA AUTO 115f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1179:0004 00000000 -_NULL BEGDATA DGROUP 117a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 117c:0000 00000002 -CONST DATA DGROUP 117c:0002 00000076 -CONST2 DATA DGROUP 1183:0008 00000102 -_DATA DATA DGROUP 1194:0000 0000058b -XIB DATA DGROUP 11ec:000c 00000000 -XI DATA DGROUP 11ec:000c 00000036 -XIE DATA DGROUP 11f0:0002 00000000 -YIB DATA DGROUP 11f0:0002 00000000 -YI DATA DGROUP 11f0:0002 00000018 -YIE DATA DGROUP 11f1:000a 00000000 -STRINGS DATA DGROUP 11f1:000a 00000000 -DATA DATA DGROUP 11f1:000a 00000000 -_emu_init_start EMU DGROUP 11f1:000a 00000000 -_emu_init_end EMU DGROUP 11f1:000a 00000000 -_BSS BSS DGROUP 11f2:0000 00000e22 -STACK STACK DGROUP 12d5:0000 0000dac0 +modex16_TEXT CODE AUTO 0f0f:0000 00002406 +16text_TEXT CODE AUTO 0f0f:2410 0000010d +16_in13_DATA FAR_DATA AUTO 1161:0000 000001a4 +FAR_DATA FAR_DATA AUTO 117b:0004 00000000 +_NULL BEGDATA DGROUP 117c:0000 00000020 +_AFTERNULL BEGDATA DGROUP 117e:0000 00000002 +CONST DATA DGROUP 117e:0002 00000076 +CONST2 DATA DGROUP 1185:0008 00000102 +_DATA DATA DGROUP 1196:0000 0000058b +XIB DATA DGROUP 11ee:000c 00000000 +XI DATA DGROUP 11ee:000c 00000036 +XIE DATA DGROUP 11f2:0002 00000000 +YIB DATA DGROUP 11f2:0002 00000000 +YI DATA DGROUP 11f2:0002 00000018 +YIE DATA DGROUP 11f3:000a 00000000 +STRINGS DATA DGROUP 11f3:000a 00000000 +DATA DATA DGROUP 11f3:000a 00000000 +_emu_init_start EMU DGROUP 11f3:000a 00000000 +_emu_init_end EMU DGROUP 11f3:000a 00000000 +_BSS BSS DGROUP 11f4:0000 00000e22 +STACK STACK DGROUP 12d7:0000 0000dac0 +----------------+ @@ -69,9 +69,9 @@ Address Symbol Module: palettec.o(/dos/z/16/src/palettec.c) 0000:004e main_ -117a:0780+ _gvar +117c:0780+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -117a:07be+ _inpu +117c:07be+ _inpu 0000:0210+ INL_KeyService_ 0000:04aa+ Mouse_ 0000:0502+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:47dc+ MM_TotalFree_ 0000:4872* MM_Report_ 0000:522a* MM_BombOnError_ -117a:0920+ _beforesort -117a:0924+ _aftersort -117a:0928+ _XMSaddr +117c:0920+ _beforesort +117c:0924+ _aftersort +117c:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5260 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:59a0* CAL_OptimizeNodes_ 0000:5a50* CA_Startup_ 0000:5aa0* CA_Shutdown_ -117a:0930* _finishcachebox -117a:0934* _drawcachebox -117a:0938* _updatecachebox +117c:0930* _finishcachebox +117c:0934* _drawcachebox +117c:0938* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b0c KITTENGETS 0000:5b6c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:60c4+ get_line_ 0000:6136+ db_fetch_ 0000:61cc+ db_insert_ -117a:0494+ __kitten_catalog +117c:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:648c+ LargestFreeBlock_ 0000:651a+ _coreleft_ @@ -179,61 +179,61 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7af2 _fmalloc_ 0000:7af2 malloc_ -117a:04b6 ___fheap -117a:04b8 ___fheapRover -117a:04ba ___LargestSizeB4Rover +117c:04b6 ___fheap +117c:04b8 ___fheapRover +117c:04ba ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7c6f __PIA 0000:7c68* __PIS Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f0f:01f4 VGAmodeX_ -0f0f:029e+ modex__320x240_256__Enter_ -0f0f:02fe* modex__256x192_256__Enter_ -0f0f:035e* modexLeave_ -0f0f:0376+ modexsetBaseXMode_ -0f0f:03c8* modexDefaultPage_ -0f0f:042e* modexNextPage_ -0f0f:04fe* modexNextPageFlexibleSize_ -0f0f:05d2* modexShowPage_ -0f0f:06ce* modexPanPage_ -0f0f:071e* modexSelectPlane_ -0f0f:0742+ modexClearRegion_ -0f0f:085a* oldDrawBmp_ -0f0f:09c0* CDrawBmp_ -0f0f:0b24* modexDrawBmp_ -0f0f:0b8a+ modexDrawBmpRegion_ -0f0f:0cf4* modex_sparky4_DrawBmpRegion_ -0f0f:0e5e* modexDrawPlanarBuf_ -0f0f:0e7c* modexDrawSprite_ -0f0f:0ee2+ modexDrawSpriteRegion_ -0f0f:105a* modexCopyPageRegion_ -0f0f:11c4* modexFadeOn_ -0f0f:11f4* modexFadeOff_ -0f0f:1222* modexFlashOn_ -0f0f:1250* modexFlashOff_ -0f0f:1320 modexPalSave_ -0f0f:1376 modexNewPal_ -0f0f:13c6* modexLoadPalFile_ -0f0f:14a8 modexSavePalFile_ -0f0f:1520* modexPalBlack_ -0f0f:154a* modexPalWhite_ -0f0f:1574+ modexPalUpdate_ -0f0f:1ae4+ modexPalUpdate1_ -0f0f:1b5e* modexPalUpdate0_ -0f0f:1baa+ chkcolor_ -0f0f:1ec2+ modexputPixel_ -0f0f:1f50* modexgetPixel_ -0f0f:1fd6* modexhlin_ -0f0f:2042* modexprint_ -0f0f:21dc* modexprintbig_ -0f0f:2358* cls_ -0f0f:23c6+ modexWaitBorder_ -117a:04c4+ _VGA +0f0f:02ca+ modex__320x240_256__Enter_ +0f0f:032a+ modex__256x192_256__Enter_ +0f0f:038a* modexLeave_ +0f0f:03a2+ modexsetBaseXMode_ +0f0f:03e0* modexDefaultPage_ +0f0f:0446* modexNextPage_ +0f0f:0516* modexNextPageFlexibleSize_ +0f0f:05ea* modexShowPage_ +0f0f:06e6* modexPanPage_ +0f0f:0736* modexSelectPlane_ +0f0f:075a+ modexClearRegion_ +0f0f:0872* oldDrawBmp_ +0f0f:09d8* CDrawBmp_ +0f0f:0b3c* modexDrawBmp_ +0f0f:0ba2+ modexDrawBmpRegion_ +0f0f:0d0c* modex_sparky4_DrawBmpRegion_ +0f0f:0e76* modexDrawPlanarBuf_ +0f0f:0e94* modexDrawSprite_ +0f0f:0efa+ modexDrawSpriteRegion_ +0f0f:1072* modexCopyPageRegion_ +0f0f:11dc* modexFadeOn_ +0f0f:120c* modexFadeOff_ +0f0f:123a* modexFlashOn_ +0f0f:1268* modexFlashOff_ +0f0f:1338 modexPalSave_ +0f0f:138e modexNewPal_ +0f0f:13de* modexLoadPalFile_ +0f0f:14c0 modexSavePalFile_ +0f0f:1538* modexPalBlack_ +0f0f:1562* modexPalWhite_ +0f0f:158c+ modexPalUpdate_ +0f0f:1afc+ modexPalUpdate1_ +0f0f:1b76* modexPalUpdate0_ +0f0f:1bc2+ chkcolor_ +0f0f:1eda+ modexputPixel_ +0f0f:1f68* modexgetPixel_ +0f0f:1fee* modexhlin_ +0f0f:205a* modexprint_ +0f0f:21f4* modexprintbig_ +0f0f:2370* cls_ +0f0f:23de+ modexWaitBorder_ +117c:04c4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -117a:0000* __nullarea -117a:04d8* __ovlflag -117a:04d9* __intno -117a:04da* __ovlvec +117c:0000* __nullarea +117c:04d8* __ovlflag +117c:04d9* __intno +117c:04da* __ovlvec 0000:7c86 _cstart_ 0000:7d59* _Not_Enough_Memory_ 0000:7e8b __exit_ @@ -243,10 +243,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7f12 _big_code_ 0000:7f12* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -117a:1244 __argv -117a:1248 ___argv -117a:124c __argc -117a:124e ___argc +117c:1244 __argv +117c:1248 ___argv +117c:124c __argc +117c:124e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7f12 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) @@ -270,22 +270,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:826e _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:82b3 _nmalloc_ -117a:04de ___nheapbeg -117a:04e0 ___MiniHeapRover -117a:04e2 ___LargestSizeB4MiniHeapRover +117c:04de ___nheapbeg +117c:04e0 ___MiniHeapRover +117c:04e2 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:838d _ffree_ 0000:838d free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:83fa _nfree_ -117a:1250+ ___MiniHeapFreeRover +117c:1250+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:84f5+ _null_exit_rtn_ 0000:84f5+ __null_int23_exit_ 0000:84f6 exit_ 0000:8517+ _exit_ -117a:04e4+ ___int23_exit -117a:04e8 ___FPE_handler_exit +117c:04e4+ ___int23_exit +117c:04e8 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:8534 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -304,7 +304,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8edb __doclose_ 0000:9025 __shutdown_stream_ 0000:903f fclose_ -117a:1252+ ___RmTmpFileFn +117c:1252+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:90aa+ __ibm_bios_get_ticks_ 0000:9121 clock_ @@ -315,7 +315,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:9345 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -117a:0098 __IsTable +117c:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:953d tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -350,31 +350,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9d37 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -117a:04f4 __8087 -117a:04f5 __real87 -117a:04f6 __dos87emucall -117a:04f8 __dos87real +117c:04f4 __8087 +117c:04f5 __real87 +117c:04f6 __dos87emucall +117c:04f8 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9d46* __exit_with_msg_ 0000:9d4b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -117a:04fa __curbrk -117a:0502 __STACKLOW -117a:0504 __STACKTOP -117a:0506 __cbyte -117a:0508 __child -117a:050a __no87 -117a:0517 ___FPE_handler -117a:04fc __psp -117a:050b __get_ovl_stack -117a:050f __restore_ovl_stack -117a:0513 __close_ovl_file -117a:051b __LpCmdLine -117a:051f __LpPgmName -117a:04fe __osmajor -117a:04ff __osminor -117a:0500 __osmode -117a:0501 __HShift +117c:04fa __curbrk +117c:0502 __STACKLOW +117c:0504 __STACKTOP +117c:0506 __cbyte +117c:0508 __child +117c:050a __no87 +117c:0517 ___FPE_handler +117c:04fc __psp +117c:050b __get_ovl_stack +117c:050f __restore_ovl_stack +117c:0513 __close_ovl_file +117c:051b __LpCmdLine +117c:051f __LpPgmName +117c:04fe __osmajor +117c:04ff __osminor +117c:0500 __osmode +117c:0501 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:9d70 __MemAllocator 0000:9e3a __MemFree @@ -393,8 +393,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a90e rand_ 0000:a950* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f0f:2466* textInit_ -117a:125a _romFonts +0f0f:2486* textInit_ +117c:125a _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) 0000:a973 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) @@ -403,7 +403,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:aa34 __FiniRtns 0000:aa34* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -117a:0528 ___uselfn +117c:0528 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:aa98 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -411,9 +411,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 0000:aafc+ _getargv_ 0000:ae2c __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -117a:052a ___iob -117a:1276 ___ClosedStreams -117a:127a ___OpenStreams +117c:052a ___iob +117c:1276 ___ClosedStreams +117c:127a ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:ae70 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) @@ -426,7 +426,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:b24d __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -117a:0022 ___Alphabet +117c:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b250 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -436,18 +436,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b2fe* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b309* __get_errno_ptr_ -117a:127e _errno +117c:127e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b310 __GetIOMode_ 0000:b345 __SetIOMode_nogrow_ -117a:06a6 ___NFiles -117a:06a8 ___init_mode -117a:06d0 ___io_mode +117c:06a6 ___NFiles +117c:06a8 ___init_mode +117c:06d0 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b37a* __set_commode_ -117a:06d4 __commode +117c:06d4 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -117a:06d6 __fmode +117c:06d6 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b386 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -474,9 +474,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:b98e isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:b9aa* __get_doserrno_ptr_ -117a:1280 __doserrno +117c:1280 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -117a:06d8 ___umaskval +117c:06d8 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:b9b1 _dos_creat_ 0000:b9d5* _dos_creatnew_ @@ -487,9 +487,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:bbde vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -117a:1284 ___env_mask -117a:1288 _environ -117a:128c* __wenviron +117c:1284 ___env_mask +117c:1288 _environ +117c:128c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bc20 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -510,12 +510,12 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c176 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c1e6 __EnterWVIDEO_ -117a:06f8+ ___WD_Present +117c:06f8+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:c20a* _heapenable_ -117a:06fa ___heap_enabled +117c:06fa ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -117a:06fc __amblksiz +117c:06fc __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c21b __flushall_ 0000:c2af flushall_ @@ -530,15 +530,15 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:c4dd fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -117a:1290 ____Argv -117a:1294 ____Argc +117c:1290 ____Argv +117c:1294 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -117a:072c __Start_XI -117a:0762 __End_XI -117a:0762 __Start_YI -117a:077a __End_YI +117c:072c __Start_XI +117c:0762 __End_XI +117c:0762 __Start_YI +117c:077a __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -117a:1296 ___historical_splitparms +117c:1296 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:c6b2 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -560,22 +560,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 0000:df4a __setenvp_ 0000:e0d6 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -117a:06fe ___IsDBCS +117c:06fe ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:e134* _ismbblead_ -117a:129c ___MBCSIsTable +117c:129c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:e18e __mbinit_ -117a:0700 ___MBCodePage +117c:0700 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 0000:e269 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 0000:e277 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -117a:0702 __8087cw +117c:0702 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -117a:0704 ___Save8087 -117a:0708 ___Rest8087 +117c:0704 ___Save8087 +117c:0708 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:e286 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -589,8 +589,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:e451 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -117a:070c ___EFG_printf -117a:0710* ___EFG_scanf +117c:070c ___EFG_printf +117c:0710* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:e499 ulltoa_ 0000:e5d6* lltoa_ @@ -617,7 +617,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 0000:f07d _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -117a:072a ___FPE_int +117c:072a ___FPE_int +--------------------+ @@ -633,6 +633,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020810 (133136.) +Memory size: 00020830 (133168.) Entry point address: 0000:7c86 -Link time: 00:00.88 +Link time: 00:00.92 diff --git a/palettel.exe b/palettel.exe index a416385108859d4426447ace3b36cd45c7d94f67..904ed04d73a73396d8d85a82500b06f546392119 100755 GIT binary patch delta 41644 zcmW(*cRbXO|GzyrdpqN7viIH`l06G?nPqc#lz5YQ4$<-{EorF~CF_bqMnh&YLsWNG zl8%t~@B8?@{&+o}|GoZr{qcG}pRecjVOZ5L3~)PE1@VJGAu!0aCW>T0>Uep1QL<>!*W3`s zvuwZjex8AHJa9Pn#<3U=MLQ1#v@Z121IT?dC}aVQAdyMh5s^_Ki4sTFssQ+LJZLMd zEy%wt@I-K~q6&|^F3=))zJehyH4oR?=4%NQg#R&c)20D|$(+r(8KP~?qI3vVSE<&VI>G{v8$G`!(&J@^}`JWqP zqZUh!VDa%?-d!EAxX}OG)1Ce7tbJcJgBT7@pl||~6VvITdixx<6R5biYeo^h+`xb} zv8L+GThkxCp2{|W<{BTr38`_<7270Rgr7Q4$*zJ}*#1kYusD`hn#+NO>n1C<akW%_B&ZNDunbyV2?U5g6CwSF~=W<<@ zXdHS4R%wuOH9|hC|IAO*o~He!{isj-opAsfD>gJO41J#=J#0=v0Bc)A777TSr};cQ*E`?uQ$g{^AI-rtKwzHxe$ z9$WArB&X-kaTE<*xbsf>C9{i7t-UWik-y(QC%*RM1-bnt*U!54xtQ|4EzzDvng2B9 z=nSjloBf$vKD3S37+^zpWqN<0~ksl6kzF@l^kk9K@^KEKGdAR_7uue(U>=x{Mum zI5c&dES0;rhoQn5_>3MZXDfMovCaomfq3#eR$x4)MkDVzzN<8AdxEVF&LLK68JNhc z3qJv}t4bOd`B$Ix06QOBnTNBzIY2IdE8jq!dcI-9VLg*3U9H=dEM;sKss)n+DhmXU z%G4gT%%a&f=4H7mv1F{d6_v!`eH&Eob9!mheA7sqWk@=$FY3IxAWe3Mgq&_rcwBJc z8@_bEx`AF;Yt2w4urEn7yP&dY?=ETQ`agG9eeKmG^Q+K*Zt z?(T7!X0j4ph9*oHV))f!^rGTM5zN?t`%~0XlF4#M+Q6;r#;6hFCO}^ndOa;96emPD zhAD2j9Iw+|hnC&K?NK&9Eb_1J?;B~Zf=T-$>`_>!-PIm5yEJF!v`|G(N{AILrThYO zhn10H+bTOIdkpjQ0VG>p3ZQChrB&Q2+*;uIz^eCB`$-o%QfhhSi;8Iq19P^lYfU0f zO{G?LYf3p*S~tjBy^4?aURGtQiJBqI^bNAyt55cAMQyOY)p8Hb`c4s=)rONZeY zC|~iNlgOho1jA}iKS-9l$jdpO%;54BVl8DRmvhgubZSNA^t`maffBUMf8Cw=@T6o` zT4Hs6{!slP@9Oh3l@^N0MDDl^EgtPMzeoGE+L}~u>F~KrB)KczaP#vi;mWCR5*)so zuidm6M=?gip1S)SeuUP)J^y={?fdq2cr4>xRT4*SIt4oVuIc!WVZj(R=y6i-J^yKb zF+`{;e8QijD863}6eh{ZwkdDShjyMKaT1e6rPfP$_gF{irYPuz^|hT7&)4br-Kb|M zdbw=$ZiXrydPXrTZ7;FBQ<3ke7@MX1Ppq7|F+P5Y)~bz95MRs>hUIqlwmjPsHDdZq zqd1oFo_?oJ`>A#)SY`>O;Zkk6?;}U4+@@VSHSy_doMB)-E%Alt@S?1Y>)it)X{Qq^ zw9y>-GTzzQfGdoaUkh8SdouGLqRpoOcL(~+QCWhi9(I$XS3^99*Ov}uptQV0*wyKF zNup=K1*JqrBb0aaX#)zqP4|TfW$-#%LfwZLnmWwGH;kPU49uIMLfur!-0tR@AHNb5MUTeUmy5PJ2mIE2%iwcm2pQa~`0d6J=r5JS zI|0Tbo{Rx2NSXqz#P~G+y@AfN!w+5rxn%Tr1OE4(v~ujltkaz9&ms|RXN1%wSO@jxja zaVeKPVc7w*NLN!yZM1)csHWx{KrZf?k!ESv`bt3YygKmvvC!M!kM4)2(?T;eo6ES= z=gm(M&0u`bL*_V~ZYV_uQA%kw>e~w5HL=APK^<~1bg7=$TL>r`+c@6^(+zIy69~fh z5OJZbs#s`Y=E|Gr`-%cz-=5soCo?g(pXoaMk7KCc;o82~$Netgg?1I-dfF*IZQ{M3 z#eW`ga(pzjGiHI}qK$?@FwJJtv6Q>De;z1r2{l)6nBXab+k&A}%fcjn;W((H8C5D< zE>`z@d8K5eRLZeK<~K;)q>s68d^{-MWpy2_Tv$Q&xy1GkbiOq6)ajEH^Ij9){Y`db z1m#@)yuBC0tC5N^odNo0xjkvK((9~qudV6pg00Y6@w04ks6@4-a2a1+%oEcMRnOaU zm72tfc-Yi@pQsWMmDD`+o;VUeHpWvqycRVwjA{NV?EisFR+MXGI&#eVmC7lGRT$W? zz^!DNa3~Es#H9sjflb#1Umt0MO(7T5trS_8nzM5I6x_7d~NObzk!{s=)%S@f={j(4TJVJIdL!#MJ zXX%9Ncjph2%g#;9U*3*R_?)y!vdV#`6F){Fv@jxIiywo(*9YHy8vg;A-)BDof*HJE zjBUgRJa|kA=>Cw)g5IF_)yI6e9QN0|F6M)J`T8pBhE-uqdDjOd!!ID_gN`d06ZRoI z%|>yi8Lu0Ixe8t7j`?6@TzXyzw2QtfhQvynEJWK}#jk$g-FSPWt2O#6|7m(OUrsb3 zm7|xj6sc2j)zms#k>~n%GF|h+n-2tObD`+}4f?(cY~HX~i;}x4_PRWZ^CId4O6`{h#+OnA;2C8Yb0Pb&4~o$DW0;4exLUSgP)fTto| z?zI98huhiXUz-`OiqLo)jZR*kZAF;=I&sE>rUi=X^UQ2J?XcQp6-CW;R=&*~txEJ$ z?w9|IVd&vfK}RU@4&#NrP0&v$XMzlM{>{B%-QtO8>k1Uqbl;2UwHX>Ry(E!791#^B z{Iiojaq82{`!9zq&TjXsfDu><&E%|GE|A61$Ot0D!hyRH+%q|B+hkH_n#@cY6Uw+2 zvUCD-QO~NA6y4t4$0 zEo|nyDB2A`Id|Mh9$^s*Q91=9v1k;)< zgtKHr93l-+asy8|O`5CmC!QII_x5}(dK#gG@AHbh*PI#vlB}wIP`vmqN?3qtjnPr& zlK-wYZ6dk@8{_ms1_h3g{P=cgXzvX1yiYe&!Z6ij70$9bplUNtwR!>IW0^Cj>8&DR!rT=Ne&KdQ!I4pr0Q{ zBUfvUY)DApYgvV(64kL)PbnP#T-2>AS6CC|mzb{7FaNez7FKx0YxnNNo5MdKC9-<~pny{w1_r<^&!;} zC@ohPTp53&WKj8T2TLKeT=c8X=EeLCl}#&Ts7ozx{ZnW~HQ7t2Ik8FU zBz`qA#YK)2LrRFSnt|x77?72NMZYM-lahK}Qh)I4a2(uh`9f18$??hIb*}uJ1ajQz zQ=t$yxBXBDN_>(7@-^LzVBZlR7m=Vsj<-r`QXxo!4t{Q)TDnI0a`9*B&$RQef5;c{(q%X1tTaA`%1YvB93wr!>I7*VS2LZ$t9=DYZ?(*wPz*OaeKqLgDgQ7ujygeXPXH9`^|^P=DfZkT_lYZK$& zzrJuHGPXJqMM}YwP9Ew=fM9)2o{3F441Eddtj9$);ldgD>al?EfBdLjTUJwKZ}gc>)gh3xo8qLNO=^^#8LUdgL4T*$9N{ ziw`B?MN#pNk?SPEv}t^hPGLN68&Sk_h<st$30?WaK|@ccqr+qH$k(q)@sYDa>G7!q`bc02ab46YlH9l9L1`d& ziNl-LkBNpn!bwyX#v-&XJ+k@LnNimhn#iJe~ zYLjy}EJKFSs1)YjcO*i?4N5LHcy2Hg)_I%`V}#gwWON5=-8mHv^dE) zof;DGiZdt2*9uXXu#YJpcRQ*X*6Kc(+H!YfHaT@*kX9HWi_^T>5H4||u`;gWN$c4L zwEC5G)7|P`L{ijAxzmFEzCIOCuko8lT(=ntiJ$k4{xQ9pmUzbmn{%F_ldcq$p{f)P zO;;mW=CqCrx>87SyEyW<$Z4+Bp^OAgt43iUTVy2CAFpedaku+5iK^HrZJ7h%cJ_7+ zqn`0HOCfX#)aP<2f+9YLV8KEs^Ae86#&sf#26Zd3XGyPNcF}edKveSC8hM@I8=}6^ z7s|)IWm8X{Iq`rgwE~$^NrT)C9lByASU!kUI^UFig1?f#4VIW<&ve$1R-(H2C9nWa zggzB}X@s;a>4^P|ix}1DKdIpjzXX0(%?#+c_FRP+o!zh#MF(rAW!t81&1kKg@tW3 zNgs%o2Lo~`YV?UojKeEJ&ev@GLrGw+1&tG`<$938*Jy=p$MzL$fu*)T*(eBR3~rMur{y_vqs>4x*WMB(w2_FG z4WJcl9)K2lDISfseSA)|+MD{+Mmuh6bJ~>+Fp+N=y?)U~_Ft5}JHK$pyNFK=C9t5QNwJMb% z+Chc;gxzy0=8&E}@w0f{=vWW6R!JS zNZs_nyZz$%U#Y*w|@ASs=I4I(+ z>X9p3c-as|6;A@%Q-yg=%G0&~loy)cXz~c4=7x)wl$0o3&Ty~)=>Oo}+>W+IHW}r1 z!0a}g!s(3b*cmINVcV30GNX@n@=N{w98UyjGJ)6<)UhV4!}l+{OAOp#ET21>Lh~KF zyLF_s@|HgcX^h=epCDEwuD`8(9z(M2&0sMPykgZF1y-G;mp4^-HJDjwHz0h=-t!~B5pK%)RXV`#o0V2{&7-PC<$j!5YvP-M`qs)M(1p0Sry z;!$qf7>0pO#r%UKcjv4zbZdIz4#UC*LU=WcN-R`g7ZupGrXA3*Z;qvjsCVF{;K4A{ z{q9sj+IEStYA}wz;mb?=GIM$5D_lBYYDBHeL+;{Djd8uoJxT-}a8RNK^YS&jIO3>r zw2*o3*`A(YO!rCO9fpYw{LRtxw~ycUfR)YWDbwl<;Y~+^jaSZ7otdo-!Q?i+2NZkBQAyDZk;Ny&U9u`c7bq_l?T2ok%V>lql( zGeD3whYhRZl;T|T z-?#_;XT{$Yz?(k7(iejbHKV|KF@c}_c&=7`@q~;2T!s{hW^u+tRs%m=MAE(O7HED~ z9Ppx+c))uzXpjHgzA>a^Du-xnkK=jq$j*=PbX-(ev(@E1DdzxW!_Q9dcxSUm=Ra=~ zIbLP`qwd?SfiC&HPBxtdC3d&)Y0^5KpS$dJZPB3|{0n%6IK{|E;rwAvAI+ch7++g& z>GJ9)ht?ZLY<<>{NQN>5R|oRC5GQg7>~fe#fn|S>2Ur9Dck<;uq1~NGv1Ny#t2}n-KWb zh*uW82|ADIzdv*JP8X}`d!lppS3b4;(F{;+PJ)xu2RUHoj=u`nqit#*8C@A=z0(Co zXWKx&79^5S++UzEcQnzGx`pfx6ckxV+PfaJ&U?AdIz5fB`t7}&RD%3Lg}6*i0$9s{&Sa3+#uM} zCZ0KwW~^xEYMjDU{8#q+(HPNH~$c;iDYG#R(f z9kN7tNk>dig=BA_V57X^v*b*o;DN(0mWoq}N2|z!Y*_{)0wHvUpHQxJy~yXnAL`jQ z;q~s{LM7JG!fy7wLgF^y_Mfe9XroouG|_bv3R%^i7EIdv+nqd4TK}-Yf&fFK8(m!X z;UkZU3CIWZ#`CT+*rJVzb61qWSfNvK>-y7}4Q^FL9LzV>Ils`wJf8un=U4yr1R^I? zFL2<#$DY`K4Ao9`IQsJ3)%QdOk3?BTu64I-YyB z0Keu$b!*|fuoXw{VfU%dE8}A0 zFgx}ei<^}KHja#|!Zfk^$)1cotnybcBb|9qk%S>EmsWj=-2ES=z)i7k;k=EqsKIOp5l z%PIFha6K@%reoo0SihlcQF>f+%!v^i!26?$`z!A2m8thC)xTtw%R(%lzdy&N8vT*Z zeeYV?cr3s|n$HN30|E`*FWT$62!49Qx;gL1i@ZPUhB?xAA3!Rt@X-OiDyyuS?{tFF z`-B?z?Bd)x&K^1r|AZz{r;F7Y>x)6g#ZVsZQ-d#GBVGXr0uZ zdKRqc*y|hscegB*vupZ}EO$_LU^+qh3w`EuE7KAV$&B^rREzt)DlV&uMQC1M8o(iA zzTiboyVfY&bbfBV@3F@Xk?M^n8dZyyc1iU8`h>L*%+jxxyDUQUB-wzcfX-9r zP8I_kMt$8d>SHC$&^eC%Edz>ry?9Wt1NXymYS79DVN<=6CQ5OOC0gDquP1nST;58q zsW1z9e~b~=*BozpJGu|sAh=h=E?l!1^GtECvMX_d7CP-mZqKh+@rrpSF^)aM=!4vE zR&k~~bGsSPT(^6@I6i~>A_LIwV=Z#Ctw~(WEXD{)~Sg^FE@7 zulf7NQ$mM71}QyS17&`&*#vOWLEY`dmlOB-d5TpD)}L&m(p_YdV#1i}t1 zt<=jNIUP8aAx5_ZzR+amjM-iw`&IsD?I+9Rv{aXw|H-svY<@ZF9TUh&4CSM$&$mK0 z%%DTCv77Om3FCq?w{9EN+zY)cn@!yN#h2a7cStJjljxCi{=FKFht%6OY`Nox!qk)A z%o|E9(pwu4uwO4l9qNuw#H>HBFdJvQa5WbwsbkvdJ-Jd(1bZyx9_EdP?IvRAS4@5i zonv$tm^J@24-~^EXXOLCg-v+mPLPQ37x^{IGBNBe4PkyruJmP^#f&aKDzif2k_B#1 z;UpoTfXI28lYTG?Y2Q@%Y+-opr)9sMd5>3!UN#(Jd@@^!!1-Cm)c0)sCB!)a#74EU zzfvPUImTh(t`&?uPssM~zU>6aoAVy?^buIj)2_jh3pRtg9H5;DpedxPOKHK>q%<|x zW>6}imD{481KE7)kum$|G`I3kF3Ni7%D=7^&310(>=7CEA!Bzts1Ki-%!RFkhiabf zsq**JBo})vz^xSPVrJ>_=QGKC?MC&AI%6Nq)N+wplO@AS!rYkBS?bKnN}l~w@d>y3 z^6=C4DmZm@;DoCEj8!E1dsB2uF3Qxr+&WQ3Dri+spZRKg*d;58_Av((H{)~%)t(Ot zzIjTe*3b7<4?EyrSVp+OWFML>5f`VN+kaV=B(Cbf@?0YX;9TAsNX3*?UZIV?DGSzV zYM#mMj^XpWoGL7pc@{-k;3lT5&bDXf!8rHTl%b};Yek6R9h~M1NsgF)y(Qe zd>|QzU*vt+DiS-~cV+*Pm=|Ju=a*@BnpT{5tXieL#4n-eWX=28&oyw0j}@q@o7dzJ zdi+i-8jm&c3-YwMJeP$NEMji8#wLbqGhR))t>$^4YM3|HEz=33Gg3HgUT!0hZ7K_v zO}GHDL@3!iHZVU0rOPfE4&mdo%PW7-q|Bcyz461=A|?x-^Ct7QrEn15F`o_wzheK* zpMJ%D`BzZa{jq^YskNXd?K3hr$m4<0q+=$h1msJzWL#98ZaLla4skoY@d3=H=9#0M z&Eu!G%vYxVzFjs~l@NO_+(s%DQhm@Eha@1k%qpJu7e6ce>66E@SMuIPj8!NkPfwF= z<-X&N7a(ip+-!zc@zSoMYx!@_a^>=?uW|QpY?d6~%9Q4OJyd@XXfxYg(s9a28pk+J zwgAI6!9j9Ny=N2`&x3VR;}I^fs0&Pk>2Qje^WMaS+pxYX7I$HP}!Zv_1Z7yD-LDY)ZNNCXoaR3Y%VFVrC=nW0B_$UHPT zy!z?bs&K6682ELxTX!mFae4zp0yO$Wrb1TkfS&UbW$Ns3cD)Ek@lees%f{rmrarH< z7S|E?%5-Sos(?S|3bgpMzyB5cH%a#6moytL365^>=KQ#%+4+3u(pdK7ys@6Z<09OG z#okT#UE$>F`7+m1NbQS!g%@wD^%YE%o(hDxjN+{}<7`6?UxZ9cddf*f7y(Dh)p}NM zs5>N18n+nDD)6c>&Vmjxxz$UKA-^*x^~(vSm(}>uDy#BFgrUP=k8QQgP*R>6=LbgO zgn3-`l6RGv43re`(b{hMsLGCi$8H{Le$cRd0)n|bDdPB2vFs#Vu}2xMG|{5+lTM5s z`l*K*WOm1r)%k8KsNf7rfb4IuSH!>{j zU)AN`su`l1RAoa^+(5|`bUam1omDm|?y12ERsH=rS}qfg5DJD7s~gba2p_7ZyL|kT z!Sd0&Zic`I(CAn*79NO=lVfdmS+aW$yei?w**akp9s5NS*?U06bgD0+@O`Syx%a77 zIfofzh_)wYd0!rww#)J&{DX7!Rc#bbbc5_GP_`$G-4O@NZ~pTuwDR zeu-=ndXZw(zC9#c^4`mN8Zmz!0q3W(7m?d95Y%hb+o_I-y&EaURM-2rCKOd~*z9>B z*%`3Ndvk+GSKtmO#e!;y;@l;hBW7#Zuj}PpbDCNm7w2F+D7G;N)$Xidf=o=mSb6i> zf0YgGUG+-oIr&JgW^LF}#A^EkWs3Y>Nva)!L^HFdQ!dKD=)T>}%-+c3F47*!&p zEX9o4T3xQ!^n_r~ugl*gWCnX5QMLeU?`XzIQ=@>6xV)5bYIr=VASkoFw^hXod1^d0 zn%{cGa3zdgS{%362x(VUF@n8r-;$Gqx96!)2+8f*dE&h7pSqUO?T1J&&^Jj1Xc|ca zUHLzZagMTCXiw_In716om

cEXL$WPvB2BtA5S?RO>U;C)@+TkV!ZI|WAXzXz>M zN7GQ0CDXM|>b%%xf1X(uNwwZJO1R>@fZ4ER?Ubplm4+(if1>Jo7YxqY^gL@NU?Y$c zWPOP44yAm;7b-EM2n9bO3cDQd8X^{asivaBW7FyBEtv7IjMzf^0&wLFCAu_<+2s4Y z{#ttcm0rkQ|DAs$rPNov_4B%tmh;Ce{)8EaWxZmSF(ZOEa{nTHJ9>Gc?XEuS^sF{( zXx-a1#O2BpR+E^WGgd)zx@RC({q3&rJ~b;HAu_YHxcdHH)FB8?2D)4jbbQ#Cj)Gu$ zR!jQ*YM0r)2h6`ImVgph**))&BBk%O>jn+Qvix5fIE7asMukR`BCJ!(j#+U2`TS`s z8KF+GmsHpz&5)dI>-u1Y!xWgyeQ1a};}JCLVuf;fwf5fX%607%>t(Dz{w&&@kNi0+ ziDfJ?NGaB0nZYXK2{hR$MwU#S8y?yo_Q1o8o3^rT`_o&^fne-+g}IkXjdHuq6+3E0 zJSpqF#9yD<A%t_$YMphQDIv4~1TjuagdqGOs&I6;bK zk&+_o{yAs@c2OTB|APuRwHPRO|c5?pOXXv{7z^pC{D6b4+3m8E%`&KlD~Lc zjs^IDzADsYT_&O1J`pcNzxituq?a{j(_z=ZvYP-0Y_uL=$;qbj1mE5<_F;>xLCg#A z^<>`d|5@P0k_$Ub`#^xVPTtuR1u?ae*V)e01RxXGLHTKGFL)U2vkHau*D78LW2wDK z!Rg**rs{Zv5I3wrD#km$5y`DO2h*C8eF+ODK06RK?GzHzT$Qud;Q^)itjk-V&mn-& z^`d-2WWJsYb5&x5Lbe%XDUi6FX&=%2#%r z`oL%>uV^NUE)9L6dEb(i@g&rbr>r&Fih18o!A*4Z0T!N*j4`bKPrPr<2Wi>TkQ#-F z5MY+j!7kTqqmJHM$!0o2DlA2T1{`k6S;rRZ=RlkniZ7#Mgstzz%6l0z9hO~h&6tN|`aQEyoA9L60u;^PV0`Z`07 z*t$EN)e7$FeJr(Kcth4EMlkHt+eLVmXOO38Y14rBx|oJ#>ABF?Z*V0e32D1Xg`XsW z_qTa8ZY9xH=?%AyCOhShE}GC*ZQgI_K@CA!{@iK)Y^c!wLax=NT!3=8A(-AY_WeNB z4KkZ-)~R7jl(zh{t@$uPoceP3CA4iVd#a`#Sm|s@?+r;M{hDSSz4YmR z=5tutq&WfSH@`uAz=5>RLYwrL(vLToYqmzVt0I+#sGIXz-`xQF;qew*a*})u(!aW1 z)VZ{Hy|kTG@^b0)ctDAEZ|56k04BvU6l|(%gr9nXylK;Mm$xlH$a}i9OiR?RzuT+W zc;J$`4Wquaz=rb!GGJaZI(Va1*CEpLYZfQ)KI{4LbPb)n_#QJkD?7TGc8z@!j0*2g zh8~WTIJh3ERSD!Me+c(V6hG+6`nR5KsY$WSw_Gh!vgXkCY%^BPW)e4S)0}(Jn*R&$ zIYB!qEmDDHx?BRvRx!0Vrdy8vcYqoA93xr{l4L5;>>QCW(=xaIpHe5U1#~=P^e{}* ze?1n;ID4{92q?X|b5JrYG_pPnYgjvx-OjhGK>prfCJFp#8sjo>nkGEQ z$gkwL357kqZhOYDg@|M*bS-Bf_{Hlr zcbT+R(EuUYb5a+(xu0T=7ve6EcBEoZH+7Y{5_j|8W;uwG*Y_Y-$j!*WvX{w-$NS(N z>G>D_0B!F;=d2L|Fa>mT*L8Nxsau&&iEG;lTTocxOIP8qxw9j{tB<~-A|`oy_-RAi z>9bsjW77cA%y@dG8%sLNdj^*nn#E7@r{ z^c}1MH?ZQtra~-A(_4ZTHpqUWmRsJ;Gmk;CRO`bH>!T3|DMu7GiDGlM4KNWv+<*=~ zk$M8KbwLX%g`w-o&E8f9)6f_ynk_B#Gm66X4aXL=yErSzg;`*uL5!GtGJF33+S1hu zm)0I8d;3hU?){62+`KsfKcs&n|7}ooOn=^i0cly=tN%m&5A-()2~(P!=dnJe552U{ zy7}KA+6!6AZDN@x-FG)Sft|W%CV`i-hO^Xf(u3t@B`tV5Zs&u*R8In~7opnyd&7c@ zyngYdM|_Cwt-{@vF~Q5nQzdL{oqOh!uwtC*lr$tKpNUktVhSnRe%y@SmPAPrLImDe zllkv!UG{K)UJ0oG{e7Q|+?9BnI|*{L!ua)c#C!ap1j&|2Vf6|CAL3E4qd>X2^6B~L zpILEI=tBvKxCwWj)WdY}sc!CtAVC+ISV`Q&awlUOx&N+QJm1GOp+SZ=$BpTx=jy;A z$#P6mnDQFMm4A2<_uq{phH<_S$1J}q;zF7vik3_9I-7iQu(+^}-zR^0HTiG-ZLKF#5#Kj zej(A%H(-$qE17V6Oyx@Z3 zUUrIPW^h%t`r(j<7N@6t5~FHgi6qW?J33B6G$Gt(N3n5SaNmSptmW8{NL9jAnkARF zk!$>y5E3iLQfb;nB35FsItzr)68;@B&EF5pe96WirZLn5)w1AQTkZ3 zsZ?NJgy6S|H#!OF)0P(XD|l9vWR%#MO&dbGg~&*H-}D*Q-ppPTtwuj^qPf3*I10To zEi=3gouu`vMgNwLk$o8OS=!?rrTT0AaB!PZmPTotCk#L*bt3nZG<#J!!HGSSlc8`cxpa(fBm6I*4$hDOhQR2SqL00;`N11YdEm8&? z-@Iu}ljbT96IJ0bh0D#{rpD99g$r~A`!>2)`n@VYg_H{?=`z3S@(n;Wo^NWHt#8U$ zts_!Z*+l6_5_~)}6yFR&5;~iBPSbcndS%|;vd;nea55kB73#jBeYFj%jf5l#gd1}6 z97AK2*H^A|as?I7c&_|33zA$h&IWvcC9PI@{ia>XDm$m=k^D@Ez|d*03ws9pW1wr* zpk(mkZtI?JXY;V<^o49lym)+tU~{zUFblS9Mz&hWwjLaTZloKS5B<;=>`1Wf*PZT~ ziT*^sqeJ_gr+y){{gJ_N0!N_fWf#?LPB*@J8PcCz@HL)kDE^vTKunjMZUC%kyj@2g z+z0^KWai_P)B5O?O6ljcf{h!A(!DEpAMejnL#O z%37`&FxKF?uA0%*ms4gaERBQ;m0a=BVGDk?K{$I;@_PCflEg=SoQek&WR)a zqqTCV6UDPZ|M;DMB|E25`I41+kG(_&@hf!H7wuJje6lAH@s(TY7Xv5w5&aZzG$`N! z3O+8^JSMHeD4MwX$?MU*BYu7#<6+rd+C?{66BGS2MgHFJ2bte4>duZrOLGVswA=(v zFJxUGfVQsu?bjAF22RjEB3$Utr!>+_kJsns$oEDKb)7+0wovPB8K7i&C3>RlIn$!B zU8_DKYA7s5=uP^GYJoV(6HTEGOI(pg%C?0HiTT%1*N zrXr1enAYmAnaFxv6q7K+!i^A^YA5DHh3Ez)!_K7#9e6fianL#&$j;hBu3WRIGFhKn zxr4i}usXN$)J9a+-=~k(t#M~!oh#=1D3gp$$o#HW*Id59z7x0XfH;orzw zGsGz)@(|W%BU2|pXLSTw{VWkHtPib*6xiEb&z0o#!Y7DO2dV|ZLNaT<

--1RMldmGg2fuHIq7TLDetK>h z0qehRnG;Tcqpp(lo+@AtL+R6^*AxZShTzt z_MkFC&WFuEMR_d3%%uHnXGSRcj{mGL=GTSsx9H1>*oZvtzYy*_sCl_uSbiffA}ohk zgGd}HOvn`%IP?irwcY5+5p~)xkEosZQ8&u47NT>N%M%avGj&NJ?*FhhCYD1gu^0Ru zXMxFRrE-biCzwRluOr08ArD7EQKCTbSYYk1MS=1#qUYOjaYI{1`2W@_KqAI8$q8r^ zFOJ<@{&IL}>T*J+Q2D*b^c^C$Y0P9pgII}EcuRUZ0;$P+ml)AcPUR;_*z|rdOy}db z8L6)MD52h+&Nnjr@sh++7$51wi0S6iSK#SVi3IwdzyjNJdj#9`!Gt3?5`z2AJ+cq} zqGqLyr}?BYAEk+kxd$O00xvyj6VAJq2uPY9*C5v$>VCUq1U(DI|4A1E%XgT1qf~GI znz$o!GqDw!-uX1g;Wks=OO-VBw?ccuDZZ`hp*)kfE*|e z9!l3uKboF^bWAT6tVoiEbIl2ulw--Tbcbv8qW?NQU1!n0C-OUmZr(j^H7z#$KbQ`PO#dKOxISpgUjnMwFX}1oV;>% zJpw6vVlz=$xeS&2JfJRL-IK?@GpPger3+1a|IdR#C~-PjFdyak`NpnkxOVHs%txstV3=z0K)jKr*HIbA7^f+zXYaedgR5>InQLFF3y4hjnK8aiW+H z60m?6}_FpI_F#}C+5pY{XM>O$3Dj_AHXd~ji z`I}U!E%i4to_r>OE87$1@H5CX%=jR>S&9rRmEGGo)^Z{Pt^*0VCGUfdJVEeKKmbv3 ziD`0>j6c_^%A}CIx;$ZE9mMiGn6`ub1BWOc2+ygZAV4azFBXl8FI{zXyD)P0g<}f? z_V#+51Pcz`(}vPIpI?T-WKlDS9cnDyXbQHBPSvUrxHI=M>*d=)p*;nF@e%4!!_{c8w0F%g<`>;qe^U^U8{ZCh5;xnqCNw~d8 zQv0BD5xP;oWYh{Nzxch8&I8e!S-A-Ncyq$nug(;;##REKColsLw8I6D^SPQJX<`!s zEa}^aV0RY-+ZdH>=XsF*IP@tKvONiIPXoD=5O{YkZJ3fVDbwoHF?Ix~3*3n*a0w9UVQb zLmd!;yWf!`O$4db3H5~A|7a1B4bI^<(>CsjxFG(-MvA>-l#4v$6ohAvYp<~rWQ>U9 zcJpN~1_jbeWhlRtOJp!jCja}gm&Fe(&WZ3N>7&ChmZ7%zMqJ`B#hPBg8*#u??>8S# z;W7WO2PA*%o2?ln5~YAM^{I@xPRf4eHDkd}92~(djb1iLS=O7_elntgj;n z0v5Z0dTaajgnz%EmQuhrW+{C+!76W^W~D+~K&c@|0NdYp4>2Ec9(gqC7;=>FaEWq? zl)2r(K?`_FV3~}c>k4P(H3S-;$wMGm&MgS$oQ0Vj7*kZT&mt^=9F?pA=!%r3>Xi^l z$Q2vhcY;tSe^UbjyI_&9b2jH2cz|ahZSk-tLzG>oGZ}49h(vVVU7nEkU-)_TCS%yF(Nug$;NmHb9h74qMQ#gh7bHXiyoWnE?vY zX>AM;6alHd{&xO=bDih8?)!7!=VGG4$yctpT{maf{10Uhf_k{DZHtqXa%@Zs|8jqf#&Kdpkt*-+-NO=TEu0 zh4&^kp2j>sE8Wdxwi~(D8wxnGJuwv)|GY3XZr}IzJgD^~)HTUD-}5lh;GRzLL*L>D zu65@v9ogxqxa`yKU#wyqRlW~Jf&C5+Ym+i z&a7t)k!56AIM%bRUIZBQMn1e?4jk(fK&4dc%H@7H6|JxFG)s}w z&5Nv&3PwNMv5|0qdYdj7?{{ysusqO|(5Am43d+llFh|}~H&<>V#(wYFic5$XA&sW7 z=B(hdWPY^b8n6TPs(xRN+7`Osg9RLA_noJBIF2neC`kJ@4n?SD+GZfiTdZt%NT7O? z5FMOW-HxlQ%Y#D z@goGIj_7MV`QL#90cT}A#rr3Z#2v_EXnfrMT@($F=6Nsyq#pF4uwtux88BageFNN#vj66Lv_vt^EZva{xvh8eNFhnq7(J_*ge=i`-Ex(} zpTb$^2&*kBO4$_Nxy=1}8OV0ywoq7^ZWAt^<2s*b^F%XtTaOdO+*1-S$W!0C1cVT; zqleNJyVBDkJrUk@AFqzl<34Bwx{vf)aa8wipW7V18sW2_nL$nrSa{fhZe{y7D9X zo|1jOz(DF6$AWF2NjHo-a#4*cD)B<(arK|Xa`UAj(d*gQc9_Y0?+$C3JvKQ)|dG%!7^&>Kk)K;^8;0jp%RB8We%` zJ$TQNM#?*0Yy#Y1s_?y>;oA)^@!XD`+($X`cO`QqvB^g63O2bUo`KTJtTXH79gtEI z^i3~iQiCX^3r~#8l`Mor{BHz}X}8Uhx@pyT>I|^4XMb0mI7IGsR^S_&00Ca>f`ls@ zVJC7AjZ|I*Ady7ZC{DYnv3&k^l>w%y4dWF)yu0MXoDrdSwx^cDWZjfw{Y_T&`8Yba#7J-pbYe12w6A{CMoJ z8W)Vpi=ahOHL>0VY93I4y7IlX8 zJz$NXWmO)(=NZ3VgAz45XO;dtJXnr!Z{ss6u&Z!R^RJnmw#uN}6)2@;cz0 zPpZPgrA(FE^AY&#R8m_W+p3iAA6Cq-$!p~>Q zn?`IEOn0@b*?9PZ%muK$xg0U}^)`TEm+)pXittKH7#p8~O$;wzWo<8}-?!ZYC|c^e zlyO~4x7tcoTQ&ci%z;0d=PvF8IywcpHFASZ2bxloE?g2Z{uh{ib>`NaWkl#X=$EsH z8Zgv@pYH44`>l?h!0Nci>#kc;;)6h^94vnoeN)-^#6q>z!j3k`EEm#ilGK<*e)Ao~ zjs+}W{oe|WyR>+~1bKQ={7pi5Br`caR@WALcmP?)nYhu)>rc5l>_C7CfrtpA5So7@^Bm2Y*>|M>k-^&?n9xPh@}JKW-A1 zQ0CGcMt3uW==xp#Xx47d>0cNeip!ANQzrA5Ms;A6Rqj+(L-@T}d99aDEL9b1#zKxu z4X_y}x#|d^Eb&KoKBFOL>0M$+$U|zDg$3fOc}>hb`EBmRxSP0?^Qe`rcrNT3dH34SVG|VJd{#xzeLA$ByY8OV1n2oS zwh0RkVl}J-Tr&{Wi)1NJG|}SWEn%|4g_djUUgSa(OeSG3`jUny!@4gaMV!wgjl}2i zCFOi6;>q=3iKB=Kqr0#4+%C0$CL7~HfVjKjSDpJipm2a?tZAt#^$P+d#XvCr&W?b& zqq;z$W-va~plS7aocRB?Z^r>->I7Dbre ztn-?SBis?+LHpPgWXos@cO7dcAuSbx7s{dfr~K5^v@^;XsGnVBOTAuA!Mo1GD^pi= zeyIIdz2iqcRKSU3)siMSU7osIo{1Z*B5rxBj!v(i$2h<a$r9t?J?LJ&YsJv|ULeZ!3xTzH7vghbWUxc8w^Sl_pQTA{M_vB0Z$9wM(%_MiK z%qPi<$UF#2-|I?|Icd0fKj#*5qG#`DAaU#QR0`bp7lMBZb`-dIKS~{S zjD;4sZ*(YY=t8%O`CK2IvK+EnabFt)M8z1-*!?S*>0f~ZNgYkYg4_lb;fOgBDqtJ8+jNk=4w{7oDXN;fOSi?->=NDTy7ZlcHH|5i3-c3l~&^dDIh?B~E|J znHNJ4ygF#Gl$6&i)CdNd{K zDBrS-F`T$v@0ZT#F!-!HZ!za1zuvL5K%qYacD}y3u14z5Eiw=)+pU2Z$Hgl}v>N=_ zfzacn!uI!FN80!_KoTK9>vY^91pBM#)^r9;6fom?dR9JVo+R7TRt9$>h ze~tk0rK@0ZX2qlcrP0?<1(ub2Vt68=See$_$eTQIN+uWi?6_FQ`usBW-X>0%U-y4k zcw%>kNUBt(K8)L-Bm%-{`wRXN=AS4xABf9ge@P!x9=o2-A_+iW6B+x*XZ z>~bie@1kO92xYEj|30u=d=vYEV9m%`^~&=MxiiUBII6ZIHq4pANML{qbvewV&DbPH z9Q#AzoBKxNsTg^QpSuawJcT%tYj+0>!9|hSwmou(kg$e#S?mhEtp3vxAVf#i8(5SB zN%KeBoI_G$2a>iO|A;MmC;zA60R%zOzveRqK?^H3qk46h)!-NRG79&Fq>dra*MtYB zygs#HBHQ=DiC!da%%(DgJP;;y_nf@!FOS(_MT@#pa3RXIz6!8}=Fiq>qgW%ev6IkWt!qUiBDJvSKenE zH(53}Okt)#k%$wQtlw4AbQ4s;M??>8thVk3=tbSc)FU`yYIf&@g}v&8k$GIRdVl4O zXuY)iI&#HZLm&VX{Xs#_^u?1V66o~sVtYl}tPWO&GtABkBcMWFvwr;0z0awI#NH`D7&~9oMV> zvS>u2 zv3Cb9hN)=Kir5kfs`NkOxCNBf7&XOXOh8X7R)H(b?^LBroG4Daud}O0DDJ>So(j;bpq<`rt2e(uZp3)QqkuR z2Y6tA%ng6ROvYNtqJ9}x>0e>nALSd$DC5_BTr=BSiHL9tvmKy+l!lC5O z-(RKnsEQ^O2Ct;2q6ase{9cxPZghu4ryDGihpNDXB8W3UpebnZ`SD^z&gk(-hhLdI zGZ vMM=At2(c5}d=Z;uLImH=bC}j}YSx(L&x6RLB52XmDu4q876&tdEksC1BD0 z;M*0~PLen)O&nET9f#o0ace(hHm=sCZ?Y~vk|Fjiuw}R);`F&xiLkTWb#@TfP1#ke zDISD#K&w5hhdbB3a0U(}q(hKd-I=&5#3HXRP*~MpdzS3SL_qC@K`6u(=wpeJ14}SJ zdt0W9LcehZ&3J2qyGu;?JP3>EpfZ;AOJ6vKVJguk$1hXXZWvA_EfSU zT2Jcbd&}Fvl+TJq&^!6%PN(vucUlF?+65%_0So%aZ#flelVO*C*!;(n?nP1Ky_}Z@ zYKYBC{bFrt`Lx*H`MH5;>$iZ5QAZ15_ob7*DC&KlUEsv5*Mmx~drS~5j~b6FVpFt~ zNYu%tq2j;&BT`2oc4lgf31Wkip|;ZT-+k}R6j+)g0lzr8SrWIuD4LpHkD~BNLX`kF z>O~Yezl1YX?3G$_cL$XynDF5DJUqUU<>9vS7bs`k?Qeo!+H)f-frPM1b7Dq_O_T2X zV>Z187XsHB^UZ2q?1S`kB36_Qb@6W#Nve{*md5^L@jl8Tnb=~0FB-~iT{?5DbVpB0 zt?E!GZbNn<_Rh^03rwd0&XNE}5i{NT{mQ8JXU?z)r>-4EHTX6imwt(LQD_8g7i6o7BxF?s zX=TT76WR8%ylvS5*oP4g_aBryh?xEh^}>IcE55o~Wy7mbn8~2IL|F8{T$>l;E%YuI zC=3WLzVcG0aGKH&5T)>Q7F~0%_SwUJ7wNse-S37VA>mK{%b06eZ~P_|JG{v= zL4dd0V3H=vczK$XnG;x``~;E2H~luz)}rI;ORiJt7d~^o%{HVxVCLBL(nX@bN8?H5 zV+#+)TIsNaax3Pe&4vY_^3xn61Gh%iW8$&#hy<8(E3Ny}=mI`>8+wX@c=3J-5igKN z$cUjM5$CuzfBHBKd#S;IqMafS_u?=!Altl!>)3ee;;ry!IkXiW=?N0FX{@7kP{4Jh z5`Hm#F5`~p5Itr9o$|MTp(=XVM1L9Tb4XA1I~{ml5IU-O_~xw9PU87t)zf0LqWKIT z?$~_t%eZ25<2N{uDDFJ)Bv0hMNTOA+_3`6Jt7g+uaU7PYTS!O{x?$PqFi{{Rf-=hk zTz_>oDW3xjNa2vjt4Lify@4~76c_(XWW$D}{?5F_c(ky5BiIC+7ixMp+#EVY*0cPt zV+(rc9PEnW$b?;in>7lLT%P)Ss43(8*}F%kMkpB#_05?u2$`VLAxhJqeq|}O&y*ee zA6#Y4dXYB+kPVJsMf9@TxpP#3j}j-i5pxyIYQsQaM(5;1lHN#ey?&+k45||5aJsb% zAX~yw0^Uk8_3!6l#VPSdhK$TnZ>^O#x6M5!LqxP{4xFtNZJ~Y~^4D*10L8?n0>Blf zWgA*-j>i_B*ii?;ZLz@jBZaMuGL=U_g1wCOJA5<#y(T&Mut~!>!N=#HjF4v)2mQj* z>(oVz+NdOfW9r?vZ4=_6v_;sz4oBFj8N)5-^JanfCR=`;?nI4@N7u;at6G#jUA~k* zwH9XD6y^~fzMo&dE6{+hRPIUwzBg28F#F#g#f4BL+Fi2uq5ru-}rLr!;D2%EkC_*K7OZW z_3G9S=zC|3rA31Z5Znj;Xrc7Ke8+kaPxDa}=GPuH&qMF&C5WhHRZJ=GOQq@OfCoT? zS)jwrhT`fU>ETC!P(jERm&_;I$>oZANy!vnYkVb<9Z1jO*7X{bn{$M}xUIX`3$vL)aHP_)@C8aq9IU$LHbZi+-e?#Kx1m~`8pL;L6 zb5bII29qJ5GyJOKC+FJ?$}cOfmTpkrz1glWL!7kA3p(^Fonm1XH#_;LEBQ-Vesu^4cc1SG{6%&G)?tJ!hNIRxv;4>G`%+db)K>N5 zWXZI(|I-;U4j@GLfBh5S5>(R!Ov*c|$M!SAWWjd$+1~3F)6!Q@xN#&Uc?rxyVNM`Q z$hlk;SpRf1bF8B6<;3fY%LRN*dBXMlhP9EE1nm{gFr6qWSKZ1$VV79fo!4fpc2g}% z`Lj8n_EbAy%GLr=VhEpj*YHM=d=|L7DndS8`a?c8L69wZm@MG;e(FDeA$i;y7txa` zWZ>PZ0|iJe88Jhs^p5TTB4Ap;C>ylI(eH5!}20n9)e03k8cONntbuglK0d`)UJR8x(dp z)(5g0&%idOlU~X4Q&OY#?3yoHgUDK-Xb8ymHrZoHXXuAM@p=xfJKC#8NbOan(QqRC zWqJ_A=r^(Sk+KK!vdWzhZh1?35-7pf+t)tZahO9pJ37|)j#5)U+`HJ7y;b-o36Ql0 zYLw$;{2^J}V~_pw^~@>1fuBUa0*MDPU=fOtac#F^m^~=*0wrJ^giz233|(w;umQgi zcHTGoOf&^U!Rtv-V=tW{QeJ+Eiqj&>I7uiCVQh!cH@8E47eKy8-Vq(>&A#^$IN%#8 ztv$yxpLhvybQ_fP==*W>z3)foXrmXM@G z=R8T^Z{CQ?4&ditZcq^1&OD{tp2)Eh@t-{c{(?R-37at*Yv!c-B26{UEgxw>~z3C?8k_FD9pMbg4LY^*-Q+7z* zSB1}N7ue*je39ZG^Eh{}FnTRWPpdz(xoWIV04A@ne*cs9iT(^OM#B%gR_KzmCy^vJ?Rs%Fl_m?2IrC}xN1ng; zj7djz;5wEVctVpq>e_pB8K9SC{%`&tLYn3F8kdy8C6ZYjq(JTCs#9F5#%kN7!GM>P zqki2^p{nqyMieO&fO`l5ETSt1`uIGA@g=T%Y@`#f4Dg@%y z0d0)*kNmIrWxsGM_Y>7zJbcr)Qy6ZelZuF1WLJwzC{6Y}Ipq1Ei({H*sWPun~-%xBj%CHhjMWDEt@OGo{nCJRP|QclMU8Y|M(O zAJ3h!(Ak2Q>1nk9flzsm-=9UW;$4&YxGh1aI6BzVh15^l#WFC%v+I6R_p{WLI`_qf zjk;5_cpi6#a*Z|;{+_>=Yg4N6A_IH6e>P5s+ghYHrvW6zWgQ~Z<074(KWx`UrSI4O z3!I1PJ)3Q8Hv!aRx77u2C%0sDy?FQO;{b3Vg>_NmWtaNbopz+Z%Dke{UMey^5)1^TT4fr>1;yZmaw{v>oG!A-J5SU#v1h8-#myerKWfhJ9Cy5Y#-7)a@@ zys{-8);{zpIaW@YE0Zj5(G%M$&Fb9$)ENWsAQ{F!I?NfE&e%gHbm7S6%=+|H7-#*s zA1t0Xcn@iEPL=U4w} zD`%whYQTpW7XE6{U{d_mCF$bfCBaO)hn0Se2jD*(a`=cQJ|tZ9n{0d zT#g~YcrR8oCWBN7$Wvihum1|XGGT40nAmp5^@1;+EL?J!D<1*j+|=pZT?aOgm|2hl zSAr^fqFEQJdnUU7&M#zjfuIg2NFy1GyS`vOj3jD4-NKT*=rNo<;F2Exd+d1F4q(w+ zVl5rNY1cDsn5KNhI<0KeBp(ex3so$V0d(OC6WGvG3+$A{$9Ipt;yCS|oHDCKM2~3& zk2Ylo_}@>p4`BIGJ43&z&cV3eg2%Y5%-Y9T{XiQ~&9ge_2e*wx*ZeNhXA?ZY9D6dR zXUk&9fyUdN3WY za^TQtw2d3%-}VSIc&yeXeqqvx80WzCQq)CEnfPyG_$7$fNZG0rb}CWI46>>9q|KYs z50l3}PZ4Ao&F>}4(5bfjlpW`*=mKuM5&uT;8AFwKcE;??+(V4}#~T#xbWJz%7a12a zIUQ<7zWk6KQtCYejjTr&mR%3pB2uQ|^Yw3Sn+Vob?@Fgz<*stEZ1&or_At-=Pmcp! z%GYNRR>l@2Upqz?b%ct8MuW`AP7Q@k!AkA`RiA-D&*cuh+LuTs4(S??^jS^0N z`rrAt3OycgmBV-?6zu^3_!le=d`7oKL4OJZE!iQfd{ESR){wIkr6Ks%w$qJEX41b0 z^YtzY$QjlNX1D?C$5mYOK%VRt_eX;whVCnA7w@Vdb zRPCzf{RRcP)7NWBly%!C0fyDx=bG?3DpN9?3G)LLKVyAQ`LU`bEXo!tt7W96O zD}mvw04VhMlA}&dDQ99xo%sVDAZ(_&?1ab=Fi_d-iMY^Gw(J3Sh?*hVLyv#D<_^gp zCcT&Gb)uMoo=CYZ{4a#KAXpV*6Hm^TuHai}<|!-~ncrCd>3OL7wJ+i=MLELq>pR|i z7NNp?K+oD9$tF!)Q`qiy#4pJEEqRuK^~Ab$fDH#%1_ipmizZn;Xe3H3P|S(z9mSq^ zML13QZbdVf^<@3LyQ2sKS`)wt*nP{pq*WL+Is)}-Lb^$P5$0Hb_Ywo_l^$%w_)HO- z@^KlPR4283iN|Ac*Pa|{7Lk-zpI-d#p{sFAX-fBpmPD!k_PdOwfTL>b49-V4Wg*nf z^)i@St_#ncH1v>&%(SR+qvq5W3pyN^Tv2~>_i$DNEZw4L40caIMU_q0`{CV4CFX?D zLR07J(fR1^#5ZbIsO18?GCx8R4VG?bduS1y$y;{qm_+AE0y@aYVG~}E+B7tw{fg+6 z1@;96pZ0@*9p?J0pfko3m^u`&@}2i@WVv&>=4Yt6oV5pvGC7oC#9(Iyf^F05dM{J7YfgkaJ&`PMzP~E5}jz`Fdbw#e5s}KIhk&d_*Pls*-JHsNLI~Zp*&moVq<}(AV685UvCH z1QH64H)WVOf8M2`Ik5Tecr}{fPV0ZLoKM3I?-VqE4yyygCD~@>q_SwcURzzDDX#2xsrp~Hul)-PKEAWNN@+Ms;{5= z&yoXA$@nW)ghEw5mIRbNjo_JhT}k;^%tLtsZJeD?N!<$TH}7S2%4afmX2`thfn=+A-9;^`&OYLeRlLI%nB%3B-Bz_wQ?c zX#SjCC7+&0>CTJsy!Q!qvtaM!Rz*PjXK=N_@8BMR03?21Cx+Z1@Zm>SFCg*m=eA+A z!_&f?J|OAGXz-jN<(Jdl`@I0fhl_e`c3nAD8YZM(9_uCDK@GaDo7Ch5?Q8B8>F~AI z2QSPPbN3`rWzSJBrmaLoV83z?3@9gPq7^w*iETe74sJLzUX*<9OEGbStE-izz@=m5#LYDAGL`1;iT9XP2 zm3^0d2oGbZb{lw07a*jZV*EcIsyZ~6K+kab*D2(#y8kZfQe1DpI*OqTK-OQsL@r~; z!VKO3R~2XzMW9Q}4*r4c3wHsxN~-g83}o zgPrU6B};5Na~-O|ii-ZEN+(EwP5cr&Mqeg%dUey48UOoK{6~z0-1M90;?}llw>p z7ub&v3UeUA>3b~0ztqs%vf|UreA(rLcY1_C-dY$9lXMjMEtxWU$pAYCqyijmX98e< zrG@}&2|Uic2j+jhIUtR8l1Bpkd$(~tZ>CGIZpW!W#7ZaKmuMFo%-bTEmqsd2V6NZaX|02m;!j?0GzqtjQh4fTd5JT{vt$T! zrZUny8e#d9s47fEqguwBLBcW0?=Ea2zoH_@1|hVO<@ROhnDt4L^ChU5jc%DXpn@jA zFD07O`Qm@T;EC&(^1ENIqUBrO#EdKggGUL^45fI^-<{1k8m+HD(Qvr3WTx-*TlEig zs@sANEa`DCT4U1 zeNFS~4ZTo)kYXG38Y#NG^WFVMMF`KJ9O5#kqBDlMO4-4m;+Zt)JVwb49lUOnP0`q7BmZ?{_U4Lczy7S8yL;uGfFe-X!Amn%m);^Qf_2`;X$M>{_5dxP znv*Lfa;9zVP#_DRC;ErJaG|E$!Xm#eWXboSN#9V!blV#%DVbb>`n;R3r(Cys9Bgow zIc6CrP;k;?SY4%iqA5{{2^)@6qN2s5G&ADXjdCSxm!qlI_6e#TKtNXKNZ#vl18$r^ z2k4a2@wV6Cf3N+D+-bJ6DKsKgKr`~b31cl`JY(55BOqnLLyP~5Iq`$ssuO}B3<#?3 z6kOc;T{xi?#5(hu$D&0^mQztm6U!spyiWQog-Vl7@K$17gJBS=CUSe;IB$T!>6{~S||xN zq@ZvaOhPd1k{w7ORgWi!2oSXe>YX0FdKt#8e>EZa(_#%Q$|vX1oFsC+UMO<~qPZ}` zld=L->v8{JB-FW}w%P&2MXOe%kwGWPS)rNf)s2r}jGQv%Qk#|1?|JI~VptIIGZSMA zz|G6)>v>w2d2<{)=WyvuSojOQ9~MibrYR&&vubr**_hWZIgJc3c3gVHW5ghAVi922 z5^rH-Prqz-U0|q!V@TM9-)a^K10_4x*sLy}XN=-Zd-6Y@`y4nvdI+GTWCUFD^$3gh z5c1065FLF-cwttV+`7vt%LDRdhOGNnT;&(vK5jLB95X)gx z_W!u|gb<6tbHu59J5Z?&m!bE@9k_0TjX>w#61b>d<7NHsAPA_z^$_M=|0;QOeZ^|c z1`?ljTvrjA`$Bq!J5e%gKq`^(V}_vKt3WQNl1~7jTE;-;6dc0)m4qmn{!%i@)La1= zn(EuMSV$uZDmut0K&854pi0oOl!CUen!mm$eP9X6MQ@Zhx0LHnm22iS$8kaJMmUav z_&f56X%LWmtyLqXNH+G5sDP8N81T6|zvXgZUY@6{ZJbaN$XgGA!L9P2 z1+_FRh2t*YCglp%>DrD|AG#*U6n7DFyfJ)|HLW3Pqh>ITtnQo58t1z-{KbyNCCvUJ z@+V7HM^sU;FUYmGXP|%lScW{0w52wOIWt$kJO@}f_@B$Hwd=Cx zf|mqaL9fw7c_dwdu-9_VNA_E}$3GbWH5aIHt9zK5vg;Tp=1qUhk>C#&F(^D4e`wkH|3( zS+{C&ZL-oWZ@Kd2mSu=)J`%#mZ8=#T+U-?adAk|DYJRtppPSw9xD8?>xS?8gS?gat zN`L8fkji0A#Tc`Mv1V#qySz@CPiOM$W>tYf3yabD9lo3FVGpkU<^V-|8Z-LG43?Y> z3Oww`uLUYd;5~8;9{Clnk*Rzl(?WGGe*;9Zf$F{F%fr8U;l_Oets+J{`TJw{e%A0o zpnTs}M>xO9pXhTqc;q0Qx4D$c@E`W%4mXj``X6=ASK}xoFHuaV|$z%ne(21sWR;C!#~L*IuvRt&2%HvC&8^wY|67OCYI# zul+Wo+>=~rnZTD!K5Mnm+nCgvGO3)JM`^5Y4D43@&PaUrZDpT;k3Q}M#+Dk&si6>Q zGjz24srHYYZwc`5D~)gqx_IqVa=T0~rw1s?Q56ZI;3B|v>t?W4U#JBrUz(-z!L(EV zI<(*YOW|NoXazvAcLUsLE6j%@3CZr}5asT~@%FbGw^RH1*8vtMQ&`TlnqyVAbOW!5*cKr^Xp&M$Y97@mGgPh~ikmmtByJzO-cF8B8@JE#*RkeB|Si zMGC2&V!i182ky`Sxxpir=3HQexhAEl{7qE9wF9MURaP&2Q$}bvBD9F~I(?Q)%QWNP zWncR`+tqp!9ISfx&nM~<8_#_$Hp61eah1+(qUyomw{q`GB!Jc3W^b`$N#eNEHe35ml%7br8g%7s=@gjfkbr7M^H^>mn)3>){jwnN zeZ;Uc7Ic1b-A$mpz)%39ugKCa49GJK7V$urk-HnQJm}~6jRTiujp=h@=zrtLL8!B%p0oyjuffjt0!oOyHcdAsI z9^0z1{5q`DYnaH zGTE0lBKXks9<}3^5n7tDGM_oiQNnYtFdaJNp*abClLS|f)|hB7S(^Mpua;@({;y~m z&6i>Lg&ocUHxYBTs$goXo)>Ks9atRDwiJEz*cDIulI^7}H^Rp=M;3&eWxo1|BhG)N zk-pa%fA_Hxz4~P$`{3K)wkTv(Sgz zNZw$r);_=q&gxyzNdjuSYh%Z2smY4u26^WKrS398FLT#Gu1Q3KqRHum9XFE6DWZ$E z)Pg=yJ?C5|V)GcmGP)k{=r^Z0DHFH06G03qAHF4E)q3yrcypg^>0N}h$yyZgv@zxQ ze^F9W_sH{t94F3M_t@gZf5zTrf{(^>jCdoDL5u?Qvzl?etjbpxYF)d!?pO#{K@?sgY`Ww^6JY_$ZVJ>r91V;zDvXkSzkp6KnnT-?BYb@2Tt)! zbgQLH8vP|PG|xi6&o@s?q&I%U*S~c=g($|gD<@Z{8y_7M%Idl4&NFnvk@6vXo~)R? zl~(R!(c+$?ko}UBS);g3hh0i>qR8;xi^q}S3LLtbR0{&Q>V-7#>O-kLm zLCH}sV@K~~9nLif5)#oUyP)8AJvGxjoAGZcM81FOPO*virhW+OD&3!~0Fa4;!^S( zx?HhwWeZTDS&VSn@d`(A-HaK27Vh=S^Gk`!G&^F8I7G)hS`^Z9&F*iMPj%qh8>Pm+ zAf#ZU1ym1nU_n3kq^#F*|GJYv1L2kGYb<@e#_FZQZNO38u76Ik-JrA)F?QIzS-LNV z_uE}-1yXl_>7V+lu;4@e6WpFEVCKxLeCvwDjmt9}m(^EzWu}c}Io#@ILyKu>*pw>Y zsgqlrFPx*1BL9+>+^XPv9dFsEUhmgWyiBM%Z3B%RIE1j5j_kYD;~enBEqO9Y!hdW9hIvnw^-_BKX<`+z1J7ygw)wLD}ZAXF3(4roK3)wnmBu2JG4nqH?bmAc}62I3-TGYzn8C@$bk#w-g1TVR&kcR*yypt zP6xIDlr3yIbP!9(G9dy^pUFOdm`ou3HSs3=nRO((-MwxvE5fd*dwT`hU7R;Rr3H~2 z=z9NIwPgpEcG$&sd@XOtG0$}XbI6d=GxxbwPG;6?bVaZv!uVfb%Vi$i#1>GH&Q~fF zeec5Pqp0Y2NPdQRNT@VNdnjP#zW+Z7+Bd0E);2O1P$%r~vml{yA$pk%K1)JDHFc2Y z$>;c9=d4Af$6Z2TR5NDd?^{8KX{^>3e>C{46ou)oda`<|>}kVGci*6G4aa4I$rEeg zGi@u`tyjt=p1!GHL%6}c*AZm>ykEKc;7UMrdNOy8eUBZVIW zv;*IU$W&QP)b>Uin$63l>%2q85R>AsEzkAAYmFtLl~*A4Rwwg!k^(?QOQih=KB~jG zv2?`Mj`J7X7)0$9eSAomObU{fbJkFRFhZCpXw6Hzbl<|?O+W3l&gK5cvcR^`iubZQ zCg+3SE4))!wy2<5&G`22ierA6UvX2b&dGt6Mj+w#V&oAK+I$?4r?0j)WoFru==o&fMV;1#q8 zE7q9(zeAp+OB$Mp>@{7jxMTWN-+PWpz8KSokyLt+cAYII*aIRdw^B0m-J)x^Mt54^ zcO9;4xBo=&A*m23z7c^pHD5pnj_r{8#%}>6=UqG{Y78u)mcO5JkZ?%4*?vXWBsu9@Gp7_0d z7l8m^G*0!vL)z8(NT(}vZ~gmx}pAD#9qlKB(Bgt_s3=jJ3;eEm4oteWSOcPTG6GEUwlHbRE^ZVS-; z4hY%Ug1jPns8g^S)16Zeq_ zm%Jk-?IRN|dq+;*ME@32 zpm{RAyzxFXU#6cw2_HZUWCjJ}LujGQu(SAZ+BxR=2>b=wMP_6aKAIN8jE%!zqQx^4 z2zVkbk(rc?{~zr#GbI(DMoVXs$oLFeCNt{_KAU!xc`XM|q2)63^6>?O5rS@9T8dO~~3d{&9CqE$0%p5tq2FPJau z@bxqrv!M~+L~CZYwBp-n?aYo>_}8>I%uYJKi^gDf_uzYJea!v={2*y{uyT*4%Fa{nr9nAsB(?*FLZ&~?q6)mry!j_LuKDrBioBA4ymp42-!Wp| z`Jpb*lKji@2tK}|Og{7hIWl#8t{BU$rwmil_d~6l0{uXVE@@!;XT0=WX1f7a_9_pC z&!7T=(B@-`YgU^*EH0kntRaz&2KKs$6YGohe>-->wouUU!JZzcTNKhH=hgl9D8y}t z*l;SR>bx$cgL#yJTHqABWncEFt0)IawU0qY+hO^$!&se&THn(fiaPgjjw0=pJz}fC zskfO&L_BQMGcgq#Yxpp33DDblYHCLAf)x>|8C(s|hQ%r03lP*yb||6tCAJp;FtWbqacbw??{aNC0$0 zwT`<`oUubBng^ofF;gxUKZ6@)D;kgB7|j9~b*~xR;5w4_0j`6A(Z#uTe-=1h#ywVg z9>4Y;QVjBoPTvPT<&;B9fWLBbZC_%c59AEgi3pQSlb&hGS90Sv+GRdv|73!jhZPxh zHoVlUGvZT%#gUjd(Pe!^e%UDzNneFmQz|_C#4@U=h~|;z3M>cd7Y-NH8t^oH>I~uG zF62J?e?{lvPxa&d@%Q^~*L7XoYmbX;*B&8d(?{uAm+X~fC9~wjuWb@29o(;{3taq;r?t1ATX8Y7Wx#MazIh+2N$xre0SfizT$hR`opDWdF=MBrdgk zw%7Yujv8izI>Ccfcv)i^B?!XRAL4Uk&2muV zs%?-YOV4oWoF*S+ew`|u+N^6*1UcsDUgy{bE3%cpUW9}e5yR96#hkNne0P-JLTpWa z&%T>U-9cZua9P;@O1t}DxiKNHc=0{0&~#ccW)`d#jCow>ydAYTOl)_yv`dha)C}Yu zl1PHVEVGb8rwE)2+KY^drcqcf&&7k`l&GKCYvZ;KPUb+>VOSH^BeC7IJMrG~NgPd^+$tnA$8WL}D+RB#3+fdYgyP5Fo zc-D#_hnL9<6X^6EyP2<N8>`}~G)Z<8x5tM7?lXTO<1*!C$b%h~H&wh9@+Yri2;wSHiq zR4;Z?O|h$f5h8?Ax9sH5m%>xqeq7#}xtKexnEHL+BxQQ41qQy6JmB|9*sVX&5IEi! z8VMPsg61DpZh2=nJ|A@YVe&RncT*Kq+kQHt2R&hoDS$OHwZMx(bksRNyci!x=NNtl zOb$)u1r9B3^#p!)Ik1f45-T@BQGo1xbR?L(29utXC1Ga5P=#IJxv@>am z7SHv)XPAc+E zaA@HGyv4%HgKgT4a=!|sQj&djk)hLgt}3L^YgJV#Xe6~m0{X`VHGdvDIiGirF-6r< zdkc2Tc}6pT+{*&2UlM2U?Y_O<(|qux>B@(J-rDP&fAGi5ak&G<#cCF{c=EKWS~ihm zg*W)SdQyukcB{oxrp49#i5yS7gE=Zk?P#%6Ek0x#re;Xw#Ngx2_j1(aieqc>=cl*T z_=!Ig^E#|HWVf#Mix`co`lK8^PGx_&hJSzPu=&WE+DCz)8oZa?QKyD$@+GBy#(~k) zKrgMR5maUnH42H$5%#N|=pPcwo^Y_Kc^@VvA0903Lz-zo8A&uCJz&8ihw=7zrVoj5 zBV1JyJs|L&$dkGzm9EMgQZb1>TShX5#>nkd)kzsMGc81-9LFSH)YmG8ddURo=GZ)% z^mzU$$eDi$sg@BxA9m0e<+nF$+&~7WZM!4PDA5UWVd#jAE@5vO z2Tjjf@%Ap4@|W-`v|>+ptH72SJkG>BQr=X`nHYsS_(L8U_8d*2qVk}vA8;RhBmY9o zWTj5{)st0l9F&FVE{+Pq1)~fo^{Q1?R8`&yY+iSuGlaww0PUjHkn>?+ zJbAn!0e7FOahC^7oDvW&$j4*ZOQIR&eZD5%b-{E+oKi4Pc1cQ|3=oHxV+N;aUP zPM4UMf5yPFF`Shj@kQ~`WRXZW&g7xq(z;K^^#;Ly-lg#j3(aRyvi2iPEH5ecNj__5 zip7Rz2eLK8QRBQk{zC|*nING9)GSyql9UHrqynnrRf`W!rcno+Z!XpjJa4Z5rw+_O zVoKvhx1>6Se|4xQZA3~d6zdo&_oBe=({^(XC1T7o<(nu#n;2&8&f#QVqp5$ zb^0t0S_OmFC-k~s*b{66s-R>SapsXrTb(FW6h!>W$blUx9gtn5 zld?>JF+LO(9vp0#2dTq-KT>Dmgoki!(rTxxhd>@0omu6V!D*r1^!H`*w&>q^RQk&P z<0A*Dsc$X#dC5EcEG~eq7n%NYAr2_iC0r!hqV%srJpnFx!{w9vAM-P zw7g%CvGF8=r;c2NjUn`qT4+FQ0Wvl=(|MVtQNIDVZup9H8TyCcwn1TQk+U^9rCIAG zaC0+D#cx%4k}&0|JVt=_+6wi(AdxoW`RTt$Vo>8I>eu&g_vvz$_(y8V#A=Nq*6T`I z=zhXi>R#;Zr%SVQs_f~Fia=x6(x+eB5W~5SmZ-FdZwnhS1{NHZ={k*yIVSACW_6h! zgq9lQ{oUN8pd|o(4!~JNVPY$=S?m7zi?s@e1C+9qhAgfAE92kFcPr7W^u6!x3+E3d zE)mbgAfkzaH6;EQXcI$Q66ur>T7z@=3^JN(Y9B>R$_E;R^oSvVzpDDhW;L^rHZ|-S z%W7R$wR~N*C3$%w0HFqYE9vNk)cP8PNJoiSQubGa&qkIyX~_nMMRZ|szWd1mSXK3H z&twZH7CyxT=dz)Nwmp^Zq5c!NV|b|=@WjV&NF&Au`$WdR6`esJaR5Q@3Wg zF#tRaa(P2EZ_HBb0|Qo}#HM8#J;04y`HeWaKU0*C#bnjMnwM1td&C&I#}IH@VF66# zaJ|3sQw!uRAn<$%ea@&nJf+0*LNj*sXz>+;1Xw`wMZU3V|a>R|zp9VVvgnd{{!bzR*zOuB@Uefp+<#GS#F z%Q{yp|5s1B$x@clV?|NM%b*$~W~=fBA{vmh_3O%8N*2pzQtX^@nSjT`N)~&BZE%pX z$$)E+V{Vo--J|wDJ{cwgeQj&o;t8*r{=q~~4s*XSBhbjf8h04Hq>bh+G&THv>Qq1D z1{3fp2Vj}?15zI!5%HIf5A(&@)a*fqXQeuZtqK|5jR-7Q zxu6&;P-GyM$C7H;gl)~HGb1{ncJ9fTfb720=JHD3L(mr_eTQ{o-cMAe2I9b+({jJpvJe{^2FY zKCMelysxbb%T|mGRe$Zg1s!Tf`+&XZI4jr2NP@{00mRsX)EduZvSU!%jO4Ua36)z|=R;$C$f6?R= zCoaJ#+^MM$$<*B z8xqFbk9YwI-!9{vhGDCfc!fvbU1@_blZ)n0^I+G~4xJ*})>F@RH&)*J_zf2f2ddvs zTnm1OI5wO1Zozs}1#xKN=UjVXu-4Lrxs~M*-?>>-@TVz{B4lv>%p6wn-prKlx_e~E zH~HYC2|7GDec2*oDmZ_6ZpLKpz5+9hFDB+jupS!FlXL*imk*>godz9n3h2Lj-d=CS zdB&LJUIHikH&syg>?g{$D;$~@wB!1Wo`t6KDW)m+!fi;hxX{+Dbl24NJP;CUF2`E5 zln$s$O#Lq!rn$0)dgP^)Y3pmXdD34&!-qx*UB%{%o6cNfuuDN_*;4|qs+h!=PzkR3 z!@JUU2mi%15|-RC5r^S3uk9-c=pE7LW#T1qz3E<5aO4U~|KZr`b_)gw6pVP>UObP5 z#e0sUL>wj0%$5`-JHT>6Zsx`^g}lFKS7p$>Z_GtP>usbLi%yoO?$1M0d!Vyh)6!fX zbUPBh)V#|3@o&Nxp8nv>0l}<9Brju1Yd>$BO{mT4J?`FEdV*(lhGBw$w+LI97ldDa zHcqvmczaoR5D&ik=LcWcQzyHHFG%~G1y zyibY6^t5s@F#d?7I@f?AC1*dgSp`iDAYCBaYy7S!L~WLvVKOu@|(RCpkMlNWUh197n>O$h4 zbKOZmxP#M--TcG0zQrv7#A_V2A>*j;x|$B5k-l`7WHv9LSZ z!jeV|EH6upwnI%aJ_|dN2&M9RkVow3`v7zzwpqLK$`3B`wZb~jslvO&`}F_3gaA!# zBu?T@g~4&>N#hTDwrM2{#VbnHrp=W%IK9h-3C+D1pU8L+?iEqipPoQ4*kX1spKV{b zJeq}5%g;EVeiQjx`cCYnAKKAH7JU}JSR_kHBU_Eje*KJ8K+7rnIAuS-A{SuNBQ2C1I-I)5roKjt=*ZqoeSK1 z_?f85k0aM9E!#$Q0-2Xky^Z^=hjwoJ31L4$i8nloA=YRMh~1G*BrZXnV0torVCIf% z30>uX`i$;LhDKK4e^hwfEwvZ%qlfN{<=Z&$0-jwx`@cIXX#~>I<9w-JC_Depst1%T z{s|oId*eWVgH^9A>~A7?yP0+mWzknh}?Ed>DBOV3Cr56T!9XVY zWUC1agcyT+*xl98%4^uAO>Ic2MlBzIV@dpdv#hH0cf@^!Y#r4&<*GLV*~JDnzR#Kl zp+DJ{<&ad_9L$UQ^gvc zmk=(UWrR!3P)qxtzSq5Lt*zFR+6hW&(qI{3iw1?E;0uUR$oL)jL#?*tnSx$MBm9h; zp&-NynKDV(!4&@fcY+Ot{R`<=wIfn6Lkc5FisY&kB)cg7v;)TG)_U!M4GX%_D2d3d8MdBN?6;Ji>t zNQ1MOTGXk*jw9Y~2&)P*Dm>b!Ju=xHX>nVC;~ZZQe1wOb|L|r%J|U2p3AYqDqaj-L z;=aX4VfKq<-S|8E)#-?c7iraR;%mw~K-!C$=6A_9^Z)$GIM_MVas!@>zW5ClfLE~et-@yEJHYhIuuBT4sS5@YEi|D7_Mjfo4$7tvd@&{+kS z?kC-E+3WUuw05mlUN{UrP8Jm(5O5I02nq|VUf;_(qDl7+_~L~o%Bb$W5Q83rf%=^q z6iq|4l5Dx01PmjlsG#O%ES?irgRciwBHBl-sX`4%b`Fj8xXtZY=Hh=Yd>(}i6VEF2 zTi*>#f+@MrGUaT(EohcJJC&ruw+A!0@~!ySbsyBA%~fm|jiOKz;{ha&Ki$EJxqTKuMrr+?l;+*CPrSKE zr7LI-2q|^8lSLAPdr?<(V^2PD5!}p9+ez09+qu~ied6*ILtrBt)xCK|LBsYd0L4Ru ztWkj%vL5ya#!Yf`?{GvO60D;PcKZSr>r4VdcdKy{#!eQ$fK&2M-tyPs`Uo}2Tll;Z z_bt&ShT%h>be)Po+)=XXv>UJV#BL^4K6)6C&KC~BBM@PKdX*axm?$8?F4`R8QhKl+ zI)9)f<54{lCX6z=`%_(0*4?kqbTesOc7Q~tJZXWgLqvjFe07H)_EtQp)eZ096^y4W`Cn&+ zF0tAv%ZB&-nz2sH2b`3sG}a^(gBA&}LlOgJeWl2O(yO{d&|CW9Ix2cU<58)S4=Qs9 z7|_S(jQAQb>rgyz;MSjcuYHF}Pey*JGx1lM1@$MxsqMmz2qTX=P@`WrM&c>UTV>fc zJ&+c@v*rBWm$3sYSY+d5#Ra6kY)AbEi^tS?N;9;{tAe4|LA zH?dwn1U`Au{D_naoSXU<#*{8aZP0O?Z=2+TH`%|*;4d;Z`pIgu_;<6SW3e9*#{PR( zi7iv$SE3EI_&Y2!g3etMhyCoI!sHgt?ecMKT%9}2*)vI@m47w7h^239=&Rwk#|3lf zwL9Le!T_!9!3XZQlq)wOKpMVlPEwy3j!}TUE^-1xk`|vM9B~y(jZlD(caNDdyUXMj z&g@$3k67Ctv{WT2-L`yml z8STtgD?48O94{~98}IM`xN$QxkK4oB3atQ#-(?2jgZk^)zAmlG+>Akamd$e_-w zwF2NOG-GNPe_DB|gORFJDb}e6p1X$gHuZmdX7i{5H+*=s%!AICUtu zhhuL>iKW#%h!aA`D|*@juX*|kEo`t;;h)=c_$F@o(rrHEl053r$7Og7!r}pzYhki* zDBeW=jGirK`Na%8Iuqb;OQ_)$F3d;q4&K*1yD-E(woKDo-i@e%GQ+Qh_f{C((+C%+ zr>vQ#+xU#%u-0-J{#NpomjI4ZeQ&2`axXaC+^y-H|=9P=ywN*VU#li%IU>ktOH%*4&3 z=PSE>P1+eHfhgR-4d;N50h(qykVDvn-@s8jTyZpT9iXXX($18$eFdpm(pFMr;GKv@ zTZ-7rJy7fIdMgWohL(0&^8fygJt}F-`-F4lAsqmU_26u^VSeq=aRyT^CgD9~zz%jC z<7V2ETI}qZx82J#>hY$q;S}C~Em%5SIv9BHX_X;eaa2v9D&BWBZ)_iSKuXgUD*o<; zox8Qd71|-=ME?3fD)Eq{+Tc{&>N!Ha=ypicnYB|`SUaAMEE$;+%T_SaL&QSIMFUtr zYkpvz19o&ELLtH_IM*(hbdtscOAX8F?Rp=Us@5&QefHzCxyqdgEYe6m*vDcQOnB7t zH{Uy3h3C2m_iW-@uoCwBFOZ~q+mTg}dD9@ngSC})k4E*B=rm5#?HpI@doGp^>Y9m2hmA!l#H^IIzy2X{naaEa$x z=O(LT1uSXh~l&fnfJKdnXb^5L--gsAv=-(*y#!zsN$ z{hw4ENDVkf66{!f^)0BvLD$6+k@c#|c!ho_e-Mms45e&Vig1C9VpS0X94(19*dTS< zx|=6x%j9Tjp%n5(#9mB>Y0rw^oMaAw3JwEL5cX%g2gLB#;FZ5n7?jun^4MMDOVD_6i3dtyR15+3`cum zlE^Hd)nj811hGdtKHixRa9shaI|-oae(`iY;)YK42mTYYZHaB&{-+9wBUxhHUy zSB|)lV|(~f3*?OOTl4qpKtwbo`#}(MxH9 z)qsx-0Nj9Fb7!t;fH>qz_d@!UMZbk~+Nu&+ zTQIwmSB>5^YTs=s^6(wS%Ke5R)KaN{*FRj7VI|rx8YWl&kt^x3$uc z36c9qh1}g#+*YC5YSCt6$ZI9RN+3i{^?3tZbSE9vBh7UP;o9O^RbYyoPAcM?L&&$c zQ+A(>v?V%(06FUM z=fElJ&%N0_(yKYYucIGEm9IcCv7->tO6ug8I`4?zydtj}0B!_E6BR`KDzcsn{c&&9 z5n{BuNsZ!ibMAkd^tELdWZ#1_&)Ka9|G5pMae`Fs7qi&_os*#<{MrExYhS=rzYP#~ z0l$0qaA|Q&?R4L<@MshS5GUz0jiwjml^u}y+==5!>FVhl7#i`651QzZ%u#%_a}a;0 zg7;-5;8;QA1CpizS?Ha7numdXnKw~0wk;tTOu7~a*oBD!&##w|6rI`t;;S+lW}igm zXlFt91AF1W^j9sDd8pdI&UcLU!{q^!>H^tvA{6%lUSL_yhCD$QNIjHB5(bemFuD7+ zhac)esHw5YI1q?M1K_Co|8Tn~0KgH{YON41wQNO0G58n&7nV6V3YCFt1CtsWFwLV8 zV#EMw_4AYUXRkh$KvcBdxYg>f`&|^w2-&2=P%r@QAs!7$gBhh8{6NbhXh8iN81Vnb k$14YT2~chr5W8ua(+2Y5;IuAO!OEl45Z-QqXNArG0mYr0k^lez delta 41645 zcmW(+by$;c7hZ34=ZFoYTUxpXh;%ojl@zvtqP#SW0fL3Ch^;6nJtZX!lu#TD1O#=X zMC3P+dOxr4{PA4pI{!Y;^_=^@&$+LTz^;wJ0N0>v5MKxs0)sHbL9kKeU-JKu`FA2A z#oTS8J{`&rsGD*Rn{vtqkw{1d18K@t(Y5#I?yh!DqOf54&$G|!`1$!!@@Vl_ybyY4 zj_*5Pj{rO$9M0w7$^X{ej%)LWE;Qjjr05V7A_PVgNkpCKm{^cVP9$kp0fM}pXn8Ih zQ1F^itMHkst61c)P?<1LMOTm+gllgKwE78i0~K9m!<{eD%N2~fkgFY%Syw1ke|-#a zVkylr^sk;}6E)KcH1Su8SNcWr#cH%@)6rKJr1Jz7!t0Rc6eGeBr?a8O`C!$%zV_i^3B%S$h!^=?+ZI6bPoV4 zS9KRUrKtTQKSp*&s9V%Oig(YXmN1Q}M~yJdT4(nDF_4`IZDsYlAl&0lFzLvd{>h3S zz9GqR5pT2XH_D}m6~Pkp^l3UDv~Ak#gv3d}SVXzx1tPtPR(O^&4&sy3_+gu~YXHfp z)l9fFtYw>D7aT1u{@(I*H>W3i7k!t&hyoe9ycHJA%&_%X~DsoMQkrmI;Hb*QVyv*GcBbr1s5oAT#DRX;tRSK^f&7EKE=8xYBqbaQtYC zGLBt;tZa@VW#O7xtnirPsr&OspkG_(86RTW;JW$AjMu0MJ*)uf@pMkIr(}G-$K?-= zFAG4;DWbIImt~D#8QMD3zthy88To(qnV_+v2YJUM**_XOgG>@cW>WM&~hp35IqP zb~dPpiV0K{MzfVT!i|g+6P{Y=gvIYpNtRQvc^MqyTR%yi&qmQs( zTbxoi4yPVEI?FY%{xRc3D_q27YfELL<(lnwI~xjHmZ#iX-vOU)fPg+n9|RAAzOPC| zmR$=;m-ZP7Q}92f?|z}D;X5$!9eFXdw1NY+jA>VFr67M%oA;JMYR4+51-ni^u}mup zy8kP;FAPO}g5ENDyV}&nrieW>5-r^A?~vSE`ANFo!Cm*OBVneJuwfzICs%U`pG31{ zhOG~7taww`Fe|{C-stKI5~Lf&^MPE5tV;T{G}P!zkKP+FNo3BOQh80>pY2RhxgsOk zZu!ED!poISSTjSXNeJsgBcnlnL^_hzj3BOYG@E9&{RIjrUxK)Q$P4vr^sWj*a7nV8 zPjj6If0HdYRZ3>XI1DKZK+M11d+b$gF4#BJty2%64l}iSag6nh9djrhhSk3BLM}iz zJFlCfsrBT7h4onB8|&*+HA!Te^reFI^+bwaZVX~RKN~ZctUb>|-AMVNA!pmeHIfc8 zNiz967zz_k$M!KPoQ=)$dGACFqWn)pLPdOIttRd{v!{HM!(eNGh@GW}bj)R)UnGFb z#VmvS_pO2DAvTw0HSYl%&Jd|m!Ie@zxmIn>dhtw_@A7t{G(B-$%`C+KPPJ}jAm`zJ zE1J!&t(eF+Ngz3!aVSJOf3T}}@riZ(#JW)y%kTu(74daPSrWz##y-&?^LSt1XF=xP zepCy_N+&G1?)g+Z}G3 zwT+Nq(owajitgIF2NJYpZ)(+t6zntZ?2dILznL z-V3@(z33Eq-W~G2wfQ)~UE*$w7ML2ldyx&h(tTN|Z`3xUi!dcpmBtmiK~1Zy?qc<1 zrL|$UKgoZ=+|PpKXvhFMjoKMyH#bb?lHRjCi`8f+MTq6A7ghe4rqMA!D|!;7)M8ZJ z|X(th=em`D%JFnRr0hiLWpFH!<6wY^kv zhj|J54n@Na3yx9;H~);VVQ=n6!Rf(ouX2ji(x#zfZ^Ih54D~++H$P6jYvd<5DS_Bm zh5K>34h+=H0mZ5Ed|#B;!coTrh$;1{;tQ*B{1dD|+8~N|wR$TlaMU&6p zHdAHLcn*wZaCTBE4`6^m36}ES5s9KT)Oh20=eA62^6Pok5b^wezGvMV;>}&&C??0k zBfF(kam#>756fdN!qb9YhLu)c!Y{iRD z&TT2B&>NxTvD5}*G|h(&6XAFIY5{dC)SA-mI=p1;Xh1QublXj9U}f&`UjIdhv(|QO z%>iQZM(yg!STU8>=bw{3l{ELMs?}15SH1!BthxPb6U8*?8~L&=@;~pFCA$U7IigDT z@0;&%v6nqTirJA9<~haJz;mx_OuREFJbe0o@KxtQX7Aqiz8;5dTq&}QU~^29aPr^S3-!Q0HX5@a zd$XSn?n@pm`*_?XA^#{sX^zZu75b@lwP;RiU$!k#9`M8bx?51ukL3C_xbd?kQTn&w z>gh>V`rm-rEEd`a$~8ZPc}G2__mr-f&-|I&`1V`YE?%IOKfC7Pu>}7iG#^ya{c+;? zv#;nCyr0n!UKs)MyIrTQda#-XM;8V>^&BF^XE~xL1wsfR#HVLw}#m< z*dblm$>+ajIK5DEqb?$eZ1iy;q-NWuYpMilkHOFu`VzJfP_%nv4-8r!GH_dH65Dr> zcZ+p3f%mlK((_%skjk5*68aq^4DuPY)P5Y)NaB__*E$gNw(Z5qt3dDx$Bbl#Z-{xX zdj$R@743*2J7aWAU=W*@G1-JWb^kfv*WI|*!l?j{L0!>?jsmR{0@yGvFgG^7e6LdC zPs(E1XnBx~mfZdykg`s5Tf4WPQOWAr|FU!z89dA_IF9B6h``v0J$zc&Q!I~1CO9< z5g4$P$pJ{c62UNanEsA1`YHZXO7%$W=tvUgTdSzwdk^_$?$Ma(PgW}P$LN+IvScn; z$wHly!Nr{mkhQ_4Yr-o>U|%MY8e9kdW1Vm1q4QTBc*z3hRc}@uNU@tZN`DMX(Rp_i z%8^F%*SdM%X{5%7RejF+^uw`2TWR9%(XwXougnLFuCW)O#mrOl5IS65IcrFY?xDNj zBH?88esRdDdGYI*oA=RP>jFzCPcPvELRZ_m4)pD(3wj%2Pe@L^M-FJ)fnZlw8W_*> z9ybY&D*^9iSTfKR+CY54`^SHw6LsTid@{{va*xL~b1XGN(E# z248TQ`o<~_mvHR|?T*&P_jp<8gh<@`kRQeC0P*_VO6+CK%U7|*FY068>p34=Ek(Q# z{1ublQ#MJn1L|Wl7RZ%J-$CtpI#ie|EjSi(!Y~%e^i_*J%qv~aI1DQaen>EqAuw<^ z;Y+j__N|2*#0B(5@(V|UpSC>_jwT6tMwt}9ai#Zt2L{Cz8wKq|NelEtQDBVMT~|6V zWS@ij*3`muIRLdz*5nu9JJ1Q!CrpvtwVl9v{gf%kS6i%M`2#Ixy5B>l0w+>@jT9U) zgY<(@;3Wrc!`qWD?5t;gK^Y`yz0;q-wf$BPM7y7`uoh(}+S+K?v_Pu1cO*K>IHa4F z#rW)7cW?Ny`R3dpXcB#d>|)|Nmk(rfvWUS65LqBvndfJ&jmzE=Z>;INzEnuY0$aAODFwHf{{D-F+P-8#Gu$!Bl+#g*a zk{a1<(fMXh>!JlxA}j-?Qj zYQW>ERduLco-BZ+`NM2&f|GsbEXtk|zD!*p##huN8k%d@yf6Kv9f;L!$8>JAc0m=- zC7f29lvcmPmB1_e`)0U|3LmeF$*wa5IEU?c$LRdr!zvtLGg56Qq6uiBNB4%9J$sO@I9xw0X-|j2Xgy9(`?Dt zCK)E+1X5djLebbb+`rsOg-tiN5!ko1&m!dSGX_4kqxPYAp3kk~!2ta!-oFLwyu)DTKFBzp62l`K-ZJf=D4pDKnYp(H_qNdzaV7m_3>?w?|$#eV4{8 z63VsE=?qbR=qmbI3dCF#Uq(>>AvIA@rF!5Lh>=j^lFOza8Apd40VXrXLYSrRCl zshA7XSyPQLlrAmmsI65ScM0t~Wfxkh!fTNSwQZy5b@9Rz!IGy4JH9GNft_pWbV^0uFVAwLQT#?h@OO`qNfnuBwT9#ct(5LUE&uB zcM49=wW|3&`yO(#Oz>|Q9nya#McOcq$#+7uCds}f z`Kaw`R8)L3L?MnTMx;k&T2dm3yv?;~(>%Hc<9yzdUk?pUp#hSIwtid;^P}zQmkGG! z6fNo4Ejk`zb1C6%MDmILBouk&n|K2`s4&*CR}*)@SRR)ekF|fH`!iysXjpLFcCckN zGKMc9g$I?!gFVWpp1cF$@;-VpE#NR8q^_H{FqXG5imsvYU(mp~S9;-rglh93sqV>1 zh|g7VDPKlkG;oBbniCvKnTXKV+S?~mh({BNkp{YoHQt=~lnhr}tXO{{so~d zsstPBkP<^o7iPZ12J0qS@Ds+xJZJ@TPfTpzP0FZWem2h|>v+eopu1+|Qd88x)hC&$ zhZ2v*L@U!cf#yjGdj`g4`o>A?7{hmlDMg9*(hut-rYZCbaCeIYD5UDu*VuONcAiW; z8f~`$G&>+wMx;s={DPkr#WAAdv0ATIiAgac?98Ne8YrW5pVPS^&oMziC8>s^+T~*hpT9j=f8%#*d~I=!cR%{l zF)G75@(16C-l!v}h0&O3J-6iO`ghgWUhK7}1N{`)^(SYCjWzE}V^vczKQQBHzg$oB zadP@$;VTb^HCjp&bIc_*{O`4r(_#ioj;ep>A@%4z*DE~CjjePK^i)g7dAYkn^28B$ zc;IpqxJC^()DhF@2v?rcy9O5xV(09~|3*ek9<};WUSBK`Zjzc*8+R;$*^?nnOsvg+ z2?)$I;RW767+AYXf@?!yQiR*PkF7WEoSUvl|CmUPHj$6kT5E_Jimbkq_@sR36uL}f zwP9$xp9c|}d-Me6qL1zMs?;mF(UaFup~Xo)@Sj%9j1=PS*IayObeWk-!KzH9D5%+QoQSFRYeNJRfbs=mtC9O-^T60Osxy3h`1K31g zqPU$WRxXZmY4f&4k3|h?I(dweKapb!zR`?$(v7AaT~K(Z2MHd0M6=hrjXv&mnmpx) zLIsomBqfdb9jp5BaW&rw6?-X=_lYD`PKh^EX$X1@R#(!d(Zmgj(igTQdzKYjw5LkH zH?|nUbJEmk49t){ZZ`K@&esRhKr4EWSA^T;zQA;&B^TGmK+y)6V#7v3vM~_Ioh>b= zP=mLW?^2#zeDb-=^^n&dUWTYu|FfT$)pz(Lt?QHV_d@(w4k zqK0!Cpa{LcW zfaz|Y`p9E*nRf|4-BIKPHt^%Wq9I*EuWnxS04pZcJRtV2gw<~VOmN&$DtZLB;8WWl zwhf3h9!SLMCQHo99`(^F^-|mxD$wfO`Rl6kB=QFC^%^eKoORCq0Q~nlT~g||NtS!r zCyI6Y-YB`C++9F+&B}vTQMGn&^UeFvbYEvi$2_NpgxXK; zg^o<}=8oqH8B1okDvt%9whR=+{P>$hCs5_~0=UXhL0rxDKejmwbl!w40XOn(>b*O+ zH=^6ANffbAn1J4 z>7=sdCOL}qs2=o+epwU zro7&e59rko*=ri*0(#4J;@F4}qEX zrVGzeH_KEzLwIRE{M4ea7iO2f!B_n!)q1MjdCze)54Kd3qnR|oUMZxBUx4oHz?;}Y z4dp@gt@edrj>`McyG*R5Uk{yu-Qu{zDhgJVsfJ1LBP}7uc|m2_Kl3l=Rnr0SQ8MaC z-+DtjKVd4IJq3xF9yHl_<5DSp3eK;^eHDjI>`+(gWM6loTU%qUBudZHMjmp5<8~!8 zYXoH`4GD8S2IP-RT-{TC%}4!M$M=>Z#Q%C+8u5B@Jyl{R&v?Kd#mIqt#mqi<`B$x%s*}au-shSg z5>+d6PUxyXGM@i=q~Y*pa~}{Yo^X1S19Dl}cQ)ZGfSFRq71V`~@kQEd4GC@s=DzFh}ByU?$eH?W*KC%AWIqJpDtl#<@dlKb;?CR@+j2xi8`v z;ue#D*ZS0s;I7b1NZBNT+gOq}>4mKqy>2{JyrwPDnF!?WgYZ+#!&}ukW3%pG2roIF zltH)6D{anQg%n5YB3|2wn-dwbMPImkr1j9;dmJqMQdKv((OVHXJn--Ja~@AWv?z4` zHP4~;6OcpCvMYQDjj}wNMaufcvX#LHsX&n!x2zH@+ISi1?0lRiT5GTOl!FK4Udt%+ zE3Ba&D(%CGgAAU+yTgb{y5TqZil*l1Q=Tyd#g7F5ZYHj z0c00apv$j3zjn+bo3erQ6P6cC-Ql^mYE8aiHIkWu{vPqpbuL*V=Jy>!r7}$2B(q7%4?W9xluO>SM>+a=V9POw}n~)kmZF}BFUfs`i@YnBc8et7a<$vbb zPqdn))=6BfrC{ybFO~H~4Aaj7G=sf*xUtzkK}E=*;KrHLj=JJZA>$-8W2xs?ISSn?X?h$RzI?z^nzUB4ANIPS*-eou>=w5W!-NCsc?CU$Kze ze>-f(ZXonzy8Zhm(YNn(>4{QRqm zqPPn5{`;{5G1%oR8vuG!dQ&9uF3*zJ)_@}Y;Du?sz=02vjV2j~sc-WuM%|^49Eunw z3}5;w*L|(tS!tH&&>Q+v+1E0?>TT!uIJc>_prko6e)fLj4c+_03O z)*1!ExhDK`se&B2PnZj*>h$C7_9BieWzHosgC-=e=a(riF`j_mmAhB-_j2RQrfUZw@Tm@PPK7*9Q7z@5@uEe=COq%#I<+xv!|S3|`*h zLzl9rC6RE|c;F!})or^?)AVa21=>)!J?){kW%g((Da;VcFrHj#u|i?V5| z?>+C=TrtlxEL_V~E}skgl;jvb< zw;v{>`R1YN1RCpZvzed&UbpEQ`BlE3wG@iJWsfe2D5_xw!Qf1F3(w zFS^BkvBw*qeRrSTwXblYjg@VpF5|>a*n;f zCyv0BuCbJC#WiF-9@r+`_^P4v)o%*R!{y}xXul;Lu&V2@mnog%6;+P7IsH@sA&H)S zx>LAB5z09%Y}_|AeWq|ucie|c`0)$tjvU%!$OiutW{r;mkeogf*+7ts>x+$8P+ z_E&r`$r@!{x3_0FQa5YJ^+A%15c}W<-A$b(OGuhTK0WW*2<8$P@OnAU zbf$M3I-T#@uSyiSb?+(kSno)g?&UQE@Fh1!7Lr*-yZY5_EfqVJQPGutaQ>d}y93T% zPEL`18~-VY+K!!4p$?~Qh3RgPyNrX@$%XWV-kbQ}toOEkxw6}V3X^|1HtZ>U%!1-u!75MdGlw0GrBB zklYQsJJ3b>rRoOs>2YA_(*)s4J)=n}Vb;__H<-ll;a6l{ zrWr_6cxJlN99o$Ma8jci9Zmr_-ctROf4hpt!9L48?*p(fNo_DHt_Ne1zc=+k8BH4T z8}msfhku|3Khgo^Qhu^=;Nf|^XHev2uHF+ioct<{m@LM2<(J!DroAJ5vh;V&k%F`x%~3-Cs(9X|UwG^HRSu9K(q_!2 zM2kB-=8A-eSJ6Eno6J80n-J1#_fxZ@Auzrk%Ez?;pX*_^{375S&!H!c#OFL@@n`}&yv=12aob7T9V^~M9W|2#U< z6?8Mk=gp15w3^bruJW*{%HyXyj1Cm(-a8bnCaE@Yylg~OGz?QtnOa_2vco;?Vbmu^ zcuKCRo=hO}A2MjoHsJAKj@R_BM z8!Enseed?ov;Ft7;BrEP119WuiP6e(7gX)IByCkZ9C63|d7an)`1`m{~G z1L8@%)ARgokHtnp2AXy~Iz17azfF64Gqk;;6VzJm27h0Du65@gvQm@y7%xR}yi$qB zpA|G`@g26({i-o2so**92%Ax|Dg zHVpia=rBVh{;(@*IS2d8MZECMsYGr;c@3VF!S%AR{0-S(9Cfk0{eJ7-rLs;Vj}_jq zf2!#c%HXg~~F6jaHGhn)$l36d9VKQXrh`0*6D$VN{)lqs*@ZMV> zdDIo$b0Tj_-0fO6WIH#hKa3h$yJc)0$`mgIaZ5kzwU#b6Cc>|KR3~{p(6=kLwc-D3 zQ1;C8q=6A$Pi*Nh$n4>5yRxtDd-?5k#wB>$_uHSpcX~xHc0s4Bga(4b6^~zF9;Df; zuTCtNejZ-FmC*9(+t_}u-em6l8aRansEokV*!=aOgN|h7@l!eFV!0f3CHZx0o9;0E zc>YCg?r68pOz41+A1C4>?-wt>=NGZB?TXGfX_cI>9^1}s`*}X8d+Xx)I}>Q*gOIYv zypHAqH<)hQqBUi+F645^kr!$&WZ&p>DfTI)39+2Vn3n4{k)a;vP|^$!{I_T$fLQ6? zcRf-=aU$Ee)ku+lNrev1+vhuQFQ~8nIjvv$1~+&?Eyqel!6+7&=Lgzo$?*&q6s!Gw zfsqoLOsrX`x;iEY_2;*u*aoUhZ~1NS7qDgxD>E4o!UeG*hbIS)Qs4)aRppdg2UKW; z?1Wzja|j=M;iLeK6SovidGC|~sNSRNrW*o%IOU!B2o30k>Z_*}>!NPwQYFGWEMsf1 z$cQ}z^THohai*4Xy`gyYP{bsEdW*0|#e{XY2ZtE`hY&}{!x4)jAuz)l8XAH1)_UQl zkg{M9=!^VZXu`xbU?#JIupCJ`~#+)!|gHXrgf{v-;YV zjZ3c@I(n1}GI^0&+&Z1GKt#uVWg=OkjG1nWpmH7Br;(3$^dIOUqlUy8n_ppg7{yGg zB5nE*rLB_h;FEfsU2eIcNb@0Bba(}t9#SjN#e?eKS&mC)|bLSx47 zEKSG23>106w3{-UDB<@YVVb4Nm+vBKaHfPhGP3&6bi~FoN>%Rx^Wrs_1bp4Y&T>}B znigEOrA~9>`TPW{WY&c<(ESD7mgbzT)pZ5c9;)zJT6=h5s+sndH+@{h3`3WuV~Pr-sk?SQmz!xS!OO>(^(bGVEM7Ak7lkZ z2(3vi9wtmEC466;WL5ADn3N)4mraTfpBZU&#|pJKZ{+Z2I=nRlesU=q&eSR4?`~JU z+)}enN$V&4CV$2cYxP6dJl3Q|4Zr{Q#@aS_m_hz&`O#~h5w^XMD80cNdy?U@bBM4V z)tm{=W$L}*k(XtHPxq|4?r5uLHhm~qWUO;jAdv9rn8;yroWN&-jry>L?gOF{6C~jx zi3!Bi7>8qfcv~PjRw`2A_PckZj}_-}%7ZTD{>bqe=jx4CJaqD^KmYK&z+7Cb^$eO< zYtyguke*WSpPcP3<`;kw?0(y(yaBBSP5#6x{%luCET#KuDGI4fpbp<}vx&FJ11Zw{ ztwE*!S3s58;|+RUC_5|`kfYsL2WjOMIk#vMS1N9Rz&~->Y6vf%Zk-ge1?}`^i$Tna zlCY#=e$-0{#$$YL%MR8Bq}3_d45FZKtc8kCw`u_>uI`WGR!mPg45dOR|Fy&<&0#Fo zPm_7|y71|FKq$cqq~u{PB;7&=sLqIM%MUjR6K0?7H=BxJI<@dt5xOZddjeLKtx(8C zK;+%d>ju2kpS#k?<{WzNcW?R)?+oiU4Cl3F)@R;Gf3eA0?l;LJRfwmwDj3@=ZCc<( zMOefA#;pQE>?}=|Pm6eOg_RW^;hWe-iL<;16IM(Xzki8KvQWd&0dr|!z&iiU7$^!{jSn9IzlE*5#b6P&TU-f^1PG_v!C;Mj25-ozMG&>{*pmQSm}Xi zonQV6!LM_(85d4nr`c!~I4Mv-y)h&mF@_GrIeVrZH{CpBdR#@!;y{5fX$D{Dd4dZk zg)wi!7aN|n@CGSL(b}+GNQhFpb~_|0X^WU>-EJLyqIPJ58$yUM3c-MGz!7f`8y}|~ z$PpViN9`XW;*X7Gioac#hYQ<%M$NmxJ^l;!5HD{T@{%BFT9gaF+Ih`eHkxsK8;SiT z#P^O58g-Leu-p)Mt9b&?{bs(0y8I4(Fc%6wkS}1$2tpw@ck?aJ^W>BF4>yF+nt$xq zxLTu*vk!IKL=b*i{HMXu0NO_rtuz>?%vy7TEnP;;SHh_y-V4)p7B)`#== z9zS1(O{ALP;(XU&_jNhQ!D&AI=L?y?8_W{gI@GMSl_=}Q+GtlJz;3+Urkj*1f^_i1 z)Hgb%l$Lj}$Yl#pPxv>N>2w>t_J`P{t%QTkjS2849_lxcclP<)3xmDND<-wY#Rom5 zO52Cdn<49G%8RUjHb91^%Emq}w&^K)=wx$*@Aa`RjxcL!^S9m+CghjK*00dnN5Lqg z<1+lJ*JAf$(zHW>+^bP~4xN(wec7uy91AV7g@r`P3ni-w>Wv-A%z(aelb7K>(yR3plUb~Hws1@4HY!t3S#A4e;hSvxVA4(-OGs@h?X~&h9o5>qc?&41_-ublA4B(}YiMY>f!8>e< zq0K4o6hsh!OgUt{)SJXb{KJ28*MF%|uS?3|pCtSu0V{ZYmRo67Q0wL@#^jEbXzOH>+uE(Y!07$KBjxK@37b<5Fdrb& zKpSk3d9u&e1I;O9y{bHW+i7&f{9#Du!BU+?at zdS=_;89F2fFL&ln!(RNwbw(!q!H4T_#DZ_c5AF*Y6fWvGzE|k_Df3+_)>H{^6F*S> zp87>vPxL$FVy68oS&dD62n9(7d!Hp9J0k^Jq( z(&u+$pQj~@!f(Y$)id0T(hq~0Py5gE20J-tCP*KAU?Xdchh2ByV!y^g(s)AcUfH_TE)sIW)DMC{c)HmG4>dVl*+S+^8{H5~1v=owp0|1-u>XAqbT?ABc=lF9Z^% z_?{b~-z0|QWO278`s#{)F+bsbEG72NOW)3EF9!#RRy0KGPz+Z+H=ifHpUYy{!!J2$ za>|0+i|LXSnnk1}vcD!nrFszsP-KZwtjR%&y;V{^m)4G33^7epjgcIqMYMoVCZ}XU zB=uDerN;xizBqqugV7zxz$;mCzh}~Tqwbg8In-e>gHX9+UYXt_9qTKQpc?cIM>pkH zx5m&HQ{+aV6Vuc|wLjNoZ_C$w36*_oN&Z$`?~vNgh!E3#ARh3S)hAnt{l*JyGVsHC zJucsNh0e8|sT8w8wbFV#9!6!_Z2We=?V&8c3QGrMOxuORjYm#M)gby``}OpO=@~q}vu9VAq! zyUdWsAPB9fywrNJTRm9ABX#K)EBM%w@jw5QXU}r7=O1czPzC0V`|D>SIrN^6h{k7_ z|AzIp88YCK`4%z;tJG)P&Uv>)Pt`G5YM6+ybdT!`1uFmf_ z9qYu}XD{;98XIUDUQ=Cd9zYqIh{}pWkCdrk*J$RnkS~F=ONPb`!b{^ypLkeHBPwyp z2L~LaULfmqfR8>?T2PwcgI@(IFfj;qo%SJ^*z&IOICV81eh zk4}H`o&;-)?|%FxOxPT%+A5}0m#BGmap2`1<%(xSNpd4B=`^iuzKpT z-7}0MV+wch(vcd$?s?ia?(-e|(c@uysXe{f0Z#2J^ht>1u(y*~THjBv6R!ThzT$Zk^mSa?O zy)~EX(2nknwaJNhef=%6Q0TdIN99`BXEf^m&aP+JcgXAaqCZGvX zA;~&fUiFo9n7ZKCoWd%pi*KD`>5k^BL8-GMr)dUdBZ}pnJ6JYgzTXy*!OljmE?qHC zFw;RKW}QUoF;9R$o->sj090?&Hpa&mee(J8aq$oBNIl;ZZ7)H z!%62`rwEe_(y)qm_i5d5-O=aBnwKeD9`B(wFey7&dcHJs5cU?rpVHp&<8@82Gog8* zX3%j+TM>R1{Sp|$^dh{}p~sAmdg$hLRi`gTz4Fj%wLG%Y)*?REKN;(>U=eLeZ;T#P zGZdFtf%+<%kZEgr{x{ygyeL|PQAy3EsqaB`7_s!Lfm z7OyPjzE&Mwc!X_7PIxSK8m7|G^(y*+_pgBZQtQ_+Tm3n_m>BHY*(kiXmgNOeYg%_f zbZ)M6IpWo5acjP$&|}c2)~2sV94WUOZF}EaBiG1kS%ju;T|#)=h3hK}HQnQa%v-3~ z)SdN{m;gv|N|iBxXaq7wRO#!nr#xuM#9%VoRB@P86YMMX~D#OVF!GdHQ)Zn&yP3e$ci>76x?DTvvT!kX9 zk16%oZ4kEnQckoXzje3e3U}o^^?f8L9J$jG5k-V_E?w*Bj!?ISNZ>l|L})nLYk!Nj z28t!YJ@rhz%)rccq(i2vb5)ltTy=(E!eui8%M`AU58Ugv(V9kk(h5aTcTR-yB;iIoDBj}c-=GsHX>!rkWkCPUaywN znLr?A6W2^(=lbmh*mVyi1=M}s7Q^9yzz|%JE4c(+=piuem#}@ zKRkIg+B~D_Dp#e=W+$~&1>da&N5zK2{Dd>jx#mw#RMHPPq^l`PaY^PV&*T6~=&3x> zC*{{xV4_i6v|B#BZyFue=o2}0i+oUx-&o9{{L8Z~F!Y|_%pZx02@N#FC6+$Jf=jh= z|Ds9cAxeGPXLJeMS7(xYHm>GLYUHZWA(+z?L2uru?qV|~@8lV`6%7RTygfhmuQzLP z@kvUEw*+Q7tb6S$_ucZ%ct8_EF%*1DC~^8Z^UA#v^71bfpR$amwK^Eiqbr+@{z(XB z;*B8Uo!RSj8I9M&avoMD0h^3EGx!oR1M@Km{Z)nplQ-T8YVC^5;?;%TBq?~y#^6fa z%Mp9Ai76R)$m)Mr5-VgxP4tW->L8%S4=`g335R?-u|7gS`? zq-X~&L1%v+fv)dbm~4Yoy8WOH^Fdmtmd^dNlrcR0Oj}TFr6%xsdzU{#`LOh3ac&4R zLqaHmMY{C}Ry7~l{;Qhp1hR73p-((4gf5UgTsg zpQC+AF@&vC+BgqiMPj;Ga7XIr6KUS|08Z6T4d;DA)OA&pgL6)fQUvu(O-!^7>H-|y zgWBqleVm)`ks~;!Ou9y7az2$49pls&1-DLeOW{oxOd*fhiCuCo{dEk&$GrnIcGE}q z#Qsfna^{l*sB*?+<+6S`jLF25&`3qg(7af-Ad)sVvN!}qBsKDOjF7Ep{MhI&jjchm zku<*5OReWlqtcMg|H+Ha(kA_YS!fh3i@pz9-$gA=!WplF11nMvG8zx_V9LOmih<5t zNU7^TgYe33J?_ub&2lo>UJlb*q+1qHE$J#$lv*Kj6twwsN4@LOBX?$_uAwsXHn(^Q zQ<=x@PviAaGxA#dBro@`%zLLi`O)fYEPG3lb+T!l;~+}NIOpphct74h-S_pnUf1)w6PjNniiI2hixigf%v$_? z@H(g9U_a}l+Mtcu$ET9*Wr?C=`zQ}bOx5FWZQ~?vhr;h{NUcJT;138x*r6e!nU|7q zN8MwZQfr5)yl}N^XlTX2sr#huIky*>5{Q@%&kMnW(0ic7#xqc-IfraF_O$*3?T{y) zA&;FpE}_mPy+lU|9Q)X~W~DUtBTO0X74_=?v!>76kv-jhx7Ur)Dl*k6^aJ*ErOo^Y z!g9ue@t+Xt6*7&8_iXAGf+qgOrC1kD`D17tO5s6oobP!5NH6YKLTRte59Mv)@@}`o z1R0&IigJk*o+rEf;kM8qW5d*gO&-RaD-SKJPUxH5!xaOV0ZMW89OC?+eOF=8FrjbA zZ!>tXe{ov836DImr5XM1pjW2JEb9P^0Sq&HpU_+lCu*p%orEP`?}LbI0K}R_p?3eP{U=%oQ~Rp6mC3jBXA&*Xq84 z>sfS)jnSslC3{8HWfxXGhftpn`@Hk#Ry`<-xiP9X5cy{?TGUkwK#xNo zQRMS=m_msco-IT0nLB$o28UeT{JvzZgJ<^n2BNWe)uz935K8Q?UA}Gm!+7b@FQYSU zCqxGSMsKu$dC{YHnWzlD{n40B)&hLyT$a=CgWltxeSJc{m73uyiqc*{gTGSbH>#?l zI>$iaEE3OvKxASg0MlVGQj)VcQcfr`t6Q+mD!k>5mTnlQCpYX%$IflB=qe)_fk>~j zf@hOVwYSF>rB6kVjM%rq`?d0bBV8H@tiL^=IXSmJuChqtfp+TW<&^{z4Ic3vyT~GM zeuLE^5soD#vE2NVq))m@$Wb@E9ZQovJn-27-H~<8UpL||b^PgR7*JttUMO-QrI-&jnJJ}6S2kM!Hj}%X%L<;)n*v)6yv&NiQL?X z<1gx$Cm7e9-56#jayHw4)|xxHJPv6VqjFXxHy~wK#BWd(fnEF7K6*~Bgy<^qONcGh zy%BT2o`3z{%TQ*Gv048r=S^0|erF1xv*`>N(mvcar;{$qzLeU)f?Hi+dJNmcC zU_BSji>~m>OxpfEBg=?NzqOp z(Q^^5MJbnYy^cu!y#tC>Yg34O%03J(YjV&v$dM>XG>a+6hpWi0iS&|V5aQdcvMl&2 zYfRb2ZHW5pUa)%N%{+Ef7Sn>$y?9(LCtyg#1vj4Fl+|^_E`IJ&9+@lOR!@Sa&rm>f zOr1{6=Lo~}$8`dqMpimQp9)@~B(5R5{+sJ#efytfa|vdqRqzdtHHS%$;&J$BdL_Lc zDvG()v6TQQ1z9vulVuY{x4WgQ>?;BFpEvHOYs}P|lSUUmj}EG_LoOP0`lPbgwypM+ zTz&BhcNVEXDBumx@O32PBKwE>JgwQx*?xUhP!g-vvcjM!HF9LV4R(KM z;GfuxUoUqWuPp)Cwyl_Qe^;Zkz6y%N-j6Xax}5m04@o=QmZ>Rt!+k?XrVcrD%JShO(T11oHH45PSlku_liy3u0OS=!PwgGwO zJyx9SPAS{p3JV(>o@T%=z2ID1Ismn;@^Q*<)E&w9_Fm*-Iafcz(>#v z*=#jY^p#)E9-Rm0mfL`3&%2!3w@IP{P@@d2Nm;w1;pfwf7UjFtHjqgs#3)WM^)vOy zK8P6!z$Qn1aGbJoorUo!bgz0F1#n$SVO_M4TH*rWPN9c9$BRu~YBLXg0Y)S;B8f&) zm{1{k%R?!gy)&!;BQ-av*N-BM@D1Rjm|UbUoMpg&vbf~80*bTgAVSW(?{GTZ_Q$DB zqo9}~s~~#2^Abeodc%AF0rOaM zJ$6tFLRy(MBTPlgn-{1b)TaEMLnRz697RQyMQ`kZq<$HpP4yO1yKg=O2y%Khoa)eU zrSDrVBL3GHH555&ux5 zabS*)1JFt2i|nZlK{RGpgoFzhY@xPFeP=hy29rK+e57Au%f?)aysak=K(RvD!OD?sT%1^iPQhBKb>&!U*3$~oz<5Rbn>ac2& z7OegA<IEG-s5^=Nu38LZl1uaJx$Yv$*k_=rSLND53J|ehQ|Bvklb`n z&txC48k;QKNiVS1*-M!7Z{5Su?e*${(>sbquX#>?uvJqVQp+U z#YINyrT9293xN)IN8C!L6cioY#Ryb(?_bQt-7$^QZon{J(%6%ox6%3lu3L+9Yu+N~ z_D=J;WzE~W_riaLP%i;ZxvLzaYETqXrqm2pn=|Ygj4;rn+ptRIA2#yyjCGvDDL$mD zqJtSc@(-ig(pMa*7PFI89SIWwYgP|^F+kynxLIo;#=Z~!1xRVBD^%iKR8k#bTh_kW zu@`?hqBD@1x(nfT6slWGITKQNDi)4ObpHV!w@O$&a{hE+O{1&QN}n$)wR5?wDvCWE z!?uYaNbW7L3klorSMx4k{s2C6k5RG;>4OjdF}16ijF$y7n8~Yoja>fW(Zukz@Ca^6 z$0ebV$9mH#Y82_~cLF^>aU2sJo+fW9sSPwUSJ)YUGaYK5zTPza?fgF`x58uvVP90BPT3E^3(pDGX4f-D! zSLEg(ML92DkDGH*LG_tjk20o10F^fMZp1O;pP?GbM&ymZGM%#`yP-$+FsV${O8&bT zxzYp336VGLqLsfpcnIv$-NJg4Xc_6#?pbbK`PD;mmsB=HMp)C*V$$Hs4($Eo*s!?H zrB7qI*B%;7UBg)F|JsY;aupY3J9V|dFdShDGrQZIjp(ZxX2MEB`(Sn>AZ%<|D~xVS z@%q_h`#!F=1$klT+cTz)diJlVLj;BveuGd8;Zc)Ehxh1=tH3Arlf@5|Pn|%1EGKp( zB-j|Wo8^2uPrYSq$jnRQe}y$lcFDq`zA|ls6)JS8x(v`c zzQf2emPZ?dG=mebSUxJ%xe((3JEqOfvbewMqf5Udg9v2xs#t#zP;(auKyrjkg1i;O zmv#3ZYFiYn9_gYX@lSFV#@;XLC{Vkir4mx&oImz7YlNBFOG0%@Y-8v{O?dbMVm{huR_o2pP01Rxtfhv ze;CyYc_WCYmQI|WVpP!~yj$iQnPsL;<4djkpAy}V*0Ec$>J|jw_gxjCjQQ_PtMpH0 zA&KYM5%CPvet2gHFY968`R{nkiWDA!=3FNI{yj55!?j3Hz!n7zm2UYhdAr-uDeFK+ zs=%W~Jz~g4{|aJF$j_nC{@gamSgq9917iezX|)C(qW{QKSZ00wj=7piH*(TDn|*7v zV;6OtDHkr@G@9O?(g&pQy@yr9q8ph?KxoA@n6wBaxbry};0&sisM?-CAyb~>j?x*$ z@UeO^Pxec(lx&769evAT#OAZucXS~zKa{hk5(C&dM#d&98dc(4$;KNcwFU9e9*LPt zY_2@?Uux8EZllImR2?sn#o;&D&S#k_uNnNu)LFoy(@BFQip_uWEVWnfWF~3=IRb$| z%Aao%dE4?vv`*AXH~DfRhx$>PdU3am(oT(Bq7H(c1~d@`Kwtvs^_^3?2yE%8Dcj#r zuBH&EZ6@gA83dHvq5x-Eq_c8e57N^I0W>?yIo`E(oWtHZwkp3qAsC zA9SddoZ+Rg>gX(Glz+belsZDg{;T9vrM2g(aY?tCdR%Sk2(+|49VJ`Y{ob8;v z>%M{1ZF!uZ1i>BN_&b1O@(v-$)Gmld8KM(a3shBZ(rTu94Pl^nRY4*MF6bG(M~7)( zDdvt8Eww#O9#WiwizL;H`MjAre;jAby|L6u2>@+1g8)ZndA1nbRp@3;cj3R9^xAkn zaotmIKc?LSZBNSILS<`MKC{V^Y}~*VHRDd|0rlF+ch5^`!2o}M;*GeH-q4&-f3p%n zmm;qTe$7ZXw=1~k;GwV6IOsDTb}<+I&?xS!jc&zU2PI*t1zQ~_8e zuPM*^t)>LJYum6Va7O`yBes9Uan*%d4qD+aU@{b>N z6d+x&IUUR;*^i*MpGKl?&tD1UDq5Q!t;=uIW!u%nw$A8MQtB*w#|ZSTO_c_LFM!4qcWhB$wL>z2G=%miu;n;W$dd1BVy) z=_o+FJ)Jv4>jP-hBw342A{MCp&c(CoBMl+#o>^Uk1&}VH?bCU1&0_6$!^jaXCY=Pw zlQBoO018)UKU9eY;;YCM?xR1pO1&QUmUG_ptEj2yRulhOVB?9I<#BSOYwa2P6CIBm zU$t|`Sl$@gI$g5}vVVz*)_+;+5G*<$jfjC2RbS~ED_!)++(F)^VSPR#x}$OXq~r)B z6*J7iuk^Ay?@8S8*_CsZEekq90WwWF5$9@c#_taOSB<4>OVTN*iO`k-Qw66IxWh!! zJSe|nFgb!JZ|7g%qEq-tyxt13hE2UzZ|D1o4=P{AzL03J8+)lx`JqU&aCT=6=hec- z+rRYDAd8{x+!@fuQ)4jBj+GA>rL}MYH!j4- zWwSsn39KogGo>T4$9EQ&P~3C{5LL6@*OnFgjYmxu9Bd>PC}gZjOomeLhMKn+Z$mXM z!njVBSXbsaXP^nlmD+!7PpKl0if$=4{!JdJC$>sIpfazWOQB)xw*tJmu_*X|yh?MH zODF&+9rkSvo5kRe=JVt~>z(F|&Rf+x1B-xd;F>2C-I7ebz*65?bSdnnx&@z zj#Iws-G^f=DhP!J%$MY?tKh?%=Fd`b`C+t}HzTwX!l z!7xv9U=GrUvP=yQ|4F^%E4SJ+3;p-BPp47#@bX`mKh36Az8wTITl-G|N@j?g~Q3+&RJw<)`qv9`G25F?nx0 z`&It$jO4RIKsO)PHk-;V-NxZ)yBkW~&<%d4pYEG_)cF`-SP#UgeYFu3yw2No?d)Gl zySQaWeMCwhjb0KeF*h?MOq*yh5hYiO&>nZpNGkn`rlK*lja?>yJ*UImJGA-OT^V7| z8tROUzBS`5pLQ(#JX4o*$>yRyQ<>v({{hW0JONoLj^=7vHJJI%uTjbO?#9l=k7k=^ z#Dr&MWWm^?cfVp;-%lgVXzl-|<;y9?Kd1Ag>2bX02&m&!eFNFNfJFTkHALGn1#PgT zGd(>>{?lOXHbmTOYFrKe(_EPLE#SwY`IS@&=jFfXY+rtQ5M`Q|)UYi4O{ZqS)iEUV z1t-{fbIADb_I2S29quaolxfJS@b-gHhzD}93*d2Toy)iq9u1q@)$XMJctSCZ36HHXu!6prkVkN4xCL^{aMoC-&ngGh{k`cO#@3zp zM=*A&9^H7o)#$`3T}@x=Cnc83*2@6rUe4^9`REG@KUdli`@lFCGHN_R1k|I^m?rOz zj7d*&lRE#&-}bW8gFtv$cbo5T>Uki>pFcIMXk9aw#48+{-Ss-)FZ(NR5x-Z{PvDPlP~@K#EKYqR_KvVJ{js+%FtT%4AQ3Oaa=4S<@U&30a?+8&MJy@#Yw7| z)--@LZIyy~bOla-RKu4@y#U;s5u)1tG_{fuo)@J5gv`& zP=LVe#f3-Fzg}K>NBueF>I!;fRa5O<_?-Z#{ScMUq?&I(x}ve-T_gV9(=nyd#!0^^S3C)(3?Rr)!2v|MB%fh&5t zQ!eQ;MLM3Bc5O!2Iwaf@1n>q;LqIwA0IvoE20!VMB{S5!X59JRRpLtxMq+v19{NEH z{*Vh#E4m{8Drp38@DWLIpdX$db!SoMScTOC$d$46dkCE^CNQ@m_MzUiavc!RZ zgn~2}vyGRs2CyZIRStHiNt*lf&17>kMOuI%5hOvTlbF(d04X0QIs z3Xf%#8yfSodUyZgdAQxWXx^?CpqC9Vn4&a}vm$>97@m5X3M+wly`1Wy?NY8^R~^t2 z!>N2P5PfDy_KJQ(vm-IvUfcK5>0xU&GLsn6FNV*?xJE!Nz?GL>gI96k~*pMgb$ni`-S> zViqvu34R@IgORPz$9wzMe|5IX)H;Ao$7XudWip1L^;`w2MX%}^WQsVu!T@6(7Pv6x zsik{EC=3Gm2NQgY;A%rdc!0W?9WI>k0zX6;A8rn>hKX)Ke{iZWg2S6Z(^*o)AFODq zNAKSG^YF0?VU$9#Q}cbR5Dq8AQrI~^isRkNCs2F|2o!n&?nMGFCZU>BetgrU(&tiChRn_)^&Dc6Z~t^VJ6`f`=ist< zI&nqaxZFNVz&m+Hy9<6g=imz}X4&~hpE4@|D`?z{Vv93fQPR+Y0LU=YJ~*G#CW zhimizYDb-yQRGN%$fk5JG)gks#&+8x;4PGs3(wdY{YSekWQ<@6HMuH3X&1)YI8_ge z7WAJ7mM5})C9~g&k%V$W4uM{62nLnY3t0xS`g~tAayfJdsR0<>*^zKHW4NJeEMsl7 z?Yhs?2nON0-0isU*SjT8wfMzA-B*V%2}38*K6C3AR3czMr7C+j09_9hpQSrAQkqEM z-|%b7GE;>rb6`oe_eaeg&{mWZw5YdKmm^9;zV-|$*4uh6;8d*U_cRt)k`xZ={*1Xg zOM(f7l*9*v97z1PgJj=66+0?6jlL$m(0pzq$E}wk7~ku}%|tk~g*sGT2UAC9+a+M7 zP^<14#^r9;s;+;ni>VzT=>5}_(IwDcEjR>YfNo7PGp8<}6vO6e~@#+9m*;^4A z+axzAN$xy7Lh(x7kk;tU@dkJ zihpM!OSZ+TdZWmWL_8z?qh+$?PM4$}HzZ?9{`1|aYHrRY8CGVE$z~IC_CJ8ShE59Z z-jh!!J)QF!h4FW!}YR zz@gJT_?MkW>XBRDMkPh}a%Ak*t53c`K|0WHDupRh(~T`_@U3Rg zzMPmO)k$@Re-t<8*|j>L?H2KHi*mjhNN%>Fs+=0PE{duEpGa)l4&!%3m<~4NTHU)&V>z)G8}F!b8MZhRmk`7<#V5s z;<-h{b;A^j=grPgtbhZ#VN8|vX3G3bYIvbIRKwC4x z;LcoX-z3rNlsVpb_M2=cWzIV-A?-fgze8O##~XS9ERIp+e& z9-%yz>Ul~tfxIwu{$G0+nXkhsAoDvFCCP2Q7|xZOQ?jtJ{OdK_vA=ip15R<&ynk5m zfmyeJD6pb}Caw`G7!#sZ)ez}=k1je1=S>IF(GSz_Q>J0i@KJO;-KfsB6ZWJ^^GYZ9O;U$JX9Z29 zj^Oy}iz_AKYqYwo#tqwJLSf>PuJublTm#+jD%9zG(iAfd-x&riw;WgLTx5NAw&NGM zvseUsnOVXm`5ww9BOxi}|K(vl3lwch;;XKS_t`CKU`hE1LvU$~NSMV;pqKhf%A;gb zZmDt6xNB>8S8S`w4fI5gwYe7~t{R;bWyMbQM?uYR1nae(Vet6X*fQZc*9JrAzVFEA zQ(>NvcDs5A`2Kt!)M+-3jtM{mhaIZ^AT0}vJtsLQoMKV=BTJvmn;8aww971N%^#WZ z8f?UrKdTN;wa66D2dWL=v6Ylp8?cma0JmYU(9P<1r3e2_U8rF!?S!hjDRxne~V^e z%|GU0*Dg2oIf1XTX5skiDZPEDpYI7!XJl9MG1yS8(Fy3Y>_r$b18{qOMDjbT%Fs37 zL}#18?QlK>EW}oZ5{xc?AW+Nc<1)#3sgeu5@N&8i+R!b1%nbgXz@C`AmHjjLDsYd2BYy1IUwLmxw?9-SR&C9t-|+)E3;+pB+7bwfmDz zg*^9P=JsO1l%J*T^HH?lPwzkM0bo9jhcw;nz&feLQ+oI;yLATK+5`WSsJX1g>yl&* zpKE+<;~dNr84!UNkZ3zaH(}X zN+M{~eKvqMNI9)bztSiNaq9f6_h+`l{d%aL{gH&AbpZXJPU!GCsU45U(E7RFFTUkp zk)7z(Zv|K^r7eDcAe@nByrI+W)}_7iAQUOG3nl;U#uvQ3cbzIQ2z{XEAo*;V58U3w zDQuu1zuRLMrQfj78?yY#wl9BKzVSh&>l_H4?9OdB0@-`qP zXxS;Fhg9)E=!QGK-7j=@0NbBt?yoC4u8f? z=zPF^G48iC**@eZ(v1-|Gp0JccT^X%ykOF@33D?4EsCq!6J$aay|CtU4E|o6@Py*3 z0Cj#1KY{f=FWUuOZQ%jfIS6yA5(OGp zqYvz#;UFMpj>`dMy8q9E1yXaRkYnJ(U4}s@M@WZ`O{_0swHwKunK{u>>m?_Z8SQr& zUU0T0V$p|i{iB^AV8qK(zgc8pTac6-YHCN9c__KtScxtqoRO?G8npT%XXll+%$mk6 zUpX?HIuBZEVcB1V%cMtYos$y>NGE82Qn0JM^74>Ogi7jH=fU0+8F>_kW#}<{V39AN zq#7qBA>4-b{vW7=E_J0#cskw9x7XZ#l>!FNe(4s?7*Pfm@`mdm6&?ED`` z7PPC@tR4I)qij)*EM7A7DmSiV9_qE53>#&JDfV>E%ni)G?4O`Jb1+E^g}%HV#o<%$ z>Tn-hglb`?o7x}7 z0&j(Dn7S_OcJo5cG@&TG;cfUw59Na)xB+v-7OSLfc4&>Z>tVyEU(kl*`B#muy=VVpcr)%K0XyiR+AswTE@M_sGsrt1ml zxXrwI;R?DH8ePVBopJ3Gku6edf>?%OVLI}?-jsvzW_U8BGVy&CrItcp$H_J0ky zm`qA5QA+xUKWy0=B!4ZGi;MwiTY*CD#;>BeIqw4Lioa6UVZx#^<#FLcH-q?BAzT{m zvwR6(fGQbf2G95xlT_APK#mv^p2iNB2sdp#fnMaH{)y|UjnH4is!4| za98EGsZ->0p2b2i3l7x(>dsL5K&3es3?15`*Zt4#^6)@+ZHa&b9`bW^r`W6J3Cdc-c`N0cG5Ts+&9Qu;3{7&v8{1-1ug2d~x;q zQMLcKRFaN7DpS&DmCk-Nr%!n#F?EoA28AP_h>GW!MpOj`$k-`AjmOS&qg5=4uHtpLpj8>+o<}QBu?j5 zi-kE|s|#JK7ANzau{|vZmFSv-DnQEUpgWxhtpdvUU?09B5$-|&H8Hdq|LyrLF;>3V>{+!q;&6+V7Z_7pd~@9&vs&+K zncWw4dD40BM0pSaLN`=g3J)1J5Cf3=36E<;`|wBl(#tTO`V_xgwU`jKbfFA*<0H%K zu{j)S-Nr9?59I4Jjk*>de(5v1oJnaBXSp$_r8cf7dyPwsfYfJJ*x?XSj!8pUfuwBV}RcU_Ldow4V?AJ9qa;iU6$tm#Q} z6=7^*V>^%Koo3ea?=if@S_C{*G}-HAGvIU&s~e=va?tdn366sOH$xErLr0uz6{$Id&44-K~bmWiFa&%F8T7WqI(d0xTLtay-cUeUc%)X ze5lQ67mX4`vvleF%VS%^#U+0%vB*FSBf+C#KPVj5*n)PrQ6vy}=QRJ`XrL_R{ox#^ z8baaSV}9eEYfL!<^LNzxJC?`nQRgIxFdCUM9NUWwbQKu(!Q!L77_iGF>DK7i)&Arz z)sPD;)#F7@;1Nbrq5X*XuwXhrd2$!MUfTU237c9inbq5UR}4;qtMvWm;Hy2fR3&_& z?@vQY5lBl3-gc=G`O{M(y%Z34{wC`oOT{ww`?>AX4_`G+qZtbk&?YzBMH``Gc`>E^ z*Q!|^PQu93|25V!)D<{5D{7A1KJylf);>Zgq_8IU6GTvV}jjC<_NOQ|d z81ZbMfW|j#T%}H1^C19k$?z&_)eXz?t-dQp3TGu==%;3AujQCxC}0&iY%9l?hxJa@ z_ic{v$B~gjEc8;>hBMAGCklrMmuF~6_@E(tE7lwT{ArL5l4x5J9Hdv-|W@1Up5^`~$ z$1_oFmW59Q6abWldx($qvNFD=BpwFpVU{tQiRG|FVYxNS*tsfRzA=m)kq&}osrdG0 zGEl3p#5S`a!6;x{+^XR4k==}TTG+bIfFYvfZ|=6P4y`W@;v*k{t>(i(i`C0R-+sW) zJF3)AY?r5hE7GRVle|{(_-7GK%UHL--70*<(cCr+_&j)P#q#Ye*bhOe57VSr9Cid*CAa3>XXOlAAwwT>KL4U7oetF{>osz0U z`JK9=4IB%xQ0QMouv&T67E|FMx{OeArdb1JhwXw8OhgpNm!u#~r4k;#$$K&{g{){7 zXyiB2)Q!I_g?v4Z7hJ1R2o-;J!P(%<^O$c)wLAkD(pzx{YpYD%wTB>>(KCY@6`*A# z!^Ri92^?LkI4P(&7joG@4y0A;i=C>-+mr`hlr2&a>*6zar@rvz7zM}38`;G;Z%~YE z$c}yzdL3j{%y0^Vae`z%Qw8{A!YYUpgwE{-lLPRDcNHw69z2{1@3k`1LP#2g&5`X4 zPvM?^k&w`#w(_ygvo4e_6vQHouC|j1ZY#5*wK)A*Ih4w4!z$Br--_-3&N+vW*VEMI zlFtLbvPNTHQQS!aM(4;)BNvgvlu@&=4=f<+o6)Kjxy|zi6hdc}$mu{d3Z89Cfa5{* z$LSykP@RN3W`lR$bR@wN`2@6Jt3i@5dLic>DQ^b>o@vMi@85f!r@F(M6V5S8`407L zMI#~ekPDE*dqa-zFYqXC*IGc{jtEBOd$Y+fuXxK}Vyq?$0J0!Cg`W~}K6e4yvSlzr zS_|W`vdE18ZpzwxUQn=b>i`q6vCk2>?ijnae7&)4Idn1-`cgORG2)ga-NCZ$VKg@EAmtfb}6?mIVg2v%^nwGRnZS(D-axtkveJ9S0;eQ`_pjXMn&jeCM> zmAUVnVXb~;9S_yi1~hZZIv&J*VA>ocRK2Z?DLZ=zq0_-l~Wkpr+Urf@;*Kwb|n_^yr8eo>`N}UrSJ`Zh+ADP!iOnH z0#o?MaAp|OcI!gAyr@6cq2cWRG&7+GLV14vz3^m2-zn=C;*GyyRy(sBuu~oDOR!1& zs`eGps=$@DP@@Ym>PDpw6*ms?F{(xuBqz6N~W@~B;0pi4Jvp;i-O z(Vsf`M0qz7mdIwNo|8>D$uhO&hqC}&_GZU9=bcPw?X&VQaZK!vB4>+BoxTm`qly;t z&pf#NtTb$T7%AB)+8Jof(z@qEf9S0_2=ZJnmA0D91XQXv5!%JvFNcp)VHYUENKb7f zb>pJg`*qAnQq(d++A+B~`k@7?x`@#b<;?@TaEdlm>UhSruIS~(x21J{ztql6kc`e+ zsy=F3ZA7Js;q6=30}(IbRT~IEc-C+JF!0gq1xfK7=DP>XP!7uB8v8aYn}yn0Xt|!c z0C0NN$xav6(>*TJvdzWL!czu#PfIiB4hPhn?Fgf430b}>)!$!;tC^w{<8u9^+EcI5 z4IsUGx1^OyMAadQ7~FaNIxWC+Wxoa0C^b(xrIh%587nO@*@Q0wP~vI|uRjsLYr|BY zA-aIBOoH2pF+N5YqnCo>F33UDSKQ_M8gFY2`hj34+>9P{X@B7Hq5S>F5Ze1-l(NfM z*nRR=*$D9qvcYKIcjVGK%35y4@eFgpdYO6(hg(4A58zo?%JiQx50R)fr(Xw8Nl=)W zIS!J5Zu3$jw_^A};V_8)D+B}(BXT!wv+CcnwW6M@x=&w%w;9wcg$xZ*tJiE^Q}+Y4 zmpMKjAYMwVm)@oP7YI22Ed9CJ_46A7&D^J25YKADp9A7Uq}Mc#;?1MfYoZS1G}J|Y ze7!CArq;B1IelkypNEO!XeSpS=g4uJv#=bjzp9&g3+$gfR7hUa4&)BjZ98jxoxh7YYekA}Q1)`T&x8Uzn+YI@=QlveB@Q zhn{m=QrXA>&K-*el%CeD1nPiq;clUyz(kj26&eK-mmiunI)^3N1zgR2ZVGDZDS0fd z9e=6)qt&pr*?39b_1UK0;I7L{7_!UZ%{TUk%t@hr;R>ZWqf3v___G*^md7S-E)im1 z+|sQvwv-Q?T}fd$b7*uCSOL?2<&l27+1c>@+?ScX37qCTLJ(O|e;)?~NZmD^BuALT z1Z^@sdK|*U{Aj^Og3li^sUXVOLF{cK>rqnfu&a54*7dx-GmloUvJKT?Nu}53)7V1x zDf5QR|FLm#%W7VTbe&nv#S`IWKQc}jym_U^O;O4~-fW97!XC0Hnc|Mnf~DtOZe4r6 zKeRc|yBg^oS2KXt&$x>5pjqvoa@!mU)4{5PxtwHXT=Fj0ZY-gST`8fPCSIz?DZ&-i zD_S!reb`D!)rn_RRT`(bNZRr=Bs^vn(3uqW0+n}(>LIdX$msZLwc-oe!>V2?N?E2uphX0x2&QJS-VHa&XNF^Acx4ak8fHBU(P(i5%LPB z#r>S2pfQLr5KqwtzI3MHmXy!R5X@QZh@!_@e|s?)rkquswVV|>86{i15G*w`3|Q+L z27F8{m(!I9{c@9aWw zCA#Iidk_dj&wMX$3c-iyoA2jO2p|UL2VEc#i5K%P1rsh4L-Iqz2;szt{KzQ66=HOL z42eJ{#^%Sx6aGiMnxAlukVs6*r%(yW#FYHhG(tM@dj5?J0*#oNpOsC>A?D`a%p=?) z-p;>smvE1mpMU=W;UTdg|IuT@6XMhSXN80!VsU=Sa{}cB@n!yhrGzr#tNilUgbL!D z{I`{aDq?khO)a60SfAg}NN6H9=eN8gyeGEix3v>Gh@JUe-Gm-uZ+>4tVSqT8KlFhx zO#GPtX@oFJ{G2~FPM9E0=1mcxK-O4A1mzrfC%bxV;r zu$*2JYiC5_q)q)i)nqN6)fHXBJ=lY?)+x;U7+O2fCRJKt7^?I)N+A4sh;^2}R7Wz- zy5R)*lF(oZWEt-}HNq`+iyIJd~u5 zHA8bri|UskFj}HRx*9H&Cj|wp+I6Hru>M^gOyb5;(7)YSk!>_`=x7dqc9%wB)x3N7 z7>!l6ohRn8%0B7PhT@KQqFEgU&d96fCH}=w3GxJ_w3$1*c?7FHQc-KSX``(z_(Z4~ zx4+VeyYu0Ql6{qv1d%COURUqsJOWiY)K1TuU%pP}_wt9kB4JC4+CGXJo3@Ygx&>sl zigNH0wku}K-?9=nRkdr$61~81#;6R(<6>Hk1gUL2Z$a!+$&JQc?HIu6C;;uQkWJsAEv2W6Q~eieN?K}<3D&3KLIQ6@+&=1GJODf&cpqNCfAjAm z%P^(;x^DUJCp$F#Y}p47q4q2&ULW{7!%Dyxi;_91??T4Jr^I*9oP1|KmFZVRC_1tU z?y#+s1MsNpGL53siUpIH*6E^N7O%8Wt+=Q4yILh2?@CdgwvgAck}xcbzn%!^^X{)W zY3G38<8)*`Ydk}teyxju6n82XcQQ@$ayT@1*RsCkw&cDKIF$}7)bjr;I`@C3-#3oG zcb;K}&H1n)=kr;@oGYb~Q{*g3PC51|Yz)mNDMjhzRG}255v4gsC*;r^5>m|}B<5^i z-|J7ff4Clx`?{{z^J(oU_!ARR?2o!fyF8L4@d^YA*SP#BLaxlGp{!j1A=mvEUn|o_ zv%P=hphf*I)YgKrvls*)Jg?LI3b3GW8%YtREgC`6yJ`GAg%QZ@=MxjTJgCr!Qw4j^ z;`py-hRhHwhg+L`r2=y429+}Lb-~23<~Xnc_*i>FaeZY%&_9hVxXU zJtWG12$DT9lsircdyS!cf{3N175+vXC7$h+?c7Yv8}D;m_kfO5y-!|MEmXgGaQVQX z7=k~;;nmJ5ozkDa@*wzett(-3JzR^lj`Y|@vPx09Nstzy9uN!!3X?DF5kc`J8=>S9 zE>t^f1#0AIUzd_r^WP%0)qu||5tVn7U&>o_2&R-SFU8TPjyw70Xl$}bqd$gC{Uc@c zqn|)bL!*bR(?WT>WZv!JsNLHOqm#F#GjC_n)^Mk4Jd_;8M>*hyKexv>U`!TxVw{W| zzWr@Q;VgT9>b-by4P;L7W=mjn#-v^XcSzvZrVV z^rX4B3$r^F<-mY~Zt;>TX?)^x;&8S`#x?M|ZdXqKNIx4K7+g%|Z`e!G1c7@EzKFV& zjkXKdsj{F8)?R}$Ik6{&^1@X4$$If-h0vQ$kDbC;eD*qoLM1e$kl5>9EMA7eh!h=p z2c_?CiteJaHc^}ZC5tqtv^*{^Hqp2%JNE&kx&OOkOa~}HXri*9EOKeC-#XnTJtx6R zN;B}lu4EDvYE45Ze8AQJr!zN(iyh+QNx43y-%0dX<<@)qT$OP()kl6O%Xd0F1^CUL z(Q+maS=SyHIQgo?vl@+ME_FR&8dk829s)yg!b??*O?HJg!{4=SZvnU!}T*-w!VJ_8BhCpBcgs+W2df95IZ_i8KdC0Q@r*=0E+Pqgl2-*82!QhIFcd7xQE zzjxe(e?_!K`B5GZ9VXr8d*el(CN$^-)*hz7db3M$`DlWa&`|vN7Rq<9YO@56bP{R$ z{=j25RF)l!_Uc2+XC%vddjh#gdgg;wkM3fveOaz-I_Z8u7I}AU?nOdFiPQm#X^6`k zBgxMVtx&;Q%*3ODdM#zEVZCnJ66e|V#_m_g`Q8hA`el7i6bxJcfG%};`AbBlSdf=~ z-Jp6#X;(`;;)I%Gd&C@A7~@85ORJv`r~XMxo>;wb`K==L_paG@*z3Jy=vyNtzbcW+ z#5+bn(fd#~xR(sR_({b_Pqe|m*V%0JU80`qACTJmlL~$Kv1oyOC?iH2ycz^XPWfSV z`090!U_mXZp>SSDzQ;=e6D_1}tsT}pQ}>3eHB+MtxN6(dmhZ86F;yS$iXZKLr{3ArsRK{CyZK%UMs)h{AmTNV`^=L*p5xC~AHlxfAQ^6~L%~9^nnT+uSeCWVEER zN4On+X(PONW%JYvi7%A|n~16NxYAVL-zm_jLo<`&f;_k&{~kQ&?ZC{^-`yE;pY(vU zk6e>dl@1Ijp_(W!J!|%NV5+WwJm9H~dWetTRXHwTP46X=QxLVH)c~^PikvwQnQ6+s zN7p95R(l6(v+{~!+#96h5d0ma)dMYuuHDlFc?dn}oWb>rsASWdEPU4*a%7U=(8^h z)1A{V5=9jt5t`GZj$lPenGl)f#VaSnU&wrbMx?mr8H78Qj3>D?t6dKkR>?Ayyf zKcD{u>N9jJD4>|%T?Uc>ZK~xcY4N!(PLZz0aBnBO%E9Z5iYtv3tF`^NoENoo;P|Av zeHb@%lUnN{8FvmLAN|kE_d}?u*52kz$6az$YCN~O1Grta?WTJ66XGJZ9c&<{ohvuG zPy1bzvuUBP*M}qx^qZ_dh_oF@xc-gJ3Paz+bC3b(?FcS-NXYar+E@E*n_&@j%I~#We=6wW5Z;3VmY~zJi}B zHYv4f;C&X&8EyQG%h?=aY@3f4{x#nuN$ae0@S=v=}>Mr8Ap(+toS%aaO3$fwDA-R8~oyh;Po7PdBrqYG%!-%;zs@z6-v z>KhDrE|C9c+RPLN0`-9;M0Ou%%8@VzAW-oI{5SSX$qgYE;0-B$AR%VOU28)kN5B$W z14`1Xx}e%ZUD!7ql>Sktz_fQJ8bt1YPaU0t;b4c+3rlUsJ*9F{xJy2MqXJF58~(nG zHD20p9yx7s*UHtDM}0@+=cV$m_Hp_~q0P9FV{?Cp(J6^jeZW?KU#}zjE%!gfTHl(D z_{uQPAJ8iIX-Eg41NT93*c^1*@TZ-5tJeq_4qFdsa*hDnw1?-!B?8G|7n|{jueO&W zIiSab!_!0CD8lQYXp?Vn^OF5-uGQR#ASe-CTQ>p)6 zr{OX!4()7I-`@%MRRe!8xttUPuuCke*X=IzCWcgn#C7So zn7e$rLC_R4Qy|MF9ojH_zKUM~R`0xEx!>;isO6%E5e}bC0iA z7XYxl&3k`I7s(+7iw5{jzwo0R-?r@ed~uYr;O;_$C~(Y0%xb#<3SVr{8Ck6P0blzA zS>SsM39S)My1LLfSOro0S;V*RL^Ou-gKSA-{|VprE<7W5+Qk(nSf8D}JZ0QLsrMk4 zbSA(SN}rV7jv?;3Bo8+f3;7bcOSpJ1U8e_YM2IV(W*Wp{cCk@?Sxof@z|rht@sfl< zZyjy*Ly2KB9_h-*_887Zi^;^+q^fiGd{UI{5~TW=9wQLilh^Z0gx({feD~h0D6j2< zC^kvwj0DQ2mp5Ja-1)l>>h&I1#gRp=TT{}1XH}Y=C>9lbfDpv&1ITcH0eYTe#JVKOYq53Z)qmN`8J^D)7qZto!TW&0&;?*g5(HXn z@-R12OOvlRs)d||v^)jXB{b21ww91{0s^Db^iaNa!Nw7GSIa~%|Heig0~h<%%ejj^ zE7~#?CnwYU_P|DsK_iRY013sRV?Ts~gz|tSslJH?0N(G24%nhmg#{G**4a%+p4WxM z<j%Vdbox9$)N0lgXEmAftgJo!(lDn5wMfMK4 z7TiB2-Ae0sNp&iQ2cV5+?E<}@8~zpV8jzQy`{>?m^VF z=H;0#6TYdE_erqnl8-)3iMh+Z+a;f&)L)RKV7kpzF^x}h7D6Ab92dQf`NgEoaYu3> zsF5>m;!DuZNI{{<*kT8}wdQ%=Pm|dNn+|#wzj!WpmPcpvF`lL_(A%mG4QUB1)Ci%z z|Et2SD?-TbV9W$B_kjZKOWFk`6>&|xr9ww4y!g^Y=7Nm$+GmVdzw|5)VL32`c3#0z zy_R3n+q8seR^j~!W&JXzx)q$kXK!(3e*Jj)z30K`Jo!A1Y${3~T z+63x=EHaf{{BPcT3TVpSO?){IjpU3^hEN}m93x}fZZWAQVPndL6N&EGl+4V?ywqC7 ze{Pf4ZtT;I8kiBOsSlcfBw9Kz3Td$G&>>u02sezk`Rzvu5wFRX_2PETD99(Ru+ z{}()gM%$Q8{1{)sc^ql|6)Zj$ljaq?;6)ri6TE<)u)Q_SRbcR4is1{kMd2Y*N)$v6 ztw-Zno^bj)zS{nTH^0OZ&*Wn&?rt`DgDIppxa6^ZoMarad0d@y29^H&uc1=3*2>4?m}&Ict~k!n0F_&9f!?LIF8^bk9Mn`5onjXjmVkRpcEoq z+JAVxNdSNgiM_6roase75{V-jqD-l&TgCCIP+E70S8N{x*VvPtHJHY{J`HJST6g!K zAeU2TAk@x3kf}8pE{6wMhkck|ddhqFJz>(IcWpvRh=z#dWoiG}&0WXQUgQ|v@X0=w z!8@Z`mIwC{Au=uUldH(nt*}$J<(^}3sFj=Q4 zhgDnGNEA`HnS69DaogpwH4&s$)%X_8W!v85^yLE?wKY0>8VkL6&CskaUz}Ddj}f5# z#KZ>}Qy=1|8zX%Wq6{)ZwJXYZ+)Jk?au9ZQG~w3;qwyi2alypXdR$arr@PVbe}Tdl zbnyo1tLm>j#HzHuIe3WVOVOjzG;s=dlbmD%-hn=)t0215yUgy!^C}~tvgQfbXeD*h@u2*;0FBCL(dp<7l>^ni8 z^;lsYi=>M)9G?3BmrNT<6XruI1(hxO$P|soUep<|I22he2@10=%@gG{+y;?~o}`mU z0?F-eAKu0msCM|LG9%I|ndM zY!U(pHoMD3w=M&G52n6?t^dLJ1sCi*9CWFGl?+$C z-lI3D#Tkx4U(@Xbq1A`phrFd>9?hy(G6P@vxZ75h5}MDhlbVZ)N&oWrIkEaLc zn#k+9H-t+3LwfvCQi=_+1x`QDvE0c>7gNJN*cYsZC8$XZe~6bOnf3_W7qF-^A;aWs z1ranhsAqO6J_z?%E62_UgXTXvtnl!Ec$@MN1NFv9=jl*FQ5niU0fJUj9?Ad}9) zIi0LwFOOtAfXZgTtqLA<=b8# zfTUYJyhDD1<|LMy zHqos@hsB&Jom~UJL_nK%HU&1cj*dZUARD=}wop-Z{S60|nhnH#0DolU`h2VMrf+*> z$Ogg`f21`-+xQjCu;!k8?q*_iTYJ4ZJ2og9x)A3JW4S}HS>)_vQLOM^GPff-Jh~h(* zDFI86OT$T4P1_xQ%g3$~LPh#ebtEy}9;t&u5<>d~C$DW=Nos+d3~sawxXTufoKWIxFE%uNR_kcLpmGeXaqZ_59C@=aVvW0hb!N+ z0&E-+<^8U4RbXyE$@&KL`+0kidFpt3l8VSQ@=VGv^M5CmgiByYFF4M9_3lDeB7#`T zuLhE(JRGeOMX0SHCQjL$okSk{_s`s^I`;8`LK^S{RXpJd%(p(-#EVx7K1D?OZpbw9 zZaU82m`fwudDSa8wWX7k{W`mmDM3F^c+?5LyC6HrzmJJ z-UASYCmUJFw*Q0=Guz^tM)itBnGbNbqlQ}nH-_rW0zPeF0wklHDgS^Ed>-$_F=6jD zLW9=SXfp0=n)CGV2Mw746|I;<#iZ|*ykoXoXaf0LKOiH5@4^be`*!k`;ZXvS0Lx*_ z0_JLK8VQ+EvKG98h=7V)@pC@pW>g0O7N!5=Np(|jOphRE2FHlEWb1=h9 zUoTl3p=}Ow(6J%b+*%@dzZM_`Kgph0%HFi`o>+32ooZL~N@m;YOH4df@M$r^%D6$v zzVNPYL$I&(nLVj%jq&YPqn5R{#1~0a1Z10fY?3FT$mcIW&Wo)?T;zY4UA}okHwmMX z0e~(&!(;$z%3Nn|Okh+x!i`T^^kXfcg;bVVPG{-QkLdZ&ehSgaAT+J$DFlb}GF3+A zp>MDCh0gbRq%WMk=ZB7WUO4FN)JFz2LIhCC0XYbKt6ZZrsZC~5?+e60cR*JqXcglvr8lYpgaGUrg}EQvkv6(IcQSS ztBkY2zD0C+pM25f`FIZTasKBUw@1WvPTjVO3@VTB#%1_PSAc6joWTJp?P>J8>PDf&? zpGbO`uacg93MZ(aW*# zylLlymKU;G7|jF+GIN@joAqmgr#^kVk&*j@!&?h=1HLz9!yFtBQ4%s&9WSpXdN6{3 zN^y+6Ro8MeunvM`jc=h0(3A6Ys;)bK${B_XKNvhuJD)L zZcCFFhoMr)WgUck_%p`JLI}u(7o!bijXQJ``-~OS%ls8k+DYx!E^&c<&-t zG?Q{Xm?h?LxFQ-%Ibf2=Zt=BVK=vf1=ejN8n(v(sG`SlLX!VRGo%(n4<9#lfOF?#yXFBUF|;DzIham2rM>Qo139`y)u+4o^-=*c!1L8PRHf8-e6X)Bt z86ZX}yP}64G>(x6^Alp$^75{9q921xuc+3*!wnOgQ=q-24Z2N8zGOm@RI+8$bGVr9 zl5eUoTJ^nto52(rLk6=neT3#RUjOPK!#cVx* zjU(mdB)hQWP}uzv(IsxBOSk$Q5VBU8gf1wUwYs9p6Ydg$8S>^HYzM2EtH0%`f7aaA z6w(UiX}!?;t!1cvcwT#54YYDfn}olVF7l(Z{(>HBPm(I)`uCuP&_2f>aJ6ksYu&1f zToCY83;-oY>>~bY>{Os_su;|s32c&x{({gpyibpXqrn~TW_M09947L#mmq`) z6Db@gMML3)`E!Ne>n^TRRX%S0ZgS|AJd6*5{CWqESA93Mpp5iyl?I6+NJyPxFEbD^ zsX_0sNPAHMJ>Q24b8)W>5 z*3ki>Ik;U$rv%oTNfD?NUPOo!4>G3Wb4oo=A7X0N8PJDowh%vVZe9~w-ypD)1CeXT zWg@PwiPVHl3QS#XnSz8gxPy$6CQ8YF%+Tb1oMct&C<$}BB{~u)p@PcOr7B3Kk&Ub-cKT!$cHZ1 zdo4fBKLKLE@!a{>BkYt#gZbNbpb|hDj$6eKc4icLKn742 z#RtONwWQfw{dI59ofW1x7mqP9IZ^k&`BrJiv9j+;Qymy9I}*2`{ffdiA562^(0&13 zjcad+B$W~Ycj{Y7R{-^HtdkQ5Jt?F<_cI(B0|r{OUNJd-`Ik$TB5a%|7@9-CYB`J1l_w{7YC!XBl8y?odyHj`JNSa{{vZ@oCp8_ diff --git a/palettel.map b/palettel.map index 4a91c7b3..1f2ffcd2 100755 --- a/palettel.map +++ b/palettel.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:41 Executable Image: palettel.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1256:0000 0000f0a0 +DGROUP 1258:0000 0000f0a0 @@ -34,28 +34,28 @@ kitten_TEXT CODE AUTO 0000:5b50 0000080a 16_hc_TEXT CODE AUTO 0000:6360 0000158b timer_TEXT CODE AUTO 0000:78f0 00000235 _TEXT CODE AUTO 0000:7b30 000077ad -modex16_TEXT CODE AUTO 0f2e:0000 000023ee -bakapee_TEXT CODE AUTO 0f2e:23f0 00000bc2 -16text_TEXT CODE AUTO 0f2e:2fc0 0000010d -16_in13_DATA FAR_DATA AUTO 123b:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1255:0004 00000000 -_NULL BEGDATA DGROUP 1256:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1258:0000 00000002 -CONST DATA DGROUP 1258:0002 00000076 -CONST2 DATA DGROUP 125f:0008 00000102 -_DATA DATA DGROUP 1270:0000 00000597 -XIB DATA DGROUP 12c9:0008 00000000 -XI DATA DGROUP 12c9:0008 00000036 -XIE DATA DGROUP 12cc:000e 00000000 -YIB DATA DGROUP 12cc:000e 00000000 -YI DATA DGROUP 12cc:000e 00000018 -YIE DATA DGROUP 12ce:0006 00000000 -STRINGS DATA DGROUP 12ce:0006 00000000 -DATA DATA DGROUP 12ce:0006 00000000 -_emu_init_start EMU DGROUP 12ce:0006 00000000 -_emu_init_end EMU DGROUP 12ce:0006 00000000 -_BSS BSS DGROUP 12cf:0000 00000e42 -STACK STACK DGROUP 13b4:0000 0000dac0 +modex16_TEXT CODE AUTO 0f2e:0000 00002406 +bakapee_TEXT CODE AUTO 0f2e:2410 00000bc2 +16text_TEXT CODE AUTO 0f2e:2fe0 0000010d +16_in13_DATA FAR_DATA AUTO 123d:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1257:0004 00000000 +_NULL BEGDATA DGROUP 1258:0000 00000020 +_AFTERNULL BEGDATA DGROUP 125a:0000 00000002 +CONST DATA DGROUP 125a:0002 00000076 +CONST2 DATA DGROUP 1261:0008 00000102 +_DATA DATA DGROUP 1272:0000 00000597 +XIB DATA DGROUP 12cb:0008 00000000 +XI DATA DGROUP 12cb:0008 00000036 +XIE DATA DGROUP 12ce:000e 00000000 +YIB DATA DGROUP 12ce:000e 00000000 +YI DATA DGROUP 12ce:000e 00000018 +YIE DATA DGROUP 12d0:0006 00000000 +STRINGS DATA DGROUP 12d0:0006 00000000 +DATA DATA DGROUP 12d0:0006 00000000 +_emu_init_start EMU DGROUP 12d0:0006 00000000 +_emu_init_end EMU DGROUP 12d0:0006 00000000 +_BSS BSS DGROUP 12d1:0000 00000e42 +STACK STACK DGROUP 13b6:0000 0000dac0 +----------------+ @@ -70,13 +70,13 @@ Address Symbol Module: palettel.o(/dos/z/16/src/palettel.c) 0000:004e main_ -1256:0790+ _gvar -1256:07b8+ _bakapee -1256:07bc+ _page -1256:07d0+ _pal -1256:07d4* _i +1258:0790+ _gvar +1258:07b8+ _bakapee +1258:07bc+ _page +1258:07d0+ _pal +1258:07d4* _i Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1256:07ee+ _inpu +1258:07ee+ _inpu 0000:0290+ INL_KeyService_ 0000:052a+ Mouse_ 0000:0582+ IN_GetJoyAbs_ @@ -124,9 +124,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:485c+ MM_TotalFree_ 0000:48f2* MM_Report_ 0000:52aa* MM_BombOnError_ -1256:0950+ _beforesort -1256:0954+ _aftersort -1256:0958+ _XMSaddr +1258:0950+ _beforesort +1258:0954+ _aftersort +1258:0958+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:52e0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -144,9 +144,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5a20* CAL_OptimizeNodes_ 0000:5ad0* CA_Startup_ 0000:5b20* CA_Shutdown_ -1256:0960* _finishcachebox -1256:0964* _drawcachebox -1256:0968* _updatecachebox +1258:0960* _finishcachebox +1258:0964* _drawcachebox +1258:0968* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5b8c KITTENGETS 0000:5bec* kittenopen_ @@ -157,7 +157,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6144+ get_line_ 0000:61b6+ db_fetch_ 0000:624c+ db_insert_ -1256:0494+ __kitten_catalog +1258:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:650c+ LargestFreeBlock_ 0000:659a+ _coreleft_ @@ -183,75 +183,75 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7b55 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0f2e:01f4 VGAmodeX_ -0f2e:029e+ modex__320x240_256__Enter_ -0f2e:02fe* modex__256x192_256__Enter_ -0f2e:035e* modexLeave_ -0f2e:0376+ modexsetBaseXMode_ -0f2e:03c8 modexDefaultPage_ -0f2e:042e* modexNextPage_ -0f2e:04fe* modexNextPageFlexibleSize_ -0f2e:05d2* modexShowPage_ -0f2e:06ce* modexPanPage_ -0f2e:071e* modexSelectPlane_ -0f2e:0742 modexClearRegion_ -0f2e:085a* oldDrawBmp_ -0f2e:09c0* CDrawBmp_ -0f2e:0b24* modexDrawBmp_ -0f2e:0b8a+ modexDrawBmpRegion_ -0f2e:0cf4* modex_sparky4_DrawBmpRegion_ -0f2e:0e5e* modexDrawPlanarBuf_ -0f2e:0e7c* modexDrawSprite_ -0f2e:0ee2+ modexDrawSpriteRegion_ -0f2e:105a* modexCopyPageRegion_ -0f2e:11c4 modexFadeOn_ -0f2e:11f4* modexFadeOff_ -0f2e:1222* modexFlashOn_ -0f2e:1250* modexFlashOff_ -0f2e:1320+ modexPalSave_ -0f2e:1376 modexNewPal_ -0f2e:13c6 modexLoadPalFile_ -0f2e:14a8* modexSavePalFile_ -0f2e:1520 modexPalBlack_ -0f2e:154a* modexPalWhite_ -0f2e:1574+ modexPalUpdate_ -0f2e:1ae4 modexPalUpdate1_ -0f2e:1b5e* modexPalUpdate0_ -0f2e:1baa+ chkcolor_ -0f2e:1ec2 modexputPixel_ -0f2e:1f50* modexgetPixel_ -0f2e:1fd6* modexhlin_ -0f2e:2042 modexprint_ -0f2e:21dc* modexprintbig_ -0f2e:2358 cls_ -0f2e:23c6+ modexWaitBorder_ -1256:04c4 _VGA +0f2e:02ca+ modex__320x240_256__Enter_ +0f2e:032a+ modex__256x192_256__Enter_ +0f2e:038a* modexLeave_ +0f2e:03a2+ modexsetBaseXMode_ +0f2e:03e0 modexDefaultPage_ +0f2e:0446* modexNextPage_ +0f2e:0516* modexNextPageFlexibleSize_ +0f2e:05ea* modexShowPage_ +0f2e:06e6* modexPanPage_ +0f2e:0736* modexSelectPlane_ +0f2e:075a modexClearRegion_ +0f2e:0872* oldDrawBmp_ +0f2e:09d8* CDrawBmp_ +0f2e:0b3c* modexDrawBmp_ +0f2e:0ba2+ modexDrawBmpRegion_ +0f2e:0d0c* modex_sparky4_DrawBmpRegion_ +0f2e:0e76* modexDrawPlanarBuf_ +0f2e:0e94* modexDrawSprite_ +0f2e:0efa+ modexDrawSpriteRegion_ +0f2e:1072* modexCopyPageRegion_ +0f2e:11dc modexFadeOn_ +0f2e:120c* modexFadeOff_ +0f2e:123a* modexFlashOn_ +0f2e:1268* modexFlashOff_ +0f2e:1338+ modexPalSave_ +0f2e:138e modexNewPal_ +0f2e:13de modexLoadPalFile_ +0f2e:14c0* modexSavePalFile_ +0f2e:1538 modexPalBlack_ +0f2e:1562* modexPalWhite_ +0f2e:158c+ modexPalUpdate_ +0f2e:1afc modexPalUpdate1_ +0f2e:1b76* modexPalUpdate0_ +0f2e:1bc2+ chkcolor_ +0f2e:1eda modexputPixel_ +0f2e:1f68* modexgetPixel_ +0f2e:1fee* modexhlin_ +0f2e:205a modexprint_ +0f2e:21f4* modexprintbig_ +0f2e:2370 cls_ +0f2e:23de+ modexWaitBorder_ +1258:04c4 _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:7b72 _fmalloc_ 0000:7b72 malloc_ -1256:04d8 ___fheap -1256:04da ___fheapRover -1256:04dc ___LargestSizeB4Rover +1258:04d8 ___fheap +1258:04da ___fheapRover +1258:04dc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7cef __PIA 0000:7ce8* __PIS Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -0f2e:2434 pdump_ -0f2e:2496+ colortest_ -0f2e:24ea+ colorz_ -0f2e:2550+ ssd_ -0f2e:266e+ dingpp_ -0f2e:26e0+ dingo_ -0f2e:2776+ dingas_ -0f2e:2824+ dingu_ -0f2e:2886+ dingq_ -0f2e:2926* ding_ +0f2e:2454 pdump_ +0f2e:24b6+ colortest_ +0f2e:250a+ colorz_ +0f2e:2570+ ssd_ +0f2e:268e+ dingpp_ +0f2e:2700+ dingo_ +0f2e:2796+ dingas_ +0f2e:2844+ dingu_ +0f2e:28a6+ dingq_ +0f2e:2946* ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) 0000:7d06 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1256:0000* __nullarea -1256:04e4* __ovlflag -1256:04e5* __intno -1256:04e6* __ovlvec +1258:0000* __nullarea +1258:04e4* __ovlflag +1258:04e5* __intno +1258:04e6* __ovlvec 0000:7d20 _cstart_ 0000:7df3* _Not_Enough_Memory_ 0000:7f25 __exit_ @@ -261,10 +261,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7fac _big_code_ 0000:7fac* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1256:1274 __argv -1256:1278 ___argv -1256:127c __argc -1256:127e ___argc +1258:1274 __argv +1258:1278 ___argv +1258:127c __argc +1258:127e ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:7fac printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) @@ -288,22 +288,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8308 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:834d _nmalloc_ -1256:04ea ___nheapbeg -1256:04ec ___MiniHeapRover -1256:04ee ___LargestSizeB4MiniHeapRover +1258:04ea ___nheapbeg +1258:04ec ___MiniHeapRover +1258:04ee ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:8427 _ffree_ 0000:8427 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8494 _nfree_ -1256:1280+ ___MiniHeapFreeRover +1258:1280+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:858f+ _null_exit_rtn_ 0000:858f+ __null_int23_exit_ 0000:8590 exit_ 0000:85b1+ _exit_ -1256:04f0+ ___int23_exit -1256:04f4 ___FPE_handler_exit +1258:04f0+ ___int23_exit +1258:04f4 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:85ce __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -322,7 +322,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:8f75 __doclose_ 0000:90bf __shutdown_stream_ 0000:90d9 fclose_ -1256:1282+ ___RmTmpFileFn +1258:1282+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9144+ __ibm_bios_get_ticks_ 0000:91bb clock_ @@ -333,7 +333,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:93df fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1256:0098 __IsTable +1258:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:95d7 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -368,31 +368,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9dd1 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1256:0500 __8087 -1256:0501 __real87 -1256:0502 __dos87emucall -1256:0504 __dos87real +1258:0500 __8087 +1258:0501 __real87 +1258:0502 __dos87emucall +1258:0504 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9de0* __exit_with_msg_ 0000:9de5 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1256:0506 __curbrk -1256:050e __STACKLOW -1256:0510 __STACKTOP -1256:0512 __cbyte -1256:0514 __child -1256:0516 __no87 -1256:0523 ___FPE_handler -1256:0508 __psp -1256:0517 __get_ovl_stack -1256:051b __restore_ovl_stack -1256:051f __close_ovl_file -1256:0527 __LpCmdLine -1256:052b __LpPgmName -1256:050a __osmajor -1256:050b __osminor -1256:050c __osmode -1256:050d __HShift +1258:0506 __curbrk +1258:050e __STACKLOW +1258:0510 __STACKTOP +1258:0512 __cbyte +1258:0514 __child +1258:0516 __no87 +1258:0523 ___FPE_handler +1258:0508 __psp +1258:0517 __get_ovl_stack +1258:051b __restore_ovl_stack +1258:051f __close_ovl_file +1258:0527 __LpCmdLine +1258:052b __LpPgmName +1258:050a __osmajor +1258:050b __osminor +1258:050c __osmode +1258:050d __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9e04+ __fill_buffer_ 0000:a053 fgetc_ @@ -402,8 +402,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a513 rand_ 0000:a555* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f2e:3036* textInit_ -1256:128a _romFonts +0f2e:3056* textInit_ +1258:128a _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) 0000:a580 __MemAllocator 0000:a64a __MemFree @@ -423,7 +423,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:ac2f __FiniRtns 0000:ac2f* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1256:0534 ___uselfn +1258:0534 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:ac94 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -431,9 +431,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) 0000:acf8+ _getargv_ 0000:b028 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1256:0536 ___iob -1256:12a6 ___ClosedStreams -1256:12aa ___OpenStreams +1258:0536 ___iob +1258:12a6 ___ClosedStreams +1258:12aa ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:b06c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) @@ -446,7 +446,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:b449 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1256:0022 ___Alphabet +1258:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b44c __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -456,18 +456,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b4fa* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b505* __get_errno_ptr_ -1256:12ae _errno +1258:12ae _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b50c __GetIOMode_ 0000:b541 __SetIOMode_nogrow_ -1256:06b2 ___NFiles -1256:06b4 ___init_mode -1256:06dc ___io_mode +1258:06b2 ___NFiles +1258:06b4 ___init_mode +1258:06dc ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b576* __set_commode_ -1256:06e0 __commode +1258:06e0 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1256:06e2 __fmode +1258:06e2 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b582 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -494,9 +494,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:bb8a isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:bba6* __get_doserrno_ptr_ -1256:12b0 __doserrno +1258:12b0 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1256:06e4 ___umaskval +1258:06e4 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:bbad _dos_creat_ 0000:bbd1* _dos_creatnew_ @@ -507,9 +507,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 0000:bdda vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1256:12b4 ___env_mask -1256:12b8 _environ -1256:12bc* __wenviron +1258:12b4 ___env_mask +1258:12b8 _environ +1258:12bc* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:be1c _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -530,7 +530,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c372 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c3e2 __EnterWVIDEO_ -1256:0704+ ___WD_Present +1258:0704+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c406 __flushall_ 0000:c49a flushall_ @@ -546,19 +546,19 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) 0000:c6c8 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:c89d* _heapenable_ -1256:0706 ___heap_enabled +1258:0706 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1256:0708 __amblksiz +1258:0708 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1256:12c0 ____Argv -1256:12c4 ____Argc +1258:12c0 ____Argv +1258:12c4 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1256:0738 __Start_XI -1256:076e __End_XI -1256:076e __Start_YI -1256:0786 __End_YI +1258:0738 __Start_XI +1258:076e __End_XI +1258:076e __Start_YI +1258:0786 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1256:12c6 ___historical_splitparms +1258:12c6 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) 0000:c8ae __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) @@ -580,22 +580,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 0000:e146 __setenvp_ 0000:e2d2 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1256:070a ___IsDBCS +1258:070a ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:e330* _ismbblead_ -1256:12cc ___MBCSIsTable +1258:12cc ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:e38a __mbinit_ -1256:070c ___MBCodePage +1258:070c ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 0000:e465 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 0000:e473 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1256:070e __8087cw +1258:070e __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1256:0710 ___Save8087 -1256:0714 ___Rest8087 +1258:0710 ___Save8087 +1258:0714 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:e482 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -609,8 +609,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:e64d strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1256:0718 ___EFG_printf -1256:071c* ___EFG_scanf +1258:0718 ___EFG_printf +1258:071c* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:e695 ulltoa_ 0000:e7d2* lltoa_ @@ -637,7 +637,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 0000:f279 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1256:0736 ___FPE_int +1258:0736 ___FPE_int +--------------------+ @@ -653,6 +653,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00021600 (136704.) +Memory size: 00021620 (136736.) Entry point address: 0000:7d20 -Link time: 00:00.68 +Link time: 00:00.94 diff --git a/pcxtest.exe b/pcxtest.exe index 09609a9f15d4bbc63d056bdfc1a631afa9fc559c..34404619c56c5ad64adb69284e612347c7ba09c9 100755 GIT binary patch delta 28239 zcmW)n^;;9}*T>fz+vsL=Bi$e%9g4KXKuVaR5(*4ZVk2b?5DNqSNQfeeg3^;34WhJz zk>Tm&Kit>-2b}A=&+GlVPc;+xlnErb1wI8>0Du4xU_pq>O+o+f^8Y3M zzmp%}3~!S>+NqaF+L3+UL@XSFLIK4zs0FmR`|wZofetZRNFd?I&DV8&e0(rDxL5}m zK+z@oj{15ADDZ;8JU{F8ovJRqyCVAzkd**<-w6P)x%QsE@`-Wseq3kUqw*>@2sUV5 z_%SYzt-zY#OQGc(qZsHz!B(N`H&{oUHVaA$M_T1u0O$n@T@T8ClKZNp$Z4T)vTe~H zr77^Up%rMzUC1XpeI@&SkCU6PC`lI5ANHiTZb9ifHbAIA@MVlbp{B`!L6qh4-C#vw)({9$StnKM@9ZWb$tOLeW;nuzB&hxK9 zRga=H7{Zc4;(`p`ucQ`d88|mae3RUMx0C6@W!|90b_e0TPX)NlwnKKgvfd!`fqBwP zaX;(JxhYM7BX8uWTGChq*AGM*XU^_NS=rWKM>A3U+Z!Z;`@t@$>q?zdZc>61oBLrH z56S&WPZT_Q(5-55Y!i&+fzm*Zht>rU%jCE}M0ZXsIS#NPI0)~0#agqB$G5_SQPQVr zmf<|?ES5LkdJuNN$K$HfjR-#&P3FnYaNSMh>M=tAmWg;oGrJht;$JkH1h6U84>PRSA2SL3j1_1E!?G+O4dEp1EC>wibl z!xAy_N0>;mxL;i_pF*|rYJLdE;8tm!Yb#-|P$&7zK}kxG=fMUKyukcK*}7B3`d2rEl)*kxwLkwikaAfXsmHfmE`$BY5jy;Wp z)###Fak=Il+W-nAFGc&#%L7eufor5i3ZJbnPSg-~(t6x!W~+BJ5S`=?iZRj9B*Q$7QOAIZrE14)1BSs;1%6;RsDv0lVMWebINjj|cewSLW zQx-jS^WksrK%+Yc(Ivdrs3HOfR8h^e6U}_#4`<08I{LiwMt(w%@K$$6i?$j2_oAI1 zG(#S|l)mfB@s2at^DM}d&gs?rk*)H6ox#sh3KU=7qp^DYHuTwkX4y9y{k{f68s|Tq z8#Xzyr(c+`dA|#-m>5cdNcaSjt`}1x6%zf7kI@ScSgmdLn6KoDQ?`LVlB;7g&ep1IKff>%t|98r7V>$|TiuvS}oYAnf;wOu0xki$|(v9tt0)n?=3k&z} z9hJXz<`PDCjPaDiOPxjB)HD4k)Yf1k+5Iqv0eWG_|FT(b3hy32G2`xL!NIJ z$dw7|aep$x4vu9UXVnN*UW7>m<#1>enCr-KWjDdQ6yqoVds>RBvhitFo2Kkzn;A5@3|t*=8}NfBo-#wsl8Y(*Jr=Oq+WqxU_HL z;nmO`dC-qn1PQzHJn3KkmibW4`x41OK=lyB)LH*%W%go3#*s|%Io;!pHzW?Css4g0 zYO~DWd+TX{HE8oWV38jCod6{9r1X&=F)w(n;NMA3PM8Wvi_?J>`G`Fw;GnWu9B!CE z!zm;i4{qF4y3=%B-kRyx$(7{mxj}oh^L(Fd#VSuF@bu>HShRMlzrauhn2Tmym^^h5 z3fN+5b6440b)Sy)9v%)uYmn$$mLQd2$9r*7XZVYj-A`F=; zYFhd#b;CLb^q1t?v3E=2w)?Ys?K4jFFU1yDk#~HxE z$_jj6{@SlIjk(9apWR}rbG_tiHEIZnAqV$9LuU&AF6y=O3jkMUF4@yg3xvxwbyCWt z)g(zGJvIJGnmaMMsfG9B{c){|I-2MIn#DzwwGRLRmr@v_MscoF2dF}68U^i=!La>X z;_UqM{u{Np>Fu8-G$?=?H~Mu>Him$r z9yNKl-?J+Avr*)Z`%f_cR133*|2zprQ_*dxo-+J7O|9I@t}O~#aikdtM~<%jo+WyC z*NcS1^|N%=6Zs{2R)=2J|K(i!;-$m<0e%%kcYuF3m1F@V^h1EZY`&xWe{PjxF?~+6 zLMdiWc|Ey z)BG{AO(iwsy6g23deQH>y7m?sdo+A6IRwAu@-<>!6?&!)zLz#d3K zJ~0xwC*Djv`GY)hD8-cKtO|XIng1_dq%;+(>O%nZyld&;Z4CrX!(f#TZRZw*DKYN4 zgKY!0O%>u8mD9n8X1Y*wJ$Cjaph|FzL?VxWNFlH$(CNWPdCu8_Is&a^EV?f$3nW*a z=1;lhc@rf*4cb}!d;&t{up{u9T4RpqDMo(X0ZBklwi=EiSQAQ%Y|GR*(d>gM>5A$8F^t7(wVPo2iE z;njVEVzwJeUlFC#D>UecWw*sc-tf=dn$3QDP2zW~x3*TrICo0M$kS;Qu*!uefy z(B?t%eQ`tg>U)BjAzoD}T;^u>D{_>`7)%6pOXDQ2P21pm(#r3t%9)_|@(aN?FF}Xv z&)P)@_Y`b6`ZeZ>BpS}v6SxQpj8C{u1bM0l{1Tt&q_-O#G88h!k$>M=^6nS{tZRY*q`N_-t@n3_W#w&rFf%ltk z2ephZ9Fhlh>{tG1Bt=7jNx{Z)0~;kVDa^);M!ykfK3sMCewGHM)2wI3U(E3b8F=p_EvMQ|Etnn6^P(rZ z!9Se7{?C!0H&yvqv))&$li8W$+l>?IIrJ~M^(m2tA?}V6?NRLS-`nhJCLj0vnf`}w z)vOR_4Sinu_vS-*d6n}z+fjZLZWXc1hc?;o0f zN)y0C+b#AIf){}Rr7OMN6j>i&Q3#}GyAxiac7DYaCv;GN`%C6pXeP--QsL@zi7eP6w_9oS%v2uZzICpaQRV+Lv=$*!gzyfCGCMrf z&aZMQJ7bIcrnEv}G=-^+gnDUj)veb>z(JBfC8xr==QUdN_mRfQb;y)u1}cUceqo(; z-y_(OF<*PgN3y!gq;Z~*+C_APXWWHUNi=tKkyR~U8c7k5WkuFB&#jVP&E^k#EGBO5 zfbI#w=FNsV=!3c%GyxjSK1l?fKoAFR?He*obg@A_L%GO6^ zy$F|G{wAMn#y8C&Ylbwhdzpr>rKy15C|LZA+LPzDmYckGR~Pp9bYyOO02Yt8o{=@W z<*AKki)k}RdvA3oY^3yx;3@S=9+rbYBI37ForHqzA#s{t1WUq3k5>QC^EKo6En>hV zHFE4H0uLN02OCkF?`CbEG!cC)iCvKegg)Q{@mCB$_`^T{2FtyuS&6oysl$PFt3Lhn z&T#5ASnY}NmMw9)7(1T=vF*~jAn-MDVO|V0K~aIC%9T@G^U{BXahK&V#J+XOLn+li z4oRH+q0Id*WP%vc8Wg9K-3wb~Z5`DNizh5vEfsXaSU)p4OPECQqC1uTkPHNa$Q^*x zeW)I+6RIKx&dTNFT*3w+T6D17{4qxR*ZhgDCavIC=E0>5Etjv!ZCZ)4M|Elwp!@z~ z=ipFAvqbPFD^dd%{UdTQqSxj1v2C!ZD-FsHk^(@}cELYRw(OxyvScwqw6cA|qG_32 z5L#6&$@CcUm^5e+3mk2P%P)LDl+htwraA}U>|^b+d~n#oJXegT_s9bo-fokmk+Lnhby>?SLTiC^rZzXU^BCQBXEcqj0>9%nu0b*2$)4e75TB9|G4rSaxoJOzrL~ z^IP&HnflR-GM?uLIKC9-Cv+VaP8L--S-0N$QgYnmjdvP5QQ?gRUYG;Xb|KHD+JeFY zE$-|e>1KV8OJQhf#{8;mZmHCtuhg!xxxj7zX}~g-`XKnKSd;egh#$gq;H_Rqw@#gm z%c2iOg0A&l>p0$ZyE~ca`JTmr`THGraP+`nvin*gLe7u+Mn1X!A-Kj$X+F;%r3M}p z4pBhf7}@(@AuVw0!UEcMn1>so{;Pyp_tQ)`%no8%FBcgaC?)W3el7bgug@tHqwyP4 zO`bl^uQ3d}y<>^fF9`>x|6)2TK6A#l@TVBy&%YeG%kfnE989B(D;D z_CU)XTm{yei1{CU`Qrs&BteZe%iVY5BPW9b9|SC) zNQ1E62;OuQ)0<$u81I+u$z}7wbCaVcH$k^v2t@sz4J1Ur7>)Vty!Hn;wW)xQ1sAE$ zi&*r|DxWX~osS^}gW?g!XE)C@iDOkHAYHZQvv?~o85-E%^2uLsgg}ZcQ}b9wTO^C5 zAuOB`um??$F(Kq5WX$#uId{^MS*}GEIi|Z5zj*U9pu-T9{P@FnzP5V?4OjSDfHp}y zvQ(=|f+xgHdN=OCoR(0be62%+Hu1S0nWXD24g7x}*W zX#;S@7XvzML2br_#e9#7w%gar7Sh5C2)P{OGiA8#PR_I!7CR!Wfxjb;sJr2(eJemu z>D9~uDQ>Zwh!`DtYPss^WZ+ZY=Y_j&dLRPDh32?^t+)H<>b-l-8SuKWg>_QPs|$We zJ=unx!Jrfn=0sOFm#b7#0lLjrH6IihP?fCy6eq(!^^=s_OX&g#@H&e*PBrBWn*0(- z+7~SLD&Nl=Ka`~B($n75$zN^RN}l?$M?Nh?vcxGzWup`@afI(wukJ@G9WOG<>Ij?* z7cCkutAaE1vRUFnv_#@@K%Y6Xfq~i_ zZQ6kiah$4^ZRTGX6{xX)_oCh*>!W`6&5LbcI$c;TB$L^rW*i2XHLTyg53k}&XLYiU zJ;I*UZ1?Ff{}(SvkV&VeyG?s*4pJ$O1`)k{vD(SV_nJ_OLLP}fhmyq-)%f`}nfK{c z3im3Ind>9@bDWhmAE8sRstAJWUQ*tw!@G}9t!XLni8AN`eXYJtl#OJCirr$EGVouR z>+3g*G)=TdT`xxHXYt|gB8#Rm-j6mG73(@-qsN|F2_k&tzxcm*|H{^$dI@k`yGyW% zlV_5=#Xz#^!xlepf_W{Tw<-i%jQ+K<1*GXJ1Of;_ALM5Mqssqf9^LjkID1u0d0V6k zV{;&8vL%lf6*}djz0t6w@`?53meSY6ZLzojZ{=)Yd9L`uE=t;&|J>FejofY_og}hz zZq+9QNZo%)q;)~_9Qy($Jp_VOUn!MP!KkWO_;z7H#{{jwj~7G zBiGMMPV$aUHmA#@o6R#mJKUZ4)Ca**`Wz!XHuanh+ad)gA*18=Ubn?B>3Cft#z2xzO#Qz1azjI`61YnVXmQU zNuqv0-Tlrg;u1f|6VL7vfH7*IcV5I1FmzK7@c;-+1-*PFpf)f<K& z@HtEn;dX=5o-6aPizH-k85EI#8gNP@ww1a`j{-8qSKNg}q(I`%jP9&MRZ-A!OMwwD zL(mH2HT_-D>HC@SPBJry$h>L7fklhbck-#tp#Cyc;{2t@bx$br25@}a7|%)n9QQ6y z@2*{-q5_Y49o@F4-&koZb{kZZcsYQJra#<+xgSzyf!g^!D70AyE4zg^>m>v&6n^s6g1x11;cvE&AuM%FlYDksONb~B? zw*X@l`rBpoXv??Breu#+kdn3%ADqlwAxV8Z?(IB^5^ubdekkmV^{Y=9@)-S&E@JF z@JjXkR!j=g9qzq$sxjj#M!Gfep|wr@t$pzefTr_FWRiG~c;@eY8Pc&g5>>VKLSRz| zni&YEJZ?hYdN1|{R@HV@i5_|>q7Y$UrE&#N{N4SYmm8M40Vk*&R-)&Fcf$~Sib%kd z>(Od*J*bStPH}M|+k5=hXyz-@@gjU z|5LO%a%OG$H+zoQLlPh*f(Kl6LXzQ2*`I{IPJilDx9ANGQI>(jJSDWsw*qD`WVd0b zEY9DDE@NNH30(8A07w;o1PxKF7@!^MiMJId`^iJVJ{0I(&s-DIUC$!`%vEpD6r6x5eHTx3g#In9{N$j4 z$^9hws4V8WAb`m8h|mAiE&2s@=_yfm`+*$XG{HH)>@HO#tDYXCbp1|Ez{LX)_`Ila z)-tI*JgUw6XFo#a;V;JFERU}{a~9Bx6v==1(vg33R-tgftfrI?PTR0qFyrS+cU2P> z5M08U;>^0O;Hh)K(?&2mg`X6GpoyYcQ^Fw0ub$myk?dnezJRa}H<0R7l4@o8a*I=& zhWhpViWYhrt+NF{Qi02cLMkO8nWH&s14UUGe(ov;cC#kKEg)ae&cx)zh>k7V~P588}5OYkCBB^~{ExWVR91^i2qbdQt3;M%H znaR|a+WKJeed4^Z+L`K^Cqrz%by4tjEp+7_ojl=+^A^eWUg_5ub`sY+J;!4K!xkYC zaMvw;;J8Zh2{-X!h^lR|vEz*NhQea{r@oMiI7--{*LtODTgWT79Z?D?BadG*a@u}*LQmW=nh$U?AbhQ9 zs-!o1@u~!RQ0CDTM7iqclwxyUN5B_Wt53RZ@iQ7AXO(4g-gimhnE62R9m0PY@84{5 zYI2~2yiqoChbf5$zO^x!ONNN^s%M>e9Yn*+qm3D9QTK!_=FkGq585|bwqojlZnJ2E z3mPR7m{;OYYJVkF`WNsU519P~n3B4JEm9Kx@{k>n8DEk`Zd+?ATA7^47-Ob$U%z?s z<86b`siUM%$L`1$&95ek)Dy0r|3kDheb(#p&g@A4VHN3*i;wAmP`bGJZX!zPt(0nw z%e*CnBahnn14{^~aGW;#+XElxpNza~emJ4@@DzgX{%Hc)&pcLW4TMGqqyUtKULFHRUf8N)4AhUudCQKswR2dKcFRQ#h8um@y$Fp z`;LYb8Ff?n(3%ffgl{ft?&rs4;Po+3G5SJ#zC5kHuRdM#mu9cz9u=)@OLw#4Naqd{ zV0e)tx9%hX-D7Ct`_DuY)mcGC)>bK^4S7fFrT+z_;lE$c{$Yc{I-=?}rAO+|?+kx@ zJkeEt_wVA^B}w@5^FX6>uFv{DmPjD=#Ke>ms}yNkd96YR1dXnr5tp|r07aO5;}C-4 zDn21a=1d_4*$aSmTxe(&`}h_+L3Sd>lf0TLb`7$RC2#;^-#$o{I43m=%1%fRz5aSd zcA&T*xv#JOA4@ytMnOD|#Sd+`y@Z0+}8%o_8G6E-CWc zXbJIg-}_&TN7My}*Usw;!W(R8dh_JsR)+6byTYz&-`@hbrHbiv#&*856TQf$aB@-) z`@j5kmm9*zdWXtREeiQCZYNmH7AxuX5i;UC`LAFJw$0Gx`$j~IRD{$#=pfIELL22A z7k2WU7>?Js89(3Wl^iD*T-(~q$@uRwH;X=aN+4?L;%BMgu51XT-?E<`d>}aaye2Y6 z4puiW$6z{e7k?#w&X@U{Tw(Ik``vVCL*YEZFKP+#VNOvY)h3Y-ReoP>tDh-YuOMZ- z_4z-+2L3hSDJ7jU5Da>;d!WapZC#8$=>0wrC8px^2{mTpjSQ$zewW99Aq1##`^)R2 zo0}tX&;_tl^AczU`x*CDAo@8w=-F%|`-S#bJ&asl)JgH=1;A{EtxcXuZ-I8N|#7pkyDA&Dsq48jXHABo`2HhHo)|;(d7?lSoMt#?oW6!eIL`RndhA4XIr3#Y{ z%LKe)ceykhB!PR{YTgP0p~7hh{ht(drQ8w(AdJI%A{D82`&j0Z47+~;~DzXzX{ z@=|>gC*34hkaW4PT2eWNU+2Ep%MiX-U?qCLMCTrUi`*#;YwzbfdQLNPLQ28Z9!X;D z7xn-Mju?5yX;2EE+>1fSV#HX{K=LN0Q z`BQ$|ebs!$e=oDrM@mRJrpv#x*EaNkQ})nK0)o}q$9KFc`@ps_TU=^Tg@A42oPxFY zBaV4x0W_9@OBN%K*m61JNZP)oj`93Wxou&OIngsJv(k@;d=gxaCk!Ed7E6X<$Y9l- z+Un(5Hwc1R6hBh0U8jT8c~Kd1b9w8=u*=v2NO1Z*HQA^fI=yk_^JlcEfE_RihnVPf zO|axfB`8~-Oz^S%d>rpZz`V3Jq2rH4vy%}hx;JRACfm&)O%2+DhIo+Xr5&~3g z(E}%&4juW)T9m+8e)H6hL$i?($aW;;ux8X$h)=bdZ4bFKzA09U;^4`!`E&;it8K-; znkA-lnN;sgSk|SOk0(}bTnclFD@y!k!z%c7oSC(}Efl1^pUcgIj->4NO8C|s+&su0 z@KD$;7yGIC1=z!i(Hg>P(0Vm8q|N^ksM=o#&MvpmF&Q6X$*lJpI}kUqcHtC2nh#RZrV8MJ+C;A9aDgu-_OYxcu9^ zFsq)CQ{};NQl+15`Gd~G)feC=UhZ;0(9T9l zqIySFUrvk1oNrWrzaS&IM<>xaV%U5hA4jkelO5=ZjsNz|L0tvR4T#Xt9q&pzz_V`!g;_O3#HjNsyX>>RunaGvn)S4`lkVxf|H(Xl z(2(|8^n-|F+#(0UWEdRyD8KU7I>ajy3795d>DW4irYPSVkie*rh?ZD`M913 zIAUp^Sfdfu=Ww6*L%U*9eLlQj$nQFf*>yF=pUKz6iF0L|LdTh+T_bUcgltQ^OkA4X zIc`egKg)o`zp?IkpV8|jCr}g2`l0t;#k@_+F**?7O6ZSS^`Ko?`U;2XzNBczvgvb$ z_Nd=UEp`NXOj?t=7nEVrUi9~>T%PfI8k-NF?O!Kyul@Yv=%TR-Bz0ZtjM5xSH#qoy z*6EcIoxmFT4W|OYnR+FH%|i_I{MDG0SYmE)|8D>)6B!NP60A#smTyMgzLbt2pB8gl zxo@BMGndg)dD;U*8a?qKYa`W)8t}UAZilw!zI&pjf0X8TQ4qB?X96=O35q~$p^XO+ zzcG$X%S}#=K+59(%;CKi=0$F(XWC6x;a?VNXPK6ly?nYB!yzc-W*bKt%1!WaaAdxQ z9$U6NbMa8~#DkL)qngX)5RGdJ98Koi!{ks4x$HEsc^G7Xc0>MQRg{)Mx>qVp7w0dc zcx8Y2h-Q{jubTcUUO`t9!^Vq3``1r=;2FFf8p146$F-aS&4Mww1WvH!+4zX$NC73g zHLCxh>&>hY!k89nm{X zOy(QofekQCR1UX+%Y zb$e!wY>9U89;HB&Jp{W;MAy7v18dZW$^n=2WyM?DXwOj*e@E7F)4XWceJdEoL zf#67?Nc1_F)8{Yblc`sS?%V2|$E2T>xEk+H;)ZoSd~tHn@?6*lr7?}^FP(V{Z39ug z=T`;rrw*^bayw+sitkI*sV!QbD?{7y^(IjWZ>_Vsprhk+eznfa8QWah?P!_Ns1?90 z#`G{C0cq(oYtb>cN#7Hy)4{Gr;8piqg@6FgZ5w=Sy`A2h;|0|IXd*q7WNFj#@d@95e9=x=UBT1y)41^<~U z(TcE)#f9`8e-UI;iYrQwmdN@@6&Rh}Cz=Sp58tiX9=ybA54#ak_U;DWnXl@6Cl7xK z^U`d}dAepCDd}Y0{?i*L=9-LNz@Zg9E0=cmZ{FRqCBOfjeeWvc9;zhspEMzU-^Ht6 zXbBjz@8hi${KRvjCe0}66xDG;B3e{E@U`}-Fa1fd?#zR+dF4=%4mB%hz_=YPCUm&| zdz+uqjuQ<6ahMIF$1cu;wAkuKwGbU`8nF-U@Hz*5L*N=zs*2*vhd0sRBbeDb*5c8no_kg9)7G~ZKm@C6l%kc8Y40lkfi(KsoVShf zBCK8WGf6z1>~x`4gb2mcoKKpI?jZAuZc*lP2ApA-tc{ekq~RkN+h2F1X7|sArxl$& zKotbj-9|>OUOA#|6MAVeq*;;ui!{(`uePI{6k5kU>ZLCcy*xpHT697khmVV;IqVks zskhPvi$Irr$&{u=CkkP$jGh4qcG4kRrRuVL%ll6L7Au1_7_eta!F;I~^^-`ydcVAF zZ-Hs6x_=^j5Un&)vo#{!Ox0Y-VT=3rT%uQjB=n|Nof$H`S53%m6~mn{a{c&GhPveTGVWno{h$dF6Nvcj58m0VPKXZv+ zYPzHs(UPXw{nwNJWp2o9dBmW*5ll5Z+s3ucJj)?)%U0)NIl$!AGK@`G^Ti!mI?-QQ zG(|U5c5bt5sretYn+{q*#LSVdD$X_>J^U{bR1aPzDBUA}3+~+o_{w^FhFXzFC%$mO zbD6zh_rhULaSuZa;3K<|MDuuf-D}g8-$QVoO-c^VBA}ZE5TFshCi01-BcT`Nf=6Km zKJDFHl{1cYVd|x1GM0=d$7!MzZCoYg4oAlTy<@-*5UBS(<--^RgoBpC;8+BXrxb}I zV9_|6sp|IEOzSJzXKXm zL&d0rN;QsPHE~*{+B#TWoL;HE0oD*_RBCL3HN}~gnjghl;4Dk6j$y5FHl?<9SbLm9 zsiPCt8Rt@Z`~=n&ce2#Y9qWN3c$Rv3V|{R^N`3vX{|}y#Eq9uOk$^S)1@=B*iSfG>D)Y) zj$0^QT*5BnR!Rx0pRr$XUrWDz$Ns=EN||d|7H++CV-x!mw^jP zl|oPCeQ_p=r#X1}$hUax`+{bd)7Lr+>>53SoopjSiwLl~1G04XfS6P=$nOp0<8QW^ z_SsC53$ep1nh`S1p_+)=F|izT@vf%*TQY*nvch8>1Ry_8Hh_~ z$XbXK;=h0N{S8i4(Lb%Tg%K0V{R2Z#3^k~0tVtiivpJsPrbI`cy2-@P96Yk{q6k>X zmQ!;xcL6WG?raANIY|S{(v>a1SwZ={PocczLXyTcsbO-%#oc@7sZ*&*of>sPM~YgU zt7*x^H~J^3PMk0V@VRt0!MBCSh0i85K##BwFG}O=WS9@)J+f&UAk?WlK`UU8BV!N> z35lf%{6Ygs5ajl>fD`W;*IB1xacJcuv&D=1C+RIxg}1Q`VQX!^mGGEFXJ*?=3&hB1 z-gE?sP<3zpVE`b!cLvUhK$9P_?t3}lpUstjKIIboL-yv9SV2#tyosG$T{~2l5c=Rk z8fqq(gmu52@ZW@>eE=1Hq&B7VzV)k#@3Ei?1NDVjBZxC|rQt1)oiu9!YSsPet_hSD z8e$~Q=u3aA^x87TNPV3PZ~(Uc7-pa9btV_Qz3^+d6~^y_FnD9tXnCjpjne%fo<7z* z;ts-AaE*e5_`SV@Dz|kFn%^iPBpUq}CfLF+tzKKwcSkQcV8BPou^#UxlqmxR+!CvZ zTdzX!1FVDF-;mx88bQ?7dwJ66e2SfMu@kGswbYxh@vLVduQLPf-;i&_m?-6Gn(}K- z)U4cd53HRyZu$3(ps~YX>ruUK5*3J-uIj0sPOdV72JU7Sc@U!^TQoW$01!-{E8(U3 z)N=*sKHP>5)r6z(V)#GAiX$NhHhNsooX?XS(-=w2+juI!)qO_B=}k-EkPY4Ym6Vmm zu~tliYnpuG3ERaheQG5OH;C_TY*L0D_dlvlQj2MUffd}a21sF$GQ>9WK|$bR6)Gx? zwL#hqGnRF302uzm3=oESgAwhc5HPW?*kR?|?3K>Ld@4y6R`i^_Iyp^S= z?zK03EH2DaF<#@1a4Xvkl0S8>*e^;G5x}jNA5)vPzGp+NikWZCkejfSZ;3a8vbg`7 z7KM}Evxd6!S6qu$!6Bex`)<;BR{%3gjnqBi<>#`0DdgHFLCmJ+M8I(o7;24^4|#Ix zatL;7jP3RyzrgZvF}?m&S(nlm(4(vbJMf2 z>>*8lrU~&_SM(gNndS^%VvXwL?<{8;Fo1d!Tcp>f3*)RQh|70{6tkRA11YU=OO9kR z1Y(;H2OQt?)#kpAlFlULx};2!oMYDWQFW;WD-nES>MrzRr-&lCIrt+j4uE+tO5T_v_vkMf4jYQ$hq#8U59A3tc~Ho(iz_lr zCfwM~@31EPCbfOoth>sSTYI#(hSFk0JUYeOMJ>4YE-N^;a2~dcP@jjtf=qgzum--V zcjSg{?m%M}{)lmVe|}x%axYWg?=y^Fm1ybP9aV6*@m5qajOKXp@rQ}_6wOFA;z*M&AKF zkwWE2VIGG%7Z6{V%C}$eo7W~Le%&biE3S^aWbK%5f{45zeg*o#Hh$a@G0T=FTr|KG zayE8X#@ z?2mbippABqd{_IiwX?Jn(m1vBn-5yYjm>0@R}L1dy01exqsVW3w}9{2unAws(G#n8 z+~s)awP+}}y$0V*bQ@4*5a zu^>LLjux)@I9HL$kd-vwV4(pbF>dri4 z*bssHx6T@IeC*$3Kjoe*KoEjn-b$13KE5}^aNxc;ztJwX&oJj^vS3Lp2C5}Xn@cAS zA{PZ7Mxs-?Ko0vNgbBhf?K1>W^~v&at&$@*-^p|wl31khzf~Ix`Sd0PS}2vM<~tA5 zfLh;Aho1WUN|TlqQf}Kn9$tIq@3eg5qYMhy3yAA+nqcDX_im`lAECWRO2%9}wyJ*DrcR! z&!M3mqPc{c)b$X%c>3=qSYw)!=K8ZokNcK05X>GLg|7-#W_tZh5)3v|U-O7hAiAt3 z>(kZKNiY&TOQA2^;u$-`>#fhl$m8$I^qyy*{GVv3v8O-+ZZ@>s5@|5k=Z}G3n%X^G zJN~E{3wsxE_IX+sT9z{Z?p}UTMm_|l7`xU4&@&+r zsznUgeffmv=`DNqE$`?=@p8h)maHE_0$=-ixz8N$HmxIU!w)TdF*2G-n0x=D+U{Te zT+pNP3$6RGhmHw*UrJo=a+v|#i8H?@3q<}i?Zg=6+< z%02ZF*V(UYO*2qXy`d znQA@NWeHM_xO~4Ww_3pEoEcs<8ODtM1)A6LeZOdB7dWtMtDpoPO0N#Lc||oRZkHzF zCmQl1r+tDF^bneG`XK%rbZBv2p_^X z@)KhV%k*fu4>?lqEKF}=a)HP=>PVowNx2ieaxTqw$L(=(Fe!<(qZtB2e7u$W#IM`h zD4PRLejzzYnE0Z@eI~IV#@qpB9>2r@FQWuNoe)5{VUm|ylBwY~Bn>-~{#vTVN;^%t zg#x+1)3h%GG<9nLYly@+0O?>7VV=Ja`F37SL&d2q8S3qg5s>l#A3et5B*z4i%rJxP3hpF*WF-6?$sw!xY zmVHJ3Zz;aP6AV;1Cg=2)rm0fs-?mE8v6B*UuBObkx_`HSZ8O>X5qV6)fcgQ@d2pbz zWZXlKIsNkB1_yq~R5-jjcW+1iaQRN9@&%OneM1RrpYTdaD{F0L*I{Q1xM1T@<~$Pi zV6yXAJ0kL|NTI&PL`M4P;fb?dz<_h-&tfXi4H{&wSLT&n^84=mWPB?piQ%*onS7y9 zNN2j>zwGc|KPr4%nZ;B=f)4)Z7J$M3`uW6I#Vy_M^8J%w?ptwZ-JuWk?}%|{-HDMg zE$Hrhw$l{xIXXGy>xpnKx7Y}-d6jr}ilD*8`X+9HY?B49jf4(~*}s@Ot{rfX#|o!= zTenh7CJEUQS|nN2T3k3;>4Bq3v{-c{tH@@Qr3&D#-U4^Qf0SnuO16!)ssR$73>RSI zD>FIGvv_!Hgnw^EIUL-K5DN#~u%Nvt7Yow1tEBRA-)f5&0L@L#B@sj;j@e-r(P5c~ z=RnKLnQAY=O8i0PVH;tj*SX%qCidsFA6*PSAHLG3?Tv740Nyx%Q_wut>fhsBv8}UA zSCABEl5!WX&8Z=TZMQ)0t7ItFQ_Az&IL>AgB@AKhjQyoVUFd5_9b0?XO423)?ySd> z#(V@j*%5Uhtp^G*qf7O^OXF8|lA3R9chAQhM-ejE6440hJtH23aQH%qE&;1PaTl=4StQ zOOCb5oE42qd0U>Vsy|amWvR|J=>K<4`13$9RU1(`%N4Fpq<&UC6My{alxI{%ko{63 ze#wUV)$vl2 zrWI8Vylsb8wE=1f&(yio00iV;aBNp;&2_xU=k`n^civSXG@BOoJf0sFWrHy%XhWjk zIhew%4!FH(2#<7C3uu~TruO>k!%xOq3K*ceE-CAF<+|TX$iY(K95=}{fwA{%ok={9 zLLKD%W#SI-z|Ns@t9PBG8!?~Jnef`Q4*>ai1ECNdBb#`-@W88A;jMBySy#m2{Bt`gZ&Ne*FR0^?W`a_bZt+ zCJaQmkkp1bYfeJfI7Hrgpdxcz+}siSfTNuPd&-J$+|BBmNA2bM9IFx8^BiH4AG5Lw zXPpjZEtcU7mP+`9;@*;I?i)4Gu%*|Xms-WcfgPTc{A3Kk8PU=7fZ2oP_5IT;g9z9V z?7&Ae)MEv`LytKK)*LQ!s^Ri#I_}Xr2h6mz`a8a9)En#QN%+$F(YWdX4bz1f$Q=qFe}nD##j+`B1KE#XE=Q8l{#03_#>;XWvWPH!qT7<^9{#L zU-YELG^1-CWPLBn313#7&h;3*txuvbx9$Hf^(3d7@8`EU{hOKg6QPf~$i9(!|9l%o zFL8QXjjk+suJ1l!w^TIp;dkU9;n(KS!XFLCO*kgpA5-z*5+C&kT7NtoT&|0NCIbPw zNfD(@YR(9s%8nReHgZP+^or4DUpSUy%)Sw`rMh}|OK1Ac`%*487rmY}@v#`wtkJ*bdqxW3wixC}kn4!a@m|quWdQ=v9qe`Q8L1n?F>`cnS z$u9VgD%O*!ij)~s9pj@rC)~>mRLz*$`M{r?(H#|+K}Uytw$VwoQ_S=X@_P!^&U7*G;T5WBkYMQZ@eGA!p<+;%?pqaqy1w<^ zQ5JH|6>~dRnL7DsQc2<3*m5w@ygOAG>_6c^DQ9(`*mtEgv)aDH-eBBmaTM5$OV8)k zqb8`N;B*}Ca?Md&bTPlx!y`Gr0orPjl92UDDY;-R{p*9>o0)O2YCgW*qN+PV&Y4IH zGxaV`_N+3RDl%q+_{pM=rSIlwg4@|yFD}QH0g~?UcacpHtrPy#bjwKs0V4aL$@`*1 z{D&r$?G5fjP4m zGpyL{j77SPkNizB2JY-Xdo|oqY`+(IAE#};2_a%~Vb>pl- zpBj-*TZAH9=~HySfMeb*31yHm?gPK_S&Umy`jfQkm*c=-!7jJI+K8FnVfLWtC1zvR z-ouoqX&&}@RCWjCWH&nr`_C<}46Y|=-U4dK-6URM!Jd#qHnD}*Zrj2p*HHy1+voI4 zg`W=<_3oZcM4OuUBLPY#xA>bXZ&dq7DjZu<9;R&tiM-Wi(e6!}kB5{ro7HW$TRJRS z|A=Lp?+9Z}9M+O>bzeDqD9&mv`Fv+jr)xfyp2DBqw$`~mxV3Qm|vaoxMO%~9BXyNgS7u`wk0uM zHbdLp5lnHx@FM3dbzoa`0g6+r=G2bLW)J}#)*Xg%sJXcr#3@>Ep^wdSS}slcl1EKf z;gtGbNh3up5?(DMw*y`lY?X&>s#uYGY3!XOR53Gz>Xj zgYZi$>fjJw7hV-!5oK=st;aLt(0SD3a<88k`G}K+7#rt4sEI)2CsNf znhCAt=9x3#c2@@*^mHoVI-Pwa?n{F=o%E8c+B6dc@k6!YESn3m=z*jk?~T5U%M1c{ z((&Qs1|7S0!o{_QG`rf<7|12&lQ$=4paLmj7`jfOXC;~$4w-7W&Z(frJ4Q&w^ohfD z7;glUGYwgH8wxY^M=9oDJtuGWR3j%pm_3$tU^GibgGPU1;YPbL%2U|{ zfef}hH-E0+)Ix>w6to1IwciFxm_WVES=Sx4Sk!^sQ0uscn)Flz6Vy#&dZ7os^=N}L&= zXj$iJ1yo?BEti>!ke!Zmvy>XB(9Vdg=&mM&@kb}0kOIOpKP7!L7^qu@41Zi>EFkb= zn(Lb#_Rb=?Siu_;t!YzD33og-=(an_Kh7Obez9Rd-;MCy6;N;px z)=%!c2@8Xf!0_NelQlQ{$~pVNgPlpn1n5a#!Pk;g0J-CJaLfg$clK0A|Dmx-(z|^z zrWVkI84m;0udxJ$R5gO$Ps4-0dfzw)?HHI?6vbgfrF^>dOV8{s!C7?p#P~n(L47p^ zNZE16u#Wg$l_A!Y>+pYxD7&*9LxSfgcGg%sGqV$_Zd@PPoeCP8@-z5I7x@YNP>0qqM0hAnY7tI7_5DH`S>Ir1XLf}r(=l&a=R3=g^BH5w_hUE*KDu3=$zhc}*2?JHIQ9&~`Ugi; z{YEktxEzlm{1D2xUHnz{bHLZmj6E0ct1mK?%{UfJsbAC~H5bu1^{lZx5qt}Az5G}1 zc%M3-DwuJau6KgSDm=C2oK+qqHR?6xnEs@wewEBc3)mnFV9lI+Mcs2$0#qJOZ&bqh~sV5#J}rzchc3db2##@Jx)tTlwn zo9V2ffYM~!#V;^rJx*J&meajtkqym`Z@v5}1`TI_C=(8H1gi-sM~)S%`a}OP;s3DD z=r?&|W?3X811Wv@zuZYDV{UX7fuf>v6)BUVSk@>XrDwo{ z1aQTaBh@pQVW3+;R(k31VVn?0?-jbWZ9qasbHQ0~a%Y?|3G{iP8YOTRY^FGn$ALcl z9}kei*`XbxQk!s2?&Yq9(PS(pBfj7qd*|0pXlGW-_d%83KBMK2(IQ)Pqw!cym5#}* zao$03n1vB)D!=W<$NF(6l{(lu>~Kn;H=56KTKRV@{2gs$SJdG`YaDUFm_9{nvHtiw zdJ{(F;Z(W8Lx*(;495JNWzC_a=fpo|bsZ}i@}~6xrw5$Nbw&`)F+*8@`tjFZY^i$# z-wV$WoSesGP1XcJ-&%pdp;k=7ri;Mt8)#48_<+A)lL2GCjz^sFH5@hg20FYl1Wb8X zj?=Tgw%C|5QwVQo0No`z5}T~7)1f$h*NyDj;F*u z(K652;AO2v@W*j2`wj`U>fttK78pY}yao<{7}(n+>#*k?jyFDYO#poy+T2*I#Zn-5 zR~Ay)7aEv%j<+F5I29~Uy?7{ll&ZFmlP-mlPN<0UA<1wV5qk2A{Hu($cw_^3HHQvk z{5Uc!o^wE!Bc#+SJotC42C|2Av7xa6P@jRvQshr$+*gwU8@6FLO)JCLN2zXB+qePw z{+tTDK1<#4DmO-(>Ve~dYet^OK@!sg?tl+W?32!Y(+oR>vrC;uX##2yVJba#RR%lP zRZNW&wVR3b9>$keXj0$o-fW$ z)$8}TEqkxXaiC50aUaLPc(eR_1PG_d8zo+yq{(v4m?p0F#H&N6UhtpS}Ji+aE(GATnI8nH!wgTxoROe9apgtlw`& z_G1*bSF7!d!k6P<%T2kDGyfWZUL7Vb5m}E3O|=%pv4#C>`;10mgTUi0pT7KHD4Y$$ zooDlY(Hm5vnc}{X6yuee8RlU)9d@UZ_P;a-+!rUIc%^)XOBn7n8?B_BK(oPp@q@=J z1=AT(VK@r=KuO!47LEH7w;Qiy!l2FNwhPRkP+{nWD_(N+OP#G#=Sff^hj% zJ#?IJ8bL%Fj0TbOLVSfTsm<4+ z^@QtC&TwCWlg~5ci{76QV2;@<3_HK2TZOr589ukql@yJlWo9s6=uV?{871NrBcmoH zP0}!!^8JBflo867V{wdHwoD#fuC0Mq9v6xmFla)19O$U2~mTsm-+C1mdgkc^VhkP@;z=FPNbvX8%PoL zNFhmgR7%jW*PnRrehSM7)s?WixaL7p_#3k^-6n)fB5HYboTd~j%uN)*i{0EGyy-@$ z7%qra#Gn$&?&_}A)Ur>3>nbjUqX-{ddb0ru=uOHVyTw=w@6_K>WjF zgzM=8JgTu;>M-+zluE_pUvDq*7Z;0|5b!)|%Uf>`$582~ge|g3SUOCMy1;JJQ03NY z<&PJ^*gA$AA2ljy_DNn`O8VUkVZFy^T4wsyoyC+~q&xr<90jo;koGjA{o8^b$r@-M z`X&|R1Qo8eyy}%^!RK~fEqf+;&kzj|G&Wx5`DA{~?fv8RFwd2&Juxb|F}I!+aP+F- z;Kj>R6|stcQaeSg=|k)0Ho47A9_5CbBkL1JulFeNx55W-)vPj)w!le|W}9EB7m^1M{z z2*VowFUosA%%4K>KN%j3YB+9PON<4~XZW;J9C?%FG`0QQ`*m)L)e0yGp7)JR4YNV3vwed?IW>t*Dt?LFkb@YCcQhrDXybjF zEa>~qo8oWBqmG4`%aDSOgh}r|8MS#RJu`ajO%u&_Un3Jbo+vlF5_Qm)7EzndS zj6UFOiBx@D()z|+_X z+koPRCLVq`(CIa`|5!(a8{4I>M6cJvJ(AehJz<_wmu#~OZc5Z6;dpNvrIL9hM(z;A z@qGQUPqV8R0BmZQ3(arf$PJD@$k9gS_r`YE`VVfQv6;`N$j_71LvCwm}=#!Sk! zMc6TN%-@s(UKyC}Z*HRM%3&6?^`KTUr;)raWObb2HK@3P8Re%FUyvE3IegHmW)fyA z|5$YA_tnh26Q>-Plq(P z77G-7UV->ru7Y12Tlp}|G+taiL~Ull`GPd-PFl^z56`ZCu0)7AAIXHa_h;}372&L>}~m60vJ zk7vY)kX)XB+7gKQ0LG$gg1CQ!_p6}83!?4A#k&$HWGna8l_t)VGlwI+u~zT22FFC% z@RgkfeOH(j*72@~(<-vTzM5|pJh(X>#sf@oYUS{?tF7BRL|v|bwDy#({6 zW|}XE-I}J~hIyEVLIoc1F4d;9*pt~X;D0%ndEW9Hh{Gds#_&ZsqUR`Ou$ewE{UcDG^g*H4w>2-!m=Jcyuq8$s1D!RSZQ z*ztjDL2#JAxmeE5!sjNqlnaVES4dNR610GMO`pZ5|J|76{!^3Lp~wTl?GMja8Ry$V z@74mtRLkveV`G%J5RGZr&|*Lp-+exn^xKv?9!nzO_ssP)tX4`yir}0!JxqSVsLh5;hgPI=%Fg4@ zT?(26kKRl|cPsuh!MWu?%$cwRo*Js-gD3am?=A;|=$qVCQ3C!>BsLBGq5j`tEWqn4 zevJzEf_-zE_T>qrDj=n_7X((MIO*(%$Y0aliv@7shSeX7kJc%R3o^d5r=q&9_|9{4 zpZ)cP@@0NjXuIyD%@W$zeR?bzBu_GkBEIVudGkw)3DQ|bn5cGN(l|EZyltDW$^(%L zk;l0cJ2Spq%2AH$X?Il1H$4r0pq&234eGxz7KPx&GxlnEv_^kA?f&hPrP00YA)b|3 z0BUm1-%!5tN5s8ebU^@b^?QzLo8oOvsi^80g#gLcLDq$IR@=_^lnBDc7V6*D{Ft;G znJ)iALaf-mo~X>6VQL6SL{cK=bv3~Gf%$1C>X+bD!XS+ zmhyV}l*_-h{(|4S;^|DzZ&yUKRBjGj-P{Hv&{t=$+bbNF>ml%mrhM3i?bG}wvb~5dxFAUKf}aH8>#g< z16c!qd?d2(^{6K-f!EJbo}SSo|9Z+-Jor>HXf)I#>qmGu>ds=lWG=5q;pfIL_?{y1 z2sNSK+!aB&r3k`>RscR(eqgI6&Zp+z_@0|RZgEdf2*|FI+tNs|`-;{&t{PzB<*s*8 z&Ac?R3iP6?)AjF=$EC86V;q@!X!mpKINrk#KLcG{UX&hYC1xjI0MgG611>XuGSA-8 z0=3vcQCg^_IqUiwwIU1|M;EMjK`1vk5z5u3t(-Y1U>L&3dyYF@FzL@4JystMn$Tcf zC=;vX{UZPt-KcR-q4Z&hbcur-dFBM`VWwO9cpn}0;%~{C8uE#8$WQxX8wj}o=RNuF zb|d~axpzIHpt1M$3k&DW?2iLIIx^sRQO&QjK5DNLuf&hNd|qi&k$LF8AK<8xCT5EG z8XIbybZjh%Q+)}M^;0dLyPli@9~Y1bOmZu)&qXm#)VUBvOOeFz7Xb>PoUci9ZjCc@ zxf68W0I4+pnR38$FH$ZM{6G^$Wv4u7Yxe*w)r%Snhf+~TSlJ! zFD(ddXeFB@LF_Zae*j`h%!)qeO1-T~I92qrcPdvCk`!|M=0f%6u~TjjXLVxwng;OE z$Dex!cJKU3Z5nc^fWk66_bf|1qg6{A$|lF^GWv8}3Ifmxd%ZzhdXwi70NFe7#r$${P_|kGA{Y>u z^M-;FWc;5uoO>fv(=gZ`5D{xQZnd%(wHhP5CmX7;2Sh&s1og*dgYs?(T?d1L;Qvkc zvpU1~U_GcHWX#cmcpBSVr|Dm54azceq_oiU}dp{x3+mP1Ap2JhiXAijyhSHjDV-xXL`*Hl4`f|AZ zpMHX}Tt1=LFnP7^$Fyj)fVlrV_-2fklmNGHfa@0Uu*5rgVAFXhU)5iR{?#A$&dZI6 z{i}?4dt+f!iU)!n(gWtvhQuH?txQb>drM+Sk`tcm?9U;y(xox(BcuYl<`*1R@(X%r0V=R+f;Wkel`OC4_e{Fc!IRbCAqx+02n|02SLR|RNzXhlSgID z`jl1}_ux6aMYzuXM=#b}L$84SDqi9II;zbtPUXIH59GT&kh(P9k1x0jcQUQp9CtWY z&x(}3N_C_Ldt6$;pMlQh=$^eOv`>@DPhSz^CyoUh*NWjx1CSZbi?z%S;RdccPBo=z zZnu3UUgMyrm{JHLru$!Yb`)QE?< zyOl|{X^XvrlRb6wu?Ehn%icb^G?5pfKBC~D2%fh8{~!hL{yOweMu726Ksba^VWR+ zqnoOA2^JzvV8CWzyRZkc1`jy5Ghy^E_YRlco*vCKza}dPB2N|ks{T4b_iFC8xdJ7H zjyu1Fy!`y3UASs6Tw$Hy9cSw$Yig?dXGgJ*{wg!&&ly(wxW--`%>0AI$|3}^K7vd~ z@iw!eK+YwpTTsztD zZ!<1oc94;r-nTsxB*P-&Lzif{(8v#?`t#BK+WLnF7oR_#gp@v){V^k>;TxLFTor=< z{5;ElZa_&on}*px$|GBf0U9FL}Y6-qd0nj1nEAM`L+iY18QO zC{92yr3n(KVXe#QIxxo_-7S}*aw`8$uoB($e>v+krz{`aP1rUbY}tAbKw$G}v-_5o zdS@~jcuk1L>Lx`mEh~H2hJB&&J(w;kH@N)(Xp(agI{IW>dGOEa?JfJcU%l{>dfF(d5l^6%d!*4)-NHD{3To2;eu+{>F&7( z%rhmu!tUBDaTG)IU_VRYb-(!=Gnb^!-N>{1wMqpG9Njufo}@(fuP|kM)?w=!p;fSk ztPnzPsxm~ylIx~uN^ROgO6jHrhuv_}5*$N?jJe-u++bdd5T=~ujrT6d-W`nCeAuR$ zf`6;Y?e=}2#ADaNL@z3Fu|8(ei_q5_)}srN3RbOePzS=!c`gJ!iLrFVyT8(iwg>e; zm*KcMGDd2jo!icjV)m1bvj%AFTX8wXWUDq?Wr;i^P1o|ww(k&MqZh4CcIXoZ)IErm zta$_t08T3%LLKUry#168z11nRt-s}J$vd^ z*4H>1n%E(Es5`K;P-}a-o8xJt&OfWV!lN+I(rp2idpvimy1q{WvHnJBLCNZmWQVmI z@0nM%c+>w?)uW8~=rRpzo~>#Apj zMgHJw(Or5SLYtc}>|LrClpMb)@%Y+w`sbOX9w+B{$(Il~&BE{wG6F*KNwZ>2;DPdh zo^HoN!hXa@RBdIGmI7g|ko9~OQyIN>GSF%9SZtHy4Xbr}Lh({v-?|K@?Db;VoHeu@ zl4zvmS|)iMQTF6&;wmRGNDwfKyvf_gEaeV4SdQU`GQ!l8UM}aWW)_x9zN0hkz1)Tcs6znmObg=ol<|2RCfjO@7W7V z&CI1_kL%<&%%GZ_XO&ISb~90$rzm)P++&o8ll*`CT}`4dv%xxud%~s710Y+$ASc=V zy5;XXTZFi8dWVkJYX+9=kD9{S&X5y=3s&_sPkLLmvOR;NAt)UZc&#<*kL5K#SrdW0 z7*8<==vsrYZLupcPqO-05;7Za z2VT(fzu3uaC@i@Eq6@4wHI}yMw!}+P{r35k*IsE{zanDfV?{pQ_H<^XZl>pPFl-|| zAoOKg6_{jk^O`kCMKEfk*=wjv$FS|sM`s1Y&3wdL=7~f(S%lQBtR|#6fW)WRKF-%9 zxONMlDV=>)2|dd))0l z@{;{iFRKyd&2teQI}1g(7RF9Wj${AW(-&T7BwJP_*ta=3r60nYTgfH!13OEtIna<6 zCtw^zrQbUWD$|EA#H7ZeqJ@etzjn+ycYi>qOKf9l0jXvrIk@rMk@K=C~x)9m2-&U~y*6tQhR2Gu0Q&E?C9ibZwJ{;mt2fit*Oh3ng7N({Z@; z<26mF;00Ld@Y3IKX^}tbw|yY67{c$Cwe*bj2SfN$S#hsGX%@H*t-MH_Qtx}6sIx4cHyW=C&p3wWoGSnZ5rUbEa%28zzNlA8PX zJn{-WHR%LDO&lT~PrpF)m`A4{C~Z4(1oE|KzAswn=S`XHo6)7&@$F|k-UMHyJ=DC9 zSDWz>df;)>P7%J^w(vg18plk?u)BgFurse~X_?39b5fZx7ckw|?Nld+u&IwzA^AA9 z`yp@8&{jAK7S8nGxC1+kV%mfG!3r{3)xEqQx={ZUWAfS2EAt5T=r1yRwtj?If|}2F zTUeC=uL#VIS8x>q6lDT3R!SBnMTv6U50&SBI@Y17{E%IaaSs@ z-ZO#$6ZCr?Sk87}(cnI(p^NZoz*Tbm9?+8xJrsukcL3+4S~{#X5E$P?>Fx56R>R;H zY7CyjO&)Gev?vS}kK&mE01au2q)MSC&Kjt3ANm2zgadQY9eP{f@5KkoX91f4U^3_7 z#QT6M0IiUU?Ij-g$NBn4If$PoZYpYO(v_~KS4giy&jGLmIzRHlYXpoV0~ZBLq{9H{ z$6#?RJk%aphDk}_K*AAK0F+#X+LuM5A)qW|wGe8G5$i!POnV=4?h)U;7Y5-iy`s4~ zpy}h9D3#|GAHYY!rlZfkfM-C-xb|Vw`Rrvdsn@zO|G8N%IJ@^~pOsinw*@V_Z*o6- zRVe)Xdx@1`1SUDHPOH;}7meS>WSaZV&DfGogR%ygKEm7AGb^I)(-U`Wq-0 zI({EQBta3seiLI5^HRPBUDf+UvOeM$(j^vtIhkXFPYrUA0pNAsapfx;jPv%q|gQWc11tr;+F)>;Bj#2zvLQFiud<0dgBsjZ+`v4R~u3 zst4)2IRuerfD$U41WsY|IsuHba3tZYEd-Q<@ViNIXq*PsctS&zmF{{c|1hJ=$|ye) zl40Kx)z6h$Q-wGjSqO^=aq)@H!Ledw2>8zUiijjx^fvu~ON=uIB8wLBl(=az1$l;q zu5RYdKyIb}4^rhAy3vt2SOz}f5z!IXDOU&$ m#2vj0;S&^`L&m`&g*kBVzqkz&kbtB>{{O2uO#Ws}&Hn*|WJjt8k z?e3>22nO?cmg8Sm-gZ~qrvWk&0WaGC02!XWySD;9UcrawYIFGk9c`{S#h$6!GJrfc@@giwE?oU-3@|{z^(@XWr2X}7lAUs>g%_F2NfUO zva{PrzunUQ`cB2=>{956-O@7Kzw2~)>q*ZqXMAHL-~0kDL5TP5<-kDbwd6!E@;0%~ zShn~)(&SX|_=&ScZ+FP1m!iblanL|BFu3sr}Pa* zl(4WP(E>4NkHrSaakq-N#++Q|WN*2c({ye1ldqgmaTN#Ttw%ZjJG`6`g0QfxsyroE zEVeCCM!EAVF;?_j?fADL-ah4Kfy1Mx-0P5a%$Z8gGMtQoEpt?P)R+!m{VKmohMy+; zo+Oqj%#Qs=cBaX~dDxhh)}Y&*jl(=nNgTa{FzI=s_i$?ygeTYO3HsPe9(jqiuD_s@ z;$Jf;UqTO;`GoKR?U08!<2#miwMb+NCq!tSOmy3g*=l#a=oFok*yObFkvBkqFdNdBgyL zZ5@jtM{uLy_U#=moNIv&z_e`fSpbgKq%Hf4PvN)AkwqX%Y=4uuYM#%jU& zDMzu5H7Hp{J(axReWc;TTd^+0?aqQ^{MX&glv(#(K6w5)GvE8-VTp1WXU$kK;C}sv zlx#{f|JowLCQ^C!H|ag7p5Zkf?QY)yHTnoSyFGY+qM}IQGi4*jE4$I2+$%Wu{U=^wcnzx$TSq!)>~Zj9?Uw@DR=c%mwy1#w+<9rr%BY#ruW)mm>W`Y zPBE7S*XVS_1`{rgMC|(C~H4r;l6m87Dr5+|HXd^y65kB?-hUXm(aoJ$`0|c7T>C_2&b_|$k{!hs)z4vUP{cz=R>^6_d?esC&@QFECB2`cQwhWo9_%d+F#ABOM zu=4OOa!UyxLW6WYiW3^%mNzH=gA)#bG!D31&BED=#dso&)g$woo6~#Z>bA9{sm1oa-I)^6eFFe8@uZ$$mD)neJh^6YkKuSQOFxmcD!=FVsU6@ zI{Wx`@zH?j2)ZKPxY4R$dY9YMVL$zia)RG>$m`?$=V+*!*Rm$we=_G}v<@odtC)Se zi2zw=g}B5Cq%%O9=sNc|na|hP^W|rS^?B^j z-OE--R=HKz%VGW!GuitzSg*?w4+Yl(+B@TaN<=Ry5jguI+@Ri0r{%fQ$UkFI>wITm z)s_MtSq1YpinUc>nVnQn1urab6{j%z-Z;k(tddk4cf`MVL?)n?{6xWT3SmQg#b5(c-u#FgU44VvudpGFD20+7=vhDkXU{9@WQ37O$G6v z!~_YkQd5~8i##&)Zh!=^4NwWOZE-djFV8x)l&*zE>3uj-TGF)}PHz#`Rp+cVZKnc4 z7j@3m0Sj|B&~p&{v7cJ82VX>4TKqWbWGpQRaeBLJ>8~Y4+wCc@!@?gWo>uHke%*I1 zM!DgoLYg(lhqob#DnUKm?0ZhJWO7T1m)&wUWz9P~Uf9gg(VT<46_`RF6bs9-wfWNb? zP;*lQ*#sE%m@j0!chk&x`}uM;ZuT&EDI?vujybxr}(eVz{IVBH`;_ zd5$sf%#lb_js`EoqZ;T!tO|azyU>CA{5NbmN9YS0_!(@U8`y=G6>NJ+lVPg&lb==z z_-fa0#^NsD4NrJ?x>HH}!r8xF@%QCgfdHfPoow;)c)!uz-~t&Mvg_~~+xBm9oY$(J zJf9N!os&`Tl7> zsASs_X7EXdezjy@#?42I>^OJLQmYQ>7^5tQ(n;^itP(P9=j>r=5qj1mQzB0Mz`Wkj zjd4WU^{K^kDzFaXem!0yV|ywZFDaiE$V3+>kC~6(C0zWq6l9+4Wv9S>z6LwDYby$; z;~EHW{-}u*et*9EtR#P`gj>|-&y>K(G(N9ZY76QO`x$awyXg+-Y-aO zd@~sEj#ZHDD~s}yg}bKv)NV+y3>J;A1btYE6<-aMHHp}|Yha?>1;CiCs0A&X!xIMf z==yZhkNr+!eNQYMld~}PYzPi`JK8B0DGP1u}d-czyFalCsFbPp>Df(1(6>G3T^R&589%`@hY4lfc8vJ%nSy6`+TXHJ*1y zEQ@TKl!knH!<;{rq62@PG=?CN=$63F3Bs8`oK8EImaP;t2yBG&F9xrXjyHH_iNTQu zSY5nOq=Znv;LE?+`(MpG&U1cBy<)N)%ods@xd6Js8t^w9Y4U3oce0k*?KEDJ(&;or zOp91rwtO3-I>2l-iJ*PB=Vfds66xQ}+ISb=y_RH%+3Xh-u(9 zmQ;W2XUMSBFOJNMld|iC(a`f=z-e&Hf-*CCowm;~CL;TC7`NT1&5O zSrv9tHITD+kO(!?&l&+VRtS@0$U^}ii5=YG@HFlrK3hwWKiiUP-k}nJuAl`9U3c%e zCeStp+FU641%qsU1yA?;L580&{z_YA+a1wZch5yD@+y9j40N2u>qXmY{v9WtgW7Gw z*=7~LgtSLge{BmlNlRl9P7?QdE3{$=Q{o9Mz;`}(|6{;27B__A-IXnOH=XfWiI6Ci3{goUEsgU` z>*Q`ty&uLrM|(Jjnh3L1G>K8s(FNwG@JX=c&-_QDuDf{_ET(Vt7)lej4!dD@@yXZ$ zw+EHo!u-L7De}A(*DT8UsHd(xpieod+A=UgeyD!gcD90{H)(x6vXSY09`D4l_$oZM}NVrfFop9~*-Rc`NO+$N> z`MzZPKblEkNH{QQ#aMp1*eJG(Q-2^}4e?Rbb@T_*1j=MukGH)L8VGt+{CYCwhyQne zjC9Z37mnYk&GWl+{_eMkb9CU_MfxS|y7iyVrSamPN`C)RI%f3XxBn0f-gOfG@#j^? zexYcUX)Ar|H_M1D)OybF6AhO7=_QHL%Koc7Wg7G0+FJ5@B?t9}_XG1lNBxeay^*n3 zl5ZYFLNG?9O}{l*i6h4Y&+34SO`XVty)?+56zGzmQ&Cqv7Dohy$lNvilDdp0K+Q+C z6Q?;qz(u((8VtOn{+SCX0W|Tr#emxYO5-t*%(CVQ={6T4oX`@VTuT3Fvsj)0YBu}RWii~H;=@B7YhjQ^(a-LkBdy&p7|+9CVO0}d zQ}il8`!+w2fVA|iOS)?kBl+c7Y%%Qj-NlHzDOVOnS^jws^pa}GVmlWcs!R;}&*Tx> zL+wpGj6?PBoLA?bQa6tj7x%3f=jXWo+5<{uZR@xgTN2&%5u0g)AV3zwtXeEOpt2!% zZ+AY2mp1MBIfOgJsmsFGBH#UHan5(n-xs)P=~!zVEhr*Ju#TV@2i-YnaIfjZI+1Vg z?A;8*K186gIKn9;g zel|Hn8mpGhBzL=QT>0?q8r$+F+b=}H(BlG%2uuljnNW=5u_gO-(Egg(xlbxt`iCX9 zQX9P3lHY@^*)|J;7w#YNUNA-1GNiHU;!4l?Ru%*?m$v6oc-iBnup^x2aJlPc?#QT( zCLQv&<0CyADRLpnGDSJ#_}9Ll3DT=hlOkMui1y{L!o>{WaOKYoZ~Fdpt+XWBobrWu zo)3HP`$0Hl$6 zo#_V2N(OXt8OVS?RrolExX)5G-P7F#XIaS&q2MOFJj^(smW=GUpb2=ei4n06W{E07 z0qu|^5TsQ>020HUQ1q@8u8`AAEf{JM3IKEfld{qmW-QzK!8-SxoOyMS(Jd7ri%GsD z(|T5Hdfrh#9nsT7p}oC=kwPay>+AHd#m8MeZ>Qqx6sb&t=)U6NILc*)Eyy1@b8|&nI*_M&kl zSYbbogcAbRJ4o&B@3}BvpVcD{j>o5^v$`R45vzxTYHl>*9~UWY{BwqrKek|@p9U(H zUcLKGp~=F!fax1rp5{vYmLbv8p`URG1`)T&k`GZDlFI!zwkYxD+}#uP2Zjnq-K)K< zUk%_lqR9QwmOZongb>CTilzdDaQ&T~akrjkMa<9<21y~$GcSO zj?zG9$wAQ|M9J&O@be8VCp9Egt9Q-D36@}Ju)ofiHeY?>iDMD7>c^4h$pT`CIdf-( z@x50Nkr;k{?s`mr&dHH^PAMf!OmAZ*;o9;AfYAyl`SA||>dptli-jm)vrUqloVX=X z1dF~JFCGz*CgmZQLIdYr% zeasZl%+demB4DvZ8TN*nSz{ks%~(?Vi)^aU=&u~tuJ%a)TJR8NR44m+-|r@LlJInq z#}34FNRpMa%u1yy#9mr$PageA2^1M6Tc~Jf+4w2W#uMp=MGt{G@6v@@R@eRa*-YCfK%h{daT>^O2Kc)KpyPx>H(I(Nn6$wlSMG!ew@y3 zuMLTwkrjTBR^YGce0w%ppS$$QpdxJ_h}Lrn+S;7#s;K?j^wf#NQ;aji~r zjTN$%16`?~u6}y-8YgN`uE0{LB zO(qHilK8a~wz11IMXKDdE!U;Ltyf_DQan|%1akn*yITTt&cri+G;>HD*LCYD&bk!{ z2#xq#9oV#C0LHi23a2%iO{fQG-S2d&*s+V_lOZWQjHERvAREon@6j|90cnPWd0{_Gm{5>Ap=q_AAIOFF$bO64=ks6!`yA)a?v^x@ zfJ%q32TdT$nxI~2bLvsHN#Z8+F20n(^3vq`{#X#QheEGRTZ+H0=T7)Lpb5XAYX*9s@s;kXZseP zBHC4h6=&OH%=bY)4pfXUztF*pV9jl-$e7Lq9#$3XCT-r6ZU=0&ZsWXV!7M*j%COIg z%DbdM;dT=Ak$zBAna_g5oZN<*eiR>&8CM`662SxwM{m(D5p`V7YmS%7RK*%h0^h|d z_Q;LvGjHrsOex1fbY&R0;fF?{GwQ86w?A0X#uyR0+$dZ2EsV}Me_?EJ;T?!Y_&4(} z7*sIBznIx8)?@QQ=kzUnBG{_FS>?G_R}$Dp_^;b!vHbWhAZ%yH5=ayIc~H9WJg8uK zyak2DMHTfDhZd&*zWH-L+Kd;~^C9H5+ZKN&Y;!C4EF9$HwvoZhwi6SQIb^}&;m$SD zfJVKpW0oh4bAq&y-h z9gkhZiXeqLk^7ui`^_RtU6=_~(=G_X9&w!f@%+fXome1r*fnY(=#>YhZs(tf7I+b} zw!1Mw>O{Mc!R5UHy20?~nXE6jzJ00G_-)=5609J55a!;4gZt%5S5smJoc6H~s+~WS z5_!^QegK7vlv7OGA`u;W2q9C3bPz$|-I2`nS$oML2u*#pXunZC6r;hd@qy-T-D01o z<5{lpJnj^w+iCmSF~E{!B#)1@tP7gU(2W*;>&d7Gdf$158?O`O6YJP5J~9-W*1`C4 z^3ohZ37IAI=F8#ZnGzv_o*dHRZM|F~Ak569M6tsOm7;vq|J~l-TVF&p6_`0+3hpn* z3LgUi4nM^Ee!0)maGx7}-CDaVZ*J=RAdh*Q{-hQYJEeTJ#?O8?00b64CMq`T(i+9z za_bjD^MM|FFK_&)_j%4Zj9=o(1IJLui;Qt(SZ{Y#BMQFUYjbc;i1)o+mx$mIzl9Sr zR^x)s0PhBt!?21pbb3JHIGOmakLmziuPV7RlQBJjRBdHl+~BexQ+gUr+r4~jIWv} z{WzO2jaCsMlT%c-Z zk-KZ_07MOGeBSZ%{PMKG@|W)5cmY};XsJ+5{6nzo`OO+KGk7gd*cyCIp=>_y z!K$gu{iDDi^_>x$je7Vhw_0;Oh|s=`$;&`i+;#4j_{;q?b~Q(^>r0cSKbMM6o&`LB z?q_YBAAy!pyXzibA0u~J_hQYc{UR@Nl@@ZuAb$PoA#wNA0le!Q= zpv{SLhi5gw#CCctal5=t@tKUM9qf~=(MHH*8m`GtdH}Y79eb6R-CGt9DOzJg$BHjt zkEsjpy4Sz?(d3J*MG@ieZ0HNy%&()ABPxdu7_WyNCD9yFfbe5|VGW}vvbv^2FZCj2 z4?Y}KtWY0SN^N{+>c?&Nl1f89!!rTu^CtdhU!m8n%zBfFRgY#p*Uae2b^a2-(k$Oi z$-_uNn@5JpkbZ28#!>O~0D?j%@&!9;?#?Z9&F--ol zep4=f5-(Ou6u9)~C`sJ(P?zo7KCPaLMe-k8FIuk%){MP{7bt!+Y*=MGImg~t@LT?4 znAn4IEc@FFM+uE&-Bujf8_1WcA)LkSYISxwxBeUFn$D7H*$6qb`IdhL8s;qipPC((?~ z-tZaed9s6CqrUIo<&W1^C1!03f1{8X_@6IdCt{H~xJ}4o)V+>L0U(Y#y#!oFC6hHWzB|IR+}*;Z&VUhg2c( z7|@2W{21@=$rwH1o}?`bS0Pl>__-5NFt4QKH|TG1f-bum^qO?$$SQk1vETYKx6|{J zM9g?sbHF~kTZb1do9WRSIk_fq3v~$;fb1Q*XJ}kC0U7JBp48QeDfWq74sP~x+iqPa z9n_NzoAk&NK2*m9PG$!cv3tBf3@dJ#cIU$_o~w?vrmyE&ITg;>6pZNKJQ+_s&I?9$ zaNh=G6;1|!91<7S*k~;Fk`yUNMf~%Dt**26@ZXVu^0M`+@plyQ257mw?&d6X;R6 z{a(T^$T}z2nDD>6^){rkAfGtd7=_sQsKijTnZ~08?gFh=Il?-M8B(h+7lb$KghV|? zWptS!n07~SFJDXJ5~gp`^Zk%OqpFiY>jzJOx1XR&?i?G2$c@L&&Mq-l5(lAaQ(&hV zDrkX^!pa~l`MXM)i*eVfxWf+iL#i3ImJX2Y^5O2XZ>L}4)r(r zLfT+)AX#y?eJyKIA2=FiuR~l#aND{GN3x`L1+z-A!+k`Zll%QAOj;3|9=|gIhi6gd zgPRZK8?*UycRDR}Urx&37A?O#A+Cy%X?*NufA4Uj8^7Iy=>d`<|FYUwb0aX^?IeW7rJGm8n|M<75Yr}QM4<0%ztbmOQL)U5 z6|ZxI6}om@#_``k?r>6jwJ%=W!flE$6q$4BoGlTn%kA3Z8e2FK+Kc^%p^aG;%1DUI z?&CCBFe#<+L5`MtZJn%d%6rY`*v{|e4k7dTPz#-PysU+CO`r9iB$6Zi;UgU83sswT0cR-ZaH^4E(=E zCa{x>JJUt@`W&N^gN;K4N5ttzdrZ+Ho5ufbMvm)C^*$u9Xhy`6W*C>^R@$#MT{%N z``+<7W(HQfIj1;ZG(4Gf>pK7DE^NN4m5q*oC+!on_@5TC zdm%da5_><&m+BN0@u$BjkBl|(W?32VB=4#Hv=w(TPs=~#2o16CJ4bZ>cWyjUBWcrT zYg^gvz7!;1|G;bAkE_m4c@sUw!BcIjV@HmbH`qeHwC%k>NIh}O;{id-#rSs`?_|1F zkJBc+dwOgr>`t04-g!XIj1W&8vT2m-jT88O+d)&+MvB*YDs(b1{9ll-1@WcPC2>mT9? zV%pQheF(>J*UMJv)omO>X_-Wn_I@E#a~`2xg96<`i<}fYjVY9Pvz$%@14YnbT0;ow z1`h!HxM)CMd$((+Ppx<`+*f&}jCd&N^NwJKZuQ2q>}864)^~Z%RHbN{Joj^?R^`N3 zqE+FjEp6j?mRMQG=JJG(aCl^HC+PC@&cCpt?wc`!y(zgm)jmTxU(ZB!E zg!aNS5Pb)o%Zwht#=NwRU{^W=S73)6mp4wT*dj5v``Hoc4fAzC9e&i+z`LjwzHQo-?==PsC?g5EkQ8v1d~7JO3>F z@PFNs2wqnMkCK8pwMFmkit?fxW_6<=LLu<+LmFGNu(W-S8%$~AAlJr4L03cIX3>lz z==cq5w~jd#Lz$xYGip4(942W4PmuK$i@kGp>~T!&2Yw;CR9LtiS8p(A>24r)5a^#= zrQj5u52zI;%Gk_FYoCoBjq4`q2CV@!0y6mFp{s={vCtLp4g2#5HYKph^{zeSz%RB= zQ=o|SB{Jj0J?!Okmh_(2vA1=8X}huT7QWif;wGSGOty59N)4pQ3K$Yy7lZ=a%(P}eD>z?r7* zX`dKBHmn^?3I3;fMM#_T`^{c*2va^QRoV>F3oGeX_*#Lp$xNfbSbDx1Wf!rtQ(D>> zWp#N2f+9lilQf}G9J-|C{_$8}`ED>rBlh%(X3#iz_H<&9MdWJ2spN=EWz3?lNMGPJ zEO9UdbPwhucc)9@Jv`{rKQ?yzhec*M6S4L1wT1=7``s$LV<*tP<%37oUh-2EUmT2M zVye0hT;%)!dcy)TMqMP&%XK)mGSn(V| z?jaiVc(ZUv@oNuvlYI6q-Y_Xu%6*I=pb)zehc5oDH@U=K-u#`0;t23M^gr;&5t0+G zEFy!AWagn&kfZ3Yhqq*dK-(q6U3dxq5cG3SdgFxC#@SUbf?nZe+?}u)d44uA?_-3B z?654e6og%M;7^wx;2+^!tEa0TW%YoL2)L#QI$dc7Xr>kdjSUmDmQ#&ufv3PC%1C9{ zA=3rQG3m?w!*^}ZpJk>U>$-Hq&4t$vS$GlF_w~$2pyUU)uWe7Jj2XRM_VX_26EF8( zrMvENusaPBaq1Rl7Vjf5s3ch;?SXYWT9+~5ljH1@zO&AgTbDh>n6rUNH<|h+uJBuU znK!+gU~LmbbmLE+BB%-Oi1YygH~!iX;xg=Vj@-)c5sQI^Op-02Pg?JiKp~^MN!Qjb zobg48-gdEixg*8f_suWun+pJG={YQHZT5b!tAKe5=iX#eyD#gz+%Tla|h<1(2f+>CGo0{^Zn_=kSH@f87~4i(@Fr6(24OVD6zY)H+! z6Uy;U89z59c*{0}y9Lu3(VPt07T?y%hPwR>*_Sfv?C9)wWxxovG)~ZdsCv$&my24E zqr5T8qh8p~70)=-k~>k&6oUUCc!uOBxu_%Bn<=;$4Ek%7Bb%DqeELONxVUs#I&5k& zZL?X-yE-LUKp)TT{e;FacIrrPgx?Eptvj7J=eCxB*6+W~Cs?9n&+=^u6?4v~G@jLF z#gmUU)a)3Rcu&+EfCoYO1m-rgcW&Rd&3+%aCVhu}XH1|N@sGHWu;b(*q6_>TyW{04 z8|1E5m}*;|^wbd}K@ktv7=9gpq9+L^(;@U>Zc-(rQhmkJ8DN*i42d21k=fFu?8Iz^ zK*p73SaFje4sOG?v|3RoiAh2#sb;4l(FIUTDVn_ZDMusEt+GPZfam=C1w%ciMID8= zgeo@yx)&6(i2vxeIt2IdTURQE-NtsVPk}6XS7?R7W1dhsUz60u$q7&3bPY^oR)l-xE6)ji^ZahTsK^l5eyW)*_G zb0`h0X(!s^_I*}5An1T@fTi9H*Sl0Hbgkh&?5g27Ip_`Qa)1H3>37$gR*5D99TPXo z@fH$SGmE9^s@SSdv4`y}+4DRg?(d-vgsDs1c9rJw4VU|U`t)Sy?{Te~u!>(Y z#rKJS^BLFG#>)HzI(=9mOAcWhk+H3LF{AvU_F%d82V9MmXf#yC@t6ThXjN*gxXZCU zRlCET_4U=nL8rle!;X6J!ANY2Z3ZuLpUAsE5w|Y@oNv7^eIFX#xG6_s`l`Ipqs#40 ztlXdZ*9h$ZvE~pH(PX9Zx~4;q@GGENFj1Lzhw?qB3*aqB_jI@9Q5eHt!4nz0F0fm{ zKv5@q69@2;OM)GzKe)P*?H-=uAWfCatr*reI9sY6!`=bsNOfX3AI2S_ z9%Z;3!?{x37{`h3I1j2P!|Mdjo9e^x^~0T{`ZEFoaY58zMo1_wj2g~36@fcVjbxmO z!kwj_V?@W`VySVA`1806)C5K%9!H=iF_Keo7pa#Rms4?R)N}@sgv+32GP1I9In-Rn zl{_4on$MsV;0mcljH|`C66!U^^&7aG)LV?(cZj&V)O(Ek4{#5uj~I_jab?tUM#U3c zCG{!eSrzU%wVLtbC9a10it)M@S4X8X-qhn7sEv%KW?T!kl|g%pdq-_!w0Gb-sdPqH zH?D`;%joOJ4NwOejQ6+?)Q^l$L%3n;2xD{%_nA7*_`<|ZP$wCzDcm%5hA}&bBhFJ7 z7+=5PzEgiNezI{K>LP=?gj=SrF#fyK`b}M9{8`8SrEV}bw{ZWc+l-xE+#YqG!BbsM z1V5B$eJH2a#Ru`zJWv)FM8=GP%U5z=pr^n7cR$FLaPYj@AtxZk>cI;Jg!4Jp1< zXuW^$U4J;xqRq~`{5aRiR$PKuXj(&&nak?M3?++D8zE~KH#%b?$;iV{kL4^b2I>=; z-|*|Gves$2ihFtCPnO9MKt~xBW8^>>D&=7_ku`wMQkgHPiG_^zfa&73yuDd(byNf=Ab<#Q@wQ1PUP4I z6D+4PWeye#u!(xA5ko1^JbYzrAfRq5;11noG-i(wLMjzpLmSzVi@I*Cp4vcl|gW4zuGn3IsAUO}>vyLt| z734X4B$f5gEq~&8yyN{z;=iLBRe#^scHe9@@iCW^#k7~nl8w=JEJl~nuc!QB0~Dff z_KKN9AGUJudMH1unOFcFuK1ZGcMbD9zq20LU?(5ds!0q|~V{R3X?hNP4 z`N74tyxKbN?z|fQ0lH9T=rq1?0AkIldjmLZH^-gQ>{$9@(gqC)?l{oKPJ5&HTH4}3 zr!y}U;2`*uKCsbM{vT+1d~T~5mM?S{Q9@m+x2UbTq1+$f#+_8KxoIaXMB|5ezNx+G zU&WAx`529ifVzeiq-?rt+9-l<1qlWRXUONUr}UF6vxuNc)93lFWx(f+M)Yz zg`z)VlldVw3;Mk51-1R_rcshJZxVzov3Ju0oh_1p{WhKxucVgEt)#mWT@)7ZM^P77 zy48zI7D(@HzytIhUG?;lBBqG{X8~RvK&fC-1tM@y#dCV7A|Q(Ub(y?n3|Mup1K#<^ zB=!!(1|b+@5HPGrN&O7$VpCfleVHr=dmdeJgGjPc1A!CfEiK%y5UD6G%tDEmph*>N zJ_yOHA3c-kqitiy>#T*T$5}Vtv8lksHV>um4O=KAv>$+She_M}qDk+${dsdBzruNm zV6h~7@(|Q-pA)4{?(p!*x8KPQULhXBK#$rT=@2s&*w2G_E1w9ydh$c&M$ElD3*YI* zwAv@_v&tZuhv>u;uUZ-7g-Jc-@lI~L`u*&J(Y*1#JB43JL%PDt!P|wPU850`O;Zyw ztMBAdjah2`;{-sGpG*Phw49LVxCHr^WNkP!ZM_5B$u5|b69x8Q?Gk=(=dw=8az#Uah8VGwXCIApu=REEBf5Si)F%?G^e?$bswNrzLl8>qLwF zRiZQoeTwe@%)S?=j8Zz|42%X=jhYDkM*G~4lwnHWw1^RJS8RYt*(!KvyGL9jfBdj= zDTc3F_fQp0vZ;(TIuzHQe?{!ANZfz^(%-Umf)Bk?8p(9G7Nk06^0rnswWp%~H1dAQ zf8+5`7qIWy#uKlKarwfB_}xGyO43IAp&p4sfLDcx&mHX;QmA^&5Db7ge=4E$qqh5u zEHeS+KZ)Y4rV?cdFf1}0lmq_k-B7<1Gw5kN@1A~}oqH?y4VTbyIaFKVLG6B!Vv&YKpln3Jm@nkLr74pNB7%{(waYitq#O@u?n;0$m8z z`wqW$rD*tfLCN1R>}h-JOq_|0{W*o^i(2;thOQxWH|mHo(Kn>e>~F=cRVhQtRsXT~ zUKaD)>2YRXLihwGd`RyhF6i63<4Ao4he&{yM>>2X6{k=k( zR-1bsgm~dwn`pZ7X5X|LLEb(JR5vj?%;Sx{R9={AXxEMF=SFVHAu4#V7l^z^F%JVQ4yi+Y zc$Z}JVdgR*&c(2BoUbi`gUv}Md%(#4pB{J6G5m3B!>F_y znn)9YuBPTo+&CN5ro#mLW7}i}1E2RD{O@DW9Nexnxpchn%7hqDRYsV^)IDc_ zI^M9E_Z;oL0>3f6$$Xlg$M=&;M8*Wev?Y;}j03WPuq1JE@0Q`pi)s1S&&gfs)-yoT z8MZac^a!LijSHa{>`;;xkDSf`W#vN^DeU3TTAm_8T^a}dp&H$7TPwpja8r!e^CVMg zre>19*!XF)lFMY%RmB)1`Mk;p!ufKl)na9gG}5f={61%AKa?4+%p;zvTAB>TB&~lJ4sE&%0_i0y?U})pdRiuZB=!pAOm`k)k7`YCfDbQ^$^emm~ z@#cQ?5!Lzo&$DHZvFYAbGzq1iYXwbW56$unF2}-0uLSm1Kg>Bvg}v>1ejpWnT5f9c zZFgQwI>g3EY4Jh>Ad6^HMg^2Sn0OXya(?UfFf3GkaIuWXQty?w`yxSbyZ=n)yUC%V zS<0seP3WJ+x!*L-@t)xtnwRWt`SzIJ(roRdsXN$x^$y=Wp7=v>v-^(W1MTexUo)L= zbNqO`;qc!P+Y#U=r=Lh71c!pK&ZKak^&+Ej-qY`hn|uA+LtDgBREAUNIwaOJM~?MW zqvxjKqs!egQl8xnmWtg?d^|Vudg*eSHFF4TW(Z0s!v#vyv;+2>XdA!H=*)C5-^dnP zL>?Ib6c}|-$z$E=NYzkK{G}nFPn3#_?it;c)E39`vS{{-N@$#q+vJ+&-T5^1%jM5# z^Wm7JG?SDWZKAyT7UHfO@aegYwA5PtL0pH&RB|WdINneR_d8nZ0Y*RgjXYag5}889-;`>M-(CK1Zn zWC1gduyerzJ7`cF1Yjia#>2JA)CiLL^kkZMnv~@`om3UtJILM5ohn&A*XBB~W`#o> z5IjR9PYNmcyip(4)H0OXpvxc0^Eon$`d9HJ!yohG(??oKs#io1D`H%(fd;t|^iJT0 zZ$g7e@wlx;yz!FX`!3qHAT7yq7{GV+)IV3Pwau zr!gfgo8Kj?uBWpuqfcKSl4!U1SxPMCTYp{|3g~k`40J4eCEpnk34amiE8A6yfXgCk zF=3D*^B4a;1Wf8+%2DFHJ37tzd}eRXydjE9Sz)A+zd|$4fM%CxGt|MM%9jI5m%)%@ zueC}B>g>-%KMV>uJIB|3?i#|c4tcgfxMU!1>EC0FUL=R)f|VMf{k=`l*(chsL!q?0 zs!Fw+w9?!b=gor>#iI5)JF7EzJ&o6@8}T}pk~Z{2xc)(|%;YEV0XrZ8vfHJ|h@J7y zow=B`+1OaJJ_)vp=3NvAeLKhnj~&oafR0}SA83??ic^5>arHNyzK?TN6@rSLwzBP+ z=F+4avQc2APqB;GT7cqxqU33q&FzZeNY-MOJOtBYylCo`4c6y%^yVh<^z(9?k|Ey; z8;YQT3)y$mL$czw5CBUDvEkhl7R8Kcj-}%}7Y{b5{hL7xT79$ox93|2fM1J%y!QTx zdFQnR@U>21ULF6T&fK{KNIZDsH?&({U$4U6zH9rWVTVaxgK{0wX=3o&(932Oz-EXE zk4P}E(d{a1{GFmRG1MF~1BdRTW7x6*ek5$-8`HZZW8vxU{=bIKGOp>ajpP6IV8nb6M7p~>rB!4!j1t7c zdH}_nxKWT4lul6r326|yVPk-ZIFR~3oOkDQ-kulNbwWjSC%r(gNU-e35>Ki^tUMBf||u7WY@aVfLc* z`Z!~4W2nc)X}8WLB(0;+-c&kQIpO|SLZ5D zQ%d1AoKG^=($UmZW+VQBhwdswGYedOrq&08>L^;sQ2dd%wmOW(W;pFUwb5Zix!jN;>H76 zzNhg&kYmlizpf-7+TPlMGx&xwJPB~gS+7J-e+UJsVQtW4K;;6gJfcnBUf!!GDQb|e zyiWw9Ab05@=e0uUQ5w5Go9}kZ=L=__Z=x0=(BR{9FUsRu3nC8tlg!r8-v@Z-RUwO6 zLOX7Y?4ma(AN5&drAR%z8nY#9J`Ae!VihWxd5SA^X_l@8uUBDdEaYWFFm z<{bkB8=38Izz3e( z^uQQjWmvNKZgh+l%3o~dMhpzEU*R}S)}nHQY@{0RguE-Ay^l`ak&Yn@`K2*-&&Fm= zB&TMnfdtM0MV`-^HehrWZ9vm8`ome!{)YfVk!yTYG|b`W;Fr@jsE1J7JeJzucpAyf z&i+*SRKX{9GhLdITjLT&T=|-s#6a#&yajiC)}7Lxy8HGz+2`~b`eT{sGIVqduURvK zMw+^ll{cUS=Dw_UzFyf12*J1BhePI+HGQ*Q8*q(rLByZTdYZrJTiGpD=(F-sITRW* z%DK;%(bey5AT_shuO%$Pc@~HOuKn=~v5#oEih9ka;>O zC_n;j%L{25MWIU`uxn2v(ETdM#+BWHq&S-4V1o%$Hl+eh`IczO{5tm=2&bN$($rHy z3gI??uJ1)kmT!ZVcGtalf`TB3GNO&sY-z4BweoYz7NABI6gRvSuQiIg&7AYD_4Ym$ zGM(6DxWiuV=Jw|7&h79Efdrho$-VQ4@J;Cf2o^&api|F-dFFJoAw3mO5*3x;%;o3g zzdHH1nuVS^L_jEhr$WIMfM-`Y%=U8;c7*{x%95A4;w&1WVlm5g4E&plx?O}`$!1YN zuLx0miwX-0eDlrp>vw&7`eK)of0i!)@Y!ASEOg)5rShC6^+#fqctTbs2!rO7rMm?M z>SMaSMPbd}Y6i4cD_4?M4I_HcWjB3?^6>8SdJVAih@O0xlV}7sVn;viHkNWqz0~>q z)b?%?T?S0`>Vbb5T#F9eu#NY8+_+jnrLUa@ux_4S(Ynk*;w%rG*u2fb z?ZE`Ui_{a{BSBhVP5s-!D3n-B0B*FJWROq&S&&ljT|GZ^e*j*Gl7KJ&SH1Jgu;cRF zd00{pAKn@^U0Xht#4|XOz9f(3>Fu7}92O zJJ^5igO+;`@%Oa;)+$ljfdQaU^Ml7u$={Y%If6*={~RJuN%9El$f@8ZHBAxAMr%?< z`c}xBllM+ZKS;WYdUG*c(J)q^g-mvX^>nt`Qmb4nT3)9f*+I{LJxcFcCKKdEKrK-J zwvX0l;^}QqtxsTrd*yYDj|L`s6ANxt8WN11hNm}?kN@nV|4f@K95n3X*%>;q=a!^l zC8XWQ(Vb07`*X58Tsry#ULg$Sh1EMuI!g~shiP`yrt+kMgcw;Jf8ko**wocHuGdJD zy?-z4)>m2XI2kSt#qKB3YCp*MRII*QeYsLLO&yQtV356;#MK~weFfPK$zKkBccYei z+v1tZV2x7ELTNu@?{jJI?x)gjE)G}NtwvHW`uxq+&I2tPMF*zZ*n`Lr??Gb*w+A-| zH|8jN+oF^o@#un!HR4Q-T2Pa{(+&VoNd10kJ6^y`DYaEd+SbAvv=T%%sFdu%!Oy}9 zWWmjNg}GcLp`lG2%ObSZ>Tv^iiV|{ic5odYQIA$=vQV@IMw`$soljc!0TO~_Mt_pp z3q7x;kS|y(u292l6r%3h<}fVV!SX>4k$e&iI~N;`ywD%pvH*iW2`Pu#HnFg(pRl+p zfU2J_z{4&KTM%Mh3bPwjS?U;WC$-_eHqnC2!o<)?>*>Uuk!jH}ctuvVbKpCxlI~{n zcNw8pSKcx}{-gZw!6hh-BZYzFdjVQ|il&4@-lfejNrqv$n$j5r9O31u_c$Q(Hv1t# zPzCvSIa?3kWkWh9qxD*tuwd?7D-(O}R1SDdT#P{z593bZ#DgfAT&^V!vIGlzQ$BuW zu|@{^VhNhl1qx1ULo2uLAX!@Tg>(GcPgj!tfJl&=SL#yo%)Y}D67|Q(yFa&+j}B+P zhG)%%Gal5;42B~9bYP)0&z$kSN3DT8%SW?uS0?$7Al=NMFl-dCq|CqXh2*9l??L_og+mGfm zzR#TLoIBrkpXyGsyt$ve?s_r#r?dJ#xRg4eg8>sU&N^e@+}O<6tU2vh2+QrZl`-J< z_}Hk?EDQaHyY<-qZ0gDk=)SOlbFNDP2Ha|&hkw)0WORe}%&aEOaWV?Kd8w!=8n3}=q<;9!<9@grSuzxI(ZsgLKS8$t zE6s6k{6Ex=)m6;bj%zdfwEcy!MLDN0%yc_R;hkF&3pj^Fi5XmvRbgK?Ohv)6;vw^3 zpS30TrZ$mF4jQ?lMIKLfjP7BTiIxV++Q!HhkEvypd@OpYBX=}^hRw7TQq`mA&u03T z?{z0BIEy{45`_Q|+{dw{{##@&7*hLB6oZB3((f4u8dR3vIUI$$O)r=!z{p^X#Ougs z^=?Fjoj_L*%APU3VkHUpNaSVAI2^zx&U*t?k@(o06AFCQ9V1G>~~5YB_|&D zUj{22@?uMlhCAhI1b|e~J^$}5XJ#U6qsk}`dKL6_#1MRV%9E*h(o+E*Q&2v5L z@x5;%hF`v&Ss@HEJ*&&eFEN5TF=qfU#2js@m-Bt909V$*QnmRze_ElA9qc8OQdJ4P zv=Vds9GO?b8IyAehyP^@wm1(k2ORjYtXSG}=?oB=lLvMfS*5$e09_*~TWgG^` zqkSCrI|u|3bFZUa@WnOwi*#j9q8eeYyT@x<#5^RGH%{*S(_Pvgo%tjX9Q+O_Teld8u!Mlps z7zMQ|noT!ocH0+X<~xjJWYOPnr}*0lwZGH^qA{Jux5-oai=1%~Y_`3Xa@;+SN6a;9sr0@(BVsP}V4 znIHM4?Q&#cr0uh?@=)Xgn}N)q;W&6~*Uy9ZHm30eW-Zbj0%P=X|4-x&jMa+iMl&m{ z=Y(S{>KAQk1tl+V_%Wyd{8u&4VIcI1J>!KMgh|xb`J6-C?5*7<1%UU5F~ly^UMx(T z1Dx~b@DKUQfZB1G<2Zm0%1t+o;+@soQkPi;VpG4N=Ek7o3SWU5&*s|coNosmW;zru z*##Zg8p#4#mi(eeZ=URQ%h}OA<;g9K$sY9-vw_);*1$BGv5L@3$#YZ7sq~*%+7|nE zJhOM<*VInV(4Q;Qsb4)vqd*j_p+Cu}qOZr{%WY<0xCp~SD0#W2$`ap?Y?6U$_`{p9 z?|8DD!1R|V2V$r4mPdN!1aVEMKrNexv#uzqD!I8Rb*m&H{N2qw66`Q_{ZwN~9C;N{ z#w+i(#BMm6`!z!4Ra}!FbW~b+)7iPpRC|0$@UG3*6pXH)$7b9o+FS3 z=Tb)uv^$eeCwKyZe3AUc1LvHQvGZ(GV4Az?n$v#(Vc1<7j&xk&2Mtr~xkk4${>ftUuD*y4h!-TRDd^-1l<$ZPY# zsqt+vYX0+KAW)8rfY>jlIe_at-S}GUhL> z6T)e+FC{(rK~;})rhGi3#5h+Z$}MY>#XlWEfKNg*VTC{sE-G!Y63)}2{N`xNF%!V zN4-WwBMRHRI#p>)ZJVSvLcMFbYvR>0Xt(#rYCu>AWa*Oi#~CgkU$g(A(K)BKNTOX> zjL<0KR7Nj*lfV^62Y>F0-kP;w1f#QPUtT-&HW3k}(G((lW*8xm=FsZGKL{29K@74^ z=!>vVf3crpL&z!(f??)v7ITtVbhr+eq0k(H^+KqfRDF%!u@q>|(ii7RL`9u2Lkz>D zQ8>k$%5zk*m!s!qkWN!6&U7r`#R$c)zWc}`Jv>s_!=Y3rAyiK9rQFZx;N+w?a{(4| z#&23hRvlJH>d*LVUy#4foh50_2ZgChd|-ebE^kL3+8wLK_;>*EyPcBm1(sZe^8-t-#G&n^c!E8=SNOB-xZLS#|n+> zR)$gZj%B4_mEYEMWy*xLOSxFBsM$?2Q%RI8o~)o;MiLOlze4XSms?>`?$l4vm9ebR zYCe@z8rC;IT}v8*(AvxD3@ooTlNoHn%%6aFY)kQ=4tDHix8`@%t409#R~KPWzm*x4!H(gSOn{zZxZI=z`+E1;4yLS}qNFJS}i^Hk@&K@$+OU$?x>r>*H#b%lS1@ zhX?7rStL5~^)18GH}~v<_})VkzM+=_n^6~yR0(l|fHT{;!$V5V6a>8kg8P{Wcv+uB4;JmHXwS&#v_vx|*(>-2Z_j97 zVXI{YMyac!%tAaCT`e-ExcJTj2aAt^lH3P#>@7tfh#9qXAjf6nm`y23r)!FMe#S$NIJLGGj#j{& z(wJ@XAF}&r%Pjb__D5?sY&(~tz$oTG%uD? zoxhcSHCR=qd1;zKno@grGg0Qfbw9eR}8>E4z@-e&F zSy)9;h5&{+LimMJx+ybh&#tda-0boeU+(OQz|WfnINys|_hIvrkkNeWrxq)A(aUah zF)J6xF%FZa4WQ*}9sHm6m5p)A^G}{?uLk#RB(ub8hsw>lCA{i?kF+S8vXZ zjHRSjW2VZb0XAq;_4P5H%eiTLlJCfmcNt#@EC^V8t98fb?fa2GS+I|ELpaNv33kL} zdd_(v6P4IH7_61l1zB%syPRdc$Luq}#Kae#?Z@zgxpj8XyKA&y?!(_4oY6ONv%JBL zT#hNcpf*1``s8oz`PNR@N56(71OLCnCGm1M`2gP5vE{Q~6;X7=qS_W^|F;tkWQhih z9f)N)Ka4lXSf9r2qH7s-aQ`~mk6!D`d9P~DT^jc~*!+-WmDO7d^}G9gw(sR}+^Lg~ z{(_jOkw?@7FIU0&U3kwz^r>1Uo|jqEK6-)!FmqyF1S z*w)L=&{e#ezX8r>Pcz6@9&Km$R2j=VGu5`SGXAbgz#ZIywQpbMJuZz7`ikAR+e^c5 z$jRbLBk>nSaGfQ$Mu|w%bbh}5FTHJWJsnocek@7HA#-$v)jCsHh87jZ8;z;I0^S?LV2Hr%XMkh5hs z`~#yt!aUy)coy5R3D^DJlgc~WXRcJd+%%>(&zun3YnwEc9(`^uQS>)}f0TAV!X(z- z&X6=u%|`qP^IC>cE}2>Qa(ofF^LsuE6-i45okLRzbJ!fD#1Txb*KL<6ZeAyOt2#Be z9xmciBjwM8gSuS~08gjhLMIPGSK!ku;-HDK$L*V+WB$#Yd-QOb>JRD^Mhrzf_H9;= zMK|`fsE=C!N#2yA7-1U9ZXWG@gA}7dLNXMr6mOt?=)V3K z{n;fW$r@?Y$p|n=%K5HIbc10-9wJU=*iY>W^=5lnT?}VU=>PnL#%K_=2-^M+WEk4v z=dRE{)ltw)Ugv9O1Gyl->v_xm~wpGIO9KG$ZPh`EO;{Gb&3@ z#2q3`2v1h!T`4Y!PK0L-`8Y((9Stx-GjXBi9`WweFu_#zCSH(`#0&?LV@}f4!HO5POm~)Evya_g?b|t$h zG({Av!fKjd_fd{ebLlQ3da-m*$*<#UDP7MmdPUB5{@RsoP8cbcCCx{FveXv2!xO;l z_~ix6qV{J?YhKQ%ke6Vt^&sN*=o*-B^3piNx%>CavWqW; zEO*5(#1-j3C{MC!N(4O=6yB;iw`t@6vzY#D^pLB!0=u~^!(@O%cD2JvVoNUD1SP9v z9MPBJL(Gr$?^LEUhH(blNR1n9^@UjYd*F{+91t>gTxa4&!vR|is1ssmEYEV9g-<#p z#i=d9wPRuZAWGXX`4oUfzH3#?m!zO3MGQ3?o!dy7w5Luuwz_(h)!vm9n$@QhB>Uz_ zpg+_UO-Q)2*D76TuV?bXC~-O;QXEk zGm$JlECshNMd#1xK4#$atpZ})|9%ip1p8+BP`Qj@m2D%L1P=nH%iHCOH#JBnQG*cKA_~3^)_%D+x|Tjs~Hy6PSbCqcYZo*{zv zcJq|4nK-_pZ})w(C{VSnU|QOT%J+f3dX?L@7}GlzdM!Fg#cax@6KqP#uylboso`I7 zX&+8;b#BJ{wyDE{oDndl|LxEMB|3N;)YNV9bc<;>L*!=xzeSgF38i!vQ@~m)Nmk4Y z%1W^f`3^<7yR2~kR8H1Tf>@J7*8%<{N=?0et?KS9Jcf_#na=zIX%Qq+xKh7!{<2fr z;)STQrWF@?vBKSaVE=b|+gArt4HTB$dt}O(n%7gcNHNxa^)!gR`fq1`sY)PP*&xX9 z{x^s`b+|}bAHfdRD!xzc@rJ;HadP+NOJ_}|$gRT{9(4NY|NZ$rK=tmd2LifaoVe^t z4dC>~AtC~SCj(HBQE&lw$n@avtNCdd>@Vz2tcKx6&)?Kdp5nivk`5k!VgD-t+RXzB zI`8(1f&n@bpVvS4I)wfe6bjJMWC$o6QfFEB?^d1u8$aUT$JeZixS;x6i0yDXHoP~M zI8BfAB2FwvxnlT6?TqqB?2LQsV`Da*@-jd3?)dj60!Fh%@jm>TBVGlzRFn?$Gt21u zBJU#*Mg4Rdy&L0+jzJiVcFD4-+)#Y1}1R$EOd{k<4#n(mGo6^Sdh(ibj37 zwV01vHeMNq<$mR=_VBEfueajoUU=E^>r$P#S1@CUg#5ts*hn;ofbVg(qlw(}+a-<}`>#J6!A(*%nXW zjiFT^)FrZ~c0+J;k;FJ}((miPi3&B5+pk3sc3Sl%DfY>Xwf`Qb;9oJnLxslvn@MKa z#C~9T$?b~@cI=}ay=$K{ztVP}YxAl~xl1~qEt|Xj3c^_D#gdAZdB%}|a8YX(KYQsj zC#@NNPRL{A2Z_~L${f!cGt;8H^rT^Mp!7CY3u;wLQctm(JaPWY0;ssL>&S8c!U`R4 zK+GOqq{qz)j--yfhQLSnpd5ZgSLLrzBNigGPvg z&euN*EhyN*0%_7i^e=SQFbd_fXJsb#fdpC}O!Jh;`~-N(b4VD3fS%S7X%c#(37MV+ zS6;{MY|MOC6c#4>E5F>6u)Pux#InkH<~hK~TYWwD+Z?mo#@tR`=Z_!!W5L{91J`2ox#My)WeweVA3O}k4~sg zr*{|j2ArSak?g;nM!r4t@c&@Oe}f@d-`=YMQvU_d!`L_0Ai3@6xg6+Gr~^zKlJ?7n zTeA-tXcE(e_V2JIy*|NJNHt`l1(}7?|1bju@**{^plhEy1WvF|b})Dk!YL=Sb8 zJ`{W2#|u&UIzW3#5|KIp55|#(JkfvBuu~8^F~v>wuLXpYd?~CSzG%d~PAtFH75Vw0 z+VQqpMFwOnuR>y-CTbKcl)T9eH~Ny#w3GK>_2}wcD;F^8-!dKNhc@3l{0HFeDVIS7 z=@+whU5Th8^31d7p!GMXZdkdXHN_x6AKY{+;8b4~Ua~<|T8M~!DAEoTK-?*FtJ^5@ z4CLZ+U;^+#Z4iG&cNHeb(IuA10r3=BxuU#4X_C~PyzN-K952fq*d7l2XSW@Iz?L%> zj|>b{jk8m6N)W|~ooLmJoZN9Ux@l`Wn8n9Dw%u;=1soISTROoyr+sY(4UC9kc9C_} z7WdBlgs5E-BW>__uF|`?3KCq!P<*4tL4#9)61XYBpxb?~QuF+_3j)dhV)tbz-XKlF zHD8@#{9;Ji_1s2$w1!@wj{(oN&vND;7h#jk0;{>r81R9uQ+1JZ5D&?LxsWfYDPtw2 zd`BN+HD0w=_iGX|6frD za$@Ov@mE#KLJhkvS0PC{#4f)o*&lE>zeVF7J1am}voq!|gP{mVSPD?EAa5e{qC^KACe%L+F4Ca>g*KVba#i!$f5#Ji;AnF5!>{3sH>E{-d>040Nzf#;k2 z `Ak9;UU!$Stwoj9X;cVnuDI|UW1;-sYw53vVr{!S^T`3*Ro+m)zJBDq+=)XDlX z{V>1V;tHPXRN!whD=(2^*>8c=-KF523V;-rhZ84`+>PDZuan!6J&W9<)z+A5eq&n~ zVPWTkFyDeq((O$r=K={%PnIeKF3pC+hkF!r+|~ykJ8>b~?5tACkKTo$>?-=(gf8Cl zVkv3cWVpEnbN^wt8cvMKY&P63n_C;+ju3rZx_VM+1l_NK5H~Cxi||#5hor>K5~kPt zX+SvlnJ}BnAS*|5(k`}tcgRaPi%kz~Bf`ID`Ark8+I`*1-xL>vtD$fT-QmYJL-NDLqDsK4h6=g(cpsl!Q z<0n7qj8yzXy3%^SV%L~#ILtZMEy$DcyP%%q0^*<0YzM=}wJh#S22FPG6|AL9{#Ok8lVpC8{d(8%PBRyaVo2*J+TmK6Hhs6I8EfWDDMwr%XM2xd=-;+Lo2 zm{hH_oM|6j$E>AlmHz?11SdRMw-#fJ*%FN>q9rDw4bG`W@Rm z+2YX){ru}|D@b{w&=_lwc@k;I67WG+9#z=BFLAlwd7%zl0}B7sUmPU9h1oH(sftQ= za*d6weemDKZJBGFa-p99S6xqpkGMxFRM$~-1^_%`G^FlV+*4YL=d!R$F;925g=#DJ ze=I9Z*BWmvmswxImqv>PGrJ%HF0upCK&hG0PYO* z&HUM7)q)qeI(oIu)rl3Z=@_Hwb0B=`F;5O#!JvX+!ya^2I6HYE42Ej}%WNy!eUH4& zz5fLtcx?V_nJ+>nfu-g`ELfKoZXHQso7Xn$_F=gXEV;lg8wb~@wL$h}oXrrw^r+y2&ksARj;o&9SGeRwg!w}FM^+2%Qqnl z1{K1XXU}*xgp4WZ3ru@Y>E6b7imcBDJVeY1CNz7i{&6CecaHgjK7Uuj%{Ww!tdv3W zfit9tUbt6uo+YbH?VGfFdx6s^W2Rim-V}`Wt$RNS+V8_z3Vt_pL!q-!(#w0cZvJf9 z?fBBqSWd3$v4yIzhYaNB^E+U*^3&#GYpl0n5xy?l3i3%QzP&_wZ-w&AO816A*>))# zlSQ696X`If$Q0`N59bG_uaqdv0|;Z0lyi9y+83GxNB+1?&SenyWtp!MV0a+g1>;PL^#4(J!&o{0!@l6jed*gG4NsQh*eTPcm4vXqN=8@;pyca ziuLQT4-5(p2@MyHxE>jPB_=j*GycZSM=UTp@W`IAmI-*LF2Q8xV0cA`ODOgca4rkz zI2&K(3)r5iQeefMbYKU?d~lDI7y{SFc(5Lqu(B|rp+`$76ssx#3@R3ECUGl}m^vHp z45R&E-P+ye_E29AEhqpjV57qTn5Z=zT?}9Vp!!N&B!T1`@9i6bLrEnNq?DATg|lQu zZb3}|m=K8_X&Q`xb(7~g&o(gNEi^Eb5$I6s!8?Zd!2An3&l@9TE5Mq*XMsACuSP)7SSY@GTxS`~r6vs- zwH8%?y7`NLpB>Q>!75ArfkKv7uTM&Ne!MWmJ2NELWJrNSS4{tN)#`Hvi8;^jIz4$v zIL(GydGw0M6ll^x5`!z42mG8uk#LtF1Pkaf;tydSL0T4`R_{NpCpfDeBajXY@axG( zmjaw=gp673ZRx*&EUR(;%rxL~l1|sn_o>MXLn}gVM zXEP`>XcNMuY6H0kIjrYY!1@g|1gjoGh6^Dg?x}*ZOk8v(9z70*Eg2pz@S7z>9K!A- z43aR4SL9iPgJgE3A9}7U>WYcVoIc|gz00STzaT6J>9En~;pJwYW8;G}g8a^q_gXi2 zg+OP%Grtg19wb?e7jq<7s1JFCybWbyXBlL6cZ2bB>4F$LS!s1FtH_oF0oOIBW;VzH zUR36{m6YI<0)3RQ^*e(Qy`5nUMpm5UM|u$r5$-&KjJiQGp`imTpo6Ro7euKF0@iZ! zG4ZoseLSam-WWsYvA$}`+j+I^0xK2iECJcZ8D7-bR`U3Sc)Md9dc&ki3ZaEpJmZMd z??7yWwR*D0z{-cLycYzvE>ubSlQ`c8vCZM}$oOAyND2Idr&2#M6@YZ6pdi56f)I*+ HMK%5(Vdff7 diff --git a/pcxtest.map b/pcxtest.map index f58fb422..604c1fa5 100755 --- a/pcxtest.map +++ b/pcxtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 16 2015 10:14:10 (64-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/13 15:26:27 +Created on: 15/10/13 15:51:40 Executable Image: pcxtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0b55:0000 0000ecd0 +DGROUP 0b57:0000 0000ecd0 @@ -26,28 +26,28 @@ Segment Class Group Address Size pcxtest_TEXT CODE AUTO 0000:0000 0000024c _TEXT CODE AUTO 0000:0250 00008567 -modex16_TEXT CODE AUTO 0000:87c0 000023ee -bitmap_TEXT CODE AUTO 0000:abb0 00000605 -planar_TEXT CODE AUTO 0000:b1c0 00000275 -16text_TEXT CODE AUTO 0000:b440 0000010d -FAR_DATA FAR_DATA AUTO 0b54:000d 00000000 -_NULL BEGDATA DGROUP 0b55:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0b57:0000 00000002 -CONST DATA DGROUP 0b57:0002 00000076 -CONST2 DATA DGROUP 0b5e:0008 00000132 -_DATA DATA DGROUP 0b72:0000 00000326 -XIB DATA DGROUP 0ba4:0006 00000000 -XI DATA DGROUP 0ba4:0006 0000002a -XIE DATA DGROUP 0ba7:0000 00000000 -YIB DATA DGROUP 0ba7:0000 00000000 -YI DATA DGROUP 0ba7:0000 0000000c -YIE DATA DGROUP 0ba7:000c 00000000 -STRINGS DATA DGROUP 0ba7:000c 00000000 -DATA DATA DGROUP 0ba7:000c 00000000 -_emu_init_start EMU DGROUP 0ba7:000c 00000000 -_emu_init_end EMU DGROUP 0ba7:000c 00000000 -_BSS BSS DGROUP 0ba8:0000 00000ce0 -STACK STACK DGROUP 0c76:0000 0000dac0 +modex16_TEXT CODE AUTO 0000:87c0 00002406 +bitmap_TEXT CODE AUTO 0000:abd0 00000605 +planar_TEXT CODE AUTO 0000:b1e0 00000275 +16text_TEXT CODE AUTO 0000:b460 0000010d +FAR_DATA FAR_DATA AUTO 0b56:000d 00000000 +_NULL BEGDATA DGROUP 0b57:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0b59:0000 00000002 +CONST DATA DGROUP 0b59:0002 00000076 +CONST2 DATA DGROUP 0b60:0008 00000132 +_DATA DATA DGROUP 0b74:0000 00000326 +XIB DATA DGROUP 0ba6:0006 00000000 +XI DATA DGROUP 0ba6:0006 0000002a +XIE DATA DGROUP 0ba9:0000 00000000 +YIB DATA DGROUP 0ba9:0000 00000000 +YI DATA DGROUP 0ba9:0000 0000000c +YIE DATA DGROUP 0ba9:000c 00000000 +STRINGS DATA DGROUP 0ba9:000c 00000000 +DATA DATA DGROUP 0ba9:000c 00000000 +_emu_init_start EMU DGROUP 0ba9:000c 00000000 +_emu_init_end EMU DGROUP 0ba9:000c 00000000 +_BSS BSS DGROUP 0baa:0000 00000ce0 +STACK STACK DGROUP 0c78:0000 0000dac0 +----------------+ @@ -62,106 +62,106 @@ Address Symbol Module: pcxtest.o(/dos/z/16/src/pcxtest.c) 0000:0098 main_ -0b55:0530+ _gvar +0b57:0530+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0255 __STK 0000:0275* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) 0000:89b4 VGAmodeX_ -0000:8a5e+ modex__320x240_256__Enter_ -0000:8abe* modex__256x192_256__Enter_ -0000:8b1e* modexLeave_ -0000:8b36+ modexsetBaseXMode_ -0000:8b88 modexDefaultPage_ -0000:8bee* modexNextPage_ -0000:8cbe* modexNextPageFlexibleSize_ -0000:8d92* modexShowPage_ -0000:8e8e* modexPanPage_ -0000:8ede* modexSelectPlane_ -0000:8f02 modexClearRegion_ -0000:901a oldDrawBmp_ -0000:9180* CDrawBmp_ -0000:92e4 modexDrawBmp_ -0000:934a+ modexDrawBmpRegion_ -0000:94b4* modex_sparky4_DrawBmpRegion_ -0000:961e* modexDrawPlanarBuf_ -0000:963c modexDrawSprite_ -0000:96a2+ modexDrawSpriteRegion_ -0000:981a modexCopyPageRegion_ -0000:9984* modexFadeOn_ -0000:99b4* modexFadeOff_ -0000:99e2* modexFlashOn_ -0000:9a10* modexFlashOff_ -0000:9ae0+ modexPalSave_ -0000:9b36 modexNewPal_ -0000:9b86* modexLoadPalFile_ -0000:9c68* modexSavePalFile_ -0000:9ce0* modexPalBlack_ -0000:9d0a* modexPalWhite_ -0000:9d34+ modexPalUpdate_ -0000:a2a4 modexPalUpdate1_ -0000:a31e* modexPalUpdate0_ -0000:a36a+ chkcolor_ -0000:a682+ modexputPixel_ -0000:a710* modexgetPixel_ -0000:a796* modexhlin_ -0000:a802* modexprint_ -0000:a99c* modexprintbig_ -0000:ab18* cls_ -0000:ab86+ modexWaitBorder_ -0b55:01e4 _VGA +0000:8a8a+ modex__320x240_256__Enter_ +0000:8aea+ modex__256x192_256__Enter_ +0000:8b4a* modexLeave_ +0000:8b62+ modexsetBaseXMode_ +0000:8ba0 modexDefaultPage_ +0000:8c06* modexNextPage_ +0000:8cd6* modexNextPageFlexibleSize_ +0000:8daa* modexShowPage_ +0000:8ea6* modexPanPage_ +0000:8ef6* modexSelectPlane_ +0000:8f1a modexClearRegion_ +0000:9032 oldDrawBmp_ +0000:9198* CDrawBmp_ +0000:92fc modexDrawBmp_ +0000:9362+ modexDrawBmpRegion_ +0000:94cc* modex_sparky4_DrawBmpRegion_ +0000:9636* modexDrawPlanarBuf_ +0000:9654 modexDrawSprite_ +0000:96ba+ modexDrawSpriteRegion_ +0000:9832 modexCopyPageRegion_ +0000:999c* modexFadeOn_ +0000:99cc* modexFadeOff_ +0000:99fa* modexFlashOn_ +0000:9a28* modexFlashOff_ +0000:9af8+ modexPalSave_ +0000:9b4e modexNewPal_ +0000:9b9e* modexLoadPalFile_ +0000:9c80* modexSavePalFile_ +0000:9cf8* modexPalBlack_ +0000:9d22* modexPalWhite_ +0000:9d4c+ modexPalUpdate_ +0000:a2bc modexPalUpdate1_ +0000:a336* modexPalUpdate0_ +0000:a382+ chkcolor_ +0000:a69a+ modexputPixel_ +0000:a728* modexgetPixel_ +0000:a7ae* modexhlin_ +0000:a81a* modexprint_ +0000:a9b4* modexprintbig_ +0000:ab30* cls_ +0000:ab9e+ modexWaitBorder_ +0b57:01e4 _VGA Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:aeee bitmapLoadPcx_ -0000:b04a* bitmapLoadPcxTiles_ +0000:af0e bitmapLoadPcx_ +0000:b06a* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0000:b1c0 planar_buf_from_bitmap_ -0000:b2e0+ planar_buf_alloc_ -0000:b3da* planar_buf_free_ +0000:b1e0 planar_buf_from_bitmap_ +0000:b300+ planar_buf_alloc_ +0000:b3fa* planar_buf_free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 0000:0292 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:02a9 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0b55:0000* __nullarea -0b55:0214* __ovlflag -0b55:0215* __intno -0b55:0216* __ovlvec +0b57:0000* __nullarea +0b57:0214* __ovlflag +0b57:0215* __intno +0b57:0216* __ovlvec 0000:02d8 _cstart_ 0000:03ab* _Not_Enough_Memory_ 0000:04dd __exit_ 0000:04fc __do_exit_with_msg__ 0000:0559 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -0b55:021a _fltused_ +0b57:021a _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:0564 _big_code_ 0000:0564* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0b55:021c __8087 -0b55:021d __real87 -0b55:021e __dos87emucall -0b55:0220 __dos87real +0b57:021c __8087 +0b57:021d __real87 +0b57:021e __dos87emucall +0b57:0220 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:0564* __exit_with_msg_ 0000:0569 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0b55:0222 __curbrk -0b55:022a __STACKLOW -0b55:022c __STACKTOP -0b55:022e __cbyte -0b55:0230 __child -0b55:0232 __no87 -0b55:023f ___FPE_handler -0b55:0224 __psp -0b55:0233 __get_ovl_stack -0b55:0237 __restore_ovl_stack -0b55:023b __close_ovl_file -0b55:0243 __LpCmdLine -0b55:0247 __LpPgmName -0b55:0226 __osmajor -0b55:0227 __osminor -0b55:0228 __osmode -0b55:0229 __HShift +0b57:0222 __curbrk +0b57:022a __STACKLOW +0b57:022c __STACKTOP +0b57:022e __cbyte +0b57:0230 __child +0b57:0232 __no87 +0b57:023f ___FPE_handler +0b57:0224 __psp +0b57:0233 __get_ovl_stack +0b57:0237 __restore_ovl_stack +0b57:023b __close_ovl_file +0b57:0243 __LpCmdLine +0b57:0247 __LpPgmName +0b57:0226 __osmajor +0b57:0227 __osminor +0b57:0228 __osmode +0b57:0229 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:0588+ int86x_ 0000:070b int86_ @@ -171,16 +171,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:0756 _fmalloc_ 0000:0756 malloc_ -0b55:024c+ ___fheap -0b55:024e ___fheapRover -0b55:0250 ___LargestSizeB4Rover +0b57:024c+ ___fheap +0b57:024e ___fheapRover +0b57:0250 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:08cb+ _null_exit_rtn_ 0000:08cb+ __null_int23_exit_ 0000:08cc exit_ 0000:08ed+ _exit_ -0b55:0252+ ___int23_exit -0b55:0256 ___FPE_handler_exit +0b57:0252+ ___int23_exit +0b57:0256 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) 0000:0909+ __open_flags_ 0000:0bca+ _fsopen_ @@ -193,7 +193,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:1174 __doclose_ 0000:12be __shutdown_stream_ 0000:12d8 fclose_ -0b55:0ed8+ ___RmTmpFileFn +0b57:0ed8+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:1343 _ffree_ 0000:1343 free_ @@ -206,16 +206,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:178e __I4M 0000:178e __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:b4b6* textInit_ -0b55:0edc _romFonts +0000:b4d6* textInit_ +0b57:0edc _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:17a6 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:1c3b fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0b55:025e ___iob -0b55:0ef4 ___ClosedStreams -0b55:0ef8 ___OpenStreams +0b57:025e ___iob +0b57:0ef4 ___ClosedStreams +0b57:0ef8 ___OpenStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:1e33 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) @@ -228,7 +228,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:2090 __FiniRtns 0000:2090* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0b55:03c6 ___uselfn +0b57:03c6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:20f4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) @@ -240,7 +240,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:21e0 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:2250 __EnterWVIDEO_ -0b55:03e6+ ___WD_Present +0b57:03e6+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:2274 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -256,21 +256,21 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:277c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:277f _nmalloc_ -0b55:03e8 ___nheapbeg -0b55:03ea ___MiniHeapRover -0b55:03ec ___LargestSizeB4MiniHeapRover +0b57:03e8 ___nheapbeg +0b57:03ea ___MiniHeapRover +0b57:03ec ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:2859* _heapgrow_ 0000:2859* _fheapgrow_ 0000:285a _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:28d2* __set_commode_ -0b55:03ee __commode +0b57:03ee __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:28de* __get_errno_ptr_ -0b55:0efc _errno +0b57:0efc _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0b55:03f0 __fmode +0b57:03f0 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:28e5 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -302,7 +302,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) 0000:316f __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:33b8 _nfree_ -0b55:0efe+ ___MiniHeapFreeRover +0b57:0efe+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) 0000:34b3 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) @@ -321,27 +321,27 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:39a0 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0b55:0f00 ____Argv -0b55:0f04 ____Argc +0b57:0f00 ____Argv +0b57:0f04 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0b55:03f2 __amblksiz +0b57:03f2 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0b55:04f6 __Start_XI -0b55:0520 __End_XI -0b55:0520 __Start_YI -0b55:052c __End_YI +0b57:04f6 __Start_XI +0b57:0520 __End_XI +0b57:0520 __Start_YI +0b57:052c __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0b55:03f4 ___EFG_printf -0b55:03f8 ___EFG_scanf +0b57:03f4 ___EFG_printf +0b57:03f8 ___EFG_scanf Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) 0000:4b15 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) 0000:4ce7 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0b55:03fc __8087cw +0b57:03fc __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0b55:03fe ___Save8087 -0b55:0402 ___Rest8087 +0b57:03fe ___Save8087 +0b57:0402 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:4d07 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -351,7 +351,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:4d9e _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:5117* _heapenable_ -0b55:0406 ___heap_enabled +0b57:0406 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:5128 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -362,16 +362,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:5361 __GetIOMode_ 0000:5396 __SetIOMode_nogrow_ -0b55:0408 ___NFiles -0b55:040a ___init_mode -0b55:0432 ___io_mode +0b57:0408 ___NFiles +0b57:040a ___init_mode +0b57:0432 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:53cb isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:53e7* __get_doserrno_ptr_ -0b55:0f06 __doserrno +0b57:0f06 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0b55:0436 ___umaskval +0b57:0436 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:53ee _dos_creat_ 0000:5412* _dos_creatnew_ @@ -394,10 +394,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:57bc strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0b55:044c ___IsDBCS +0b57:044c ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:57f1* _ismbblead_ -0b55:0f0a ___MBCSIsTable +0b57:0f0a ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:580d ulltoa_ 0000:594a* lltoa_ @@ -445,10 +445,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) 0000:7dde* _dos_close_ 0000:7dec _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0b55:0072 ___Alphabet +0b57:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:7e39 __mbinit_ -0b55:04f2+ ___MBCodePage +0b57:04f2+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) 0000:7f14 __LDClass_ 0000:7f9a* _FLClass_ @@ -456,7 +456,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) 0000:7fae __I4D 0000:7ffd* __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0b55:0098 __IsTable +0b57:0098 __IsTable Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) 0000:8082 __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) @@ -464,16 +464,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) 0000:8156 __set_ERANGE_ 0000:8162* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -0b55:019a* ___f_infinity -0b55:019e* ___f_posqnan -0b55:01a2 ___d_infinity -0b55:01aa ___d_posqnan -0b55:01b2* ___ld_infinity -0b55:01ba* ___ld_posqnan +0b57:019a* ___f_infinity +0b57:019e* ___f_posqnan +0b57:01a2 ___d_infinity +0b57:01aa ___d_posqnan +0b57:01b2* ___ld_infinity +0b57:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -0b55:01c2 __HugeValue +0b57:01c2 __HugeValue Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0b55:04f4 ___FPE_int +0b57:04f4 ___FPE_int Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) 0000:817c* _msize_ 0000:8197 _fmsize_ @@ -504,6 +504,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001a220 (107040.) +Memory size: 0001a240 (107072.) Entry point address: 0000:02d8 -Link time: 00:00.68 +Link time: 00:00.74 diff --git a/pcxtest2.exe b/pcxtest2.exe index cfd0d3390495eb99f032cc9f5a54cd577a7c66b1..87a4ce3622b87d4f4c33df11b899511663ad5c0b 100755 GIT binary patch delta 25867 zcmW(+c{tRM6W)8*Vy*kWZ98^Swo5G8_5B@ya*Cs>?VB>#Ye^Z0OU=XrMkq#87 z9Uyp6JY2lxd7)4)x0c&l=O3jVIrbJ*8V_3S1c4$s)-E1$2{Ce>9DB>7a>^xu1rIOs z7>COeyTboUV4;K}3BS+ZB5 z*y_VApQ2XR0yg1n<&o^ZlW3mHvYT@i#R9sl5to(?IE7}>1lDw+=?;sh7!(y-C5~gE z>mRXvcuOIUacx1N=PXONn@G`Ub`>e&P~9rNgGnOG&EP{a*IJ7O#-(rE1`B|PZ&ZVg zq}#~1t+LnBpT$po-~3bG@ob7YNB!=CcUVC9bg8OGpy?` zE779x;iw2tE>^rRK|my`oglmMgWV$Evi+UMx0|lr#9RfAYq`4lKCR)NsYl(?>do1|#?mVafLx7IT+Np+&g7#zkL(sZ zL?j2xCwS@~qZRBkTUxCpzmqCXTb^+j|2p;=9uBC4tZ81EajEgqiokrM3x#%Z4-h-n z89|)9f2TdyK810gW;{MEt2ZL0@WtebYy;6tv1IEMmj7mSLBZagqjERS#Y!F;qd#Ty z_D-R$>ll6zXzjoCuQtG`P|&mU*pAmA@>e+F0@#AL&Ly_qzj`ZQwv1ng^NSw1e=Pk3 z^SMC13-?n9*r$G*R~8OzD^edsZ*Fd}o-i<+<#Pk~>s8HAp-0?bd-dhPczKm_I@`Dv z7~L|QkcINlV!IvUXgln~ANVS`&aSVwVG-vbb@#ydODYx*Z!_7A_nQNQ6fgI6wMLGqUlTtt3Ea?Cs$MCI5OAYPlkw^7604;DwJ3;l=Xoq!OV~Wpn zuH}n7Ljx&K76+IaA4)zQf0XEx!hK@ilzX>K*gCKTbBGCk#ue_9JbhZ#IZ~QGpTFD7 z@v5|hP#rgTeBnc8O7(wNTe&A^S3oMcKWY^)DzDtG9Hs2_u7DT}t3poZoiEUgs_?pd0%b#=j%wmo+ zvJwnXRsrSrKPSR=P!V7wp*ROyVD4{}>n|GMe!GTs@)$y`(mLWeB7wL_Gs-pp} zS{pU1HRq8K@n7PTf!#Ce&ANMN{iGUn@&cVZsy8Tnm3i0M&z3&(;s8T5yTYKd9~0Yz zv;{u{P68@KsuNG4*$Yf6Q2~@`M$$CSu9Eti#TWQUMAX=Zr^nwX=kxdli5FR!$wVhs z@z~}wy8W$}^Z*#b)d>SWCi1nmEMbD`|uC_E?=e4>ryNl75zUd5r=A2tHW%O>iXz{Q_wCKd$`a=^I5;}#* zs8Jq6{eD9tOf!F$m)eTH1ulzRX*UG^45^!S!F=2WIS%y}5`NC&!yNL}jC_+<|JAj?*u2YakSQbSOD)@*Gc1$!hG(1K)CIy-E0aF@~ zasXr%28r08;fQecY21_M?KVgpr3CM>p-1H5NdO3zxDA0>a67`7 zSEoJn8u(>m)CN%R-hzD{Y;tE$iO-ZP(a@7tm|mUdZTm`) zuj`=TV_}7zdCQG&#V4G}ZmFyUd9n#!kPXv1{&G6S5)ydEH*+~AnU2$p`d!)7 zT&X)#sa0hW&T0Dv9A+9yyyt&iq)Gjt;fXRFtk>!2)~=C0KIh&pM#C-RPT(E3x|0Yl z@0e_am*)u^TW3khto|05fc=C1(JxMa9HJ#OtMe$mXZ8z*+aYd}GIzhjnvv!O`P8jI zXGfs+yO>e;(+niS3T9d>8ys*(0{d@fC99s-{j`DJc*!Kt=;Chwnmv*bMjVDOv>Fe` z1vDv1Eg-Zx_UNpChdp8BVi-;5DzGez7SO711u{5)mBjk4I*}N%LM|LIJtV z=f>k`fFgQ83fP4i|G}51&3d3Ys>~^lBW9hH1UVDoeKIu_#w6R>9{1Imc=>94Ah{=- zbrhK-8nzBOd7}nf_4mw~$QnxXlKmg>%Srh*d^FThO~@poPs#h_9ONRg(GN0=`VxAs zrP0p^nkgLc!gvaAHUNb$ouPg4()j_{j0FR#8_ql?Nu5F{6MGc&-Wk}k0Qx<;X=`{O z`{74Mxd=%}_RxG>^z}=i`6G~|M?aS_XYU-QUdGVC7KvBC%9y#T!BnK0clM2`bhIe02ClM~j847dmGJBhDjTBn^oJP!S~b{KJEw(K*L5eje{ zSnY{q35ejaT*uS%7}A_JMf=E?CMiP9!M{Ij;kBh_$2}lxPoI2aNN|c&gh({F#)9k9 znvYKr)q^{ZV&HbANZVEGzQtc(Pu=lNM|uXXuM(TR!Zp!iGTz%mHOYmLlZ#y(4u5e* z#my8I<00&M6>+yO&C-06{lBv3`hYGFwu)Dza&qSCP}y&6Vj6$Zxa*$vc)B<(o0dwR zlDk6NNSZwONjfV)G(D`;kOeQ4kNE@isx3Yc==hvIRmKi)G-+v2fp+O+F}Ve(-3cco z7HLOzTBi+%4W*m}&?J2~8QLTdVDt6%gK${Oa`>=nRknwMsHX4fuu>B8LV61_|;h>@6y+BT;|yzxBOUSCQIpF zHw#bl$OYJ%#UTC4jOgs@(bEF;Z=hBNAE zr4ei$<_F#Gk%+V37LGHUi3SlzjQ~27IilO0hOFwuFguyY-us-o)i&>L{4b8bD6O;C z@l&rJDjxu;edo znlo1Qy{pmIW4C8u#YLBrp|fj4E$**0Eh|wgfg~3Xuc_FUikPZ49nt!48U5lzX>2MS| zCwyPJ`Hvz=BguEbkvpMf=8@;)Il05gS^OB+Q!gv002j9|!cK`iY)Xx0xj3L-GPWBH zDNeYA;~;2GyAW$B06%}cTbbIw%_Ujh#n+a(+vD*WO3PDjoCrVdefKQ5OAOAXv+S2$ zu@r41!-9nBa1lhP1z)+ff+0B*Z+8@~d~s0cEf1H<(WT9RqvYoXWOS%8H%W%1S!al% zTm*Dk&D);#AA0Q__O}p3d9HCwM^^-$qNrZt9bIyO(B=UAzkmLVkb?QwnH7TrHauF> zAqj!;CdXQoPHL3)K`mnVoo0$%H>UOxd$MNW_IiPzd6MI?+67as6PQF?(O}^UFUV^k ze=$@-{s})+2l9zJrvy6cX}rM3a?aK z%YAb>?;CpncR1n9#dZ==G*GmAvuKZa?7wUed4UYWN~#p(uoB&Xmi$h{2T^tQN(rqc z;Jh75zUunrHp1rOI|QdTB@wB7Fu@b$x1)nfQ$T~azo`vb*QAW@8Mqp)J*yU`~{?;hyoX!OR{&kBh-jFKf`K#0- zew@8)Jp*P+|_qSg<5g-VU^stbg)N$KQ$DUli1Nv-7^ZFN^xb-wlF2$o2Yig4U>p znMCik?aM-24eiJBO7nXyYonqRN3Q{&y!H1X4N&18!3CSzwy^5fU*}NQ@9)qLrmlKC zV@!d9(D^p^J#CSc;;F$wspk$DWaOGfv=JW%)8z)Nqd4d6Gdwr^7#F~2?;+&nliIPq z^unn!V2DWdWOYd=-FGMHqs(;<7k2W`N-#V)_9kLzuYzi!W>Dt)`l+$`H5_5_8j>9SP0 zz3~m$$cQcZreg~21zJO@J)QSEVRef)q{Sem8Gn%NpDhZtHZGVfhg~G9);;;`Hk{(Q zDh$4TjmPz*cAucjgNsSet%1&5dWD#M=ku^=P_RjzKXS)G7aXQsr0mE&0xMS;(zpGL zS;NkCe(CogkEv}RQd^x+IqUz$aT`5H^xrHzyQL#{Z8Yyq7pZz6PBdES9|_4d^!37m z-Gls<9Q8MT_Fb;KVR;ffcTMdri~fH2)N;***7rsIOcIlvWU;^n9|qWltdAhyivBwF zKQ}%R`^LPG-Z6$gcjUf|Z_0~CIbJJY`Ft$8iK{Q$(S;V3NKY*9%ZAKsPupn3)`)f) z^zPCs<)3|(veF6quy7<`=3Lr9bAcq{q398 z8e6*vBy{v*cD26cO#uh(Vz#)Krwu)$f~>yc4PIRQg*|3Gm~`@Cq1)c3ac|NYF|0%ud^o z(JzoBvFo)-@@_mA$p+o^ElKF4xv)Z+*-7&;MjFS9{PAbKH}SMI@r&#&8M~Q{1fkl7 zD}O2ohVFfj-%4o=q*oFDJa(5H6zCK+-bvsl*7FKJSDKNbv*qAxS%2bjE-SWDe*=+p zzK@4Xr4A-a-k%0wG}SK?@NM!jU%DTj~?Qw`u^U_I|QOAjLXb$Bv<9;-lE997*RP>V8z8GfgFOAWfNd{+Iazw3AQ9aM;_3K&u0`v^ z1s_tCT^v}@HN0XcI4d=t4KDj3aliOlVidnj6(IGdWcae`bU*ipjf_F>`JAsqM4P4p zFSE7x!5cXXSjC^%VCmrxZDNCSvh6&XA|mGRGZuI&Z(V3TtUE`jFpt`0T>EbRrA2{p zP5tjX299%Xe4Wma=Lm%E@zg=>FHL?!|DKfu_u1^3PkbA$&FFg>ey+}c%kzuwUOjkti34vMI*lEl{IVqB2V}uu1EvFh&HMZxpSuOqWDzsIvWy8EPW$hKC24+U zl7ZE$CvU3*3eL^cgms|alNIE*EfO%?7I&RD7$koAK#7QrC4RFyzE6Ua_Syyz1o}(& zU}jY7sz}q2+q(vCEoHmc++zecVZhs3DLIFZK*`5sZ!fG;X4gj-;ImM>`AEnjd1>^! z9JQL&{A`L9_VUbk9Rt~%@l%JAWBi`^&XjEnMGj;eHl`)JHpN^KE}h4=7wd@6TN|X9#^YV0bG&GPoWi1(HtNK} zew3`j)Ek`MV$^X?SV3@g;S8&Z8*`6EzlS(NS<(yg)LXL4VYw%KbUeH$+$8(mM*?-> zJ9)X*WZB1BEaw|F`{2jOwpdSFJ|^LUS8%1Ce2g`kxHnbM17h1s%F)k4HZbNdhip;O zV}p|28nX$ug82b1I&nax>6UR)SljcQ^~b2~6}iFx_PUAHNY_jD9vZBFBSnSJ{5z(FII7!cdGj$x*GqnzLxX zm;ScO3yUQqC1b4+{_2ZKSHsG=*Qzfs^@|D1T?Ma&pep-H<4waj@k*wr;@wS8^y6I( zUzr==n=~R>zfgJ!R*dOT(4Rf#y(E#@x8`B^AWy5B@D|pcv&PMXcBYjHrL~dxwo@iY zwLoNP>GK4^RDkKs42E|y6H%sDp5)p_aU^;g47GX{MZFe=`AHuHv*oJV-NW&<>zZX5 z&TqlYiNu%}UJ1h6rcU_rQ28roEVH#a%s!^RsU5y6_>3MrDyu3>5hk#|@JJdOn5=a_ zKl^4aPTI@=9oo00=SP@L>DPStfvHx4w5g)zS*0td02tV&IS~5Ga9CrWIVWad`lH-8 zh6lX{gE4|(2NahW0gMVO${MCQE;4&tl#NG-%CPBL-Y--z~s3jyuM;|Xha zvE6nHg$X|_n7@T?Fc#o+fo82e4myWB`PN3C*vseFclNUeAIWctSk7yH1NSgzaKrWL zwS9p4ft2w-AX{sAaBP7VEt&p)mc)GLwvDP<92K`V; zmNzO&m`Qa1qRkv{2j~0{2FJeP`kt7eZEwn4+K#A|z85@)WM@^Q3)==^S}O7NgR-RZ zg7fI~SCyeO1CPXGx}0Tkl~&7-!X`^;M@!&d)-yvu$-mj~DYb({&z<4jWhaRx0H#y; zhWq_SwLPb4px8gDQ(6CnKxK`krGDo@?@PqvN~izE^4hQB1ho$PelF+eX^-)N0R{Sc zk9LCn(1i?+l*7O z)s`Y6ZyO^X3t={o_$Cy8{U-$2g@O`2Dg*i5iad>>+ z{rKxAxIMfA0g&_vmzu3XX=-91A=ujjLOvqr#p+YipcUS+0 zqi3YBKX}-Sz7F^u{k&Js8?Mc&zPd+}&H7=_V9W|u*fXa;YgA7s1IK{E@yTeGa~55w zJ7s&?)5bfrqKD9&viI+NQ2(tm-a(lh?iU^vOyG-=k9N}%dudr5| zhs+nKxi-bA>K#HalE5X!jLUT+QlHGE(N>cnDWDvC>u3v!KG_+-yvge*-lat#yj600( z2u_zv>UCxTlgYikkW-tr(DFi(ZJmwKA3t(zf{Q&$lB(;;l3QiW9cbRtKQ&RRN$T`h z&$ZsyWYixd1tiL5r6P=bV1sofaz<6-IJvY+76Ldl>ZN9Td3!-a6Rw!6s@sc~KXgQL z4KEDOU%mNWa7eAopP?ohayl9^1vLzb_uDrOjXR$dET@>ga@A|7^g4WWIRJvl^}LhQ z_YOSo_m3{R+iH3zvkA4M`sSpm!Y_{vdiS1}i}u**zyn4ShN}$0C^S&s-2yX~!M6~e z8NkO$@u66^$Y1*j8*l{8N&v<)(>14w$Nmw7TtvWLyhOb^EB^JSY2CkMd*Wn8(d_x5 zvs6nBS|(oC?c+MK4L2JAsV2A{REJz>r;qGB({-D}sCHM3!oLdhUOCzhUw7v3F4lDY z#G6m=x;;Ti{VU;u=SD@DMPrLezi0O7z}oh2Sqy`l6RUFs?Tb&Uh+FCN=aJfhKZn_z zG&(-C;|EDE0roRWddD+92cUfZDDbaDXFd&03V*)BR4q%KZVDipW;~9*ODKg*?jIyxH?>bFlJcNg9m+{4 z-YqJ-!tOQcj!w^}t%_b{i#le`n=mf31(KFDeNoxEv)YS#QPswVP`nen2d`e&q1^Q!Z&lNB8?10ZT9Vj4X(e9@mi(_Ij zx_^EyLxQoMvBR~q=2OQGl#Y<%`bv-Jr~vt>$TIxc-m3CfB33lO0-Q!O0r%`4+PWKN zmFQxaAw9+`P8jrFaS*(e$y>StDfpW`lp38H@>2Gkuw6w@dfRN;_G=;G@Z?f%orF5( zhiok6)gB>||6SjXE3j1*%WUf|=_q@vfWL;ZznI3wSImg5Nxs;m8ADt^{9vBcNBP_IHdm zwuN&fZ%hM;R|oC?yFAj8Qxi3=OJe*=Ak^=-{OT8>Vn*Up41>Z~mFVaI6I zVp320o;Al6EOZ3!s(i*sI4DO|*|ArWGZA+C!w2;}GiQy6psEz9;l*cN6E4(2+ zEKi6TgN;XJ?4Gz>exXt`Y*e!z7a<{d20o$Wt_$UREAgqgk3S|=v)hG9`!;P<(lvUx z8)$$UWrwzMEHgsc0Hm;kATDt6r={qXApZFtDIxpbM4C==hHoau}{MW=$1qJthf4&*M=ljvM~ z|61kxZ9Zp3Rs%7qJrm%;Mxh|LFyR2{X5#6t2w6W0!d*C_n{)CUDbQDqgd>qjE2J1t zZUsWAxGZIF4AeIUhNM9H-n~y8gFz^81P)0?QMk}JZZZ#r7lY#?V=4RsI6<-yMOXwU zN*1GtOW-8QQWR+!oGe+6BCmi`>{RMw6cu){Zb8OWxoK(;b({tn(4^qBaN6WU6dhgM zVe%1*o<7ciY)COO#vLV_P)yBm$H?Xs3rn08*_vWwi?bu!Q;wg&og_O@PC4S7$j%fO zSDYK!opRa(=SlXWc>CbakbNm<{c!%|07_sG?i~3%B{&2ZO1?k|yNC-XM^GZ8aM9!# zO6(e}H>Pencs+z*Ul~D371uo|2zYo>${ukY7?> z)!<%}-%x7naAa~lrJ)hmL~f?EwBp*x?G)f`2dJd@r zt&sF1Hi|&i)+=6KHT>4@!+k~UlTGa{8HmJhS^}@N6qR|8r*GJz3_5dC&7NLd^$YNi2MbEBCtrl6qI!*yps7$kx2^8*&m3WGl zBo(L&qNF`rE@ zSv)^J_fzKjTao;p28$>w*)wfu|A2e>siL3#d<31;mLE%MeH}qVo9FPt4XY2^;dEYgX z@P+W5r4|I=Q&ccHrNOjTGeq&QuOKsT273#e#ozu34SQ98OQbxr)OV(MCPD9E4}T1w zlv=Sz-mO5t+Cg`F+d#adQpQm@SVW~_ezgk42Lk-s$i$&gJ(SaGpI~YeMxk>ddg5zQ zuLq#?W`+5z;|=6YE?K06W}v9aV<@XRvFNJf)cB(EMDky*M&c&F)U5pPe%W4_h?3y_QHZIR;3^#yjlDC7jH<+}^KRT+%{#XF=E)A?>L? z`dD<8dZy8e(_M!uXi|b@j@%P!n5z;A+hMK|ce?dW?dw3H{|p94B>hknJPZoXD_K~$fqTDVC#rUKc4#+jMA1mu!2Oa#YNAx@nv*kZH>%bUC)F%W;LHgQVOKEm9dkI3uz0)hE5aWxZB5J=_XZeYs>E*B zO3&iGum0;8k&KfrwI_slx!IrdV8>5?^Yj~KEw%F|{m_Z8Gfkj>3#_i-wS!h}2b+r}rs^m$ZFa0s_rr4SK zpX6~AbY@WRfso=4ikJ{e(ZA=A?r_#_COYz0s(_%mbz`PX8P(xA$0p-VFw{g!!H{L24cnIKlyhMo2q~9asR^B)*Sdzv9dFg8S(6oc880Lc*kE zSAKTxTUc9k!3@t1*0llo8uhXKra6=xXv^tb-$u{O{?Xz9xxc>maX6Q<-?8ZWuZwZ# zt{$~=B0N^GJ3?i4M)Oa7At*K#*85dfa>Twu^LA97bdY)3uQ*J%C40 zc_7(@&!3|j_IyX(c)z*?l+vH%*w zh|(lGw+1L?wxSNBzq-B%{nYUJO4u?uI7`3+t-?h-eg+~H=6pr~&wxt81+csgJ;BsU>#X{2IaAP4? zMeXq@N@f)Q@YTy&Z$nP5GjHg!wrA)gz!5CvACo$2`{;FJ&ZN^i77&0hZKR4lIq`Rx zZo~1qNNW?Jvy3?zOvDH$1TpOJml;3f__u zt^UqroPcKuam&+1CtjQe+?4rp)MC$|UHj8^)+=#{W~zI2VvJ<1dSZ}J$SdO#7SV9S zYWj#Q|H=D`GD0U`s8g)dz>b|?*^E7QH?`l6GxU75!CxzGv%L|Ks%W*^`fTn|e>&=b z(bJA0RMnRyUQ0yCMSOn#0JMx9E_<$ZCFv}Jh&-1m(r+UBEZr3dsW*r?;ZW9BjWRh! z_qh7JUB{Mmy;)qy#8?-*9fh3aJ6rhT0k;n#4@S-MKjpvkxP0O~ z^J$D-&9M|bsPm8nCNvXU?ufFTyS+GAjR%O9^1a~uUc1KMVAo0+-W<;FbNnF^A&Mc# zA0I|Z`jv|o5e7WBq>pf3J^jJ2d9Yhjv4gRM*mZBAq8?|P(w@5J-wJ-n>X(vu(jRVS z-5S*!&l8HKOQ*e>9pCXez!MGe$D%{T;fFJTAD%fTTNM~ z9qirv;HRHhd#SZLxLX;ntw~uF9@WoTPgHn!#E7D&8eIz(!a-d;5XVa z7tV_ZwxjmEwj}Eg9%p$GYYp`*?c9xiJg(PoGEI{mesB5ONwT>wAzvtVyiuddsXWjw ztuoc}<~H?_-#RgIyH?Xb5_RKm_T%&2db?R{Xp(sIM_}R`N1OA^D3GxYZq7JChw^e` zS&&W`=!mH61xLe}Bd}DTQ)w^uB+Rr@QkB|aDR;N`q+J9ZFV#WS?QG`2P~v}B!=k2M zwNm}`VLevwu@iZo*B7M!RUOZ06dC*QKCvX#eZ;RhcuJ-{0_LZl!tJH@y&@Nqdfg!e z{avIT4RnC}ZaK%f3eb=URnV-Y8kJL}Q*{<6VV%dn`3iF~kJHgHCAvrL}|ci|D9(K&R$0)YITFp+4Q_iFC>VEj$#QynBHd zYH|U0_)5lVj(Ti^=W^QP4OF6&-C|CXT|UXMGJ3T|kGgDN`0@{` z$121R9bV@7=wiYV)k{6}zHqq#HJ)~8R0_8Mf5)<$%qNuc_9hVStMx^LMJN$ z;1;LUW5Yt#5}TSE@Ji&W*~=5Rw1`|;A=8Hros^djOnezY6{i&z736Q0)x0rq+gb2 zi!^BADlwWIE#26BLE{S-fKXw*V_K3tz(Qcg1<1m}Ool47Tao2kzJ@^EdE=otVtwwy z)C0e!i;DvNpOsLxb>bJr`4w+OQ~x~@2?%94fDTD~Y%g8GYq4{-xZ!t`loe{*f0gI7 z+OHdl6$|ES?JdqH9CCSclC_{^CTIPP1NQwA6Z%}wR}Ox?2C5e+4c8z+r^cP@y|NxD^H{Y1_kCc^Rc9gzR+Aow z+CGX}5d{Uw8;FPSS{$mH2wiE)l7-<0!dGJ4uR?XYIfFTg9G$$Jq!`%u!lH0^>k{hj zen3|A4hnR|Mt#5XbaF9eieUzj98z5AknezQD^_*;H_^=|8RXq6Dy#YNz@+DT9Q1k? ze;pfrv?pgV4z>Vg{f6u0=>$|+Tle|=J=|@O7oli(e){^YidRappzTfr4uIDs`1KVw zEhYO-j|argBhhR*DqXtCdtI)NX`vRV;mvudd*e6rD`UztnN)710{H0`^!lr`PwPGu z5lmew>J8M&*W!c=o=sR%Q9XA;BV-bho^9PcpD=H6_PbK7mub*r5Ej3levCo1!&bee zJ&;)?H1y&EROAAO>CW`l2QKH7u|a`!uIzh?|4z|qZi80iG#&n}SwK@9JnH*y6PluS z!&BI7E$P~Mm3(9aa8%t^LtfOCNn zzIYGnlZz8j)?U-Ih!boZ9PGSh)C6l2A(Zm#c7HAOY?AYXw46Fb6Mk!C5mF~-_M=(m z(;*$HWH%QV{;5)sw+m#F#&Znf&rOr?M-CQlc%ShL0C{w|snYDC_|N3p`L{P+DzwY| zWO1rVb{H6KBhAAPf|Ya_$C(D2(+g_Ll4V6&9L26%BQz|Yj~iPvDZBH|3IL z+Gniq6|TUsIv)-8byWPjR#5T#@fRo2!hwynz@z@P-3z=^UELnOZHkdo!=`Ede@17z zFEAm!zhwsBc5=_5F8$u?7RurN*tPGfko}L54k&Vrj37N`16B75UFYA*S*U295}8Z5 zzOQh_V3v9Z8N&WWzpQSNI;U@7F|&V9+ZgRimw58kP3(Ci%9L~O8e?Z)a0aylQ|fXh z`e&@HM8V!vu9x|2NJZ8dx|7hCL=ImK%+$A=1zk5IB%A6gB>slYY--barEfln9>hzO z0&U9^G*3GB+<(iU8TM?}Acy%4EmFvs!xj0Dd^WU2(rven4sgp#cie5f(G&LUdEjq% zWU@0W_wLzK2?nCGOIunM4woo*!*@I-@BjXWn27oFacLLg!P5ihN zL~$6=ki-m-ei-7(V!M`?@43Esa53u~u${<>hq9EYN6e_K__)oH)%Z<^*%`%EGh}-# zigQ@s?#ZvV;@GW0g3~g8?~vYX6nGwcY{P$EN%8OJJNfQ%E`9pOGnVgyxuRy3Tq1H0 zNiJyyFMY4Rv!poq3x>m^>6W)vSqd) z3EYn7iOuuM`^;8~9=t(8TI5V6JaXJL+V`|me}cH=d(REi6A5lHR}x$q2OS_&E&MmE z*}*inwC6)*DXd}w!B15b|1{!y&G!bk@z)`KTzOG9;Yeh{%AG%E!@m#3pCDVYH#4u{DIAC7NoG?`fNj6by zb@(H-e1iIwf>bO{A3Cj45ReCX^=`T*jte43BZ2NhscW|-j)X>*10a3m)O1#1l z<0zi@O+7BzeJ79IiSU``Dzd`YJgA&0Rh?oqT$EEA3J@PNJ)OXL!5lL6N$6nK_Ixwp z@>5Mth=O|055H%(1CSosq**MZv49;XA)s{s9NRBAaTTpDBo=o}L|ONaq2thoSaP{; zJ-=*4UCu2pLVmEdz~CAWOJfa%mvD-KGpF)o3w5q>3XZ>QzElmu%fe`HW5Bx2rXhKL zwjv^xV285CAZPo5flX`e{KvuJyXF)2w?rj*dkW6mx;AeBdwwDLQV~G`X816Eg|fmc zbsHf~h>lIrUDvusb;m`azs5sAWD5%jA6LP?kbsUyAyJlE0_JsaRDu5fjb68lznFHz zVGiQ?6VFlOU(&013;e#oIE(`}(9lWN&$vT${dtWTIB#Kk${m^}s_x28e zT?+a1N$?=3VB%~Gz3pGieYM9S{)WY@KCt`HB8yOc{dwSB-hU`8{$e;G9Sd?7DO5IZ zcZF@aMnTuBk;rh@?DF3C2L{BG3F#l-W^MYh@)J-W1{}2=oeRB z{0`D@{O&r*T3*|NUO6~!W+Mm)Ib2+Qo~9z!!vj-7WGgbjEzrTp^#}~|L~NMm%W{Ck zkp5K+m-`x9MB5&MA7<58@EI z<}Kg03t}(kX6&vqZm@kZUXC~3fNp@Un@juetiKM%df)!~kDU_wJyG483(T01j$l_2 z7hZoC`~>?^8^>mA!5JUerpIDT6-@Ls-FKN0bLUF0?5_SUP5o1rf%HyZ7_Mu5a^0<$AfW90eB(~-vm zm9>n?4M8riD&_PJl)==D>4K_VIIUwn2M1?;#MKPTm zl~Ch^pPeQjsEQMyTY17!zO8z0y&}$QtvPN@$GN~~>5s_A<{*NZkz9J^0ktT46qwd} zl}A+zJ0+6MMUjT-WswC5%BO3#!?Ph;bFHM(*7AJA&k0tX79MN?t&K;3Q!qu^H<<_U zD2~Z)63k>LUZc5SN`S~x!3Y$I(w1s8XpkxhX|IPPV-Bg7zJ_SV6v>|=Ep#qrgo66w zI1=z<*kjY)W&4Z|tucQy_IBH*WB%8`dH+-WcyavwzT0(O7x&uZ+Iwb?>{XGyZuU%8 z#-+l&+{m@QN_!e9DMd1(%o1t1l8lVxBU~%V=Kbyb2j}rPKb&(O&*v+L5{pgR8maC< zHvT2R*av}mUoxf%@GC71@TA@`S8=Cc0;n-rK^`9-X?=Ffx>xgY31^!ppK$|HfZSn`XrVUs(Muw)l-RM_pNa zo1=^o$e*%6YM@av0a?RF1cw7GFn_G;r1F&qV`ons3Ks;Eb_en&)n z3%qa?*3~~UBSOTUk__tBtFVV=5p2W=Y8fG{Co5kM8mhW?-Ah#-V2gNviTw}la-@@U z;Mo4iCVO+dWkSV=%V5JxK5KPJZk*(`BR4|oaC;3|NGW_*G!> zCjTDLNbmkG?#jdmKwb;e+G0L3udsw5-Iki)UpQi|TMBknz6!V2eJ;}8e2Q0!@JJL1 z5CiwdO2e5i_~9a^312vzCTX`vyx1`1_NBfAblPIkND-$SzwJ?G&;(+CDy~{AGZODf z;-pn(jHPecC(*-&gj4}Bkzr*gfwlP-+?12}hNOQ?2~gTMX6 z8L%Ig{`B3ZSGRs9($999dGg_ulTG?|@Ka@RA@-9hpz zZVX#{k7&|FDddXS>czit_Ea%@m&}#%4m-uI*zp}Ow{@y=6>#?VZs@A_To!x@(m*Wx za+`Qs`7uN}R#PEakKEAN^=8CU=_vnS=KRr zn-anzJ2O}@OaH;S@JAB&(~JRHA}8H`hdER@p|A`Ray={L7tk6TCsOBRj@ntW*z-6U z;M+_0hJesQedfzw))sr61$7Jj=+cE`oBdEOp^X0?xDeDPBH91UkT?GSE36vrFzMjS z!G~cQoAZ#i*EpU!Yxqg+m2O|qsO`s=phlDAje;|1N0sPrk^~b6ldv={Rl5q#il}D@ zv%tmDWBY&PHj#s>0*HH|oam%lv_eDs}KZCL!XGTFjxV*ufwl67y zoV{nNY*o@&TCXRMjBXAy7Ds_Tw1BuBF?~)9DC*#p_#bx-fb-IdR`y7HbM&81Z#0_B z!K0Nradh*~#bH?9HQG;>@_uih(ffE|^);j6CYed zq2rJo76t2fl^mf^GH;spA2=Gkqp~zrOcXt66PsEls8&VgWJI1C_8Hz>P0Np1idfP( z_L~T%hw(ynrg5$uKcY3Pa*32Iv<4knov57KV_Um<9vN9o*qwXEZyBU4`$-^|c z){-_vqTH@g`dO+B7sV|7dh|2~)(aQGB(>Y+<= zx{Pt2WD*(_elcwLmhr{irjRRL_qEY^%&{d^n4ZE%<&Z>1C%-C91TmiiPo6Kln;WOb z6>y=;YdR+H?of;6D0%wx?k*#^S)Zn2xcTAp%rC~$5#rrrgznF*Pl{$EM5h%6#iG~I z7R0;SZ@Lv9I=YPzJyrx2i;APYh=!Z-Ne0aMOVl85n{^9 zmSU0VIci}i-><16%8>PI{-ii#8rJ<%|Q(cKV2FM1;Qk`6Nw-%5@7 zM(12dzgXJUpP zJ)Zwcov(+zs}b}`(HFq@?a@;7fbVVKWSZ;y=lmgkju=x+p8Gz^$Rj36q7<85{f0M6 z%ed{~NN z>O_c-ANO-=kSAa!%=il=8j#%DV;&0C;TBs8&~g0Rl|UnO0@n^mvx!keEBT+*9Gef~ z_3m7Z%qWc}Ih03ywwWua%i49q#?DA~cqv(=j;UCv*saW;%6JAgL^!DwKTDLFshzo7 zXPeO{bv0^+nWZOvR8&-6nyeN1SrnljRbW%HE;HCq*`?cWmid;XujiST$N0*$M5_1! z;nHJdEx-iF^!-MQ3X|@|^)#w)Ii0xDkc}Svqkgd|knM4!tM%8AU!0x_b~8yyuY(|FBx%T#kE=W3J219^=;%i{;L z^j?tNT2^cAe5{el;TCiJ7W6;&QUS<}z`EaQ{6qJMEkF|-I3cO(0a!LDJPUB@v{XK3 z-IAZNZBT-NjjKN?`W^J%d9pX)c9A#5X2hp_Wq*<$cJS@#-eVoB!fJ(`tt{c34Wp;$ zY!04X_J|aDHuo1_n%(oQ^^WpPp6f<407xVU0X^8$I@oBT_%^&{Y*JK6J2{3_lOIda z17s7(5G^b=o}3(iGI8o;Ot_T)M~bhBY^((NbX+isoW!kKz=^$R0L2AHg(fD3Mjt-e zh&HsBm4SQGNp!9IAX~q zA>`E2q~t(KX)p3}dT+!iv7wed_5Gjk5xS;aBC(|1IUUO`jL9f)N}7v1y1N4Me|R$z zb1xU6Je-navkc>r*hHtXzepP5_{W4@h3+VD69@ZJRUM}kE(4=mh_f(%3jK+k@)~Wf zH?@fGdJT{=e1An4updbFQqA!|C&e62vT~g(EbM!kstykQxA!#x7uuG5Z1&dI=D{3z z!S2*pp03pJE*>j#yB65rQ_H(>oe8SbR~Wks;z?`%O5DwF;Pe$A;)vJny$8LyX4LoO z1%!!jR{XLDqTf&ae7k>$zw$-rOSA^*_9j$5iK?48cL1kc7YNGu2K}PCH1Ppc$GPdo z=Ll5kN*@hesrlL5IR;};9?oHYC z>hVn3J153O@<+vHzgc^`NXd#M%Y*%e#K9NSq^5ko4UR|wZ*4x8Pwfk8LA40Qp{<24 za}Q_Q7SXGDWe8Ob{=>8tn~5)XCa!)0`j5eXBl5jJpGipa{Yci&+@ODPu)I~8J)2{~QUaCxtLZt)u{!r*9a zZ=v7I*`+}+<-q@Fd6}RvDN(a6X$b2cXI^rAB;gG2rZ8+F5J!8sDaNxECFEVkye+tX z{3UZu2WzX-EN0ZL*SS=%8OA$PBKs=~Bw97MYg5;Yl6frxz_bIZtsS zA-F=E$YgF92iH{dD12gJQE4Xo>*#hK$>5WUVNc9AzGb6PjjttmBHcT2^a@gm-U=CHL#DgyA5yePZgcfl^&FFC21r?3UgKptz{;pK*^=` zT;Sy28?~@6)9WIgU$!jK!zeuWLJQ8xe&4V+IfvH$ie)IWF^<@rw(DxHks}s&C9comqjj+Y&SdKV0e5bnSZt}ZX#^VM?KH{TPTy?3;Z4$?ca}m(Na|&#W=H9r2zz51g z_Np0a3-w8L_hPSKn-9-`E`pu4aiNhDPE^d>!KNQy0E=Mwxss%OxTwc>$>5prq#2al zv_;5*Wbwvb)c2H`sjJt57ma8BEI*w&KOGz~QP|BLJSEwS_xqf9X6om}I{)Dxj z&EGSiF$#lh8Em&4KP5Ut`z-Y$k3&E1NU#VtjpMqrY;b~bxI?V@a60pIUO|>)kD$AC zWibaNnE2=i_!ZI%HUWc4%!X}l&55rbTdrz$QQ5A5u0Iw4>`lW%<#d0=@b!YcVMB!0 zz`8`obsI^1T>>I(OM=Db$Z2A4{?v%ESZQC|dRUx5xWGF45TtS0YO~Ga%*MC}G^{H19R1{q3l@g+S!sfyQuqkt~`a^yVGGK~(bZEL#E6Y6dne^EU zy*gRdU>Y)a(`RH0*!|6UK{o6WZhjdbC-lE5cDy-XwD7c6$$UD3=Tb;*{7_!DH=CKA z;cLbC%Fh_G`6+ z!mS!oU3Q3wdHa0laproo^e{%dK^{Gmlbs~hS$tw zyf}47e8pgu>}l}#l+;>V960kRPHOdkr;3)&P~xQ4jA(JBGw3+-%U9(1x>)O(;@F(O zPaLh9uxMM~RdBvEZ3n+_BxI1I=)rXhjT^=Sk(mk?d(KZLUYVQEd&nR9U7%Zi?lw;P zOkTXQ;n`JjC??~q2zI@6!8&>w;cAvCIqhec>1cZEt2BJxQna-f(<*_;Z!Hp*)0>{RQ&l6%de&Gs8yi|F7Hp}aHpD;rsjgYeu*IQeAgaiTK_Cmc=Wz~ zHl_2={W8P*5~^Rh{PLoIy?c(HX_P1=aF%@PY^Htgc_AhnQ~noZRY$Z}lnuKAz^$r1 zXX`RC+0e#j{Kjy%<#j<8aK5%xJ?)4O=9i|5U09Sa>UfW;TSGb&pr-a*kJ2KU2aIxd z=VE$&gVZu5-u@1M?Dd#fyPqDHuLwNK`C`U79)d`IKn>TVZxFK;PE|Uw3zw>+NAkV+o>I;s>K??O(99`z)Ug3s9(pzqL<_1X}oRCqTUGPTJ#0O0Y>3F`91>f2Io zr`#wMV|MLrA7_z1G${m^qZV27VLyiVbGvgm*&!4Cuyein^5fp<<*Q$td*>dX_H@4a zUPv2p4l3pU^C-yr+0!#$Q-`ira#XWu{=U0aVHMdCqCj)8v$3m+B|LSeo<#gz+ zNIyE?wc~Wl$_2PS;L~yR#}BJdTGF{<--m@fIMbJ7&Z*?2J`8(>X*%d{f96j!ez4ruWzT7d9R=bwJnA>JGM=?4Z362HrGzQq&w5D# zY#p-ZzfrfGjE>Jxog7nWuu&4MKJY@6XQRj5+JzjaAy?m;If~-Yk5lZ|kJOz*GhOB8 z5*?hYUw-E>MU4R6@nA{^h9d z5VMc1eKic^rfv7OM(B>((~+EkN$FFG^AXMks>t?}u&7BGq%2ON?%=k4ZheQt1Gc%6 z#|&&1w(*O2p^)tY`G9TwJ-}jDFQVuYoKOHo0Jr}8c;`)Y!1ft*1TZxJeA_@@a`f`t?5h#K0M8Pgb4wC|2KJH+MOkXRf{gV6II z4$>2o0?qNr%H|PenB2uWfqQUX-Qne4&4HT-SskdS&(Alu75+n>$(Qw;dnBypkCg7~ z*U$!9IxeSMoP9~G<<+xlBrZI_uwpU?K0L-flC3Lu5xjN3X`CnL5tmYlhj)~NYT;dK zJb}+B6p^x;5MaS48XWd~4KyeZgqN&78wg3>Z`ph6w`Out@)j@F#;Kpb{d0sJcL4V_ zu1n|lOhZ)QJ>Npn0VzIaSOn^1XCM}>&`8@8_<6y|!o0^IW220EUo{eYRNPKN3!(2B zsxDpG{dzb@uo?<0&b@L?xQi@1g211iKo94$umyk0X6_A7yF_yQzH0eV2mAQl-=`SE zW+!t^yvBhwXp}yYERU_ZWtnC_JO1a!e`O*0?SYWPSzGoupB@ax%cWgv*(6msiCz3R zRD|YUSCZ+OAyxBaCsW`7*GqIXbz2l-U$?zd!_JV8CFmCT%XD?{eqq>^W70I^y)j)` z*nGS|r*lY_7i&kUW&C*RL=)*I=HA;hsX*m;SxewD-0qjx6Vq6}GoX)OSHjCN&00K5 zm1Nndy&ItXqS_$f<}RUIsL^52@y{Wpqck#&m9gwVR5arF$IazHYT0|5=JsP)P>Jm!e!o@l$zQp@%DiTaU z7pD$=m&pC<1v*}s%3H#(@f#ygq%S;tCbp3rE&kd3+b<9iu@2)%zvHW!F<^@}Dkg*z zX#fQZ!VTI?C`lv5dxMG)KG#=y7KGd1X!xa~eCr=cWNPU@#ZX#xinmCs1Ye^bZ5a;V z;nOEEJQV&jMwB33qfVWU%`R$PG6N0YV;uhh3CfacPWoQ)@9{TC^9910y}`bnln1q^ zzq|Sx;4Bk=Mqel*oCg%;HXJwNXfgJ4^?}xQ_s+}J*g&0ua+V)z0aXsUk_ISHF|Jci zQu=UgV3EvIqt%7QkLpEtB##ehuJf75Xh)*=u-2oYH#t=J?&nC2W{XGV|Q*>vvn z&D}v1vxf=(+wfS6x8gHjLGb$^DhDn3dJzT`yp)tdcr~aL82|R?Ej`!#DR-%f^l~MT z^a|HYUWI*czPLgdp}zBHn&)U9$$~czzeO?2dK%rR@LN8gvS5XQZ&^QFz!wNmLC7DS z)k{4T6@qkE?KY)}$p4E+d50iH^Uh} z(%avQt!y0y-&V@}8kbfNK9w=ID2Vv|X#!Vpb!L}i%#+^-2p1Sm4g-Y{Q(BeqF}D(> zxyTzS+!|`NXrYMjc#tB-^0QFknzf$FEUaF9?N>z9pP@-^x}iIqq-0(W ziMfHrV;qbR=N}n|EjJ-ivgI@R(dw$cE||i&x#Du6OTHlstBD5ZPxe@AE%#30$4^*X zyFjk^Gn@ont*Y;`y@!mwxiDv6w2WHzKUE9Qi;q<8#VWx(t+}N1G8?A3GFOjS3b=fk z1uz`N_akKlyZSLRu3X>V=UHOT$1lg1;N%P1L(yA8#Z!?)+9ZWfa$}>glNUetvC$-t zTDp-UD_quK(^2LwPBsdtt0HCoguOF*>_K-S7{?`T!))x%$1DOsk;^{fkz!n6h|7Mb zTH=_!K+Hze8S*K~&17-}JUTHJ1qH^0M1_*|gQnx+$V&Zy>&jGuOADFXB=`vuaFafeIK#2_DDc5r>onuYse8lKOw>O~&31jOGT zpVG*gBe^P~jfFiN{_EasF?x~@#elwPO{;sLp#13qxGyH}%EFpzN|)}wJ4b)y?85_U z^oA!aMPNF=Y01AMOz8oG|7Cv;EPSJ#zGJ-^B4f%&p7j^4L@vb(m3v#6eWg8jNGvuu zawqG`n3a)Z!sCQe+3Gl#yn}z-NRVgI^ZZnHA6wjZC1UmQV)17en-#}dFFg@WkW7dLI8BqSp$eq#xL zA{?7lSv8>+of%}Aci;Ie(JOanZ#~@lf>fa+ee1C)J<{;S4cv(b_uFj--KUO@cWq8vUV1Z> zDlts@>pJbJ4$DiUxOy-=_6g|M^v3e3&C=NdI%2TR1FVJq#YG*^`X9w2rW2B}=>G(* zrk_1NDsn@+q}yQS!!*jr$dt9>?j(4c4p)%%h1{*DD`)R$BQqaE6v=MK8((EdIah5T z6}!XEwov1*2K<+}>~>w768+&&jd-Akstrb4igZUAxC-zk-BLK|WX|}-|HjFMw<5*V zoyWAWyUZ;od&;|phBbE6f!x7hGbYgSMQQ1)9Dw7h_>j|%L?crv8;G3!=9oee&shfD zcuD0Bpmi4GDXJWhzOIHbYq+w;J3nz_K6vUCfkApZ?#49SdRy4(aaT~XHIt~ZxMg4A z2DB+|6poDE(3K?F*4AU{k4z))Y}rz^AcW4%GNBnEEt)RREBbnaVr~g#A5N-<%1DtD z25>J=b})2Ra8cP?I#UWoI)7ZkfN;7=5V&iu?e_AQA64_JCuHvC6`C;H!#nlY^%F2N z$XlN`>%hKoIDJ;+IctT04PYT)-W~5?_l(}T>UGOSf*d@Le@**rNt}7?3fO;|fIc=? zEQ0^hte+|h-K<CSlvKRhOfTd{rJ z{Z%+mZmU}9M}mTCU->xIU7d${ceM$69DJL019TZ@1!diuzUV?fwkY1JAVW-uL(fCjX))T%&2Ny>=06aBOxgcGZ&v|{hTEfVikK;LsJVnq^+Z? zr*9BA78Fbfi}gGid1@>wS~70zbi7^CE;Tvj%-QSk*Kpv<^+hZWP}8?B#$EI(vP0gH zxSkK>(AC98Kmc$*N2kHV+S6#jDg(V0%8idgbXpE`o0f3naTu@>jz)jv2A%`zGDdVk z&Kg|3^avXRuQ9E;a(o2FcN_-5LU>Hz0Q|CaC*lSGYX_7Dl10h)LQ{g@hQ^ss+L0AB zG!!v8M<%4CjsoxCG~PdQ4giqFY$C!b4*dJ>OC)VmI%XdJDXoG1ix7=TsR?xST; z7!ab%Y?Q#vIPg74^BJ?-xIOZ#Rv`${)+-3cZk>!+*XeZ+(rR zyt|itZqcrhbN68`0dHiJB#jp#8b=ERh^(NW&`5!xTr3RvktB)4;uewmv$Q($IlXkG zoL3CuV#YpagTW+{Xy^_ADL($PT!0H7M7C5m$k5Tg3l%Q9D1j?M{E%9roIRPt$SCV6 z@vnNim(0*zM;P-aEefaW243C=>xgOuT3GOlQ~SDL-$hVLoguD_+vUdzS@3aCm4x`A zZ_c1B$m;`1a;n>@a-WLaQc}DY_)EZCMjBGOBPe}IM6WPLQU#oJvlJ2*T;k#pK|okh z3i!(8j4&iY5xLAIiYsUbWwM0##V=Zd4_Z+$94~%`OWhAH$`6@ydMGRE(+J$7QgVN; zz1MS$?cp=jghbut+tkn7kH2jL?y?IrGcRID6GvS~3iI1$j?gk0^lZ#Z*QVHj!R zuMS?y`rk0HB(*5Ebc|Z`*I`KO6xY%C(=ME%9cH&K}wfv zCFx!lNxEd*`};nA=a2I^f4}~Ey`GQPX=j4pFu{OF@Eedd2n>RN=)zoX8v1|7|BuZ7 zHXL*W*{WjI=}Mq%%T+g$iiY8EPzeog1uyA7`15#Qj}#{?nEdnF%UTQugOEpJJ9t3t zS4jS&{$4?f{7@**gQrcb_sP|2a&JJllR@t~L7-}`lb62&AyFZK>*ipkpn4UsM|UCR zxjYVn_k>!6uUs9KhK~sq2?JMII>08kplF2b`dOict^g95N#vPSz;GGPFG`DDXNtBz zwFdGjUPRTmfUUV(`Gu}ah4g@B`RUn;Qei{(Td$T4_$b}J39Ri!HyoC{C|6SIm@*~^ zUH>E~Ky(o0THY=y4wzvZc2h>Rn!T|lT$)eC61Wh%+zi%7Txl&89+SOJ1q*}gu2+LC zWFNkwI_9orJxv}j*CaS@v@=e8!97gCHTs;P2gbeTfHz^_wb#E9OJD%WTXN^?h<J5n}PS)%Zzke%dvsmY1bgY)RJo#k>Zw^l*zu(U&r z*!?=~a6x%WJBH{0_}>tFkFYa#b=nB*3)V%`0wM7>~e#-bfTX z4k?X5W&Ym#b<(tWsIn6@NwU;29={b$U%u0B{Z^1fKgGEz--m+8_M+zgftgV5lA*r5 zREyFXD{LQHQ1Tl=7~9lNlHd8kX;BQ_UP9}3Gw6D(Rp2nzyE??T7CwFH^-Zz5&LM+R z6FZ$^4sXRxCa)O$Sp-tP_Q1vC1@Gan1|z1AyX(YSKL_?1xyCfXVxG%{`Ez_ajdr~X zWTY<{{6v#)y<-T}bChGncL5r!C%}*mi6j>|JZo6egH2C=HU9Y6Y*(VFb$$L0T8R+R zUIhEk6fj}#9`2ckk4;d&d%7j>#_DU`!Vul3%|I6 zMs0CP-}*^`Im;GUsVF+})&3SH9>#f=+2+>kw14HINl66cl9@8QK(RCj$yhqRTl)A- z>}f@Uw&|hxqWu|Gs}ujySfO97gD?8q@)vj`pk}moGrej$0H@oC+4?SO+{Jg2GPll* zxLH6;_n$x@;JSh zCa+1DpAl|dy!kIE!qZhGVE)if;2<(JhI9s;XJ6mq^;*Art3cjE$lw`QGI9S<))7{f z@M|x=ClGMp>ur8{IPh1QChNbMy7icedCgtM-qTgTZR=!g>YEan50l`JQ|UBl3s+*w zaiR0N&{A_(%E(|l>`xkemEX0buR&Y~5+QTP@@vMLAQ5i;X*YS62?kw|>uX0q_td|z zKvzM{TvjRQ7O1f47(`}G(@(n79Y7LW6H^=7d9e>XuHOc9`Pc2XC>SZt1;&|N7 znxO}5FX}C@vh}W}e|CCMhr1YlWWy$OmoDaX6?9IYg?j3a^PTGPvpz0+TF9%Q`;CW! z>=)5|KFs{3j~7LCZkHY&>)wonHHm!j>iN{x|7^565)oN7)i={y1?t-61ryP>KJ_Vg z%;F@!K8-I05WnxNoW7HGc}0XCoPSS0rIsSPciy?m%y9TjKC$bBlbN^a34`0`)LEz0 zt)s-m0vp75nI69mz*6L0U3XJkF9Xze<_H8t9<{6yjg5TVn0K)MEti`)>+>aoHO8zb z6Y9_%zv;|#J>SasZrZxkna1)9ixTZl7n+9MwlKU4G{4^hcoxpy$#%IryW_Wuojq97 zF(|ARl5gF4Sn*V$UD|V_#+DH%^=qdF^#~Q?(-Ylua1ft=Sh~zXhEaSur^#~u` zE48_+r=pSKl9CfyC#E&whOK+NwW+)bX$-!-_}&-+)}52b*IgJj2cI$Sw#+Xyjw)qb zG)2S{5U!?}dG@2@Z85%-B_)s$v(PduS4QTWw7>%_sMFFJ9gahPF*`&0TqB)B>Gxz` ze*g3u!}ca4C_=%=`#c7m7WO=;RENuu9MTmG))Zg(s6sY4EU}Z(=*y7&5pKt@U*tbu zcEo?tT(E9bT3lUBshVefksq7B`wc^oJzfqVjx$?wZ-3h|4?1`2yHL z>f?|&sJMkltTbHdzM|SZS6<26JO9PJryK+O<8PM&yj}k%g_FNFoV~}pmy9LZLG%D} zAA+@E#iyNwuw9!gK(JZAvN_UQ1o7gUhwU&F<1dLj2#fzPq)~gL5;FN&UilJ^6yngF zipzh`IA~kSAMets4YMSKFWZmFuHI&u|OHL1z)K-&8=ws3|-bJ+Un5KY9-sM9N_ z`W#-ex1gr(@LskQ9R%O`0Y!SW?()^;$jyh)%4W^WrsPA=#_ILvY|?FS#bfkIoGzUsIR)m9qE?5c#)@IKKi(^eG z`ac$`l+(;88fz5@0d<2@C|Qehww=RJ-Mg-C+u0( z9Sac{uyMrM<@l^LxgXXmeCYm&nrHhTcPVW`^{8vGc+ui;JET;4-;w^z4O&Nx_9#{@1 zMX1!t%$w`E@sjucA(El3Nq}Wf>d``fE~+pLVL6`SEnP~k9o%h4ih58q#GSY& zsw~I6fYGjl!U&kwzgug(L?Dg&fUTgt1;3xi}ikjz1eaHPF+O z%N|3fwneW){IACg{*?;;5*I(Z*x~jEJQ1cy98Z9@YlvF+1*;4mg{+;WguQ^ET%$Tp z_BO8Kpkl%`9Lq_fEflUFjQu(vXaH!bolXSQkE5+~Q$;sW)@~@NyI*0#X1u(_rmf*z zolhIg^14FNJ^i_)YbobJ#@mq82g_EN+sj551~K3n`xJFKURw&x!Bl3abKjDdtde(` ztw|eSK9VM~~okG`*pqIZJ#UW(IC3!yw8*3 zPti}zs&@=Lx_R1_T~4mb_x<_?xqWgv3)y^Pm6Ful8WY6ZE4Mu`6qg1`Jle(Of{IEj z_-?4tEr$fvq$F>C?UWUm_?4^Hw+3{91o>sL6WB|ihv>frQ-sz_ymR)X$0X_OTzbYU zg{Jh4`P7M@g`rGgijB(6a?D$)(__9_6wdjc!N!;aud^NK%L5WALie;JnU^#>93*GrtL>d`+8#l(6@wX?hwRu zwWTCnRfn8mjj+0~t=fjr7zb%wXfp2UbZ5Kldo3MtQfd*!celM5#IGY33 z<3*i2b2~Z0W*lU@05-9eiFUGht6>;vS+QmOc<{>luiQ?>1h0m}vT_!KA&T#FBH4G< z*wDh~{(vPX*iv^MVX*?tPtb-;U*^ZbVE+)KM*gHRArRx8oo`@~8~Nld~@lT7~YX*cFsPD+oAuGKJND@E_rrUvW{R0rhy`O0%6ev^`ifqK z5+oO{->U*_5Su+GR_yB(F@f}o{>YZbfVE$#iWLhb2o?Ch9QUqQH0>0$W?zH!(O=dF zv#jql%`vp-q!{+-fxQ8HVj=#cK&_}p;)M;3(iQNSE=Q=5V%~jsTi7>2J}P8HE-7?>hwq%5-I+g{-MQUI^rj2l#4Ay-u;1!*FxwD1LvRr4 zY_eQc57BzxGw!s_jtQnjGzlm?5P0E5CvG(G{sqGaWSf^bJQ}OuA(wM!v#z#~z(Q7h7G`YN_9w-V z19}yS2>m!S6w`9&d6>frs$;6=4dyinvX^mE=zg>1o~DWorI*k87OSk7wEsbY6tx4u zo75rR8>A7axIr5Vd=|V8dEPFlK0*Sd`Oz)*o4PzPvv3Fj^58IIUaP$n$#TkN760mM zIJ5z}$Hy1h@raTw>QbFgt)2V>dd0P=&-@B!)L$i?LaEu7a1T%M700J<&4W255at??1Uc$q{0U7U0Wo+K@c z^1q(9@Aa!$`A(s4NZSBkJYl-`LDM6Jbt5G4{SO|aKw-~)FQ2X*SiYi~Ml;=Ez!Y}n zeFCI(oN)dG*NA>@S6D_Gn0s+p717_l?IGO-%4plg`eUH<=c+Ex#{GQmbcdq4y5YwS z!b~c(7oE?^u|*6_ctFjxB0iqeagcG-Z#(H&_ZH3Aav47}!)fqbyyAcy%Yb$xtI)jg zIOLl$6dJs&G3bVwQ)dl_3)fEWG8ny* z017Nv(ALI3+o*}4Z2oua&y+%6CG4S%KtkSbc#6Z+R8emKFn@H$u4$`FOFym{d(Y4aV(luN#dY*IZy7lxhPL6MbKctKaMyjGWo>17eb|A`AD1Ws_# z%om&%;gmk?l(6|X7_kC%yuancCyt`19z5Ek;jP{az`KyP_m83lE?n8nVo#BJ1pjA@ zp@X`5;WNlN@>lnzuRa<()_oD-rLr;zuai3Dpgw8;LbJE79KL&Bl;4*Zb1g|hf|WL> zXbL41-N*={1BN7na(Ty>P9O^Wp0OF}@*gwPVAX2FoinHmi(V_bhdGxuvsmc5 zdjvQJN|B7>!bogA$U?VXe(apjXaiX5-BavjP#({M=zginSX1W0=vSTe4Wbf)jC}p* z>v0Ek1j47Ax#F&$R|m2zyQ+A?6`3Yfd$c3#FZC-$Q!p;~t7}l<2K{jQ)d3 zReuZSB$OBJb5E(x#b$Ie&tPz|Dg=XEJ7sTiPJo-#bqhdolTKP?Z#0LU0Urw;NAM}O zcL|0RPdd*5LzDn^ch_pF@3%r!wVlzW=*|+UMW#R3>f-R{f!+Y~nFc$AAQ}=21RG}w ztCoh3!Y=(cSe(V<8KgSuXcqi-9^wxf|1>*3qKAeny;gF0F;Awc++l6Xmr=+)cmYFp zw0c+&QLr*@D@^fFgHn5x?jiL~bmtFP(Sq!43DH}qKUVEuV7jpz*4E2sojuea7ks%s zObb{QLtlA?E(p*Qk-BttE!D{d_*`bni0+t2&fuc!a%$sUb`) zT)v!J%bjI^?GI1PZO=;b?p}B+mEB~EV`lp5*o;4A8`m{Xq;%8GBDSa?K$oAB@vu`b_ zwX3Oj_u;(aQyCsd@<)vEUc_`JwpmB|G~&1T=fHf&!3uL&$v+1CbE$>+6LrLXRq*TO zkU;Ue%K)^ay!ZTW-1i9=;Mx&c6REAbqupUHn0nB$Q<04|6ApcKv+1YMvNHE41g}?4 zbSgD zVW-8-G>-Cig%A}^puaFSqHnufqoV|$>@(I`4j~#K3viuL%o7QFAmN4h^_qc{hoJ>_ zrWU^>K(mxC>(sPlp29EAyu061MZN5vE7?vR-F?r@+VfC^J#); zZe9B0KO|oB`+W*;zH1Fs{-yj;@SPnL7T>bmA@Ci39et-tVS0nXQP5fYBbj{ivWwUE zzeuFOCx_BoO9$g6e&+y1y2aOVZKmi>ksVmj&ZcAh=4$o0kE>hxxy;J1tP{Krvx^n~ zO0DW(PfjoL5}@cSdFKbre=8uM>h=+bnK_O3T4V>26!ayYpJ^`RPc^#@-(J6EobW%+ zrexn5&E1QX_3i1jfU8*}KU0FZrhA!&370M|{2d#*?YeuCpn4a0T(C5&)}N;KCm&hj z`e@idmphjEOO1U|E#rLeU+x&=3e{0Sk@k7k z4@Gs0vtud9_-=Rzz3p5+x$R_scjim&z9Gq7H0ruTqKBIjND%~e9YT}d zktmd2MOj%nSP^ilRpVy;^UxTLkN=+j8^DERcl>V2fsBrv6?pqG^Ff!?ErHq4b7PX} z^Vfr2-7}tkEOj9BV!>yWD;;S{qj^_^H3z$XowwQm2^BZyn=S%+MDa8;*&=P;x;{`; z$Fmlp*pE2dLRX0-RGD|hjxSj`d4YreF(b89dND;PUQPy(d0BG7;m{P{NA>KS!Tve3 zZxm;nrpQ3n+J_AlgZb>A(t@&TA6+IT2IW`V(OAI-yAP=O_?sfFmPSRh72y5&UFK4j zU5nBiCZPF_sq>6GCeAp=ROH@@LeuM^ZWH<61Aa>{g8S6%X2-t|XXp0Cgq;j8*s6Qb z5%*WhND>Q_=VE+)UN;Om=ex#_tA9WVWN%p6e49k1rV4Zw+<`dleX2UO5352Eu<$h@ z`D!k1B`!i}AZ3SzRp{1?sV?RhQoagV{9Bq7#%O_rlRh5V*cwY7&br9zdGyhMHrdzG z%wg!}b0=kUDx(O~n^>w$I;(DCKrb{ zd>GRb@@B`C`Wg#koQMC_A{Mq7`iviFaD@xAs-w10EEX>B9JZa`@5%_;+F|^9R~ii* zDd@VqdkOg;<2+Y)sL4-oB5$!>DvS&}fDPCTgzdKpeX63KmY+sU&&e~Lxy8R}ghkma zsljGq&voBKxED=}#YdyoJ}BK%v?sJ-`0hY5Hkd*oic-)G+@jEWy@1HW2}`{?2qK{S z&7eqY{3>>+(dS(SpK81-AK|?Zs0Ij@=ZAW-N;{rS$-(e>pK_}&cEbq<1Gpe`8pc^) zNCR2Srt2dHBa^zLy$YacJ>5k4N!Ci7*xVbzb_3GLoV1xu@(j@{!7NY#m{MAZ)^k0w z|1Vx1`?6lAa^Y|+x5%klWjdbC*NnN#xZ8v z$#(f_-Sjd}M#AiS?!n-X?Zbm@XpHZfhaIPdDixiwcqw~DJ1_o@CSp8$EQGZwksLUF)(oH$kd_$2>ta z`#AVJYdoa}2qcKvcoJL3qIlCkJLv1N`dE9WHm=03V8u!}Ij&M!0!H0`uqO*m1IOLV z^{c3pJsG(1&ZmXm^TXM>>stZbMwh^pwNVZYQ91SrfPtHpp-A)LEG^dTZ;3{mACSa( zG%qX>b{ckYbd)T75u?U-Itv>M$1YG?I7CGAw6pZw|BybfH|vZZMcQp1(!OgsMp!dD z=ZYxaA^fms{eHx}J+Ck9(xSV^^~wuPd)b#OQ5A7*zn?s~tGI>5XtTeAdssSOhVN_k zT?RB|RxSSlx_X1I^Raa4l&lYhi>!C0+s@A~_ng5|?L$0K$+6M=*Th^IYq6P3yr=ib4$-kJ%pBgFa0Xw^Hp5{_8z(6$m zW!Q%eHxKT>>(cPnPSvU-Hy_40@N~X7cqVB2$Ww?5hOCtmG}1dP`rVIDrT7mFWHZEj zQuGLJZ*47!i52#8af1osKlGe^R7s}XoKxML6h^K{UkMg${k4c>az63*49h$0jZUkY z3qb~X__|q$E#E1u#wY&6$64Q5K%H@HyMgW*-IKT1-!YHuZ`Z$kC$=OSFw5byCic+Lt|ksGdLj_@%;WdGZfC=8VYEibJd~@3q{gfWbpR@dTNjdYmlk z)V)1D6`(W_h3e$FXpF%0w5%hDE~~&j1F5)^D~Yi-r<1ulHeSivHZIA#S~gAu&Afh!GylD|pGtLc z`AA{^ge%Oh=JY^jA%+v+e!w(`GsXBu5`PoOHpI_~8Tx0^2>;kNL4?1at3=-`tw%u} z%sQEOxg~;=jq`eAFu4fKBvE6h6OqYbdh#o+TCnWh?L#%hftw{R9gyQ1cK5rxRq!&h z@6R0~Z&XCJaI8%d;_k*0Dgm&SR!)&6GzMV=vtqjvy2~-(sxu!o70YR7q*xc?j zc!vr5`;Q^M`_3k3pdPhj_0ro$+&_JT(Y@E|mGr*y0GWz8#xsLpnkA}kftjC|!8M4c zY+!;adCuDB*Zvs-JP+YGCk#VRc4_}23W}6L5HOD#RPghkk~etjzyEXV_n0W*n;DV| z?QsamnI;k7L?8s)w@w6`eJTa8=M%HiS*&b(RX zZ+V%IuQlYV9&H+c9Km{|NxNPKozvVY2FDmB`K|4k)`171!memn1R-Iu@R&Jparn+d zhi_-=Gml+P_tcu;hES$YG2;BTY`QEK`#zG4w&M5F%q-t zHfKJUp>;4R*6nr{T?%2NQvtkgwOQ;fuH2UF;OGL0Upmn|D4BQG#@D(dVT!)HBCI!u zJ4IBJ-V+920o`EP6B8Vvd`AiZodJzF{)h&O4d0_X1QMicVn5}Y4a1F4vh43j*Uu|` zv|E;v&auWqvr=>E;wLU~-gscGH<%YVw^Fr*QFFP5KlMzY)p5%v4)0%>rTjDC*K#Ws zIv@fCwK6%NpgCn!ETJzweA!^$^fI4-N;X(2@R2Jmo%fBMUPNt2l^c-g`V+!7RRQR(PVaD?o!0V3RH#^n_{1CmlAq z#@%|$GZ0(O<@Vz6&py01VeuI&4O2eQ*${;GVg)5LrO>sef@B{^^K~NPEe>cY7HTer_!sgu&NjQYuas@k zvp`|ddd;@_tT+DU&OMuDu?QpiNlF+d>SD7Y<#z(m_qxrX`J`^VXW{W=lIB-(lct9g zLA@}Ke~;$G^+r{|?|5sCSv-$aH`Mcx=8B9v*MIzYWRG=RD>9@~X1=uS@2Hr%T18cm zcuxSN*_KH}{mtGzasm3#T6;v>rA$XESY#5eQgGW)RzPaub7@~;2B6*TMgKk(YEeA0 zZ`2JmKrN#5TOG2aI2?dmHkH5;2Y)LgNSE=&ZpX`!>H}2*^>gL+rq;{m{=wtBAx#UY zsjn2J+WJJR{|J!TI;iq(ZsCuxK9IkhPp+3Ow{Ud)J9H|$kE`ri^tPmjp|cM1l}q72 zelPdRx@f(?aalIyacT}7KntScAed)`fZxNE$FV!|VWSA&XWb9EekX%ZOlcJ23vU$` zCi?I-D8afUT^_O}rKr(AL4};`ONd z1~@~!5!KiPXNotYnp@y3@m5r88=Niv5Y^5e=YV&lIyvK9@UB$1!?+{(qg3}}I1juh z^|%+#8}CE)^~3q&1E_&PI2=Bh8WM^N!-rENPT(T(C#k1S>X~TVS$qsNHVzk$ zPoO5A!=1+`QIi1zj)+g8rl#R8;M1uWGjN&sEGj_4W#e-|3K8}JfpcWS4 zit#1XE2X%r_-oYbH*h!cRO+qUxI6f})UtcH`}qH;56W>B_=nWWN4UrMC)B4^xN3Y2 z_1SY=E&c`dWgV^_|BCv$0oRCcqBghSTJdev_BQ~o1K&yQ>c;ipd#Qc>xB>hib!Zs( z7C%BAeTRFG|3LjXh8xF!qE39qeZfysztV71_-QJA1~-eJqs}kjzTp?C-*``LS?Pu*6{1pUmLjJ_)Y4cE!*`6MQtAKxU&iA&K51^i7Xc?!c$&c8_Vzy0pn;pot` zPRD1*T_#-}#0-iPYYSz_J%iZtRMl5;u+2a099k4b;xL~#d76cV*G7rO{JNp+H!646 zHGhD@U)O#nAe9YaHTqH$(uITi0nur(2;s1=V4Cct^%_D65+9Ea8L6?j=;;Wtd)rGM z>xD{#EZ2II;gXFw@5JUduI0{Hl8)ggRikEc89D1!@uX${?Mhc#*PmFF zai?Z4>yvLql!TX)IDy0y{ArHBA@XYU#M+w(bEK~22hPa&t)6pKJ+=nWpmHiL)=-TQ z(%2I(T49mq)yq|Ho9cI(Zuj1rNa<|UtZmmSc6*#gOML}BCgaLcI6)Gs9v}lDJckLG z2>2BPWN}6_XWMdUjd+}_NrJTc`PVH#^<0MFB-e1-FF`bz0u!v=5_C@B=ej*ys~BFO ze`I=dFaAm4RW%rE0LsV?mIoWj4_Hicy^{<=c1qhtL9y5I`o(4d0YuLqto(8C6jQm)ePsGOEf{d0gVYoYi12Ka3`XW_!11NIjY&=kw;SXv{qt=u zyU#hT@L7!AP76Xn%oz1bd8)ytE<{mMLR>LO9>{E z{|WI4q|0cOKELS{1|Q7vwRRzTfEBaH;5=Bfiq(xulmIkruk96O$i%>L^<3Zm3`~H-*f*0KCq5ll)2H(=dP@}La zD7wVi^9=um#LoO)%CsD!db08cmvl%C0!^~*weh;leT88mY?P9?ny*A!EMWN)(Px$e zv`IiNH>VD*K5KV7&c0GNzNI2-e9uN9$pnD2#!0&(`zh~O!}$xay5esJ;To$>lrg>_ z=0UVNrQ16|^zvRfYMp@PJ(_!jB$~j-$%oar`Gw=;-?L$~yZJURXTN0D9qH;+hFIKJ zNUm-R8!fI)8E~F=_1rfYE*hW6uN}HA4SXE~g$aezcZ(s$JD<$9&CN72eo!X7J6{z% zNCK?}$rQmx@B$; zFH5!3Xr!Hu5y<#p`TdF?5~JOs4sziy!WW<%yiL{YSg~1ja@{zFJn?BshmooVhfM0PMMa_-2 z%2zRHa=i|C>$>wY8N)aa`cnp(QR?=Dhwp zhUtsfr8=TYR-&G8B_%UsPPg~6064T*=nJV!htz#M4p9ygJU5hN{~^$;L$)pn(>RUc zY-Gq15qju;NG{^bvxb;G+K5lgH?MZBn|`TGU$#e|mJ;R#^OzhxJO)`j5%B|g?ee(n z@2e7jd&TjW?O;J>q`Vl1a~J&XijS$F!q_sWVx=`caCW9Qt6X6Z-8UHr&r3yf^*_x& zhY5>M1-t{H+!gioCM68)^P@n1-Umo_hPdB|o#7#v=^;^N^bX*-{1EuLJ+~dsH!*h{ z1J|15X0NcubV{<+JYk&C54;#0*oKXG?=Kp9wDp_cg8W@Nx;%uI{SHvb)avwt34UJ^ z$;?vT9%@q~%I8JHPfd+K<#MZ@yDiRj#pulrv!Z^>pXF`|T*`ho*Nc#6*{}A^g zfHpuGkJy550oSc8*VW>HRmd1csGJYHR8A|?q9<6xQ_ALCdsxcG7qJb@sGYhm&vRdW zG1c$!d!H}9vCI1DB?W>8WWzWF_*pXd?6@ZMt z_CBa_vEaIr$I@T~#aRr@x?Ld?h4$9C2+8zE{Es8v`I`y%e4*4r(+YpkIQj?jr@w%Bmb<(?i=46l9KIUBC6-(=2b`wdSkX zBjIHd)ebYq82(j??KwKvf|}oo(ku-x$G0FSGD2&j?}y+JZ*+{SGaL|dVbky4mRqkr_}lU8CE~ZTr$73vqD$smKlU{L zip}WO9yciVLbqnMYub8_?e^PYQ_doUi zMj0vXep{b@X`VE$=hlDanX`6dP_iXNy+Wxcw6R^g{lJy|yTh_K3t9~D>?jH-hp5Z;s0} zi%-*Dls~s~>CQ6v$y0X5Or3$wb8r54siTr;n=g+g)Dk6nDdGwzA9M2`tDKP`s#znL zO}`=2Sgqw*TgBj0I}U8Uj-PcYi5FN{{ z)$)`scZEJ&&2ZR$@?a>8l0w|pCWoWWT+e;>s9WBI%z=JMmF)TijDOeTK0UBF!Q2LC zw;W+W6Zr%oov=EPal5yNwYhORECYwn43whV>SoYY+F^I5xA$a8Wghj=0!=e#@EVjd zy(j>G9j~q_Y*fLn=smKPA5c2K@~_e*`vvx6?1!sO8NOj2r`spx+F`gbcfP4Ww+a;- zNQV1$UVUskuPU$#?mPah)SHT=A=DAyq+Pi^l{u9QI%7`x#haK@M;Uc|(!HT++U7zL zGk+h7EgzF;b~k5Ew*I@Zv&CfZ)#rU@YV3oRzYjeu9aA@8PJZ6M3Lp=diU&ugj%|x( z&EI@@Ee8J1(zr<8H?ruV?E_tA*8#l~TCi4faF)z__bkwPC=7MlSk%=}cDyrd^dKf` z9-MZD5;bmp=9E$P_-dZVxq!;$M~n@}6oTu5QL1SJk6w(+e-1m5KPzfxm?ga^y*8^2 z5lb`eE%KWb%NyAjt*ZsTF2$yErDux zkfJ64QQ$e(zik8TU@dt0N5pBY;)z^u76HQ{dqdgObHFB}JdS)$ugC zgl$La71b<{iv}I|>tJ%%&+hWlyIa)9AhZ|ZFgW;yjJ#M|6f&VcaICL98%alDBSD9) zSD%$*bt`lqMq;=)-NFJ=ndKR4K+Le>kaPkXIKjz21DT)CR-c3_BSY%S*9@F{Usk`B zb~=N--xyYRc7fVojZ!7m51)N2B$M#pzX!e8ohYU|SPJ;mev7Ef@iW*8f!|T>QmSh& z&uazTEF?+^BD!{aa|C_J%bWnV?!K+0eKJznfK@E>u@dTNFAfXcS2P=)qxsK8U)Tn$ zo33u1m^M4at-s)Ponm8)V!mmMNO=KhCgcbq(|^QC!Y zU7_~i?9{-DuP<;3YS2BHm$Jt-$j?iKU0fUo6dkN8Sb|Vs&D`Had!rF2eo#|Yk#utxwe`F zy(T1I&ui7g&Ra-=LHF6e;RXhdm0TyMKAcgv*|U7*5Z5W0Yqih$R6x1gW?Ni1 zRos*&JVhUim?I&*IS9spY<(yDz4T@`S ziGC;?2zbYU5z?k+K#Eu!v32gz|3zEDew0E15 z7oBehRIjb3p2}1!Kw1G0H8mBzy1ZF*<}`#&%G$MT+)(2nL$_Be*C0WW-Fmc}U{HUI zQroqbUYAD9gokGDw0pijxsFzCDF82z=C{nzHGAVMjj-MS#?3$-?^LsfXGnJIvcKK? zDn(V42Hnti9?9`u)qW1knk$;(rbs6h4uAJ?33a}20ssW)>^t}tkj8C_hSJ5P>CCCdqfu24DVe?7Ng(J<2gMxFy4nY1sd+Kyop}1gI+wi8D^Tn>8 zg^$6TPm{5 z+h?vn+FOZ+XMf7B-#0J~U)e(?@1MOr;q|N$abnggyqh$i(Dka@f2vJ-GIBWcXa9dA z-Cxf@f_i^%48G|MnsxeJvL^~NMg4OFH0HV9UhV`DJa3T9e>rNdVa3?e92g6dU+cJY{aMdb7pLEl zGURb~@8vtk76@itGh4c1eD0%#cXoWFo9}%`6psH%`?R<#?!N(R;=%JwmWAfG{X~_~ z;<V9`~1~)Cax`sSA zh2k33m_KeS1C3jPnZ}$x#WNG5LcScN zPhZqtRIgU)S(G1yUDV-y$Vz>I|0ki6_~vYWgCM9_2O(2a_0Oz36cu)9|CNS5Xe610KuEt0kUD}62E63 zFs3f`bbP!es8*40CZyagei~?|9(yk?l6s%SOiOfjAWV(3{z%s`$Fsl^uQ8Wz<+F>! zdlcR5Ygl-Ke{$wqPKCF(_+xKs)Gvqby}L!;~n0?|hy>5S(EqJoLIX%+1{g*h(4 zl{!VsI6TvRo8!Vp;CMz##E9|tr$sx|Cz)p}6owonx$kZK2sNys0~;KngVmG$eTpZ{ zI*kBL2pVR8;Isn}dA^x9g3LzFiKKC1<$E0A(~Oi=FU?AcL!}L>hP~#|LmLBW;QZJ5 z`xW&!O#%y`P71dM(dWO(UdaL`1Flp zJff~+6ZYP_u|P9%LDX^xsEuO}0x@c&Tq!TyUNUW76|y??j&)>)S8#bI~* z4+T{vsIR$M{8B}~zQULX91hEZ%vH#g$?wBgG4kH|>)728SIGG#hje32Y_t4XVRd1; z3tnvi*Ah}O8kT0eGltCMZ*6YdX8w-_Hhpv5c&xe-zQesCw9zZi6@$8yZ@|q~e`^kb zL0t+%Ok-|w;&F;AJCdBFY^ZivrbqPs(SO017Piq_P`Ex5!WCQuU)#j`e|vv@5mf4d zDMl?Og&3a;^TO`efV#=&GOy<^mM`dKF9QD<`0J&Tc+`@o^(NkCAjM){>emAH`=)2) z*$DYfrX&Y7h~!h2L@f2H4a5F1=C74PFOBz0CRm>`zv#m939GRkkbUixs9Qa^k^kK$Uxld8qf763hW)PzXmQDLCt)@pWG;&fMJZuFT7jaeJHTZ; z_H%jJLD4vWC}W`QU(dZqPT`uRZR|eqgCRBv?s4se^GANvs30-Moh0}hqvK`x1V15hXYYF_oG?mD0w^rG(f;$UeO|v-$txpxt0EAMy_Dd>El~Ht^%Jp4NcZUMux9`)h{^a> zs`(9?SF%kXf+5ueJ%8|aZMb%cdKg3NNqu$VzP7JR^KDeiFOgKp;{coI4I`4cw- z(=Kx9tX=jl^E&55NK#q;nB5s~XyF7C2m<>N_`7n;x zm4if7|1ICVLi1~%-Psm*(BTn;&MV!8Zt@VGbsNNQYd36QVP->dJX*n5HyY6VRFAz; zRsi!c=}c)qQ5&InzOE|8dyNH-+Iw%(MmDn)z!bUCj z2zp-nC;a)FKt-WteA^%r5aC%y?uVQ*)}xomsp|3ZqX`ya?Lnj3U6>9Xc=kao)F_33 zFd0l~nT5j2;vPf%n=k?v2beHDuGxDNSOQ=&>ZOnpdbOaz3{%gONop7S)WnMMOi)3Q ze&$+le55Il!>aP1g`92mDerAQ-kKNhbNCex_y^+w^$>*hKdJC0kU9>E5f5&?G=)}A z5X3g+^2p*~kI6Sgz`B0haWN1*-PXdjTdf7)t}g_~7PKI@h~9=4E}AUl?px0RM3nVz z>H*ApCt0hgYf_k^h7oQm5nr6E)q+&cLkfGiax^mU5;z~$q z9LoN`j?Vj^%Epc3_kH#-j&ba9>~YMJnQYRqx9q)T91;$WbsSDg^JzS2JVuI0LS~9o zNMx@(9NQzIjQiW``}6e=T(8gPdcUvHU6;E{-}_$wOiADWJ^CdocRUKWS2fxlf&AG@ zfUWp22Dj7a2=J;Vczt}2{kWJ7K@iM-d`Oxc8D0Oy*#@}5k<^R7S@Pg+r^i$r?76_# zR%u~1u$}h1xad^|BJc2H+N^f}QY$$4fi{N>CN8RNMt9mf_cL+LIiqdk$D3{}dD$lI zrZ0Nvrf;}w??ThG_l9^V74L310F4if4vbl^{1?uV(lj>!qzn%9Ta0n+T=KFX*d3$I zjY3|N%S3l-O$MN>i)g~0hvjp0j($2^HE2eQutwB@CaZ9uQ)P68U%)5$a?1qbn@JAN z6V^F8pEk~mlkoICp`~iMfLPf>jH1>N16s;*V7abicxUn+`OC_<>)^ksXuC7`FZ}05 zch^>SC#Oc0+}Jbkl$|6-xyX(ZzjWGlsZ-(RDitSgfeqdEwhvd)dVB{;uo!*% zppA-aCudfinFi40Mpxuna`0dhK;hQ>xqjxTLdi?8(`+tC;l)egwt+BiLR$F@5_ovP zQ8F1s=PQO!8N_03?oQtug=XrV8@_=SZ#eTpD=7>x`U4JAZ7mw8gl z(o^>)EaQWKUZJ0DrR`Tl!`O|Y|HB%6rH4tm=H=%79Z2tArKcX4hC*2dc@)mx#x^Cf zp&z2NTuS1Gaqyc&nXhfGbzFF^BPsK|mGxnq^ANehH62#HG7CR5xhFW5i+#~H^R^r{ zLmy7R6U)~B!R_K?!Aki9b$ai{@h4R5%3r)BrCA$&o{Py?zC{80_0n(Bp98+Y>$ z4_W6B4{g;=wBC^FJ32=spSJhTbEuJ-mD!+d1!J63du;r0DzlSeh#=?~Uq%LNj(YxZ` zgn#2m>n2{TpvU58ADvtUsBw5pG<|r0Ib{LH6Y`u@<ZzC(FCg``INp}Z9&s8^e*D}Q0bgb3 zJojT=b{DB!%r6~qh)2hxneuUC!nl1bRWQQdR6yh<5S2%$Tw$5Xr51Von^63jfM}*{>yO*CT738!vk8yqWFueVq{2IS24er4TA4;mPK$nE zygUBziw~t2INk4Dq45>@CiV;C_b1}z$}JTi;CrzpmCwm}SlVEX2lA`u3Hs88P1$te z*?R%&?j7k5;BV5V&Aj3irG1M)54?a4E`I^WeX2*OjBl-0hO7*}x=G-~0t%O|sL?4u zam&r!^|e<<-DQ01_7Z*>zrGTugSYbKR4s|154X7y*= zeO}4OFq@NPQ7)7_LP{vTvM7nRmW+B0B^6NNw8bNx(rE`&1yVw}%9DH7yg&cT30zo0 zAk4S_frR@0V?$$%qDqienN7_E@|pFDF7R>Krea znj3fnx@%ycc6L@R+?3ZYYXYqXD9449yX|VUcQeU``l-j>kh*Do&1MGaN9=+jh8W2W z=9GILgZ(&{D3s~vWg5|8?hrRGO`U#~S?I@Wr{w)}#CGRbiDQ4e(t}=n|HvDvYzq=X z#L4QVCXG>~*(VKCS3eOEZy71gLSsv_D(nzS7{7b;s`cyAf6GTp{9&KH|8ciVZI(Xi zE`crshwF6Tb_oqus4+uQ-&E^m8n5}lLbN^^x%<zNG&qh93;SJ3=oL6J# zt-`T?C=R@RP6EjaMKqUi-qSl6g<~lcE8aeTM6yB%EjpYxZs$PZm^~$iw=Z!oS;2rt znPztI%$y|CG$Z6MIQnOe67|PV@}AQFx}^ZJ`_}ThjZ7#kuVg&q%%XZ~Wkpi6+*Inn zVthrZ^=LHrG0)D4FS=Y4ND@kWDA>I))KB1o^2{rYrr;~IGs2JOR2f~iq~#9MU;Ds!)8EP!^9OTxMCm!LMaGNc*t6e;af=3=zUd;6 z^fqsJ0r?WwAl20}#jb~pUC{}b@YA)8AYodxB}ke!p=meB4>9oP9|^ZUhSS4Nh?!fj zdD_U;6EKF`d=cWvdQJ_earr7^19`~$Jx7-hRSzA@4w_RV2NckDNqg$!OTITc^l^_30W7MB44u7n6Z+@Mp*jk=j_3CHcEG&OFo{@pRe7qNSC}2 zUN>)<)hH$6DxxC=-V7DFgh&Z5Fy97czh;c>r=8#BE?!ODHgJFF5icD&uH*?w>5PL{ zfps>zM>w@5P>Rj!l~K}O#=ke}v(Z0Ft|k@)Cf_^Gmzmqosg$6u0yjR$sFv6Lc71`n zv{cA|kI1RKv{iRFjLtO`G|9KY!A0WdcG^{yIMmy?lZCLhjuHAtjdE(e;?@gkKYPHH z7Gj=Rp8u;e*oW3}ccBzVejEg3KcaWc&S~0M0Mw2zvl78hFu{7WB=2k!F1K^a`IG6t zbT9y)>c-PT-@FgZp5Na03SHfgjSh)#Os}T}9zA<~Q2ErfDnb5t)>|P9z1n9J=10#< zt3#)s|1^v%=|1u8{x*5u%f7Mt&#s%`3*asqRpJCAVmOXWqT<8-Ns&NRe%ha6w^b&V z6iAJVfk+84A#ov*K_K_1eRmIi8S%@ci_s`y66aH8d^k?$O0>^@ctBiSz^RB3^lM#< zdQt*lJjr#8bQMUIS4>h^(eqaTbV5+-ZT3&p4gZmls3rl}BOiAewPXGspERzD)bq&k zpYw~#3b(?j?Dz$Tv8j=o$o{Du;6ZF0)h8w{m1N~}+MVBT)+a8&j#C8(8k^Vz9|_tt zDB>cCiG?n(Y&{2|;u91jwXr=*<;P91OSujU(IHjsPRkW8JF}Sj_oGsOQSuO6Gc&n= zC>+9=K6^_2^T9<4Hg`TD`&dEwQch%Ve{x}+<$CcI_zyqC;f^+7E1W1Klv+a-PIS2r z@{PYf^~!4fl&-1$_^A!y-IvL#B;D5spUc_S!&VXsm(`pi%NxJ`X}tQz8EKk~K5q{B zsOTEtKxLbebDNH6b=aWoi^V_OI!fhid<)-r#(F#&op%s?-|(LYktBrvW7naWb>$IH zaMi^N#V~$tD_Ae7-+qboBG2<*)J6mU*hcmK z_r?zRIyMR(I9bhgb^Wk$2)?Q~--~6r5y<>rgxsc)&;z%CkgsAEL>9$PD~p$&v1N9u zv`cHMWFa28j+p4%HUtYA&U~V!d2eEti`7P^b_;e7^ZN@ZEartZs-kUJz2alw934D4 z;U=ScMW@r9qS_QJ;sj5$c_(;xM)fi#o)g(W>SR`|fP7p(;50S_H@%&`f@hb=5>ZnO zW#6vvlEEdI3PdDU-#xRUd4ItfRc6#t~_}z^~=aJT{Q@U zmgPYf@9xJ{^!6r|fiNo-n3Of2`Y|~5;&Ypj2H-u)DDG2b#FIb$Ln3$v_Y97>C)K>} z_#81D_Gw77-0(|kS-breIeX;wP(@&M@Kv1e;PNo8VphTMK|6zbNqSlXIb_LZHLcA>tgpN% zm?xd-v;F!ceQO9OCb}YOuO-}3P`4X+=40B;K9I=EO`34h<7B)zF7AG!<|Q2dtsSh> zZOWCry&dV##-=Bn7f8jjH;xH+w~O>=zZv_*AnJTmvg!_B=J6hWEe45T;(k>hUvF%K z2RfFfk$+M3dlNzLq)9+%U|?olfTgs5hvP+4Y|AeMfRHj7Fxr#M9lMpNw5UmJ+cL|* z0adPn&Kdq)uFcifUF->A3D$PGZwISk*EAn=eS4Jf{m9KkR(eIsA{Hf7TvK>^OD>i_ zFjn6GK6;XRrv^4SC*09LxaYNj^5)QAZelZ%{+GHun;`W_U|>af2NAzJCu{`IGIu=d z>6Eh?5CM{_)lS>Cd4YqxlcRGb%ah3YlGX4giq`N#$-15Y_XW6LW1jIGGb!>q+>=CH z{=`EVU&vkAiO7c&BF}WfmG9QSW9O`oDVAEj+_DP&dv|$#&Nwd{dnT2+4CRdsV57>{ zsd=4a6_(1AY}K6OxE~e7`Mo4~%Q|W303Y|oCS$j5TaI7J)`J*>{#n=D9u0 zKU@bIzukd?ORJh5bP?vCo?)==#4f7(^cLu*a2kvp^M^;Fe}sNS7M%BK1iuFuct%>OOP2*yT7U-#3*rSOEPo$*@``Wz5N3#_5{ z@yFxtRjWBNF1zS_R#i0V8G^L@WCZ<)^!i_%>Qh5bd-f_9!n5rGO$!_VSjq(j$ZA57 zJUt+{)i9yOr#8-N+Z@Z$8;c0s6CYT?MmMbNepib$-e}v}`=>INO2`>Fdj(`S-*NR) zW(O+TA5*{PkDVreo{`9U{H^Q35|6DK#HY*|?zBA8x0yboF(F5^O5lSEjOl@>m%9{e4q9XKDR4kOkRWUA#bg2LLN`zTW62=&#X&x z=Ay@;Y?Y&N<{q&Yi6miH+vBFO0725syapG{bwZ zMX6RsNn1RvuwvipKwE6CflDcv-!aSfUrFblWVRcp-%Kj#Q09)wwLi{>!FByhFrK0+o!qZD78{$LIWaVEtvD$;s~GC zv7#`pd}_Iq%`AAm1ZB{F`<(MBe2MDy55BcOvLCZycP$A?YtVgmF~c><*4_{R|hi*~n@r=x6l*_7%&&-K~>A)lL;5T8OjKzre=o|A5;#4NVv-opkAF;bcG*VgMCr4K>^D&Z7 zuMI$qT|2NN|i)M6HscAZaEcUZ!s5ac_2DhrY_QqS z800Huj)u0&bkTji+#uw&vWDOdhZC>TN!5=-Nhso@z_6ceHY?MO?$gZDQ8sM}**wv>MA=)U+E|AkP_W)@XAgy(e5%8DoSj%RTRCMDcfJKYWf^icuZ69= zktv@G3E(ex#>btvNijBTN9855P90`u@F`p{$a*Z}r@$+rAkgOU)Uj7U>>)fY=?zR%Yjp~k2Tad4WalFhUZma@HG0)%BWN6i`n}H%J5T z(ieen5f(DE_H}jj))*;%g6<<9`Vd8vbSRkH{Oh>naHhsiY;O~hrhCKK`tbekkE|~v zE>$qGynV@V9IZ35f@S&B;P^dmN6%k~lIRm5Mhr7^hq7s?=vu(IZBq(=1vaprGIROj1QdLz^r-&cBHV?6**;fy+qVCpU0 z;y~%Z6J^l3An{7j+f>-8vBrTVA%DCrgSnhbD5$XMCM6Hv$d>tEnMA>9{rd1OC46Ip8scM4vf+v(v<{ zxSL{y;{J%}r~ogicea>?%Y2~oNfs;L+`2Is7u_~V z*t$&7N`N?8zqUBTIUQHT6(~r3>?PgV&fWCPJRg(N)$J-3!6u)t#~cULn-{Wyr1qK_9fUY1g(3J*@hY#v$a% zUCbx&ABOWFZTX*DqB{u@Vtq#6`aneRHVhkhEl7k~aTD(QlZ`9yU9QF2tZ#auo9rfH z*}SbrjXycvqpDrp`xx^X%w6?FOC@m=;la_}qXF}v&_iGdcQfXtSl`c@9h)aoIw_O2 zG&FqXV9y$|6hPoZJg{CDyl6KHJy7)I%O3aK$xkkdhIzEx(Ni0+V;kUEv)Qz-pRZ*zI|lWO68iJ&j) z+dPia8lmWUoY@$%T=`3C;OcOj}e48bhlfibytC7;a@@6(29p}%)+ zdp+`gBZ^i=2wt=BDB~`%`5_RT_=?I!OT1Zy0R^vhq!BI;lnQjPn%*0YpY!S)h#S;- zAG__%9?~Xmf^~J{k8Ek$i;g0_I|k=(>_Yj{8LuR4$KkbZS-LPipMhDT&{97FQ39e< zvU(`TYy6Q8bD*9!$qiOc=PMXQZn6%Lc^J#UtM#qAUa!_3X~g#C+=2 z+C6YCdjbYml@%?bFSe)s8yqp$-nSF~Q`(xyZfCR@n?v>U5HouLYFC`sYGg23@1Whlx0}<)=}2=6 z%hGsdd5eNwiW9?Eeh-R3hCEK@K|%{^4k9RC8DS-jG7im7q@V1x9d8E>`XR=N-N%g1RIAS1KlPIHyZ zJ>FX^jnyJ7X|}HXq~Q89BntcR(xV7`hnWXcgeCoOUftu)^2sPtn>;<8n^>Z0^ne*3^M!DPm)#}u>46|@DQ_tc#WU6eFW4(H;V8!BM_p3DDM z4{q+d^GNLMFgv(8SpUA#XHZIZ5@m!+>&@Fs9Z@7b@7fAt#&^}gD9cVKJAia@CjJ+~ z{3^(l{whB4LsgOohk2ibDBBLjK_aByHd5=v-3y zjFEL;Y=%TNx!Sx`Ah)K`&(U`$Brd||cy6U+sfyy6pVH~P>YCJ>A~m}`O#s`%hd zWIH3f{fS6)Wtm@-xaehHbg{=OHYt70>pv&z{Qao-@f`E@(zS)&hIOg)CG)A}7O?#a zQ17R$t0n&s@+>vAf0fO7*BFRmBM=ynnW@>bTqVVCt4E!Xn_B|8=@mD78zxTNCK#sF zZ6UiG*dh1(ZxN@)m}8_&SB>*sv!VPh@CCW$P6YG_8{{}4pqAWB0%#w@*GCCP)Y6Ld zj+g2?c}xFZyL~!iCPY!@>dV@X3MMrCt$npcrmFYAji@Sk{!33EWVBd`Yb5fYt_T;Y zmkXwBrzFR(v0j=+JZQ>@DH_U9(}nD_SEK|hI5ZIyc4s-eniUNPWZZ7>fCa9gx7~^=;p_8 zN&%NBP+poa-2$47N77=dch+<+JizglO-agHWccd7nlUsyBZ4!@tr==~ z*Y|8^vb8$sQ(kQC!H0RK*PKc@A<9;@^MJo7TEoTGYhwGH*?f*|zseplTAclu)75l` z>m&Efzv7z7!cDtnbBTGwSE&3MBQ0m=DrPus!#wOwi>u%M1ZQ3<-(}70E(B$H1$#Qd zr+v|qK2yczo(FTW2}JY<0s56LC$iQZ4a0Y_i*vV8b$TfSoE6q^+cxkT=E;7k<)A6#T`v!;+2~5N{2IZHm)PzEROj>h`-zfRk%!4 zB_#dQc58w7v{5)KZp7d<1@ zZ<@M84oDx5z6EY6BFzENo9DJ;3@vDUzq6OR5dLCd!;nfgM3~}j-y<;8jYWGcLD|x$ zOXiDJbHRJbmwN3%xo1$)C)VRtaS*r~5V^gVaf&Yx7{_&MF&+^KI0UWI#Z{fc5r+cdZvXRnt4 z74I*^OU;2Ytvp3Ax#9}j>5f5(TzM@QNVc?ll?r$)2vA^(tWi&ga=!hi43z9L!Fr4hSAz6+QjDZv+Ze7bzuT!G3X90Vb_ z8-afn1WNJ%DOm-Rhb5^p9QPEbjbh^c;!5I&F2@4q{BC^NV{6LMQOGFm*!bv3OiWzn z3lBkmUULehrhe?W#tF?sEo~hiU%z@npz~o!Xjpi}8;R(PF|DWLDG7;}s*`T(!2#;% zZBHCq2QaUFhfT*t@HF5mK5`rA&V?O{g1`;HIjx=wZwmrOHqn}UoHncB2oq%*XYnQ{ z2O9>zk4{E&jst+Iq)A$}Koi?bnEndpF5Cc*wf2Br=h=Y)FfJ4LC;*o_halYnlmJ+j zL_!bgARy5%AlgAxp0p{iMpaYD%&n4Kl{^c;sZ?&+^8ldjPUnTws5@`~7Gkr4L)d$u zuxB&yYbXQ~$b(Inqoq-p5fGB*EQT3+W9Pe(VuttFtVzv%ErJNBwMUq#Vff%B6iqIx z>bf6d2n{{ygDk}pJD@?snf#@+9t)_ksLY5t_3J*gzmiI=ur+yq72vfR4ySk15;Fcu=u>*EIBop!XZ&Zp?#Kd?m>D%@zV>KyEh)yef2BnKLX@QQ=mb&tj{Qw3Om~fqkiK!dgrT ziW2AmnFtCAuyYAVA#ft@5ZJ7LNl4tCDtvUEU4*Rwltu`tid|hZ0iU3DVQkzS>=K?- zxCoyS-sy;}piSVEl>Pdn>4TP4H$NA@@9pcfUE5>yL*t dH4y<8BeZw+c^$8?NWU*8XAhSPuW|;C>>jp zaFC=!*8AJ_y??l``wzIU`+i=J=i}AhgXru*fQNkd!4d!%00(L$Ajn_x-{JpD^8dRV zsqSQaL*ER2UHVB?N^Tbl1?00)BBcDLo!<|)HB+Ma$q7HMyeuP;NN5?1@LLSPicRtC z^>p!(B_a?!OP}dhKTc${vfsdjXMp4VFhCQEiwZsy8touOSueU!C1`6s8EP< zJeV3xNemu|qTxn)D0?g^)cBL*Cr)7EmSPi5Dh|iSXuaCENOjyb);2cOT1FaEVn@|< z@H@u9;gCjq9X*P!_Na~l#$ac!fzDoi?NpPuNA8#lYK6yzkdH5gQbWymLXT5yT|D2W zorwz_5=uxM=21yJrEJI}?QGNhVKg=pp&Ok6K>b>A)abY!&A5=$KteSkzU8U{OdH&C ziI0s4-PtjuB!o2LqoOoJPeY-a6p!$e36w;51g%e}<`~8JD<$kqnXFEAVo-vZ|E2FC z;gRQ@+FJahF_D4laq%(L1r$`Zb9~doQL(U^*rzAsv7`~b-!foKXibtNa3)gjs)EM; zuLlfW~7kNj2W( ztL48WaEJ0(_$J)m6QX~w?E?x>0L0{RcXjjfPK6e0fm$TM+7l&UGpW;En?l^FPZuv} zgf$T8t@$J4WSyo_UOGmkjAegZRG~7n9-ay8jpezk!o)MiBW0vwNLu5yFc&wiHCO~!q+kkx ztn1w(vk2OP$8aeu5+H;gniWby`bSwnVyKrx z+Di;fE_<=S0U0A^Vbfh7_0BfZB1(3=ZpAU|A|!=Zu8y=*wNV?ZwS^9_tY8IQ!YJLa zVTC$&?Ll{XO~=06ro2w+B)dwwT{T>uAyoWUucVYzjwxsTE_sS6d%kzPUQz6+cZ zr-aa3bJ zqe|McNa!}mK{5`(8ITa&`9FFyy$^rvzi$P;7#$G~x|7+>J{>dE>J69Mx8OYv^Qn}a zn)EPZP~rHS^zzk05z-c9#3EE8Kt7#Tdd0@^xIABW0r!x|Qou@m^&I(@-y?iz&y7#j zM`}YCUo4jmvlJYvKw;LD!|Jz?H9_*0e!z@C=#9z+QjAorGB{Tz-`G=32DCbTx^IZyBpMY{2&xvqM}*cF5OSj|z$*b-lN?nzXcb$FtF{F;j8%vv0 zRY|~Mtd2+784mtuNrN4>U%J!1^3-6GrYkw;MXywnJw0 zeg=x+3FiqmOo^;NbNxn>9-C&yG$tqDE-~xIXoXRc!CV%sRwd3lDMh z=}^!@OzBUjcW93VdcaR;AgEd@*Kz}inOg6W8&`Bqo3A%m{kK8Mz& z#hZYOI5MzmQ!jZlhJSdIv=CSEj@Lzcs%Fn(e|$@&tsJf4uAC@&nRUG2Kgpf z95lF$4&JkogVCOe{3TkU9xK!r!YngW?(i~;fDUCe(cJ5gYyr7lE^Z3POTqityf7{l z7~p%v&;L;G0coMNaI2;3XL+2G zrSke(6lfy336Y?N7}5MPcREPb>CPOy(bcfu#g zwAsLy(b#Z})GC%u^%(B=S3<5#oINW2+fXz83)sBJ*v23ogVaKfWI|gsbb9iTYtY`6 z%Ji<5XoaRras~U)SeMNAMS?DUUu#EC^7m;p3S?tAS*&uGsD{h|nnW|zAacMB+nNi* z3mQA}zuBQ1&71fgiwQlbgUKgJLtTgKu)x;*ZtdNR0R|d=%->?R%b&LoC#_*&iHiro z+8C+)nlk}^lYAP|(lbGxbMEup)ZGRbHDtVJ*F4|6>h3c!wBp$J&ioNdM=&!QyKjEX zR%zC5=fwihsnH7zA~$;2d0v^z^_h$FZc=N>bGa%>zVGH@Uz&Qc6Qb41S;tVOD(4fq zP$K-{AbQ0BtHxFJLy;fqEUYJz)IbL^jZlGz>KoKLvYt9SvH_v`$<(hr7Ghyk4wjwXlBV5m!T6 z3clcQm7{ZQ3(xZW;&KOa-m!#QaILlEi8i=gLqX#gW<<2+<;{gU0M1&5XHD<)5gO(P z3Tp6McB@k7&l}KaksraZM5<>lZ*PGngI7xHIgy+dFB?Zzq28q8IOT&1w`olJF#rrgm?iK#>A+nwfyG@1LsEY=+y0}2qnG>Fi)l)l4 zNm$+iGo1*m>)TR{ztart{U|+arWYuDJ6ZB~K6ck#0KbfG>heG8+j8N!HyyX3V@N0I z!lVpX&2RwB*vR|bgp7}Y>kND}Y=I;2W6&e*H!Q0%yCTu+1+}ja-a_gN4vFSC`r6WK z(|rWx$bm+@(W`VZHX0Ddy`f6~*L}KT`{fm^Yu?JoiBL%v9FV1{2SzB7ukgMXtT~1h zstCEV;uDANNe%n-&DKtNG-)6~9{kI=bhmlIQ&KxkFgBEmHCt0ppqQy= z9U**2!K+-G^<63a6(w*;WT7+fjVL4PaWZ!?WGPKB-hx*%dMM%3DVLIP(mv* zYb%@cgd+eFsU>DS@w?q-xWqf0))lh}DzbJL>JB)%FGF!qx?@FeaJSo9bm)wr`aux7 zu_55>)1*JyGFP}e-{Juw;`tF+)BA45nGrmQvZ+RTe!`LZ_Jf=Jh(>EOIa-AabeV4v zuhVn~acA?wj=sPU@5Ro|d7V4!oPN$>(l6&VR@uwjko6p9m-EK#=p;=|P;$xEG~jGE zh0#LS5eVB!P&3m?u>X>*u?J~D1`~6=pl<(HJAa|XQM332ajsbmbx`Wy?oG}gzeJW< z^_Z(8me1_MA>)iS*)>o*-oVTx>8*}-nYv!=CKq|(4bE4d)dh+W=EHU%Gb$%9srsrv zmV1o)_wynr#RUPGS;r&I91>p#NgNCw4@1Y-2Uo^# ze%-C!Ud+mZ#nLhJj!V$IkwB+8T+jw@(Ro2I?i_9G2EV9+XxnK}8nX9di8r77zP07pnG^26;PRuKCLPe!vjFJ)c7bsF@!Rzcp6Tt z#(`3y97#7h8J81wF)4sxqV4r2d*VG{%RMeQB-Hf58LnOE-W`{Cs{20@5*(LsFfxW5 zn>48#6B`{nN=r~mN&*0nVAe5mL@f7A0^lf8C0M2wlceGq2P`}2=pt_E;D?>ZY0-i< zhH!%;`k{JYvLFy++-b_eYUdirS&Pm!oMLoJ{_LUY|tKaG!6^w)Zg`rwH z??Xj$Zt}l`DZKG|HTMRnYxs1F6t!|(A|%aU{6X^kBrh0QPFbj@0D*&xK0cUy8R;EA zKi?xhAM+`l=ppR`;3DVsaT9>l`88GIN$FM!Ra*hPbFCy;wXvgrw!fe5@L62vx!rRU zM?Y*y%>MZZ)LU*8tX9QIPM7PDn(yYphE#ZYkUYyuIY{z&Hs%7WnFtGA$|Q0zO4-PS zlj2bui4R-irF+<&D^$Z@wc+A9kpKzQhH@?fu&5W?cu;B^hq=iOfr8jA1atIo;P&bH zeUP}#-l|jG*M)Cf_F3LZ(T6n~4FmwO{KFAuV!LK3K+Dg2m(6^W%JaBUaGlJd%u^?8 zlqmD%5xI+ra{14eT(y6^KjM|p=)o5|)Ma)S-B|8%k@{>rCB{`WJl*B?hsrk@kos7# zxcZm(YGBai7?mc-NKI)gMRSk7OzIjMrwA79D5E7`sX5%)Ams%tyNZ<$xJ&ROQ}{ni zJ@dx#`D{8V-;2k)_BTQYXR<({QV-XkWdd4xyC+_^dY6l9hPx%EXn$^rv{H1bzOX!( zvKhW;yrwRn9sLnLxxvkG=9VwP2BvuOS3jnl0lkWOMmGdDl-FwR+HBU(!{CcDiH}yd z`d&2Z>2dWST?q1gn=P<-hzjxNu;s=OzjIiH|;=>9sN_{3e%BlF&lXllbMj^0rt1i+ULA|11) z=wEuxY_jJ}F-u0;FvfAxr>c{v^N}l#X5Z+W1lYb37M~i%{)Im!R%$(9C1`*0x^niE z5SJ}x4hngfyAL*or9$liT;TOnp(Qjd{q-$L2N*2;^`z8140ir?w+ulH;iAj;nr&=} z2b~h?CsJKBCM*svF+VZ=21mrgY>5h7Rz{ktb!nAY;j5aaysQZIVvccl$l0UcnJ~;b zmVc^%$yywg2r634+2nkm7+~e5eGr{Jy=q~x=Znr~N20`C%9?9x3PSvNaQE|ot0(+* zjt4&Ao=6+`<=SNiK8Q#GZ7m~pV-DLuN;mN^1$wl z7X$G89=_O&u7N#u@32`PY)n32XWso-y)s=lgYPm~KlHBLn0sYljxZZIu-$ykdH4FG z>+;vnUI)rWm_pDywxc-`=u6V|!hzSWH7umU(AH_3JCuJ?b@F=oQ^RT) zp0IPQIfU5LiVPLcrmG+>^n}EZ&5b*gF>7~h(@?>wvKLIr?lA1dbNQ3bEH$LWwIl9& zbDtM{Vp;BUcevvLD|ghfK%xP;$06JC2m7sie(}irj&~=kOJ4o^5OamskiDk6Z3&WU zZPG5O#*oiC+Z6pD2cLxf=!=<t6D4CO0bq7sDDTnzakYQUr#V>C+3MuYYrYU^Cxox8fbuto;MbCqZP~@v7syFiB0sUthIG{X9gfDLY@!F^G z%ioUGPu+wW>`85;H393x>(FTWFo0-H@8_qj4@=j%gTpzbdw7|_U*8aey$2-tuK1OD zRg!m5nhcV#u-7)5ux;doawCf6j(hFasIk=7eUFs;X_^Rzl9~K|X@qLG*Ca?2oZC@j zDpvQK8_|=HAhC8#|7$%zFgPvfNp}4_)GAa+laB&<2#DqK>YaL9V7cB#tDe1_y|uB- z`RGQuC#^8Iz3iKZn9BwZ!vq?=gQ+30(c2VTEn77;4=r9dT1>NshiftONrr_c#bwXs zrNRW%frYp;@lX01DJr(A6wp2AjON)bb;|yj5P49?#MoFvcS~DaS91>lQk*>x9;8G| zCRC`!*i8vNwKiV@oMQ?9LK3atR_NB}oS$5Ph}+_|YKB-hq|#e?Q@;7l&>u>}c9n9a zZ{ZPRANcYg9$|eGD#UXcgZ&Gza8;|gyZAS)mJE{7N|RdGnrS~6PaIRI{RzkIO8BoU z#t1S-h*4p%nA#3Jn&u?%AL&bY)l1mphJ-E(snuJ_tuL% z8dRT`YLhr_kwG7~sG*}f>hJ-O_3sCJnw~ygI$Wu3u%uL6AiR{VbjNx3qZuOJCtvz$ zO%nI*5CHqT1rjL~^RJEkspsLwMmsd+l=Db)`0MTWFpi|TtIGUDp>sOA%-zSDPbw zzL~{joKO7@?KB+*qvOE^aNk>Yye&M{$&M(*IV*rEY_l; zC0|dFs_?Z?v_R*{)Rpyl?Tb?E2mm}pD|kbN{5aENEwi9)YYNb3REc0ygliT#8F=| z;=@b6CM9lX8vK5d`6qV%z6_V!W<^D(Hf{6w)S>Qwm`mNNVEzK&r_zy1@N7`Jh*!c# zdnu{)gA#W)<2C@Nxn{E?QbhLCj-X`Evtq5c(A9=q&7&V*WpXK0liI$9TGaaT!`zw? z9oPq|mbPZ(vUb|_wyuQqfS0|Fm$l(VTboQywtun)wV3ltLkQZ{puyKC=qvC+iCY)~ zJ*`9UZ|1gsTO-Wfo-Q^8a?i55pQwK0BSB3{rWRfJ0~WZwXDmgW&61o{Qo>mxS+PJI zUt=_90ja@7cMG_lJ8BlpBc$>M|5T^9Ehf+*IbvO`z^I=S*3kxCqmYFgdlAw$2 zfSa~p|GtIIBcOgAm}_;t+}`uT1hRz~m0v=bl@cTKeYZ>nzdn;mXkyK2au!1z=jL;C znd50AEw8T=vEF|Ty(bDP^8kY^mAfSO7$upVYh(U*aKdf4r3#%UcBZBttfO$7z~o3u zg2#4ayEa{NB#9Z?IX~`LZp^WHcjc=@Vz09~%@4h@YrLEwKngk+kPD?M+NIs!s=~B}{ODk?PHy^)$7vLmMMp45H{q z(WVMY{ZVVeGOvh9@F6n6;T3ngl8gk?Z-$GzKJIJT?z^P#@!T?FT;8V~m1fAh_=lVJ zcuqg=v8TcNp6xMCo$YfWH@?>0uW)6TYHvc*sJifoU3GpvVv_z%P+(0T&lgLZ4~F>U zT?U*#0a}y?9y0oDNgU%@8L`OwviMpMnQ<+Nn!DA!_bLJgZ!?~Igg_S!T>&vhtaO}! znJJevC?Vj*h&|-pfk}!;IRVSEs;F~$Eliouk>Se}emW8q4v2jj-Onkdqb<@-@!MV5 z#6B9fF0cHP7GjtnG$GKi9#-TU({QO=B5&wN6x-mf3Wf9iKT{U^1VihpqN+85TNa2drhS>?2kRYFt&V_JwUOt747; zTjIq4#Y5PvX^dPRA4guPT}TZX(Xm9l8Ox%)-Flkv850M~v#DeZ3Q9ku6tsVuEZpVp zgXJLuicoB;+k9%5npFGsUb()RRMc92-D&OC>@>NCXf_P7^Nrr6Q zO0zt3oQF97gZm$!s+=OlsdS)YJ@Mys-wpw=e-o>kxQnWcOx(DW_xoe?d?i62_9pVf z^{Lsyxg9Um>FlBW2j#r}Ga*4QdrL>;DUFrM7N~dadcDJq|Z_vpR?g+Nt_V1 z`{n)oY1Er3jo>B^Y6st2ADP?+`A!bojqK!Eek)neb;9Go14gSAerFbmI0tuevR7aI z1&Riym1qZz1W(AQ&+%Hrf<+S0hZp9VE4Q(a7n%L#=Ef_lB6V{3-bMa>qN_ea(?`PLuIPWe6a;_aUt(Pumxks|SY=*+c7B@2YE$akXWc&wu6Re>Uh z6uK9>(cK2Ri}Bcase>zbad%NUSel^~c+r{EqyXD;a@dxY`t}q1Nbc?rLA}O~6LgzE zN=mT7)_&ifaGNwn5T+xq&0)jhqKtmFf6;~;x%9=O9HN|$bkbLKwBE*5mn-Ov!0tHYIw_OUI#z4+aM)1vt9GyCurp!V5c zH-|^ox7g_;KraS0AT22ID-mGgiM^w0yZ`}}r8}WU6L-qAS+F%72@GU(FHX^IrB-u#88Ip{5YNZ5 z-}5KwCNl9Sv?0JfbehsXJPP)Y>Wgjl{E1&d@Rkrt{df_(tpfcCzc`azjB^KL9O}>; z2=MRi1-#~Xi*DezQDi{jUl~-u+pC94o;OgUfGv^sL|F4WWxG1Fe1MW0fw-a zJ8+k1@83GweC*P*_=iFj8l;ZAgGIYX1xl(s^D)4t zA+nL+UI9|~n=e2~c7k_bY}dxy2o*=@_aEAyMORElC*u<>hvUxk@q08`sfErQGrl9G zVvP7U5vlz-Am}gn_Bf$&+>uj*^Z2s&$s(t_VyT40gUaNg7G7acYTgv*lhPI@9Z6WSP1*LUNlTKiYxd<&cV1|S zF$oe@x;oF3MY2?y5AdF(l~V{dKMZdvKFsCmq6Gf7o<9x*85`mX{c1@P?8;OKGyiblJvQ$OZ_^J68#j;rR_*m~(je)S zsAaN+5k`^ss`$P+LpSVe$77Nouo=XP?Y?>PGQBD2{Acxp&xGH6f(KfBrcdUk43357 zj|ObXDZYH@1ru%?&4cSf-G-(j@t7+YxB3m&jV>d=LPJU*wx5+Q4$HZt!&lsQ%@cOC zh*5Ah=e~=4LtM6GpwPFXg+pYSl~UyH@nRMPz0_`_55L=y>X#DDNt1L@6rbHwGnXxe z%D?@1LAl`4_Uva`kIgED>~9_POnQ=`j)B^@+T7m*(_|am5alhTdcWXuR1&xBApXe{FrEh99@VV@$ z*Fuo&k@i-gfxyVx-f337{;VdpPxTineJj>#$Yclt(KIoW$wVP5@tmQernHB@83eyS zNod!%@OqAyYXd2-VRuI)R=W>p2;_Q!s81?9HK$Wo2b97A(h;mi8&{m$MmhAUe5i2cW~Rnyr46-z zdZ51tUwABxblm=_qQ9fVR-v-osUKQ_DYIZy^!^vP<+-q3$&jy7v2vSM)Z)X}j=CiO zToj&?b~>;yH>V6aeA?ZZ)c`YoS-CPE@>g>2z%E8$CO4}X@n-C%Xhz4&seOh__VMdVt72OxSY z+jTS_wf;jc_ozc=d#v_S#*?kDKbXQh9T*~nqDgPOG2W&{9Qq>cK>$;37p=|(FSgLz zmQCcawo9sz0&~sS>@?Bb{{l^*DVaEL|KjQkC#y?fJx})-%Q`8}s2IwDWbF`Z`O%aYMRlr~6rqlq=Lr zW3Echj~QHB_>DaV8ljHwX1h9Br46~f5PP`NI?IF|kC-CEDkE)%*Z0B5XM!-WLffP$ z83?CK3+T*1RCKH;a5OcEtc2pUes))m zo4Wy(Dh0MgiAxBN$B5J1&I_asmGHl(`#uN#;G5|d#e0#+OJ|EKKPRc&agr&-U1W=; zPFv3iJoZcD6+P3!6MO1RiIkz%oJ7|QQ=_|ysw?;DEk67;-=AJ{XusHtXnEgQO2$q& z7hzhY^#{@3|LxpPgt)>p<%`cwZ{LQshOhD6ii344xz{qhHf>KIm;b0LW)s{#5-OSo zVx0Me7qBnZqmo*_er%gGy>0U4JXz2`Z}Vw_N#!NCRu&oy;qxSQx+%N4ug__HV;1x6 zZyP-bmrPV`K(n1dzaMo@Z;~omIIkpW*f;BMDat>0Qasa@=a;7GND@wgO=!Vf}DM9CW7sIiAtsDq5Jk+cirJfvgeGxOHSGs%%{y*@INItsIz!($40xqDdOujBgsr;`jU!rVj#WQqHIwpqyZDK zC^onoG@{y7#puF?j_SGo4UBFHj#%W}K77<3AX36fie6qo`sH)h!1-9v7XT3`iqooe z)s;58SXEh>f+niV(^+k>Pav8k5+2CIiF4-io$EHYtFSYRF9tIf?$2pVF^?%;Yqz;c z&l)n@bW=#r-PgZ?-}k*nzDLu0tJJP7kQZGx)k79O)eakdf}l>>_j(Exn`C=MD(h&| zz9A!hC7)6x@B4flmGIpaxb2a^V>pBlM13W|+|0%y)r1B>m$t&Eq?CEsT55D9 zymF!d)h=at0^FV9@xCheh8bvF`#A-6UsISwSXv*D^(!1zlI0UH#5?zWGuXfGsi}74 z=^N5(;0gcSG5TeQxreD>Mz3hWzeTn-=B0d~q=bFi0K4ABjHmP<9(?x4!t`P5@aIZ< zBd`p162WQ}#lwm~!Kts|jxk9Gw3aa(TaHkkM6v~VdZju123}JPmnNW{D#%jf2#G34 zEzW()RIR8$WNqi)4|d$*RB=@BM5&`gamznser1)PUc3I&Qij$x-y{QBFPyo2h8S{u z1DX$n+y&f_YRBQ%%hyO!p_ptJx~MgRPi`58OK;7t-iQ5)hx2>}^wd+Vv6TVN{IcWL zJYc1$;hi1q;aBsIrc~)o-5KNv%B#qwlPrNX_b+-M`HAPhwur*x>S2rbR97rjj6L66 zif;RB*Cd~Bo1^l}vi#)-2RlGRt2skc)GjJl8@T_2A$@*DyeL_Guc5LAU~u^`T;wzQ z!i@bsw5)3&o$*JXaRKfqKkddD*HUBX7JwcuLGGPl{=`zN>^<7Ie+`c*8Ptq(VlWjc zvOnC!3~lsCnVKboNt(>zrXE@|tr&kCnQd8oBmH%=V&!<9#P5z1mi<(Xe$7=*PP4=> zTTOHG>PvJY>9r=V`><8z)m5ykVD<>Oi99UC$0pK06bk8%|%aFie0fh$Jw zr7-G7NU0SqSi)ZCgKN1>d(X+SqDsqSWu>k=HQC`x!Nxl8f)gceYz9m}e~mvPqHmvV zTb6B=cv7a0nTsP5j>{zW0h@~8#9P_WwVOY&UZgMQB9OwoYd+(uhfY>vDR$PZ^7=j zDY1Sj=`tLK-|NF)ShvOR0atx?dX(Ntu~I(GnQ}XBt97I0KxRtc%@a+&46wDQ0NEPq z^xp*Hb@O7llVZji51Wdqw(9-Dx!h*@YI%sdb^ zw9Y4{j8*EH#>Vqspr*!vO*j%1tJJ}1v3ma9|MrGd%`jTc*Ag~wRRcL!?vJ<@`Z)X5 zqP8^Gt}5YVefJT+44~2=dBXFVeos)$agzDp&QDX(|MeN3mEDp|KW|;V5Fl^?rLgOC z++=AZ@q`MHAS_DDZ8-rA+kH(cXck&Hdgrk0a0;{NF)I2NEymUkL@xO05g)Fd7v{_b zyJrT2q}L|9If>n>WH0X}*vj##q+HIPC^60#KB>U=HvwY@&OJTOYt`!7$!cUIl9*+3 zh+?x0;HY_hC<>ioRgFVB@8zO=!_Wf8`}PIrxf94`xM5^e;;zGw91f*T#FnTtV=N}kNe3973s{DKpj3aNE1j&;Ed0+m7Cb~Ro@j>b38~$M zM-au$zBv!cFbM?j68${fIy}P5kv}`@Y%>imu0R5h9zAN}`i%x}@r?F(1VX9-Jj)}UrBGX&{ktUvaY(i zk@a@Z{y;&I_0okqpPwG=N`ev>>G3tcg6#MH?Ue91>~Oe5lg* z=#R*P)FXYG&?hEYTx`uA*;63@JjIqTa{g(COa1MKyBVqlI2|@mLZ;cTe!zUn`>TVb zZ3|jIQ-@%pzoxI(QL()8v!P7Z1wNoZ`n5wg=>4-f=^&xC&DG`W&POiK7=;=?R4KB$ph!d9}1|!aLFQGgaF2ot0dgh z3Q6ylG#&MoxpRX|(Oi&7SdBdq4xEj|!!$?UmYVfhXE|i)l@A)WFVler(h0n?^$4rkeTMcJ*ud!-zY|^tUSxFF<}<7E&!&I;i(_(m+O6 z5M|FydUZ1-f$@`5@@nV7Q2evi;(l?~ZU&$Q9qwn-b5O5Nsa|N@MmEI*e(9TH0W_r> zwh^1ZazzrqFeGMNo*I@BK_3s)pz-B{mGfV$xI1rs4#oqs`)D z$}<btGIo{RhwIwTZX>J}hKYW_}1-u&NWjA+Oe7$_spq(do8w^Am;pQ2HF| za`Y3A#XkCP16hit3aiQX36}ZBC7RSNWY=8d+@9m8GB)S91ly_XNzpl$7izICU3~x2 z>2n=mLRYIXr@7V8rE3R1@qA7Ly8S{JT$#t>v7a1+Kf{|z-#=clG#mVwQA{}I#+%(s ziZXb~XecS|K1(P?-@H=`q)zbRHmY0pQg+xI5c%C+_lY{&!X+aR*izU9`3ReS;$u5eUQ#=VV{fJ(TWkpmtAj~ zog*eO+s(3VUVRx3$ZcmoH2(I1^7=J9d5_4!-lqszKA#ym^OjnX=lD{i(fRX&sWNN# zKQQ;JlsTjyl)4+Ob6pgZ&nqLc9b!%cs?)ZM^*4RsTXPEpW)cc>(L&y}{fw5&ycYys z5F=f@Y!dQ>v1raIJV%muXqjp%e)jO)I(gn0LfcUcPt9wnlda}*GH-eikb% zQ>$tl==J_^;V9no2h9FR3W}CwrTHP)faR}W@0bDixt}e$;xsWj=5xwBsh=b9DTL)m z^T4iz&irQ1PKQ*vivMj+Z))t!!*^%}tGZOJd4t!`{ySmwDk+few*if8ZSdkfr+4?i z!>Ix>7uUl?w_H*S0_TYD9x&ONwXT1AiwdxQ5<}(K3vb`|+U3hAYAZ!NVrONuBgdEIe|v(-CesKK@AEkbU<+!^ZCUeVU}X^-NajEw6tP_Im{L&^qh*c7KD zzJQ{K>*O>Kr*U2CU5>32!5HDx=4B}lOj^9ins!Tb%B(JwRPvmkN!@7n2*?-#XxvU2CSK1B}_3&b1KfHWZ`s4Jzg2NaZlni>!T#5{s`RUzEEtT@Nz+`U z@`|>Tnni^F?DBjw280>+66p@3U+f+kiE#i?9p};RjQcM;yN~l{wKX{m<(fH&4*Yaj zG{2ab{-Wr6=7cY31^-@%gdNL?z+}S(mpr5Y`@ChJ+Pxr1+hP<7Z6#1j{k0bHA=gvu>%wx=2L0d$|qoy`evh zGSSY=t}fxDN74Hvu7J&)e$o08|6%KYC_j9AL6^y5J z;@q8EU`_s)oEU3uuKbY#%~2Q(bzS9|qWKd(Fmagf)y_4-G$Fjm?ow-;d@aQ5D}uG3 zZ>z@UZ;SPrd}}?=Lu@<-nfyxld*W8kqkxjSjXOZ3R9vDqba_g3BCiUHOW(yM$LVkr zp4X=m_WZ37zBueZSXn=62Jo$mpC^8ePHp}Tz8H)jfOUx)a=xg1`LkzrqaLTJ9IIfm zb-ostDzRkhL`s<2NNq+PoE}s{$@?UycO2(1CaJJweEq-otUy!#dQe&(h*W71zM3ef zyuZ)g^@L!CL)b1UOS~xi-vofJkrt((X)io^`21+;X16;j0WX*Cq8#JP4{S<9A~N7@ z-)!NxXFOCEvNzXx7D7=<#<8+WkjxXA3mT2J(sO^h8~>;iSu1u8BH3N3TNAhh;S`D{ zW+%NB%~{#29viO_G(i;PEd5N~m6)cABAtBek z{Q>Wv-|y$^{dzo}QZPq1m)^4+%6sPBVajM{gQfoqL;u@MOIyUBCdg!-od;HnRL*=%c=Jl~Jx<9l%=>brx$Z3Z5+ zp*>Gaw)M*o_Vh2`!S1S08;yLCcTgnz!hu)f2?ALuBd@wLZ zxC|lBe?QkBws)0uLznxI99R#YGqK#C;s83 z4YRG5l8rJ??r{}Zw*H&>O(>2EUw(J?X^V>5yts>$!m*ernSRMwt<1q%Cs4dx#JMju z2?aAYdOS*t?4f!v)Jq?oI3rOS8_dnb^lJ52F3D-X`1{wBq{_^j6C<66xjoO~?7sUH z&$BSnEH5}C3^wI!Snfe6KD(%Zl5eZy|6jL5AGaNKdZL9#hJCqU0C>U=(g3G!B8m;< z`kwuTf(q$`J7|q5GgMK5wf3;`ab3W!*6JqggMGfCZ20yZQBZ>gX9w;;7;U+y(ClcGD4PNQMta|KQ8)D)NcYaN#H$?HUc=UE8Ea&0 zET66syx^&5H|pM}%Fkb}sb_O99zf;)ON!~qYYN#mtTNlSJ&+P`qV$w9g?ms?9+N-U z!zK`XA-RI`kCwyoO}lszwi}XyJ;0ALb>QK;X$(+y1JrfTV}#Sqzk#rrqg6^o!B?|Q zNzR{nIYU-cuMn#RiWTcbDE%U~MJN<`y|nvjw?QxBW_4)i!u4+D;T@nCH^`R;biC}r zkO*(sTsEh>0WN=(p+4-EL{3{{gEIMOzEG@# zeOB*k>eq$j{7K=grUk=!)7AzEu!E!%Fv<`7@LU%=F>(RaKXP(xqYH5JQq-N1Lr25G zgm!IZpo+xCF@Rt89Qr1Nwx|&phHeDvVF4YPT9fuzz1#~^r|R_GWX5W=EElnPpL+H$ z&T85!u;#7l_OUj=i%OSF^8hk?Oe`GF+M+8%4@ z?2>%mr}vz5=diB$psh)Pm}z|v@~ubCZ=}*E6_+{?BX?Tg5)_3@lM@=`x!X+BR|Kzm z_8+A|*wd^AbLX z4bIihltoWau>O`QU+0~y9uSFRV`FxBOO2HTo@Et0%$?Iv83gu9c}LS$A5s7ot_f48 z_E(BJTSE;Vo_KfgF|G5#nO9i*NzBKoiz+jwSZ5REO%*q8^H*4%$v%}~lNoocr-|^U ziVC;)E3EA#LSR{qCcB#|pGn*aMkxXp7Ye(h z^pwedd08dZCPv2CizhqARf^3TL$E$6UsWVBIxJqB2k7@ilX`qKX2uaAyrl6-8k~PL zK(O@nrw4prO~DM8u&86<1;Tz*dc>ff$PC=84kAE4nKAOqSfGLFMpvr2G~gL|R@<=3 z%^)T!Hi2I@UxHt`jC8vv%}Bz?$PSj!C}l|af-?_@H5`ZgUYJOD#(G|XYHR@2o)AtN zF=#^s9O!q^r6+Ud_uby&LeJlJL=Zc}JYYti(bGgJq-ajx1iu8s)*COp-gjno0*Y*s z>+cZbHkyUT8%9RToQQIfZbBHyG{7rg{+C^j0Ka^PDSj78qDJUST05-Sxuo0HESI&H8 zR@(!A5hG*oja5}o#lJSk7yJUgDSRmw=6nhNQeW2PyIDE$mC0;-v1}y%`-}}pPDK2? z`1clA_*rq%4@g<$xc*s`bc zLk^m|0LEmFsY}%@+If0vY`G5rc*&)bt0~R=0F#k>@P)j1l<&OmjeEw+irPHvo7fwb z5#dflLiLN6w!3$M1Rm`!%*v;Q@*>Nw2=(`+(9fj3pX6b^;Ew8hSPLO5V%ZFLXLkvQ z8!p6uwrcrtk8JXm60oUDjLoiM{jX8j(lzOljEJ+Z2D5=FCGm~Hm#w&)H`E5o```Ub zZDu#!Pxa?brr>?M8zF_l@GfkZ!}q$z;Ss4g?G);%UhcC9a`cFEv(Nv)@S%_@Mc#@Q zff)cQf7onqO)p6h_T7G^8M8Q-9wfewvX1D`D z9%Y`i5_vwo1}u%z5C*K`f3_L>??)kQ7bgDATA(>YRj6sdNJXb(Y{qL3?p8SH~De1La76IJ` z0bM-i6y&q8QD_f@;4VIoC~z>fCFs7@sTk68x!0_0v%zjP4a*47L-eIrGD=3MyGn8! zxh?Bae7dpIO`XI2AneI-HH7;LxISZIt({WSm~3-xmr$(k$Xo=DgY#`<0j5NQ>Z$6};FqKM+Zi zW_P{dSpu|2L8N80{=AjRFD5N)Y0m)RN;-qobg)FQutHFEy3tZ{mp=) zUqD>q0+lyECLH=&oNvwMgTS8Io~o^SW<|l#xdeEoanuQnxqt&P0lv4d}Y;v zQHqaU9w11CkK|_C>=jraP~w2 zKNFUfdTZ;24`g+Z#1}58$X)Zc_obVjeZe7qMw@UlMc}1H0 ze^q`4hoXYgQCmc_0>OMsZv74MtN~nI>fTPqCgj6*X4p?lZ!XJXF*Yt5x=sao7BrEC z->ApaI1<=MonM^)$IeFTNi+nG4)0y*lkoq6xt*9FYM6mYT3GA zb8Zh9^(f7-Cmz};a@Hl={ht%Ua3l`zab^-{Cp0ZCsa6>I(_`ltTA@MvqlTDUbMX6S zOaJ34TRrSgZV%L*AF67hk*hXYC*Kf`h)By!MbP;=OFFy3`m^{7WSe=UwhES%Z!rRk zzIbxHmpVyu2s=~|;nI0(0zfJB>>Bnabf^@-yYg*3TAwG@XZ#hnK9UZ~ycu_!WXt3U zM1M$v=coF7n=Hl` zO6nGyYS!D4PafSvf#Xb!orNaqc?Q_9ubeoQdV%J5r~%5@ryRL3H8oD<>pB+U)Ex;$ zlDFvnkyZOc3iqWL9VmPBh`c9|@Qyu1R=m{DUJOGZgLzxWeHf*QXqW95@T+qHx`@0U zlTu{+YaANwBo#$|E#GUdH2&43>`Sp8bTTkN2ls?Sd7bjqSZB%ga=EobtTvy{BalP& zkRW$i4S!9xZ*IW54|uN*%WH)T`~^-VVP{uN*8aD$S4l6{@fKz-@2$)hKE5ghDr!ZP z2x_zh#f1S(R_+KO%wBdqdL{IpEEiQMeh(!48kK%=6!p1eU&`y8avz`%4DU&QEHzfiV#&>fl{$?2Y? z(kY=Eqbdg6<)or;E~JE;sn*NV%i=rrNuTtazNkd|u9npa&W{6pf|?{{#5ZJW}>28Zib5xSA-V_dxiF_7r1gqhCKW0tlE)+6+En^}LIRS<0l zE?d~x{RT3AwizO=SGMdS5goyLd zn*5HOXYDKUl>(H%NkAPWYBJ)v+METf4z|77AT{^dc%os15K7bqri8T3RScygOAKz0c1&4!QMyI^<9-wjLQy^OJet&0qAE63cr?%b7=*O0W^Oh8!OEVNyDZERh0 zOK!;5kv)yDD|-I#eMxFtLghW4s>Z%(>|dViAk6gr=7aJQ-vGJ7F1vjjKJM&&6bp3? zb|{Sjl_!Yu3cMq~Xl`=J%sCm`d;CQsk8HLeGg(8=?p`p9w(T1$ZQhAcz3=VmZ(@`?+#tFt$ zqY`=w6*W#Yl(y7-5Q@+=-O}O+N83Jr=r_+D_&JVuwlLkVr5yqsGUXG!_EFU6WSAJ1 zeo~rn22fYh3@nPon*x)n`S_qXD5#gzCG)bxPQDC+{!#b_!7lIAf4fTE1=&Fs*e~)@ zw%EgNzk8^9q&@L`FJLB?!lyb*JD+BW4q%vNJn!lFyYAUBOW#GU3YkT1!u73O5vpin z?A;fhz@!1V{fBc!p3bfx(TV`dtJ`07ihT(JLaFg)wh{AaiSS7Tuy zl}mf?q8UEzx=rgd4Z;oPW}H0ILq7%+Kt4*ovko#a=7NVzq4=ngdMvoykZ8W#746fHK*%X>=k=nXA?VUs{2j3y8%W5Yxln(S;sO~G@DGn zCX!5vAJb%|w_0Ss@ z$a`Dcpaf8U6KIwM~eH7#Ep$DvH}1VVi$0>=H0kcxw*1Sucj zxo;k(ULV;+N1xAPuPx{tEoG8R)5+lkvw7~vORa~ITaO4o`nnSiJUXVcejb5m5JsTX z1YYWnbrhM$zKih0?o*j*>?v=W6)J&Gx@m!0RXgY{SSDkw<@}r@)NTGuTD?5?(>0qa zNKrZ;lJXwQ7vQQ#oK_VSv1sOU@zdoB`vtUu;(T(S1z4++vw;E!x}?B=M?G93-M_~l zU+H#mfI!|B;}G)D+!vB7oXL_|{Sql(Mlkw=5+vEZS+Ef0Zv+ z@SW`Gp z{R*Fs@rz(MV zy9-Q-%E!N+a#dnLUSJ+)@%nNHW9D~YnhiwDh3m@m)KJaQr-IVLeK79xfsl|8sK}zvz3YnQ$qG|q|KO93Ng95VNfOwA;@w*@%g7O-tg&RM&pEloO!>7> z0Lc6Cp=p4>*M}~uCs?*p@M0O1_(~^k`Yd`00Wkd>8}$U5|GzCku?htb`s%b6<%NwH z>jR%qG!WUr3E*M3Bj@4y0UvPKFz*UN*Sg!tUOpNLXdXNRhIxuz>Lt^HT3ocSnRTRX zOkygmNIjJbZB74^?Lf`~45*`94)*2#r#( zX-fXVp(UphL|CFofMJ>Giv^RAkibPr^VK=ThbdW^6jK5ZihlRyevJ#5{3v@BNQkubrC9VZtZ~o&ms@^%chK z+FKgTXd7%j2VyKN;lG!0hj(qOG=A8w{(~TBHJaww=XaKWwMsH8n2OZPl`t>})=f&G z|9MX0RB#+~zm`AkWPc{7v!s10GfOU~_qp5Lf``ScOQlS(mjy%TzGFyjTCh3iNj>9u zUB}^QaFn#{?F9|6&#!~1BB_X%c!>qJAgT|8eula+B8KNNDL-rFUjIC6ARP5A`!MgvP7&=Vx5rXY^+LD682~hZv!BgC0H#A_rC*QSDLyw4)n}NQ zm{JqB@?Q_6_;Aonf3&eigshajrMC$ACr3eDJo1s-HCvtd`$$r@;h!=TFzeyfdVWwJ$i6F!$p5g zVe#@DWBYhF=GM0DQ z*5wZAlE#rll0DPt1kP4sSR$V+u+Da3pNDjsB_NEG3Atz03z|h=E9f`NfiAA>l-4<) z*r>j*@0JiwTvHRsNOS_SN6jrb#$E(LV@G21$OX}!5wpkyHU_0)ykbr4^tlFwOtQ=6 zv>@u1kG3ViNub&L*;HjG9|^CjUH7@lFe%HH+c1*%p*lgrSWeAPKE!_fGo`5;JnvMh z%5I+(jx_$^5_N>$MnWK4=OKr51{W%XXJXFc6J+EKuKV_pJ6&d8)>4e!l4OAxG4oCy zpxX4!cf2qhA*|N$S6gQt{4iy2z-~Is+uSNEhVFBaIZu;p{Qd}2dk=0LWDQ_Uv<1O# zq>yjGNuK#CC-&LiV3mDw!@neT)IS1gUU#NJEWPwcUC=u%bLTEN{qrKIj-0PHDp=Uy zxNCj+jDFU~>?pFl*sV0m+3uInkl!*WI-PnCgMBFML>-cfRiL|xfI*QxI9?`i7TuqI zMAfR{#VtBgcyA_9*Y$-~J05vC&Uurx*w>JzGS;tia|YcgL8+#tq3(^&$PZ&)ZpbHV zmDV=D=CK%f8|8X^m*=)TtEXfqf5=p*%3I^#@MCF*UR(aLW*RL=K8Bs{C zmR>Am`kD=plkli8AhAQiXCM$N;A4ik*K}AF$_+iH!j;p>G_NTnC?~(sIP9WMadRH> z$pY89H3&9?!-mAyF{bL01DVl3e)L$5M45A@9-gZ`!qkij@L9_sH}Y_y`5Wx7Y?t;X zyrPwcI2C>q7T;|Wa&B6gn{?WCoBfS_JOZcMG-&m(w(ySsJJ#IkgSx*j)$e1Kn<9@O z>#So3ZnnG?_a1igMoB@H8R@l^m85li^X5A zKXAzM6bui@(Zu4_Ng5|U?*m{5-2vOY(zI!*tRJUXt9)llPqIJ1C#j-jNUJCF6QtKh zowBf*T`vd2JQ1&63K9|flYg%~VE(s9FS>17*)}U2fcS^AMbQVbQV%Xs_8_i0wM4~2mc=5BchAS;jhz9-@2ujtRE;eNVvfkL$r)iGw|hiG_*U4O{x9x!`^CN$^btj^TIT{n zYN;;w#h{ncqR8$t&oULhR3WcbdtD4!17z)IA#zaz)^Q7WEuQdaGn@MSc)WEMs0`%i zd}B_D4M~%%@;E^4z>#1KRAoyq9)VcP05K}~`5MA~0=|xrRrjshgxZ!>t|laL=2&-F z5ge#9C-nw9kB!=y_s_}7oCkjoKFUUm=^p1h`HAc3Elqs*UY7IKVAFLVl`Sv zfXX8aiW)8Aa$?}5R932(A!Gnn)F=I|9B)d>OjCA}in#|l{jpnD&@ z0mDRDkKsjP6I_2Cd?Y|&+~)jmAn3+U#M60<07wr8DXBt0S^y$Fx034qZrRun5qhnI zCVrV_HJMe!&r(qutuy#Q(6c(ngW=GNnOCNEpH|jJ5Ki5-3U+7~)Z{+V2K9GRA>V!K zA9oTk^Z52ChnyJU0NZF%pMX zUWJ^ou+7^|^am9!lMal_lt*x%)1_g6iJ9igqQwbqADB!=A_v?0Z@bGqv(6y>Z(EqNYWnsdZ#E-> zmC?4#wR^Uq?kGdzxwsND>+0=N;CaO~mj6+ZQkBAYZ&k#9oHCQlzAQex=nD1&?c=~N z6#26EjpeTbH&LO>-#~w|wP#XOL#wZaj0)D4rbm8Fb9U%PKt(@PpjNa9?2o}1M#Mf@ zjPIS+`0m!ZSavO`wMg^Tf40QRYR?(&v)fgLtk|T+OKLMQRQraSecd8xRK?F~IJA^& zA$Di~M)A5C?cHY9on+=SuELB~@wk0kmwx_9VATHO!GTMwYFD7SmK-N*^kH%o)b=xq zVS5Gf^p+*FlDnyjR&vAo2(!HMD(jD5Il-I18vHl+mYE#s%PCrn^tBtNagS>_7ewT= zGJ)f~3-h3GU+Oh%S62^RQiNGThfVLV@{<8xdQoB(6rtCZK%t5P%q^t9>7$9Tx z8wWg<(MyWX8}2LU7SnPolgwr~yPjm;zdlNK(IWjWKn_q7Z?BTjm_`JM$G!5=crMCN zYRjJibCW3G#Dt233;;dw-)NN^*v$COb-SWL2 zq|T?T|3L4RqEO29AQgtH8XjM-&d|`rYt?HrjvdGA)ax?z^zjDuhKv(Nc;k8##>rE7 z(|R+8xdq;`-il#ugSV|e%{XI+x370#I6C2->s=VGZg}^44~C}~-n;%R!^aozSMSdV z2*d}~2Qxy>;m_BHGA>-iht*$Vgp(rhk@ZoG=oox#{bfd6Jf2WbWF#cwlj^T9l2h=h z^=XWB5}sV2!N|Zy!e8a}T+pHXlfUszwnxN#GItNuU6?PC0$`n!yK zCHVXG4;T+0;pz3IjIwh4whqQ{twTrXEB!9_?7xq#@a9Zdi@4t^EZC0 z{tsh&2fthYm$A2xCmqxuGLAT!3i05^2f`27l8RhBu7ISc;4Hdx)K`GlaM{O9BitW4 zD$XP<1UVnx%R9yvFwD*JbGh)9ZbZYh2#mXrSa;L8FP!nD8=uGMRfu)no8U(lHi$z3 zg4e7|qc2i%^I-NGOFWYc$EH%FYG+E1XC1%swQt(aZ6uMzCtN^{jNRa>NWd9gPRD`Y zr9PV@bA6MR9=Q#QkzB)b&DMHccg}x1T~?%PJaiXU4FpLxEBa{xO2&>~$26F%naTJ~ z(*yzSM=oiY_IuR%JG*WY4*ErUl16`Jt=`!}MC> zzn$F|rtT!&f2ssHQ-3 z5B!q8DHcoBkIgH;l4120{NE00XtSSU?R714i?oF)y+(B5TliN=L7lmNHM}aD+9y=n zTypcMa%JZVTs}u%bQ*GRn}+N7Rhq}!CM_iHgEhLZk!kC zq`=QTfQU`uwiX~z&6Gc?QL;RnwotBzzW!g5^rU!ipGBaQ?TpD0#G=dO5TGH)98|cp z(E>0z0R5;e_Xui5sRUsT~nD$Hu`VHQC0xU7QC z`0H~PXJX?)$HiocxZH&uDfP4TJ>U|FvrPzOUgZ3{!VA=DQK$JdGz#VbMmI6J-CjUc z^fvz}hbhmouwb*`ixe+QkH(fEP7ZcGh3W zPne=p&wF)%w1)D=^u{L1_ohYwBHw^#l4n83KLHKiZnT?5nRWqLVdJx;|s2j_D{@xjI-iI6`KGYb4HDj`_2+}YBv zv~r-#6>;KmLh{Lg>^dLc-lA)+#{hO`1{SSf01Pj`%(E9)z5O1Yk#~!z%2S5T`Wx|F zC#v$^=O@&!x&fpr8g!DbK?`*4JwBtyG{VNYd)BV^?0f3m1V1UdQ>-3><;c>eXdhWs z8oHf=F+5|m?r81f!|TVJB8QIuVSk`Sfd`-Q8w6l0Eb4Z#xo;%yL{)qM5R;zQa#b~G zSMIKOJ|Vuv zS?oklgYb6S(k|$5g~|}L^nb`rG)4=`J=B)|Ya0ry22?)@PhHM}BkhL!$@*ZY6vB1| zU{*tVE$c5Qpc@7dDR`pN=QzH9?kmV-i?ngA&Uf5Q-QHQK1yDg+{=r*#z7lXu{8klT z%}J!)!5qn z@ZRK|2iTKAlc4oQ)Qr><&SN?pc;Pb5((R!R)GJa4$|wG88oJw$9+TJ+`mPLwsdHo z0l4hUBBtjZ?9EnmyA1>B{jRA%msvOjVOw4O=2+G>I>9gD*$7jxffz7BODt^X4t^ak;b zo)Ktmw!G|3Kq5dR>*P#iYP}XzE?1?- zW0&J9345(eUqhq3G-3k7Q#EGtVA8K!6)-#s{xfr_g76F}r4(;}hJo(0jbzJb_kUld z^RH|IN|0I#e*C~DcowOz4s?7~qd-!jQDZQiW#FIa%}`6{d1J|)aX0}!M$_=ofu_&0 z!H^Pu)%PIY7y}-E4WFH#I*=wEhBIRPb&IJ655wP>AQP0V-EU+Iz{vUjo{Bcrl zROX?(HJ}PS8oS+N)@Ij|;~HhlRSaZ4!k=sc3HWAm>1ZMdB*Z>53fOWL9jerd!DUZz zNnC*3&Ju7o4`1Ht$;kBOr6m-%EP0$XE=6n^5%J%Xr_IKk| z^Kb&`RK;5o1v5fRH7O5KJuQj@Ak=y1|uwB`qFaWa|5b7E-1?Bu0N0=6-}`L#AMUXg?AX zpuP-3x%OU6RpVEghFZ-3fV-YSow1si-C^&{M$h4WK5%b)D#@&;D9#FcCo4_~-gG}C zD+ZyD826Dp^}s9JuzRkVhPsfdE1G*yTs(?S0!XK+c7)K;mlZVa)8%$%27&cb9zD+> zAwi>DzOfq_Ai0#eP7PCFl=+1}BuPXL_h*)QD)+XTqGcJMZe5#@yBil>(+C14N zqI@&eS2^TCBz1NNh6bRl*ko1c}I_?Er^^O}S7l!BoUVJ57SR= zk0xQd3Nz}~>j4?+K1PjwsdCvX@lPMM^`#?N``v9k<-iLiWD#wk-P!!w^al!p>72{y zAgy$NKN4(`3eU{$yJqxsD&6IkgvIUC>m@VK+W4)$t68*x9^dkA8p2NTX_Em~f6K@G zzEwRiKRX3$4}Nwp6B0+sk*d6VLkC(h0#fvl5!@~ zhsUd5$!5TO;0saca!%S0^oeW>j}Mq8#Q$t9zLTu%&ZV9I+q)Ni)eMt=sQySyEdjE~ z5<2SAa?$wjGKcoJa=&F3qNS57jPbr&mQOxRzIKmVPW34*e0(0E@2{$Id&_f6FrSl( zmF{cXLqbqITV~)Fvo(G-3 zGD-5&Yf~HV@sgtJ&!mITaqZe-Y$Jd++Gc02NMpTR=%1hu-BE_X*PikKg->-Fb!#mn zVyRAd*IeZ4JzKYvRleL!L-26ODUU}?-;YL8(iD!ht4V>iA$K*uq~3t~oI zRQ(_wy1=XPwq(I9TdDIOq!l>fVjxx^cG^*oZVfM+N;TV&NPOr|O;=4VU8)1CWW5Umavi>$=H(0Ds?@Or^=Eo(_N1Mrc*@Ktk6wBHJJECR zqwa^9qEt73#q+Zhl5Ni+{uL`Il2_@CDVV6}fehoyWV0(5+k zbZjI|i#2|5u?W6($dWm{HAOw;?CP==l~h<5u3>ooc+iTQVN$5ckvfaidDsE|n!9>P zxP%nD*D(tMAkH7hT5C&;cBC^7f9K8vfqDG2u0Gni{*1nr9Kk>ApM2y0t;-~wuwD>L zq`o!R@YehS(39#+RODLtUeIHuF>+!Z=q=ETP-2xQ+VlL((-iq6PD{M@LADBq9=Wbb zf19Ov^lsaFj7*+&2>4E#Jj3DG7YHVfk}r%^k_<23^jH_<8m2kz>n9fCv$!n~ zS9DFvL%_*0`ds$i(gZlL5rGRvoJz||s4c`bs(%DW$ZF(*3`vm}#XJNB0biEUL8Od} zp!xX>r3kPb*Q9pBIHGEy8j^NbtmNVYAAbtZB3GX*e~EV;_a(~*9AeSE&bm>eyw(N2 zvg<;kZN*ze^`leiV{><<)$I;*3ft&8Ed@5_IZOfdwEce-Ez$2%`G2XKh?vG-g=<}A zi@*K|4mU4j89*?E{8>1WH86@p{ z)3AY{c*K{|TVA&cIv1?r;#QLvZr-ZWj90Vb3FaYrJ1kGF+Og?k`5!W3iSocmH zbl7VNQ~ny5iqLmbG=fg1im2N+-Fqk_rmUHGZ~gZ?WG-)tlammC$lTjtkqzKv~%nl56oH3YzwBnqVALr`-_H3M` zT7#PHHD?6d4NODpq)mP}zxbdTB);M5>@55@V-f(6N9t3^#I|NSXe@GtWib`JYv zh;_Uu)EEs0+BE?{;`HM#%xxX8*7oz3p#b|#?gOe;z{_RC*Z6}=J!9G44SGQ*Rsy=_ z!Nkt4+a5!$GZRC+X?@23d>0NW*Ea^*JD^u*4L0__V;vrLK0a_UBS8|>^oW9HeZ3BIzFg$ zy0-F7aKom?TW%WZz4aiADB70xbJUYrkD6t~O>2J58enqR->6TcSa>Tha)p5)H+##9 z$$1=n`DTn|*Ehi8OZe%2Co@Kze3)L<;1zW(6q=EFH`^hmbL|@ps2THVX%FPNy$<0D zo#rYT_4873LrjH5ah?sNurdI;y3Svr1?pa?bGf;HLHp0XmcV-7rxPZM0lQV1Lu z)*FDaI`JFEWOK^27+g^u)g+{o5KNR40+aIP8|{T61Rk`JSxJ`ZW`rsBZ+gHcD;-ET z{S)Q?$ocrkfFS9)Wo4p^vSXUfJ1efTOyD7EVS&hH(`+0F;D6RNi5uk=jAHMP8mka7 zeCM^qz-O^mTKmqXu;P8B8NcQ_$z+IEx$SlzdI&|_n^I2qYMJ7;`l%=jG zRahDJtq1tUh${83)P!(Z*aygoj1)e3i5S|Y{cr3=AiA1Xr{~`Q8`JK$NIdZ zdsd_l*mf=5IeKc_c$TZcxtjPee46{x>ICbOG-|Mz!+vk-Y%C~wQOah50k z$VNY8UW-s|e-E-OD@LHVBUKRq^%%!?D0_mEp0C9*$&;-Hl*&Z|q}m|uF~9_E<4`um z3RjB*@{juK)18#I$(x#$rotD?AQvx7M8t|ucAx9F65S}hO}6{pHTAEpCUAimMjnXV z;l2$@4H{o(4TlS+9XBE!S-x^=&%&S?2?9tPEK6 zIGdybox^tZ)_a&%-)X&VO!FNPav*axmrU}wA)IT>Jbe*%O?(9^o{aMEbK@Kr@^YZu zIf4f4DK?P5^B6q(xdo0%wl%R_79e2*!dKGx6-T?#5P3Mch68kXu@)4OG<)H>KoNA~ zAJ6c9q5lHNRD7{uhwNaf2s~j`nWkplDVU%ICJ*!qb-8_~cH>LQ^74|~T=}d8QZT1e z-@Lu;s=y_gzKnmakS^a9^_SNG03|_rt$>Cdh=lbvpY8p{zegz-zPsB4GkgWXH2|3z zGnbysaJ3(|I!C{CUSo4LlQ0oUlWlWWOP)9;=Ld68HFW zSrlt*@*+P-b@8-0Uj6bCtOuy5JUbh3g+))hxivNfd=OqbP_?RG+V>T@S=!Srkm%1j zW%iJJ7!a>8aJz_7^%Xk?BmM-H_nw<5PJ$Bf)syUxr378IUnR6v`22C4r!x}G)w zTkA)I_`~1@^yxlneiG;Nd4to^?=-XubIhI|Sq!e8JEaQ!%Y9DC-zp=YB;oq)v+!0E zv0Otn2o-P&)eIqP@r`Nh|5n_As#glB;7_68MEE&nLgsWq9p&@AnGx9se`@DoR?~u! z96((((5!siJj(UgqS`0gbnIJNZPcc!u`Ub`KP_?Ii$fa#lePso0>j$^GXkHu!0W5t z0=uBwLzBKELh7>ySqr>v5?Np1QKO*Zu}=U{45yaXL;KR{xM0e}L08-fdNB8J*5o=U zpby}C_TDCAJ_W@_u?|tos5OG2mH1cbZ5EX?p|+j%3&U<&|SE{$8;Vh%A^DpTG@PDxC$s;TmJYcJ}1e=^}#|i2XrF+$rN&wzJ!yY;-VjZ<)#%> zC2@ign&;erDqw9B;GvW3C!7bnKR{3rcG#SYnFkheTU32cryO1)mO5#g?KqifJ zr7Q?&1Cf}`$Q7zKv2vbx<=hL=dt;KDa^`z){}*_TgTfs%#9{xxAYu01N{=SwJpMpe z)OF;(^SYVqg8Ba&IuC!U|38XJBO^lY#WlmVH`gd5E3;DWwYj8A zrPBDJWi&`38fIuxDWimZbxBr2l+VxK@E(uXIOlmJAtr`<`7@!2c*l0Ul4Rc0uT&5D zI~Cn>{vE;Cr1Ne4TdznYL_es-@jv3lxZp|dQ2*?!naX<#KeOiuY1DC6y4Tvm?)+>@ z_13vVGFY`)7(vvBjLS~=862Sk&jA4VhZrcB;8iQmZhINL8@8@R%P71QTscy^mUVS* z)gB?%+`K!GY`8MM+wu{Mq{L<-I;@rF_oQFMn9+i-WPwY-`P?Kpv&j~%V3S|=pkVPP zR2&rB;Mv%4_Hsh`WmfqaUdP)Yq1O*&hz5r*&`%Uyu=JSpVi!FQJE>b!1{_vIT{627 zL|4uq*I_H)b%z!sgM+2+Mn7RgB(?t9=^I}|%2!>(wex5q{VWl^tv3gopu7)Ka< z%MsC%{+Ok#8=OE7ASmA*a>(`%YRl0DB_qc61vcNGH6S)Z0r`PN7%RemQ~okQUf&7G zy|-8lqWJ^Hc5toxNLr1@PFQifkUpjDgifPtffPoRC1mn_j8OwjS;=2o_fkgMvRQSg44O24z_ z`jy+aS5HLoNDMsP1ZTxRl%wRCj&^L=))Bj|*NJ|i6jrxoE;-76Zf98}u<^A!wkMxnGl<7%9 zK1`z*1-KI`yxwA;AHQw3d}1c&f;vv*Xh0@3SIR2SD=*^FNv6$BXO9}7et&vKYLS$G zYo6WQQGXwr0ICWjuWe~PaxZ8`|7z6?9yXxk^J!Md%RzX#C+KEErm7*b{;hER%-SSt zh}OK@H)s3AYyYym)$J`$d`JK_m`&aT@$i<+HwdXHLR`F?*3&V@== zB7Kj2?b~E;d0ORgY%vKbo?(Y=PIoxBbm0$r`VR1uy!vH2grH7OZqy9K0#p-_o|HS2 z+a52XF6S$l=x2a8h=8)JNMGt711OqmC;9&`R-j| zI^OP-87KFwRS|bFB-fp_%P$!yl@}axvomXQqnujk-d^ZBg+9l18gnyCiGd z($5m=bjuEzL=5|tgiU3U-IcQ3(*5d|mTp7!Zd2NK$@~-LmbwiQmJQ}upQ+iOuDNf7 zViY>1ttw62gFlBRDCmU|eV|TPW%o`sq+|NVX&qGM?MBg9%{rDaq^tB3BqD~7HRLL0 zRx(}7(pCi!#A9?ZPBv?|E6#}usCO+kv_h_2-Hb=G{0z;P4DpPPd5UFY zYxZo$em;PKOBGzRS--s)zv-APzysqo7Wuie28=__x(LCma4yg>SDHogep7|)E_UN^a} zedD=l*X`LWHvfE{1?9RJn9<d`0OU9bH5=59l0m7hPoZ%aDLD$`_MRE_w>s+ zZpG9H)ab&^jqA9s1lvb+$^M0GJ>C45>U(_JZBc>oSS_CSY4C>X1)r7(XRck6G3Mm-3ysG5x=l)(P!~vKKj^@lKAwh!S?mG(!&$$N+r2;PeSlWy)b)q0 z?0{eXPm23xQt3cPy@>TuLyLO!0nFj_tJ{z+v;OFuqgCZ03E@f+N63+B@FQv)0nGHz z^q)mR9~}{XrtH}{8-L-2T^7vc@2GFv$S$nEABuG35@wVuh$BwhCS{J_ zXUh5?i#VPS&YAmyS^nx!T>Qf9@+&dO#v$116{Vn1;6bCq+3lbs4psvZ4&JL=3G{C? zS@tV>kBki3L2+B`E!Tdf*FK;2QNZbiARiZB0*DhUl=jExQpqaj*&AWxaT)xbSDehCVv)eY~x1&5-kmf<}w$VRxY%7f0>`lJmY^wHIi)c~*EyMMY70TdobFGB{C$2qX~OxwmkPuG_=XCZv$ zO_rw}!kKq?S>*M@Un5?#4BZJ4`vQT_1q?~Z7IqMAXzK&laAnSfkb#hwX=2BsaX?+C z;@9<}lVCzb{d63{5b$ywJtkV<^5wgYuj>e8Cxh5rd2OSPJ9z|+@L*M5l^?hrCezL;0tNAuu%>A0Ky{?~qwTjBC*3`kUBNoQtJmGZYtH-v9uqo*Uw&k;V zicKf2Ws!oU0tqY|&jkmwIXdc?;8(h8PK=0W1g49g5YW=+-+U)IPo*Q`O-)G2FjzTk2vBWFIpghzWG zV*N$d+xj}3OMU!gRaq^3QUSV1VOQKbAuqkid{J`_s*rGP%YAVQDz^T%lC49nyWK3b zw&%6-0qZ>_-oM^-YZ(vKk>Osj6IZ<$uyAYj;cG%c8-E`zO9I#tRE%k<`NqFHq7 z4g9QAP)}v?^di5d&Ihxjt#1wwQ>^UBnC`Fvek=XOe+!O0bAhr9p&2a$$dV-7#x6Qr z*Ts)gBE~?LWG!9Rq@~)OQlq3xmby2}Mf+fcW=VIV>&KIpQCJ_ddmxR4E2?gWDAwX1m`4b`ugTy0k>x)0B@Y5(!{3{#O(ofH>O;N>J zpHN*}q(+FE|Ec!SUQ~I_JF#~gzn99?nJKjexzw=?{YZl=K6 zs5#e%8*)&6v0kg!W|>>Jz&mF(t=w6ETltIeV(B~Z&UBJF*#??lR6s5Rns=~?rslGG z=G~!oX+oOK4-Z49*tDa<&sZ(t?a#5mg3kzKcK?yiOEV>MwMXj^WES48mYiCO%y8)m znva;%yFMT|P>B^kG@IB}({;t0~TQe?KRi5^q z@sz%g>*Gq!&cwOKjI8^J97UR`YVOgqRcq1sWy<$o^(_u8K%{zs^)7Y8wLAcXLQRVU z1h7haa*dBnXu=!4veLf1<9+18-y$Pltl{i`cO>^AFttt5vH1K(+dg{ONu=0qjAubSZtTz7&s*j_~Gzi^5a)X@%uOhOy8R9<>Yx0}0Q z;+i{7vX!4%)!)k=S~4!v!{&a>+50|W+!)DJYA5P-CrDzGr|o;Q70-^8^6Va6d*JI5 zc6&i2;m;6jm=vVh)^9qdl~zaKbU*ol1r95--ujzb^qica>6T;_KM(n{u}nf-;FV=B zyBjOsOQo5|62@&|VLu8_iy2G)17nSp*Rj`Qd8^!%6L{lxgouqA9E<$-VJ}y9?7|gV zpom3hJC1rD+KcoocT@eLw0?2%r5+4f@VJgA9K)(K8Kz~iF2pcWE@5Myj7h6#-@h5O z@)f0w!C-VIe+)OB_3lAI$L%YZ4()UgeoHmd{a)k$)7^5+;I-LpYcs(MRCmZ{uUX8# z`h%4@3K5F$>Drbn#^w%m&8Sv+6@$nh3uoiKhx3i#9;$$Kw`>F7|6(^Jb4|TE)A&TQ z{PhA;3$vDmrk0$NGP?}U8I%vxV~!n&G}hu+9g{EIj=o3^T7(x4{oV9{@42qvazw_+ zBfM#lvl3R|5w?vC72~x0odYZn;(5HMt*n1Xd=#9&8r}~gH9&^c%T;S(sq4#grqJK> z?!U|Qb%_lJ)^goviVU+GV`UP)T6thxom^T^whKDAGUrX8%DtG&!8GCHdCm@LqR#Vn zBH}k@AcHPv^g4L};e&*744lE;NwmRP=b8AwKWzmh>jsriaJ&@K3#$zX*S_FER)K{k zG-vQtp+Cfc5}O^pDi6`c1L%9WqL*b0-|!!#G^v<$6)w|Houi5=gm^8`k*xpt4B9Jk zlr>FZY546tFKAky>r`{-vB4RJ(;lox`F71MNr|%HOx_oEh}jQEMPjFUwVQxpcEsXL4gttpw7` zS9d;3>wop*dwSXhsY>H)W<<7DXM!On=i=ltZJtjHKVKA7ypVLdXb(nf&nCF_y(egm zi^BWvQA}Jkq3tnQ5?q99T=Y#YPQAD&s*^~R2lN9aQZu0=dR%TQhvft5b*JWU+H=X% zd*D2xuCqPK=8fvpNdi_6I%F@;r=UA7erX)cwXx$(tekq4#Zx%{raQ&+D{tG8Fcyg29tZhUf z-Tw(*GWxQs4VY+qd5QH1x^NE2KMvRk%)4{hj5(M%`a{R`6o&EQqwEZ-YO9h|;W4`j z>0;5#IRvlX%E3`fvNas6L_93N7xYB;OjL?swv>AIVQp!qViOcf$CP{%GRI)It4`^3 zZ)5qJ5WW)3x>Cx&<%$V~XtCcoGg9~l^v?9X!#n)@9;Npz#qTpk+IJ+Cu#{zh{u|OI zUf{CzkJH4C4C*2?U-zgVioOG}b!fE&*%_>8-kPDMrvh;kDEmi2Vq))@i{p*1+3p|9 zj@#1eV2dev4-v8n)rPhAT;<%tYlcYY@&8TlI)69S(i!SW1(G`qtZeKShGAmaQt-q; z@RsO~8!P)=<`ATl*KIUwfuY^MzF7N1Zz08b5m8{}!`-gLeWC6VuccnvO3FhfeN76$ zfu_WJ2XR*rpNMg|H%K1Q5plq*T?n9j+8EO2#`X4*vi@M2A#DYU1#&}WZewES2lbu$ zZ1pgfWv&AK@Cp|>u{uX=Iyum_S4|^zdxyi~??Jd*ktrn!&a1Ye7>$Tb>CnL!jR*wu zWy8fljh(3u-5I{Cs^(8SRW)^YS3BC=23;|mJ!a+m2qn;ct$j*4Ko)!XinZ(t7Q8yC z#Cbp<3a3wogcp=2Xg9CekBG&o3XHcLrlgVEBf;c&1TEXZcqKF;flPHMSFLU6WRZ-p ziJ=`%G%C|Ci`;3(@(hhiM$o&yu`9URl@2r|5+C*&eOd$1+wgre`H0QecTW1mXW0${ z$zd*6DFMyabS6nbnnVr_DL<+=Ai>dI;b=~px%r7>(P!Ccv+KGas=lwB*Cbss6bYqr zO1mOWHJwsUY6>Fh1=8HTgyfnlJ^zznTVT3U(2cBa z!FKWoH^~B$qKD=gO(ZyVFTdGlbf-Q$J(>oI==vK-g=2H_L_sxS*B|ceXV&mTMh9v#Bs;%Y&AnV@5Jd^ zn%f|z?r=L%%(d~tERDR91xw_LN-pc3c$^Isjbtp2%7@p$cA46055K6x-`a7O2r2I} zch&*$yr~QviS6q^o6}`i-4#qkoo%_Z+ApCWvff^Tt-uF1Bm0b> zggR)Q@3uFWydNeN`D0S+$DW$zpC9CF_X|wt715b$SZNZ%Y1CRO6WY6K&LzO7JDzum z0W7aJw)~Q?EtG;x%}!kJ_&FPJ6w9a)UW$Hc1q44zoh<1Pft4XOl&E{=R2!D0ErDdZ zimHE@rI^>$ulH(2cxMl7m8t%AHuqTTS$jjzcg2a`FsXd^ zn-bkq>Ghev=0OYt|D!N-E2mZJxX$~hDTjz60>VWcGi@kKg^xxEI80RM z3}fh0_-$tq+($rGokujHTb=5ebvyDrP4&Z-j)SU3VnsZSjPuSGKy|99`RW&;iHn+Z zlcA3ZBC#UDiTlboyWony3_DqRB;$n>_P>=GN6)mGTpTc}gN{EN|FS=I+Et~)HgFGg z3Q8&I@@(W~c%@fDAm5?eP(DB!Wx5QT;zIiF3Lj88kzKUl6BT&mM z7AVh@BJAhQcvG?uPqZ);6;um1m9>6eHXpqA5ySx zD=4sJ%=P08l6(3{EDZs?Z*Uk3M$6jDriUtEbc1MOOGf&h$cRZI=P9TBBY~6a-T5Vu zy7x@s)n!+ll-}j>i-Jprc2`zfsYI1eQ#Fd<4=tpxgfhx=#?oEH?s58g|N?P5V^qS6%fng$5G=V-zId50+O z%JW(=Zx!qn!$psX20*X18UzWRKA%GHHEDq!*dy5h)-ud8rx7QD{!VFRBQ#DM(`Y(g zWb%>51XLRtul1ZC+i${42)uSM8CRA6OoVpIDUAzoD#!K7fc&Pa`tj=g`%E=-6nR9? zoz%a3{_7M98Gu=Y_$%q|84V9KxG!xaoK-Nf7#1Hxa+cRt&rb2d)nzZ<&JaY9Z0&d% z*p3C=Y&UHVR`tc4I*qYQLOZ7HhjNXWvtclO=Vj@h9f`O4Ax0Qi~3&2rb zy4?pK4sL%y%J==&LGC5;*>s)mzeLy4OO=H~4Ie;2K)tBb$b<2)&kOc!sS)_AU6h%R zq0^q7UCQ2;O}Vkk;o!4^xJ!kM?yToAL%x-In4WKkG!uHwadX-}h;zUIT08osT!b%Bd~U%`V7?L_Wk!v?Eu z81%8)p*NayWvva*7gm;Oq>nXfr+=>ULXsJHhi-BRWNfRojBt1Zga>=Z6&G$`)ckVZ zkMOF8J~dn30GtYmW>5WCN>T&r+L4{oUerC_S@)UCHtXQC;aw?~f{VY`SR}|f^NHb> ze56nIHwILHu%Q$*_EV~OjAmaZFHegl?%UZbIJnCm?3ng^VUq9)ZCEqHw+6~@AsZ1y z|H=9Hyi({mdapEsDg+z++!gfVHht~x=WywUw=J)o$-uqMkoPQdrs7S(q>rzChZ_cE zY}N{f;FA-F7e6pnFlSzbe{dWUeG|z^{+gonw}3}E9S}L|IM7)FE<>rPmVp$-V(g}O>puMM{yIVQ@2o5(c#W}xd07DsuVMFgBI*Z)}Ly4Aao3q?oREFt;o_XE9Cc z3Hja(6#9JU;$G=D?Yp8iwp7;E&a_GZKqw0vyyBo&jxYFM^!v%yMswl;bH1&(_w>hW zA9X%HfU(!7z;`o^As0zr(j0gQ=vO2Vd%#j$2L_(vT$ljdT0Q`i%kburg&_oeN+?@$ ztk=c4Axp)?vEZ}m=~T}`_LUr|a~UxTzhG0-=ah8gKFo=Rv;FPC{msscVb!i>9vS`x z=>gO3alW`_&!EEN&BAeM*95w-B z(P7-l89{}slM{Mt2pde-Y?#>Rgv`ou#cZ-wnB8LHr1Z>Nd4N?VkT;xfst+M%xAK>9Pl`U}> z`9zR;a6&RcBu{PX`3W9wUU77K9o<6oJYRuLUYpmVFV-QFsgmG7yTVO|T_aA~>?wwI zNsfo;e@2yDV~cwjoJH-k5I%t2zs4`nkHC1SGQEV}bn(I+@ijBfhnRWH#m`ltNZv$M zc*voQcU6aS4)Lb_JU=VD#FM~OLCbK;@L;y*GA=UDcjyf`##m|v1Z1iPLx0N_>-Gnp zoP{usyzBNc{=cp(RA29sD`MpZHM5Go_l=@w{N+k=36)cC+TQm2$q2;_aet4yVX2I? z?bN@nfZw=k^QE@0g6ktz7kIogKv$!W&Tb5q-agGkR^frz@(ie#`B8hXKM<#Wn4cqs z2~=YE6pLTK=~+E9U%VEnTmaX)Iz65ouJ-wHYJ}c8LDCsRS*rg<Rz*= zTD|K;CfSH12o;F!mfdN4;}I6A;P2;X3mbvP#7Av9?twpfXnDFr(V)Mlqxa=dKj216 zB^qJLMW#%@a<#wc(BSUBuMK(xWdBOHQxKl(pB0Z} z&Reiyv4!7(htfQcI@A2;1!L43j02tpXOF;y5SscuZV4?0NiRvn4xi@B?+pJ#yxHf| zaR)xndGL|w$k*KfT6pwdX2%s2d95R=P#k3`H`b>tjbkw{^)6ZIs6Ef_hKXasH$2GA zZ3g8XhhpGH7snJ4LZ|LXWlj#LaFB^n7!x7O${H=F(JkDc=-AI7nDZxbUR=ZB;vWSS zpFiOLxPbDQLu!}umUhqm*gqiGSh>qm%qRe9FmhGSb}KbLq=&=#$qhAa`;Zia+48f#MbI5HjZLrjI^#A zrzTQ`R}PxCPA4(#ge6wV;>+qX4rkKZNm~D{nwL^831Mv*Y=PYb-fCuPCtg6O>@UWm zUsGg)=_iDRjjR3@1G51WMG@(^VU1IoSbNzhIWz)5mMd^xmrsh<5v)mvyAQLNAM=k= zVQpr`Lj|H^Gvt5#({A;A<-P8pA-2Bn_g&JyM+_Q(KFp%SOfx3ZREY3OOw`F)<6kw! z#dy&6-H%WG9T?Y!pB)IJ+7prm*Be=>LfKs~5e^{f&f5Fym#NU5`NYLV`BOo&Zz{bj zwLQCTZ;4@QZgwZc)+yVxL97e53+H}hB2drV>PT3i@hIlP$yQ%v{?vL`;a0Aw!IndN zv&#wfmWc`s!hA)(RO1)`Qt65?Bg`?$f{#y=WX8YfRSX(8i&zATW9*7i8%Js^EuNP+~b zK>cH9{?uuWZ2)Zcn#0@}$?|GWAJYk?(y(_y(@C9kbqA2gqzueL;WY{AaVD6M1E?vlJFh2%#ATiK<5L!X)d*-|l%-d*0N7J7RBwC!wT_|^ zWpqtXIGP%;M{SG*=i9*y9a*XD!0mhFh{bMz2c?Tdu7=kwbHSiUs(m$MVe2+ zSk>Shl6z{q2;KIE^Rrc?bt!}{_LWIq7WoYG)&by_2Ym`H*?Nh&VB1J9s<67hh00(a zk=Ghob|0E5()f$;5M|_|*K-F_^}{RGzxbG2NU0!s*?kCXWHTn@x2=HRx+$Mg#vjIR zyrpd1^MVNr@$aTL4s<5IKEL-zd$vERE&OtPu+C-fypV?L#r=Gx= zYmcNIV(Z)S+{k^AjX*UnrNJ`ZAxBeimLt~+2SP}CGp><8<)b^~5l_zVb`0YY_fR(o z>ou()z{k2;lsCP-R#ZN@P2ORad`qA)v>YyGW74rHhX0c)(mM*v`>gR}H(*s$M3CTC z1LC{}(*zR-`k1#?OIGuyJByx**)-4WKqYyzkopW?+tZ>F9<*U}Xc;ioL)uK*+Na!6 zvOTqt>Qxk~nt4(LEf1|e1z=#_M9sEF^8N)*Hc6N0AHF=5Y*bYIzdd5jEW|6$5;@35>dM{P}8y%HPi4;WkSTgAfFmeTd*+hGme^5-rNkdk=z3w!tk z7brxtibdokihWI*$4;C-nrvbj6;78}44=e)&c|vU9!Y`9Ymk3jJO3AdXugaWz6d+N zc)0O1SQK3}VC@-M;vFr3X*O&Yu}&OgShcympJn%1z&?78Tx4bQQqv`-lJ}oLmCNnw z+zXdGyR)!W-Cf__ZR5XT1ml=9)yH#R@QN^TIg5#vv{IGZ9cXRWdX8X0orfqPNb1Ue zUhjSQDBJ5LT|zKkQR=$=(YhWF6QZWxrmNdCAu{*`goT*031$f?f8aKDIdKaBz_rGw zMNvK3FF|P*f^-(-6b5IBJ^Tyvw_JPh6lDq4FnTQibpNr>qq8C9)oz=U|f=LrcRQ>BV!vmuJ92A6ylCa$N zvuu z`l5~a1LUZ%lv|_iI5BC+v+);7=Q*ieFkB*i2d$+MNgw(F3(B1`c;LMedR1Pjr?Q(> zFma$;t^3RFn&pAEn%s@e-9RRzt7+OH+F1-2i9G%>v%yt7EsrHg=n(>p)hP;;v6F~ulCG!FfZKxPiT$3U z?QU{`83<2_ANQrWt@#nmHVxdqy)F9yOSTXfxD(3cfF?0U@c=UtSG)&4e;5>tw-LN7a8U&y_!`FUCOTz7v>l;!7oZZXhZ7api6gs^Lkv=j z=^_gQx;}M?H0SN0k7@iHM2txm{e^uZ5~Aaj+IE|~jyio&!7J>@ut`U*=OKh}Yv9R` zqlJB@m8vg0kIG}iJ*bCQJ!Da9T!KIP@jo(I!%lipS%DQSg@O4`WI zhV`Kn@|45;p5no5@MdZ|#uktUm}vekQ9J4a1aUFc>=&;ZfU|1f(7XC;e!4tIvtB1? zJ|GvZl*z!KEbNBRxq;K|sG?jLQ?KC8ScdL_3=F7dEgXVard=JH_(K>IbsECEb$10l z$FWG{<_|d6jcl|SC(}hbxfp?+TDRAF{(zI-u#GmmuoY4^v-!vLL`|dI*@p3_{+z@u)mgSuo zcI^dix&ZmixzDPwD`%d57Gv$_7ZLw9i6pT^VK&Q!4Vw}u!RXcNFHq5rJgif9%;@=Vb7oB{*@{E(F19(@BtqrH%^ ziLRD8hVKYTKJ{$v?sxX0o<440-e^W!_Vl}nnHPr!f z2wi)Bn-*PT@qjK1=mPLk6o{&zs1&5EuKH6gSc3(*dehxJd_C<~T^Q*nA)33jjjf%- zmg9ka@)^M^em+)S|16!I%=TMkvf%xIlz=#9T?YVQE-*p-j*Pm=K2tOEuftTDrPZdj zx37(KZO3hiWs zgocG5l>8YbxfnZPk#HpOt8z+e+R^c2$K7puW8z6>iCRQqZ4RdMGVOS}Jnogx1p#mY zxNr}P3jq?158y8Q6x*>i<#s2X;RUb^85r;asArY1j}>>Elv+lkHecXnVi37j4S0N+ zTnw1meO!tRnpoYWRalruncg(1*lQT2f7AYwi#z$?-YNQWat%QNlO%8axk+fnSy^Hc~*PPy7(tNd=$G z0*UWkotzxQ^)w!tU@<08Ye%0C-Tr z5W(IHGytl)|5z|M*o*`LK?Kb5e@GM>BajC}-EjTKhvmm1*eW;yM=1hJ9*Kc<^7EJe zqV$?#RHi`(IU^NKpjPNG5TjB8zR)aJLF|DceM-;b`V_BFLL4Zpz9ke8qAn$hu;@df zP$B9EKysfEs49m-f%m~@NVdv-wJRVjNA)NQw8THzZO>E_K(>Mrr~+teJ_SKg=pHNw zrf~=J#TG0EXD&^r{FgmS5_rdlqI}MOp zLRaqk4$>>(R6cY+lDta2jLRTDek#F2u$~P_K_v#%s}B=2YM?v4SE8uHH{TXPhODDq z@2Qi;&>VZfw(U#}4Jw)Vb7lKSMjhm8)mJKb^KK<1@$H(87%nBVJ}-bZVCVE-pgGhV zXj*i&% zYA62EN=`$ZyTZTJqNnpk*8xd-{o7UUWn0prDIi+xBU5n(b9f$B0T+!SP9i@ii6Q|l zca+p1vK2u3YK?&Ky`Wm|c(`I3ZTvHOi`1^lQf!$#7x-S8BO z(73R^sk63Fhir@}JQd&*WgQT+yDG9H2mnNY@KRtwhF_CJ4Jq(GA2%sS`RTwf+?_qp ziYCY?!-sca9%5k!%f1R#0Zl~QsIoRSM3#2XsIXC3pb0+|0>qK-V{~>j0Ia0MKZXkF z%PJDUWy64zf^CF1tGJa7<6+i%yTXP>R#Uf%+s52K2$2*?;*ej$O zfF#d6(QH?pngSM zp)833KN!RlvAOr9K$`UoGp`Oxi$XMhFAX(g0v?iACX4v!5)6Lq^@LT1sQmBQK0Rqq z6=g_DHJ#?~q!{r?KlfQ8P826$nJrw)QkPJMHNdh}ApXF2g!NJ@*j3qWLJ3ouz-n71 zNd@-^Nm2A$O7CQnS||f@5|F!tlQvFBaJKJvn=Q-W#GYSuuzPO%dNj@*fUYOQC>^lD z*t_}7@IFDvM?+mS0~Vi+<6&bi;P8w1Wk~}W1C7Ya_(8{ykE3m#i`Cg}-^NaLzVIASSb;|CiLOzo{a{_j`>_7Vd0VMLF^Z)<= delta 46919 zcmW)m_dC^(`^R5rALks$v5w8L$=+mSHwEujU-xzY0r&HIJ|A~aKkQ9EjC#b+36cUp04VT61_b?O|2O=9 z$^Nh70eAe%=;YS-v$PHQ2QM;toryO9wj z2RHB6@n@1EN5xY@#{@J|PpO*<$h+Eiyq`#ng&D>t0^lpXByxPxu5MEJX&|Kumt1#Q z1)@*ga7#{%X*2s{N}5Os$Hswix{;?NbxB?u(I-<#(D)dN0rm(f!<-Z)9icd3kQx%2 za^S{~jqvDLmseeC2S!oB&DzNc*gR4!!Zj|rMvx^HmH05}WO6aysPa$Dc0y#DY$|X@ z>DgubgOeH8AmCA`8{7+#i3HnF3IcY7TU23?DRNO%LktiHe-e=r-y!>;pe_6mq6~>e zu`bFD3jZq-p^B1T-X}t-PTsU1%s~(+LjVi`Pj}G6=UCPf6JYY-0BeuvJ9`7LkC`dh zk|kX>(FVcMmOD$v36loxLbMi?1Z&hu;wYZETMoGOG^4D_M$^P{y5NaaB|Lt9S?LNx zChwDh_Rm*HXvl#-&b1X@H2)~ooQ#4q@o=B?!5R=0+ z4r@Z6FfM(fz1UpZyE@Q#ElHd=jgci)=YJd2Zixym^Z1t!TgsW&+`YU*e)KR6uv$FhZ# z@$pgqDlaXWhcT(N?)|@u+IybJJMtQ7j!auYnNskt)-tH?^BX5k1XRpXLm3uhj!cI{^vKWuZO_IR$`zGShzf=@Hhdsq zAWr^LV^wbMWJy&}g1B?hgyfaM30zT(jZIUpBKKLVwT({^0{qjk^*b;ACO3qXY#OmT;M`eaTEaz>9qq$Ae}pTzlg;VS}Yk+Ga#RF_BaD`Up!RXtgDNo^b8Py)kTm z~`*6HnZbdcyL#|)BEDE z-r|zscQkC%TlA<50dE7&I~wP;lVJ-ruWTlQMoDkCa`pikYaLWi7fl+}ojdm-d__>m zpECbNQv)-IvP_WkunxV-XWMoERqo8SFf8b6nkZ_>HLp z_{NGhL`Trs-q6q^nNMQR)LdZwKdIvq*sR|Cfzi> z7S#RCyTxF5qls!JFcbXB))K{`>a#x3oY{U6QK&7a-m`Fe~im+W}&_~<6 zqnA+?U-hzC0?`V&ONI2*3mq#2r-l~(JQD5uGyX8xv&^cW_z<#`AHS>oq2aSot3c2Hy{#77w3z>ID5MqJWM4ib$Pj%U@<$=b`?1N3ZZm53|s>vE3dLFKUCd@(M z`sMBGfSWW#qI+8eFz8bf$ZaD)uD$B%xL;4`f1+s5=6-(o##|#dQC;mQGcYZ;mM-S& zCu=ieqJs3@lTnD$pOyZtGteL-KFAGcSgD`#v1*GslG{%4&b#yTp z#S0GdJMJGid}KgAZ{5$<@@n>Wbh6k6ng;U#51M?3%`{ovU!JQT^zM_w&+i3(rT(A-O{NJu1Ed%W0}b~1t-9Vu&OEj<|} z9&t_t7pF}`_#S`o*t_^id?wY@dQVIW3+0@&5AuMrlyo`?t;KUX>TDOEe75}3a?QaCkoL7?EXN9#M_y)w;Qsy{qGNxHAV*N6n*~>sjudW?-+GYH>ZAB^ zwJQ8Wf%B$+@Rm@c8iv!XjnrzE5JtaDbTDdP1D|XR9kdvtJV{ZKp`M0op_q7mUL4n% z=d!(yoI#H(x=I{CBcd^ZS1GyIPQS1Au{~i<+&l5b8kw{wu-y_;SMME;**gWXhMh<6 zKojsYfDqhNMxT#i=3}w-C$G&|Z|2Ulcv~%?KI(i$D zdWgzQkW>DzIVdB|w>6|PhiZS$Yj+`w-|Cj7CF}ic0e)7K@B9dPFzrWA$vC=O(w~9e zfA))mn)}?oCyPLj%K$)zZ?18weL`1SLYEf2Udv_77h%$fZ|BROTKY_IQncz5!Vs3< zG*WqBD$rPie7A}|#2eK_ED#J9(^K(UROd+ot^wvhID$RC!ONQ41QCsd_{)Fl;GX&a zbhDT2w9pJ46YM^j*E>g=9U)dC_msXhU@2sDK zYrgEiWf%;valUJDvG|LB(Xi6nC*rGY43qHtD-_*&ukG&V7#ZEtjK!A#l*NMP&ou7h z^cIH8np?4sYv1I~n@}jyAE=X2Wbd4!ftfO0p#izB$AXH<1&&D=vMPBA!yQq98xhqb zXeE$n+*QA4QhocOW@v6HR&28omZ>elgJw1!FeW=t-k5B9JFjZThpdbDy_PQ%Av_SB zuI~qysWRC5&F-S{RebS(lOKwPVg(eR(4Au4mEyM)hS!h1nCAIVWiN!8EFMvYd&(rG zds{zv0c~94$8IXwRloX8-5U8m6txwVOzfDz6Q6&M&253Gk!>0I+7g{^Zqs1XSMPI8 zQ@d(%XrT|o2GZy&uVYXWF0+u730mmvK&HlPv+92f9~1d((TNF&yt}-7Vo`VaDjUo$0 zhz_(TIYcpZZm{5l&>BrD6$ucbPsynNo}G@{c^ZMf62AH&EmD>REdX375;0wBDnif2 z;=|xe;^7gi*Mb2yK?sIK^%jTIzd40${qF2g#y6%YQ>*^{yx6hVTec`0Arnd7MO$g7 zkgTxz$6-Gp(0F&mHKfawF3T3goj^R0n4wywlfPO8?vlr?_L4_)59>JYCM#J{Q{;!_ z!TJ<9Kfi$cfcs5UkX&sA3MBVgVN%PvuR5*F$Z#hA85neIzoM$~Z~4_mBmQ8YB;}0Q zWo;^`+A8WwY&SMz&sVJS7rek*FpZw}{f!JgV#Eh$ml zzzE@UScCR*U~cPO`mU&nP{W0-w+rRz+#&AK9lYy0EB5J4s@*(_&waIF6+qDv+m>~( z3_6=kq9@UE4Zx@rEi1hgCrXA+#~u?nRj$bA85kJ1`>!o^!YX+;McOKXJaSo%ue!_i zPi0xfe{s(~0JjQ0VjjAV4qn$!HnI9;k!GMDt8L`J#rp+M$M~UH-+Co*;6fB=MdlW# z#a|A5jSeIKV}0Ugx&fe-T{7ItnUnflMl{qcD)oBE3)vkh0k(cCjOc-=`ACI{)SO24 z=@d;MlQVvJ)*2u4F_2CzJyfiFRBhJdKDuKE%RKzBEF!#2NA72pPBjcd{}e3;tW94sr#I8F=rpbr}2Os z8IQp`!tImDW`=pU{>kS4kf!FHc&QN+HX7TMgp@3iLzO>59c%1FZU}r_nI#_|mOUV= zf@kFf2;GPPMxlMqThAr_PQATz48bRwfUc_&o2$S?`d!a8kvo#pF>P{zDGFBpBV>(L zv+L9R_?@N7d`LMBwY_ivTrd+oWept^!#3EYe-S%J`JyV4uY&B7r^A9#Bw-S4bUv2CS8f1R^-?p!}~x?795b|9{i68vm#V6G(z z5oqR0U-78ze!45?Xh}Kh-pplo*PZR*n(WzKEgCoI%S-)CliZr85Z{=|^uVL}tFP*! zM00GbTNfxGlTRQBXT_OQ%1)#|veZTF3TUHOw14lsVNjj02Stmi_FZoV$a38zzq&Jm z$6mrt=-cJ|Cye9lZu%bF3_i+Uh}#LV6=8(yGTV7CE2TDH;dhw3Bvq|#3mhVD-6u$) zbQ>EC5EVSs{}B~kG;(s9UABURc{l)|9`=lmB5wj3@uxNO5yT*s{GREp2CvnlkNiScnGYOpTQc>dP@k{7qW*#`ptfZs3RIKbTX1&#p+ z6PhA@{9lmkqSPh;cNL)ds2^}7@gv{@yf`Gs$^!N~`1y|Bd)gca*rk1p0QMoR#)0I~ zQ%Nsk>tasEMxXGHvU?F1)^<$~&;@irKpW5klmPJ^Kok%Lgmm}0xl712>qqmJv28oG(^k)RP@+g z%i;hLJyCsdAuHx}dK`qQQQ%UdFdd~< z0541Nm)=a(OiI4c-@sYjA)7LzqznCkZ+LyM@WKQDd9nkg%CUD)H9Sr@$kE4TSvLjm z1TE|bk@j_aPbFWCBwj1E+|4wuhnSIrfZW8U7%OM~(M&*}b-UDR$uF&#_dnTEq~x8d zJE^urT8JsKOjS~5J#yFonR47GpsmZxf3&y5>g?k-FU1Y=qs#<%Kgk@in?{YVDnV_t zP^9+r&stR9QW=eU&Y*@hCPuYQ*aRz zxtL>J7@sYXpX$k8SaKWaIjcy`i}4cs6)UP2&OZ^?d2C5qSK31^^MyX!&-SCLPWnp4 zyiN2{$vRfKK;{E9ZIf5%y3MPH3{MG!uYIUELlv)T64(^&+gx71Wxuu60)Z|CD%@LZ z+Wc={5CxmW^QX-y@Itxra#?6ZdIJx%j{c7^?LA0EKhw`GNko9hSpv8Et<;c zT9>JlXRksOC+Ido)oX?oo<4`rQ>zBo)eztyOH$d$P|%9iE)C(Y8}u=CF9GeBm*FD$ z=Csd-R)Z}CmMBXzeMnfuU%bvqL~!h?h?O~Qs~zXQQY}2Bg^CR%mCJ2#f>sYIY^WFH zP4QBttf`1&37#WBF!&~j2b4d5EWU(kNTJJ)e?WfIv<>?^nP{!JLwJo{yRD z!%`2W=vcgV(|K)kc$rblXc`$ejLH{0xWvlM*35B_m3n5E&|aMXN!w}Eyf1t`;0FVW z;;{m=M3dL2z*JDxX5RjX`0HU-QT8p#xz)9Fn>|kqJ~0GMZ`m!lXTbpDzR<^)gVav2 z3?hPg2TvgG{;p=&Yf*~a>WT|{ksEerPEfNkw-+`;(!DoY8QCfiV}I2bqGh4~6vYv< zVOe`3bV!z74N$X!1cTuV0RB!_!Ra@)#OmI+*={>!`!pE>DiTDvv(xc*B;0vVN8Kf# zD)^Cil7GV5oi%2LoxcxiJMVe<5uHD3Z!wCV{rGe7($|;c*xs1UBOe>gS0kKX;$*0O zPtd~%*?#53Ui7ec@>^lt``qOB!hLT)tj(w<&q`P@Hp<_chw_G12XS+4!#f>UT(9oj zyQ+Nk>{Upp1WD^H$T?sQ2hu7GA7l7euCy*@K?UvLCI)ER(mc~H)yEEc&YMo5i3S}3 zS+sWF`wvCJc&+-Oa2xKe*3$Mpxd2s{)JksGDsc>WmYpRe32tp)gT0YcX)xOE`4^5Y8GSX_+|U zFIHIXAsi^Kg+DO>ZX{$<@&IshKa?d2xS{__Vr(0h+m%+;Dp%p|ys$N@+OAp&at#iN zf=u8$+A@*s4HRwQ=n{*xU#C5ae*4Lm6oc@>=xl_2L*W}uR2zlnybfWU&epQ~Y6T7W zKE@#Uh0x#nD&sOmzuBt7zI2HB6eH0%5+QP77)T?-`= zwZWQ)NqIM*H6C5gq>A;QF#EYxzWOa;y*xh~!_Kl#PbGLl2^Tg>zPYAq!DX&I^E@&C zaIqmV&2zqdaw%xFT$=zan-H!#6H0&9zrJ5G?o{4=@@CV1AQAwJi0kkH%dXuNS*JSD#UO5iC2wWl?bd>9Mtrrrbd#EDw)Bf;x-b~6oJ1l z%j!#H-KGq_h13Dob0C)Uu{KhzDq}5e4%wbt0h1|iNNvbaXCSI2@m?GkEj;+D4P2i`Lc&aw93XSby zF>stWRN;xAOD#GTW?1uFY)4S$k|nT1|Fj=N+1Xn5VP?M3T1+Ryxit>4fS7@G)Ic3J zZkY$KmgjRzxF!qv01!X*PpdJKt? z{Y^{zb!hCKEDE(9*cjWG;OC41u+E$zRm#ek{7X-8tPp=2t1$9?1~xKqMMmjTbFB{{ zdKVKw#}jpYcIt6E2`&f^8L1*47Qb0bJ8k2CDNu-Rve*DYgw&jk^N4?r=j&4EceNNU zaYNP#v@|#<$oyj5(kTLrbcsDARF6LF)S}or$AJPk*h+m<%a#MM(qbRn!dWTU+*sj$ z@E}>rtLzM|_{qQu27#k6QR=Z!a(H4qY12W^K})MPSjdBtpp)%Y&2aL_J+Di0^HyF? zvBe%r`gA7QbC62XaL^=CJV5byX+kzd zD=dK~-r<#F4UD@c;wA}+lqv_UPX^*&d4M2hTfw%2#lI$((1 zg?+g#T;g*4dzyF!mcBGHv-YFJ=-%5JgvVg)P|I@DJoqE!rCmY2S zu%#H9m&&rqrP;8WX~>tw*dWmE&)q%k4<8<#Xw)}Ro2V)y7EGv>yMEZUf+hQw$a^QI z@m>RUkbfIgK@!P&nktfY9%^nz*;3Cu54T1<-+Tw*%34>sFTAdBgyP);c(_2f zRYLA&)(?<>Z9gL0tmHAJV(T7qqvf%)Gs^F-Ao5Vn@3;vTHuH7j$uIObVAVY=Nv#`8 zBj0?fr`_fzxTE#Ku>H@&xOex>1SFZr2}CuzXq$?EfUSOntf?!1+JNO7Eql|Kn1~74 z=lItzuuL%HV1}usfhnWb?Nn2JoK0#B`=y{7$b>-tJoP18ieY)ff(KsliSnLq9z`Dz zV#_TMn9K|7e{GTqe)P4S?8n#Dw&}m$YxN%#-D4piohT3-jP(t3C*C3tUJ_0`S3$w< zQX5s@5L2;3l5PoGEkfYAKvUnw7l#QUtKT~Z=sumwqi)QHu9)ly{GO?9k$z^?p8q0t znQ*?m&7yw3B}8Oy>a!-!>punVFdUG?qxIE13bXgdBD?JeFlQGbsid^2qdU;mZ8r+S zMUYm~G2)?+KU1|ip_k`ME9d+s2cijWklOcw79O@KlY{D+a3Hm;cECN_25H= z+L&$?{wwZvIw!dhZEhocHFdO*cW(kvihDtQOs4KZ%5jXt`P4+aZCq0vPxs}A$h>6|*@9Wwia52g;!-r8 zU;uePucsdvyP}WK>Pjg^1qBZr&{slK^0pY`=VM(Y>q1Cbztf%VI%6$~q0-fYwuPGU)DM8&5F1W1xJI1E&)aG8R z@RUkrcwD>ZX}AISIeTA{Ew`s)+UMC!)zem)2%-22RxV*vozH!U=B>@|<7CbRUEK z;}07jxW7}js9ncFu4}~z|9hZhAl|EZZUb+}+ujs+KwAAUAKz12VJ{vRpZGy5m)-4n zNWD`!&3XRCX8Jic{NeGd`k6BC&^MLG&zh8qkVi99soGHB$90zkH!2H8WSq+kZ%#2p z7eUk5=zr%R3oy{23R2w?r?hvIh$EbrB8!+3S(%dxKlpwc5*xEPZ2NqwE_bQtzzrE=(zRe8A>fLiE$=iE;M4*>qNpU5oxgK2+qh<5RPM5}FCr_uS?Dh> zGTU`I6JZ)^5j|aP8XT#BtSmob(ZrPV!PzxF`DtL-(zVKZY(HStr1+_@@=!+un=5EQuEGYM;L+ha5#;qFR}; zFjAsZmb|2q2~i*Vk#onoQ8BMFPe5!<8r*uHHk*3XrTz#>Lr;;B(uptgxQs+-eTgAb2MOpNlLB8XpFA-A?o za9T+jT@`mH52enwpg$JXxa4G9X4k&7dLcNo3;tyx`{xAc$31kkn+H#V>jaVJpv>UzInUO~j13gFj0;bd8|5cqs1s2bsZdOz zeb@I4UW~9^)rGtI*UZ>BL3GsRv=0|B!pF6l!cI+rZ1Mh6BvgLYsQK&S2>cRU`1xOhu%_@HQc&&iMylDb!CgWapp!VInabCRy`Ng%UHm8h^g?5Z zG4|E_;Hz^8)UK_M_UTba%3Yxgf#J$eA+lbXfm?!aIzIbubvt)bm4EML?_XyZWsfKA z3W9%-f!!4{QbEgEQXK0)D?%=(Q~G8U!Ay#+uK%MZbBX7J}_9O4H!*KnH(we6bN;v z$AtXT_fS|I`NeY{=64WA=3w3EYtuo$P+xOC1A~^ITTfbc1_$Ma?b3Q^g9rD8_!Vw4 z_C%QFA9DpC595Ii6fvY)*s z-bqk6d1sYjTp@PlmdKCxb=%^NIi)GiBcF_+Z^rb|M{1)zv3+Ou zA8(-M{QlTBHon2h8Rq~4C|!Am*u-z3o`Fpm(BcRIIBi2yt#yjDi=MrM<+w-i7QL6w zZl2xv(^Lwje_I&R>KpiO*`NHCO0eXl;k1@J=^R3goPd79|6-Jh%NP0wynVE=%`5G& ziPyu)!cJfI{7r+mrT*F!Q0^9ozfh%dTggdBDNi})#r^&iOU|ivS03q!=@RP0U6ZU zCvgNQFvXV>MB=kw{wdHeseN-6t0S&cf-gR7Rv941vlt+Y;rG%dDn`8z)FqDx!|gQ= z8}8?ZeFze5^=%6)Zy+Dsv|a?$9Pd8)vD2Px|ES8?=Sbn2(DF9VypFRAx@!8I>i zNTmO)xfn-I!(9G*EKfh^(LV%rJ|e2^z&y9v$7^rf18(1mhU!E-x0Un!ex%x8Wv|nNSJCI`X7I$kg{>YKVRHX)hIgH3;nZgw-5oCL+TA_FMr-|YU|-ten6IpPRdPe7+fHHU!k?1M`}L|c~t-EqlnGU zC)&575oF{{$;9t%VV$Qw8jz;0;%`u+K2u9jA{lk*!jS34q**D9Y6^j(H-}hR(ztT> zV&Ort3UAD8rGHuB&>c6K)`D!=UGeXW=p&h%tF`J8f2&v^n4wP_Q`?P_D_D{&loe6U z9GdfH&KJmO-#m4H(W-1GV~*1QPpNx+# z=2ULA#t2`~USNXYaeSu+0>`80@9b*g9@Qnb4Qe8C=-al`QHxP3lCmXb@hw#x7%i-9 zY!}!5gA4Xgdx$KCF1B!eit($(t^8ePqW++pDLll7s;f~WaQbD|nv9ngt4;Mk{_EXn zX^*EP9mRn7HsLhr6tAhYDb-CG%yEB}~FSso^&o#?(DLzfFY1UMe(U!GL`|32ixSM)}q;sBdW!!y=|HpP>jD zb401!*?FfH<1du^L~IVXaMJEnzMF08sUTy>DPqOuIiMB_BptU)?2_hnHIa_g->Ya` zy{@a%X#b5oG(6N#@UMs>BuD6|dK_4G-d2Z*{-gz;%bcUeM;=VW%t!H4WT$21l+w3s z$w$Si0&;$BED`0Q!G{*-)j|7@X8gG>9jelAXi<%y5ahTVck$~@cO&licH$4@#>hex zL@KOPX!)phy}fKR<@VfD#`%X(Gev!9Q%Y;aJ!1Qg?Td?AI={Lcn_1mY96z6~tDO$D zWnM|~0=jP4PZa&nCH)i&3oy+~)LhP0e=z;+Cxh5Mj}ip6mG!JnD?zq(`U*uf1BhABxt#VS6IkH;f?TPEi@;BFam4x} zj{NFovv{wvll}Fo`4`^w&700VjQ*^X3HWnwQ=R=G<|EtG0#r>JIK8|>&My<)wB^0n zedHpS>JCx@)-tCTzFc{_h`t#{eT9hNyW86ajEX$T{7}<5m&w4!s1qQFs#uA!{SX%6 z3<`pPrpc&Bl(%OXX>9-rEjJDN>ZvC;f0Xx}$(##41MlTv!?VJ7MIRU>%geHGod@Kw zb5RGrc}f~G1mF%`&txJ@s5}&!e2n!Bc`iyJ?4)uZ|L*Z;v=K z^ZkeZi)-!-p`+U0-7^qQ}q!JPe0p8OnXpn zuMmiVn{z&7+07El?sKw*y3YuRCKjKOlQPYimzibQCG?REAs@xL*ypw-f2~2^g9A@u zl!p@G%DX&UIZHkCU-_Z$TDzQ>PbvZGmXCIBc0xMW9fjMI@M=dq>1>~^(=y6xnrEem zq2pST+61b(YeD^@Q_4o#2{!(Nn&swAi_hl?Rm;U&Dei9@Jx+JBkhY+2@w7o7A0GZ( zkMo^TRp{DvcmgV`+0=@3VN-ujzH~{OX=GvQ)7Bv0g)-HD$?ifQt1B$b(BRQ{9~E5J z8~yWpH?lCf7#YkXtDYHg0c3)eU5Qb{On>S2ZR!j|OaM4A{>uw$5hq72bPPXjU?*2A z7A?+yBANSPLH5{;r||!tC=DW`We^^X4x)r!yZbvbP-I~4m@k!F>p_^oK-_si*mJRT z-9J*Fr%dS9ZL+8tk2?;3i>6fvQ4`Advfv+(r=C03O1S$@*CrmgjG3Bmo7VsLI`|z}XYeN`s>tXLJ%5&hTNSNc%QpWBchKd!9U5tee+CQT%+|#7BBXbYrki4(Qo{VOdt$+T{NaUR0^c1@jn%N?B`-JAc zEDi5B-!FE>{8LkglN~gU?JIto{a)V@lAxEXi|%v`Ey{%d1nKhUr7JVCq)ms+bs(j+ zM~M>1N3)Ag7D$XcNTVCm(;q_jD|>K>dJ9_gvP)FAYENX8d0xXsJQLv(Lm3>ZPO*zk11t%+BPWCbMz46a>bgh`(0=1;1=ml z{Z*=Ba$7Bg9A0bNP6-`%GI(EXpa15hPv!T2|jqVNHg*tLYduAzvnKmlV590MxDlahp6VX(b)KbwP^Se#dIfOShHUv$WP< zyRJ!f010lw9drL2rh8N&uXqaypyk3Lg5&37JeM$VJoT2#Ba14vY(K4+k6$wS9|&|L zm9XvYkJw2*{q8n~HTdK!g6Q35cnP~an&_bQK-FQ~&M6NE#cSu;hw=vDuTHf4by5TR zN8ypuzW;rdf4+ouvD3>H;E-!jPNRgh$}X&wr|E!j7eqv~Tk!38scX_Unhq^HfvnPWF8~?ym||W<2~_XYAjf0K+T(Tj`m)8$bBl^elRNCg;51;`1QU zMT81JIKpBx^+dD=j94yN^oR}a9aB%km!Y2qczrplIL1Jr?`z$Nr8uNHQsMq?Mu|1& ziQMXWPn=dLe&@LbKh;O`Kz8#n$ZBFlS`ioGDHX!~T(BJcCTQl1_c>1?C8WDtPumxI zDn3ss9%dz`1pKfbj6@h_A}|eb*I^zaY7kjwZn?kM6OGHmM2{(_hVhMm9wYg6Db$H| z{fCtsIx1t^4wS2oBvnxL&b3t5(|Q%u4YwzPjt>9;R;r%TA&=F{*>Nl4CM z8t28zl}f!UI@6+_sC?}l;6FSkBTa2K#9+Bdhg zb3}9~1n5vl0rYc6i%#^Til6;Phz9Pf# zp5W!jo39N#f)7-@-q>I6{mG*@9Au>~(VDM^6l(q&JZuQf)08>X7EQ$pDO4Kb)W$7( z2-m3io)=#AazfEnP#?bhJV2new(g9~LwbP8Btq(jaEZJ7cIuGS#Pyx?&!R~HHGSkK zJ-|P~$`+;biv^|L4i=;?K2`G-fz=|d3DI74n$V{oVVh5KxNagkrU#Qs1*zv=npvpB zGF@dwoMO-5LZ}y7vA5v_{o8}R4g+j&s?vi8bzY~ZKZA}I=ir)~;tzBt!_`!)9pU3} z>VOn8)-VXQs9ZOy>Atw~E3Z{C+D&-){zNxc@g2f%PNXb~$C-DcCi5Gq7IuQS zz7b(FG!wZ8&*AVnB(W7OfZKuNA)g5X-$W$E(&3U~P01+afHZ(3*X;`YqPd@Z=SP#BZ@)MWI_A6OPAZ)Ijrwye+jnt4$zv|Gk2A6(FJ z-^&z?ccJVnw(!OOg>565jP@dGA};ar30qj~AQrFt>9yU=AkNv?#AqbnR3q4DHdFmW zhl+j?bQ8WgpgMw^z92dR56LSv%lr62S_2r%C^~y)3%B^Xu%g!QHiUWK?t4MwT z)|ED_+)IKS%Tev92W2e$g-v|~P?~{oyWk{l(RvUQS!I03@j#)@smq!xZ9DK}0Gczt zCH0%4f9=A%aBqZ?)~gEQgH2Q;VY<4BFsRNH5i*(ucC8myfn~f&rbobKgT8}NRbT#_ zVx+$91n%nSJsLXkbc^cbn>J6|N0(vlR5F#uv|B>>MSr`FT{mXHI!%S;KguFZUbi%* zZ+cI~4!!u?5Yl}+QFc(*I7LJI~aSywU zy;rvtCTux7xdPDGLD((xCx4`&a` z?0JUzJvsEfH!H6*#_7>*Hu$OlzI zSlAUIXS}~j#M8jmTA4oF$6Dm8awb3mSk`+Pssg`hA6Q5)MU8?bGu3TR(CLG!wR!M| zyC2}_Cly(|c(1H##KW_hvnr!hz4ZRV|!nTjXXu}S`pQhOShDM)GqLCIrB3<@rYJ87E) z`QH>|Q!JaH;$ZnfqJvQA`9puFWGlL=4YN5g4&?XN@3)MNJD-~ONmJ^jXjY%z0xQ3g zKWF0ZB`pB_F9r)+yFPLT_4YTHxC4noHE&6VDu}+U^aT^}*1@PR3mPEg+V?9ucDd9G zOD=DJY(vSS7m_xj*xR{fnB957w+t1Ij{BQ`1L!jQNtsb}Ui#v@0TqQ)s`@4|9Me3N z4;KB0$}~Ul8keW^hFlLGx!WR}6G_&g`k#6;sKr-2?}A2Anqm zfiVuoU~o~3X5i>EhU1B}Wan%UqNxc@Su5xzFH|z2bzPsG`9GX>^<6lzX2$;hpcQM_ zv&!_~RJQoEF=Za7C5cU9VCBS(bl=H={czVwk}qwr^2F76Q*5oa5=V3Hze1XlJvh^E zTkRxW!j_wT5lR>Fs@kC3H~aT~Ax3yGpDyV=9khP=7mkjQRz`GWuW;#9V@|!6nV?bX z1OB_Lx&YdnHyM)7O|_|$H>^uUi<|}}okfJOGyD4K+6`=_g#JMY%JFyGn#pfMju;AO zAfZbZijvew>R=N8@(+IR0*L;%X-&=(jxX;qrMLjwfIQKsdgokEpNNWSm-8sxD#W>G z*m>!^b*gnvCHcqKJ$_U`{0E>6DHKJy75vZkKl(56lM^SaZ?Ss2jxLT$87I}w>n-_( z>kl#Pt#>UmwkVys^xmT|OC^rlXkm-&`28TZGrwFyir#l*A0{&b!rGF^JnWEtY91r5 z`%{*`D$@9V+-O3~jW|JD>a54;I(=rcui8@l{^kn0aRTC9slh!jyX`8YC7S!XuxZDP z#}Hi>`Z@*G&xL6rw85{JsN5m+n-=-N4|Y($%7IWsoeH6;THTN2VmE|wE6gX5*BiBc z?!4)!{g7+fX&COj?dC41(EEf#eNiahiXED2fDghXHZw;4M*wa@eu@7R9@lsAoEV3! zqG~r%%bjjeStXwf?M~_QWRH*PLcTx{4<6a+SPQ#Q$C_w9JWUj{9p;mLM{Y~Ff*pNz zwdPajP0bSOj?|z<=Xn7+!LV)6;&;lw8`gzECe@q0iTvRP!r5@kLS&e;bpL zWWalTs|9^xPs3Z{-m#ID#uh7pBfK$qK6W}QvEvD~d}J8H=; z3e>lLM5o0wm5Yx1H$DqQx+9Ft71Px~1%-p@@k~&D{%>EKwjk@_s;UI9pf_t9^E-u@ z2}wicbRrkHt9uhelS87vCW>)?W$_bb$P&dOo3-Vt=y`r7*!_PLorfb7{u{?{PtM_- zy*Edck&v@a3uh*qkYuGhB-|mob4Xih7!46|SyA>#*RU#^G`@EZAtCF2{r-o~c;4^l z{k$Fsh~Illf}2+_AS>>L#k;wjP-ML4?%eKU47A!+`k7JuxNJKIT7o*qP9gWeo9T1V z zn}wv^Vv)HY(qe@C|*e@u}PRR8Y^% z5aq1eH2h?@>ZYAC?P}SXog#J5#esFWKk3t+_LgI8sqc-tpxmusa1mc^?hH~bJo81i zGOuRbp#h`Ge}iC4=`@;#C5+1#2hqd1SqAdmv)1y4f8+i(&~$R9h-0)6*xhG}f_H6$ z=i+A?)#z1HlVGCgTv>;`#^F<1ernBPdH*?fA)=2mKffHnp!s=>9;9!-Qr&*$iuJ8- z)viN-wIuSv1w~={*6+|cq_c*7)&<7k*YdwRL7h>@0XLgeBZKhOfyL4<>un_^g;vO0 zpP2`xLQ5gaw3S644jvF|6{_Zg9~XIt2hO>R&QeZZE>g3%EhSQng;(0%nF;P+fUA$} z2;GLF{S_|>RN7F06X!>cE0)|^rAQ`Aie0k0*eR6AtJ)QM5X)}AYMRC`MmP^NEe!5z zXX``59Uo%vpbVdosqpPX?g&#>N51PWhN_YS7kN+XkUmxM?JKCDY>xLL3vVW0(XUK$ zi={KopTVER%C!66xmot*ncn`)@UPq2H&nY~I!-pMiM}ltIaIx^c-OhZ9>Hzv@PZ~- zUat5|B<2K5xM)5IcaJ8DAV9?BC5aB?M`3Vo-xvdnT*l(>fT{%a_WWg=DY-Hqd3fXk zQJ+Q@5meceUVKF4MQJQ)QT0v%ie5H`x~CRDX-9Up*%el_?z!9!B&{DpH42Nd#(_>A z48ff7n_QqwG|0nH3Q#iD1M=r={uat`x#7K2ob4d+YOk|U+tow$-Ax29&htT{I4>Wq zlIUX@3(1NTNx3ko?u@1xvuSAECkSwjX5=r%z&wjJe%xOl2I|)yT3T*{6rL`K>33Q% z>y0;@(E@67m-m8xW(uuegRt~bJK;jWY7-oEE#2T)JFY%E%&M>ErJL}OL5v+PXHcXg zY&@4V?w|d};c#vn`TnKUy;DkWGCoe&TcrsLQHxGHBJZnq=L-1{S=MY#H4t&N@3ze>b!(X zLw5>fi9g2s+9)i5MWfeuTc?M4%e%Z%$s3h{PoiB@)wV3uwrt)nn3E0^b(3#EGjdX` zDS}qb3!Z3@DQXpvTdUtgNr=F7gt=yv4lx+4@V`y}(X^S2XKB0}x?*Gv4as z)|J+iP5TK4*57^np3=H-1b6=!w&&xi58A|09Ql`)cD`Gb4eq{CSC6)(llv&n^Oux% zzIK!s?*1V}kG8mz(H&@vv2qgZa|Y4cioaB(LRe{E>zf1;g^zc4O7W6_>r z5~<}23CS3(upGC*9kd)?(Y_`8&sFvwnb4j~Ymuq-$D2muY2JAK;Soe|Ds7kr?-BE7 zRMrX&&WTO{WH{lhy^#@8R9_~z*WW~X5`G+#BYqGf(r=L>&aHIQghrqCj5IuvYv$(C z850{Ge_bV9{+3oMZKF3MMgFw8IV?V2(QJ%@=i9`Zjlo%A(Vw3V^PbhC>p;ELQr?OC zB@zDHiKaLu*{o?rx3`h_5Vq3?L_@d-Y|Gp;nyQGh&GPON8UCeL3%TG8{ftum z*4-j}!5n(dtTIwL_kc^i5@P?FGQ94&SY|DP3VyeOeYZf1WEzJnOgj6M>oxy*6hu6B z90(OLit^NYQE9WSC2+=c#|D)(oD>HBAw_G$VQ$Pimyv4TynjT8uEdmMc*dp?H~fW3 z2V5eiPuVM7Co{R8U_-d_YY_PQIXFCuOwf2fr}ACPhR=hkI2IB4xp2&as;`% zFX@~JIhqBeHqzUarEjA8=0>fu-%@JLs#R2}-xswALZm-H_4`>EfFz{<>X_$WRUtD+ z=*))nNO%^?___-vBpFkR1`u^l8zb>4vo_nX-HmTXR0!O);n|=Ks6b+r3RzaN=2m|4M@ovW*KOGLl^HJ$0Wm1pzy@!aPnNKM1gO^2 zC8RK2qiz7l!iN_bi+Sj;I4wzpd+*=@uIcKfC1h~p?23CNT66B4NLePNWLr{LXDYJd z@1DIG?Vtwe-+`~N$U3n13bTC4nr72c9yru!;W-cRa(?&P(Y@_gCgW!6Dk9N;ziwOm zfDKCGK)6yP#K}ElI!*MABa#Ap`}k4T8<*}ojfiC~zezX^ZG!mJl`37B#N2?WE-%0I zj{<4L){nLJ&2-xw%+<9Ogl}%DAF{7a&wI8T9}Bl|Jc6qhoHfe`cs$+48?!F2nf%Ux zH$gEPOE&rsa{`_f%y^>#K4w(?qXLk0a~{INw||{3GGTcsPXs~J?2oLbTh`mzw**7Z z?Zoy_6dn7s$*m4~q;2MgUC_hat8r#m2!W3Ke>FIm^U_Op4d&*UDY9Q0isx6{Nw?cZ zJufuS@A2qCPjNxenkrP@rON>$ROYzClZGA=<+I&;`p@*nugU_^Cf?#Mbr0+x2dU35 zoE(>Yzsj3_Z@St3$lGlJR5*Uu;YEB<4|CQZ!9$5FE zufq;l!cKUVT4OEIo@>`)DwolNH%Z<+n6~m4vexhT(+-WaE8g9W!b97Zm`C#Z8^Euj zF^_zxWa^pbyPgTrK6P&I%iEJa2jdy-J{t}Ye z9bjP01GA2E^-$>({VuKUO#-w2xNO4H5u2mql5z_6QTadbd+ZfjQ#X96KPMa6_`Xrs zZeNRb2Y=A*n%)btPP>7SpF>8&LCP+*43)1zJXXWF&c~CQAb;h@7i;lo^9Z(I=64+$bzod)1fmqkLINIbPOaSom z2Y7BW`rC*rc9rU!(Q&Sz+B3WZyj}q(9@?20!9EsUh4eb0Txx&Yoy2n&<@)kJ+UcJ* z`;D^p6|OBrSRXW7I`=?WwLZgE?y(nJ*WN{ohS9opjSB$y@q3^ezkl81-!^PxaK!Eg z=T7D@`n?EmZ8DLyP>}bYY^OpYrK4BfPXcfE@>hZa5ggQi@%CU*`sE*tPJ?yLU}kk+46hmBi*}K5Va})xKi22w1sABbdi`z)U(44*5TbX&sYj@mHdtR z*GhLzG}14c;QTz~9nbLE9`48m>-6chn{V5?maioVZ~olQ>|@2yf^mA2MOaC`5Pjes zokfy9I{}2`T|;l@{R?xRLz2Z)?YF)7Al-5IbJR*R$&+XoV!P%c7rWt5l=%MG?Dkss z#&3#ox|vDvJ7Gwx2*0y9;Otq%E=;;_4H_eMIa?}A^m5%sBL#@K#y%upYjK0bR=w?m zZ0C<5uTaSuuW8Mzv*?`B^okqxligD-6rZl&fuhCKnb*qU`fe6|5&O`)7%1v+P-QXb zYiYcU_|m&7$p(}`mP2e$XH`5#WvnGS_b@InJ@$$1X$E=P%724p5~Zpe=eTO^WB=R! zzzeV>< zLU!l5wjNz@?f9uikZjvH?6nZc<;1edt~Z>9tIN*2;?s{(c@13Z0-f;xud>%xFbU=>mCg`8X=WUU5B?^T7j`^a5ikX5m!Nxu`dnQYl)^ zK;6sm%u1|OUy4{WNZKqZs*+h_$)8s~#v@xoBa*MGp1Ez_v3TxF8jqCbw};Unn?NH{ zFMm|7b4H{vw}&sZx&(>kDBcBDYFJOHEAfleD#KVrhar!ArBVY-$q#k3sB&t?s73cP zvEOH{#0=7PqDsRaqo$-8*hP_pPWsqE%$tm< zQ2h_Q!A1~Yqf_PZqUcevY9??QA-iGYUKGn3H?dZgvPgwJlAw|8JYHkeCGD2z!`P7 z+0-Rg)=f0SsdWmUIx7L+H2Tkae_%ZlpAmWCi-tLxsCSHhG~KCg7J1Z~a&Z+w+<>9; z?sWEkRf_ZrMmUWGjSTqIzsEw`$sTK0=1zSb##;vGoC*Exe`a_$&F)PvMv?AK_!jPg z+WAOzO7bqPrPvB!S~Qf&!9H&MwDQA-*_}wYRm#SXuJ~=8hOvyF9CgvnU% zj{=SyqdZ0qhL>Qh&@6jkaJ*WB9J3tXiD7f&xh`yQk2a(n0bc2Z(E`iZbH_ zu0+?hhuS@6BV88MsOw^!W*H8gu`e0$_R#Y0*TI$m!?tMwU_A$Zy=?j_qHz4%X5py*ej%Tk ztC)*hBmo}jrE~6zm#_)uEeE=~c0j28ICREB$Wslveo*KG+L6eAI2zET;D0`+|K+2{ z-lip0y(rpB-tBg*(9_pUqDyP-hMI^4@@qT@f`}kRFEtAQ9Fd|OY6zLq`o>MEQ9&L+j8NJYOEHmgE0y{>YuFms>6wZzwU0D$(<(Aqj9_{ysMK zlKH@;K&#GG%Xuoa^IxbdU(lC7uBLkkFZE4dh)$!&BV5Ukc6VXNJrCR2I~T#z=#ZSZ z`RGn&9Ufd_)TWX&#dM;xZQP9nWzfv2V!_$6d{>mM`+nWO;4afLgvL{kKUP5GMl$zb zYU=v0S!5Z2csv3FW?w($$fbYZO$x54Ku>2vqbpJ4$~oc0>({R6#+?GT+`FK0D!Ieuz2H7xks+67qyhDWCZFZhGX zOtdLV;E!qNzw&|S&ia4A=X&89DNAlLo4G&~U9uMmCU$zBj_qU#y+mo?u%5Iv6-@Fh zgTxg&zW@&fR7x~8a=jgn&z=?NdPccp%ZGfv$@sNqKp@=~-Q#}SqJwK?Sc)QSU`(`i z+fGmhqSVaF17cymsd*y=NPw?`md^s>!?=p_9wG%pLEo0xyulNdJS9_BM>o1JS|h?0 zA1eD<4r+&ar~T=XWRvG<#BmcA1Z|_9;Tes8e{>(|$>P*<78iy9w)My?&2MoQ-xjf^ z%)z9oQP522?Dg-~jS*|w_z!DS6$pw!Juz$GSZ(V>jJssa=ej>xq45;im7praf~JDmsP#k=lT&{q*2DM!*l#c;RR zrT1nPRr;Yvg)A!dRYDXQENQHqpj*9C?m@oW&qLSF<84S1$7KkD z7gzsQuM*mYilX|1?ERmXs9kYkeLn4$5a_w9=`wYtL`~&dBv3OnC9~u>?kLDY5PnY0 z;@CMii@C#87YDovHC?Bow-8~pMX}+90e)NY?Ch-rf=!0*(0adr)S*(2=k zqFV%Yu|qdH&6x}24+Pj+9H&UETR2hs!@wQh*23}We4uuU1&P|4@4W?u@Jm%;5U5?t z19@;;qR-vTM@(n5{Be%}_bCZsMLWrxO0;P&J&L@=BURr>wPW6&t~5x2&7F&N*7=iC zxfaP>?A|2xR9v2S`9;yr;%&A;06uPe){*!_~_zqAF)Sfi7qm?I9; zx2nwgKT#Iy#7MM^A4EQVx$j!p^y+n2=+`YlmOD3b*H?-JD_V)qs~l4jCv6&P&x|Kk%nL5iWE`&c14X1 zL|);Y7$W-Dm`fd|>kAK#k2`tM4BGjZ4k;rBYRPYVBN@p=oKEOXGHUF@@gO(%Pm3hP zui0rFV7XazgYCHn;T06Rte3q3@yqoc6!^32M%6g#$FXMkZU)NQ;X^n?PebtHfnrh7 zfbHkhlCTIB4@s!;mf*d#My$0~*sR1%%7JGJ5r#P#t&gAQ`3J|Vd@ZJ3P?R|fF(Ac` zLoPWG^#u>|Klr!s)q4Vn72?*`;td`<$ApU>Yg?OUacwGp?C<9npx-s~4sX{?KiAub%fv_S?m~Eb zx80B;xK;vHg-(-=@Mu_bKxFD-B0*TT7zc;fNpBcU1=j+_ph0wo_A?E!oLkFZ?kzcb zMoIXSJN9JiWzr6`ecA$yZ2PY%D{Zk9Gk!SmcnhM%4*bziG@WAQ6xzYu_}5AU&Kdo< zHjjl~Paytza229?>+kt{@;5edVmGxUnq{T@Umz@V1w|#j8TXb?Z{aTeh^He6*1f&f zqj|!1QkMd;Vw<%HKOUX}#tn%fZZ6n0V6*MAWJD!=7SAW?g9hrT`GFffaD;eowgV!(D07WuQsd6-YTtw(vLP@aU%+dnas46F|7whQ`HBAIl5&5QEKX`bqK`Q;Hn zwat~{fHK7cJ=0_Q5U^7FhtFCK8?cn!cAnE~mHjk6G5hFK0Nthesy)bg(2ChMp$3x! z?1kKbaFO04Ylg2yjbm{Q1*ab*MGAN*g$|jHU`zg<8-63Vef8ghyi3u3r0i#aYL|U3 zvh?Stqsr+QpBmWJeL<1uD&|iu0?4sjXA#!nJri-aZE^i#+{Ol949iH4$pL!jJ`peq zX-j*cx({`yohWn*b-tb4u96=QAnRfbUd%?^fiHC-?CX41h_#2x{NfXv1-t@Y+ZjPC zIr%C-htEf^PiIwUOcNu&?stK0d}C&|PQwYrlDL zR#!CoOhSOUVn#C9ED+K&az*KGX*hv~O|eHR&cxhdel(q)(9y-KYL*`yR~FqvmsR*F zQBtMfMins-DNkPDX+?#)H-bKcr$f*AS%fXcolFQxSH;gA7wh%CD)}}5bO+|CP|zv; zPW%t~FJ7+7A}k%+#oTy4XpxSo__M@q+w!Z?HB@J|}B1SU*+ zG$frD>pJ>toAMP3vuO~62-UYD#-Cute}aZ!kn#is1R%Ztx^vB61qa4gDy*ru*SFf* zqb{B5tyrnw+(ZZf#Xoi0HVZX4<#T@Hi81~R#_BfXnWL!$RG5FD8R}fEeH*vvcaSLi z@Fs15o-Ff~Oht#mxwH`sEr0p-SXA};w#DH%EdyKH)U(6^8AB5&*a-1BGXJs@<<+KM(5NqNpUq#7E{<=CRqCJk zdXlQK)hGr8>|Xz;|DvmH4A_UWJGvw3;{i+yV#CAR^rN7m-R=0R77moWJdY@wJxy8p zn+}CZyFuoGMybcY%w62QbRD}Vnsm(GYs&*}3We(?B5fs&S+}$=a)VuKVW2r?Dj3f2wN&dE(oe?aACUUP9Ui zawGmk&2F{4@kO~MZpKY|F+t-p&+pl;ri5L^cO9UZpw^P?m65J4lRkf&HS+Nn`UBl- z@0^eLwH)-^E6|^?O!MUA(AbL2U+zLJ=%c!ya0(QuKeN6i zcFW&d^j+*qOab>PGM}v46vy0hkrHbLMs48=dM8iop09!01vrxygm#jkO^48&r{{q< z?)7_Rz`6#O+tpywuKc`bMyhWq#jAu^arW=^L#ng`R;=$aSngHKLyu8@AE9PapU zTI@9cH+g?dQP{ZJM%v#r8Q_gSj3>f#Z>>`&b6z2^*`ng3V4XyI*e**mcw0}+$II0^ z^Ga>pWO=uj80EJ?XJjl%^wwfvWabRxN zBYA>^vb!Uw^P^gj?Gi22SHkLKC~0^XfIB zh26?&YbSKDJ2_q5gdTP;=S?5sEt|u6_nz>9{gKl@Kp12XafUw;KC{1YMn(x^>~YS- zBw>m@&6)X1m}P(CeE&iC$^MVSl5o7e5gJX4PO{8V~o|MYIEk!^%CuA?@Htr)Aqd7l}Qs1GYl}UZnWqQwvNyc!R&l zlS3-=!Pt#H=m-4pX?N_suV?$c!sJ-LN>Ip?kx&uJskFF~C!rrlB{G)R z?9$zfE+?kGC{0}4i%*h4ZM?nI94c^1-$s7LU`7OarJu0T!RB2`2cEA0V;h*JIjH^T zalYWE>*QB&Rv09Y#7lc!!zJAiQXc)?{-d6_R$viNA>wd~!z^^WuKEL)k_ zG3Prhd~{23&X$OhWR0A+?cF*}dwunyd{DKa&)(8VQ9h*Juv^?Wr*gj>1$FIwfA)hW zBK;fA+P;YSIJ$#J%wKMEIZn~)O?Z^no6nWeZl9R4b`UM9$&I8{26T{NE6q|bfwe$g zpl*~xc&R_D)Iq2?q&my(4LJQ0V7ZOe`H^kn(FADJ z*86yijo9YQM90H`@vS_TCX)eczMlc;QrKtLWK?^S5q;zwXdhT5m^zWY zt_ZC?u?eu6rL9o{zH(0qxGtifbiTVYQ}Anv*zDs#<>=tGfB~+Wz;?!#&s+YA zvRm^A><{gyy)0TReiV`Gw~U9oH{qmd;SA(K(O6CN)$q0C5Qc^5<&zmKW_6G1G6-OQNk%J+JhPe`Kgtw3ehXyqrYC1; zsDDI!l-Ljcrlg(Z1KL+KsQFgmm6kBEs7`Es(%&^i9#(af3= zZ=Wl%t}}a)N0NI;RRDdn=Q-MPnXd2qh4$v;>C|Fyuoo1hOcc4DjyYnz$Df`Lzd$W%Ub6>A7kD=j_=66^n&ge zpECvmpi~9H%qwH|B=1Cffe3BQ^UmrT^>;tx*T%R&5F0+(Y0u2RLnyYD%Z3Ak`LB2B zF7FgU`#n|PwF0Hj(G@7LbTP)_^rKPFUHSSr41NFuzxX*>{&+fAsXP-M8ID007Q2p= zkTnTUvv#6iP<@MqEr{}CEuc5+(jOWjAfptAr1Z&bJ!TWsun#g$Te`)l?R!bmL5zHL zos#H|hascwO)re81z7v^S++Q=jG&;0VAL-!9|^BCK_H(&Q?}7#ARb{YLPpL2L5 z^`m+8Vvj?ctdg)sa&x>+wgax;KK$s@u&^K$%pdZGv(+Rr|&Hfn)O;>$d2;K0^?VUU7oeQda;~qu(PO593Ye`N8`0*-z*7x^%W_$h^$|7?-@xdJ zs@XF$lxa9ug#!XW#aNq|`dJpXDG|cV@<|B2d!14i&1@_hM;#nbsxT(rd4{--; zZ;lu6KProx9w8@QY7%NHj>CtF2`?8kLU$c$8W)+JLZUKO%4Er#%BrHcT;V<-vY(+_ zH*74(6arlWy4)WzY(pLbF7j6ZfGz!ugOSPXK!b96}5 zeTEo)<&cN*SD3D8!Bvt@fc2iidgo!MqumGr{XaPU-ijmqBGx;|y6Ga( ztXp4RW?RxIHjP(hbH)WtSLJg)v$oAyQ|c7+O_$b38|QR#@G;^{jqBs`d6Kg+mG{x5 z;UGzlK!NpQ(DE{@0h6bEj5X3S+aM2!%M!?Rz!lTXy$X4AAE)I3X zF!S^|_4xtk zxgJ5=`>3oh@Yg4&MhV`+Z`Zms_bh2lO2l|+j7qq=)94z3Lc1?GsGAs|^BQcQE7`$V z>Bf2cnaz^+b1_8#rIb~jmS7=_y85xLRMqufAUi^_@#(*C>HtvRXE_bvtUA>i{*PL1 z(-NjYLsSco@ye`|%huHFhHZ>Znk99zDM;vyIX#3bvHvi>abBm2p61{3bn!d!@9Xhs z+~>1Xq&EKDo(sf7D)F7{Kum0UJ1i1F`n<{ikL*FJ06|^b{k&uo-n{^0$D%MXjL9n#$k1F`}$@P)PsYS?Bzl9?GVY<>nX2m$D=FNF|Z+NUCVq5VN?U?>~>`7a#{obN}Z#Gjd#5>Y;M`-!+ zF|yU#@jZr6Hh#;1R<-7Gj$$TkH4+Ku3C?Y52ds5k48mSX+Yz3a`p zlKWMw=AR@y=t@PODxwb3xnLR8lJ1x0wcG!qc(UUFKU) zQe9rCvTYAf70Nzs7E89*Wdt_6v#vKt<77n*4FHJ0wL{6i<>B{yPQYFf_B~Cu_Kfix zd-sY#N*#bm`kKzw0i;aKivd?uztyDVn}9F=gWA9}p;$j}462)}*|TBurGvV3Hl_W? zf&6mYm)Q1(|B#69;k2hGBUAnsY{o{XTZ`_z(39QPjfe0gcQx8j>Nf_?3aEd#Bqx=! zj6`j*=!-a)R(jH>Kl_6>Jy+9f1SQEAyCgUNeTA6tRi)S}$ttx> z`4`E=J5NLIZ+FSDJS!~^b;Wxc%sH)Yjiy+|_k+!ZKso0NeQB`fG2M>rRYlnNd@2}u zdIO6T20DZrYM-|Q9nUrz1eD)TGm8g$s?i~X_4+1@FAvBjBX7?ws<9J1*OI)oZ+}ez z_6siH82!=%HIXThhP}Fq^gzSR+go2Qu$;mQqpDvT5G3e|FB392LaLSY3u>jNQeT;p zO2%@`t~1H~G!1hYuYMUchA;6HSVmMDOIcr3g?n63%OcvSrI$WfOQqK2gqXO|bB8V( z=mOu%UVs=>0LK327vP&6F?lDKliHd^xyN-KB0tIY9*<<28sR`MlA4p!s}Dsgez*v! z6vz_&0&wO|q@p&`R!Oq;y6TGrze#5Nx^AHV@W1(gL6daP+`3W8t<41KHs)`ajpX)= zW%#7DiNjp5DH;oE8G!)yIcjG(n!0;xbS29iP*UBgjR-rUQ-K%H>Mt(vTFJgse@cF(=UerE&T@U!hJyYL;J^3K)K zD>_yvf0wAl{HqbrcV_!?)39M6 zm9sPcI~xex$Pr_8v4px4)8@0%Tlhaq4%}F}7_Uj1u}uhPzCEfBo%sS&>}pMzKz`5b zKy?~8e};cFTHRjzCJfE zCp$J3;PXf(Q+I=Ax{cmkyIQS>k#kTT?}p+;@}7VtSTK(!_J{JOjR*$wzRmjk}-OHZ4|pgfj(t zq%3s)5rbH-yE+ES6oj1z7@Cyuf%nM`O3-lat0y`yT35b(P5h(ngx? zsJLv|fL;kWt=nQwQYj?RM?eXM5pR_agpJQ-C_?bv#&bq)7oo1d1U*^t0s}@?Spw@S zH8;=QxPBgSdnX_xdILejC8hpbgyx>#b_6$I8Fkdfl_uaDzQk&-FVkHoB)ndt6?Jz9 z&D*cWfv>iU3TjXX+OuZjATi*zWoW;FLClb?ZRh5Ry=^9pI@KD|=-aFP&l=SL>j5SL z8r2Xhe%8M}QGK+bKVS+DDA~=`X32Zm*5O(1&jq}Dp5cD;(=sJzv^gW&Nr3)ZJP=vnD z*-A!)eUo})rhOy^qf2v?AIq`ZO3NF0iX8b77p_wOPEW~e$1W5m8<3oZaNg1|hbBww zA+I&KDR;|4e|<%|mAr_XUWmwEK+Z5i60i+@&mq1#lnPydYNtCoLPe^w|B zUY`5`pcJ=P%>Q5g8#gZA)AO`tzYnA-0uOyCO8UL!SmK3!}*RRpa(6v&zeSppz&u(;i@ zscqik)|ELr6q%k@vu$APKlhJtv3Pvy6#DW83<6W=FJ(C45~=C-I1f0&h)3A#G!u2^hba3{?wo zWdiN{gCG!R`(D`|IXlo`$@gw?17_y644^~Ngbv5Znv!Y=6$8hw=)V+p38%fE?4`== zY5qCjS>OJ9=5z1HTCHsr{Wvj0RxV)fiFq-Sqcdf*{93k%ivAI&<2HsL?2a#GW2r z8X(NLTo#(Amv;PQWal~98qNC7x*{=Tt#F^E?AkfZ%87aXmD3X;W2ffvS!+CmU~e!w zGa)ZOcB6;x^b;jjK$^@2iDF)WFeczZqYCD2@A~iZn3Vk-dAUtG=vfDgA+~xfYONMc0d3Z z**Cy%w3?&;^=#rVGyF?j-byxuRojVQ;Yv7)APO>D#t3J%DJfWh0220|*JqehC{r?@ z6KA9y5-$JfE*W(eq|Hs0w{W6JYfWQ4Ee=yMTrbcl|1jnNi&;JDH~31~s2KRqqp0A9 zMWZ09YMW#TE%)ZJ_mbiX_vpOD7&~jq=rI2`dWAQf3Pk)7_A7q?`xxo3Bs-_oO?5%0 zj5^q-l^76}camqQNJQg|MF7a_=?JKOKp+vtAF$A-Vk1QjGQhzn7>~D5L_mM0`z1%`~c8mYs!kr>0=l5EipuFZ+w|}#oK6A-0v7Q3gF>2o8NO-MFf9xFZbGt8{IsTsRZs&?v5L(lr zBcL%2PEh{m%Z%J!13}Ki3L;KV74St7@+vw8XgFyR%CL{eCBS`M!R#1eLao@IahkgW~^yiI-am(sJ8KVD7YN>FJ-xml# zw6%f1KSQ82oFa#K5}qAeCN8j!NK#H0&>Z+f{6!DGUv;zI3|T-i13{{wiL`CYet3%T zORUcT?+b9G{wrzek@}oJSWpz4U!7%L56NX2C0JN_fF(>?{(}FOqv{)<-qgh>UgcJ^^?a zktw)%de&W(aro%$3fKHJEq`yQ=o_c_mC(fQ__NdCp;&Fw%H3~4g8>Iuc+$Jr9t@f! zE&TnW#v9}cw=O&%K7i=j8y$brblsls>gQoujDCa8Uuoe+g^Rx!F%xVl91risIS7L5 zWZtddi*b)0A>NDY=7%fj2r@>3A?H8HVI%?X>IokYhz|5_h=k?RO8g70at4Hbx!p~F z+wVQJ_1C^ahejYCyji2WJH8LJ`-nksu+)g7bnG&~4WFxL&4zOi(@%9?qR*Emr6u}hhY2JjmL0`+0Tr8F{dM1gL;HU&tb&tv z4q4iX({M*4=DSkFMh1i+YVdrCB7t_*v&Aza|F(Z#6<2D}py|Gs>kb1Pl9S6bSV!6w zX=vJei0G0^TB{63!1T@$NjEuWfqL;px?0A-8uAq{h$d)-*(|+k7Qc9Uf7&Lq&&x+% zFaP;>0N}esmOp+SQc5aW)4nVBcb9PC`&%Y3U&W^=uo9v*uCqVgQTK=Ixz1g^qNiFX zcMtYhtf{!T(dsf~qqyVGcf9Fs-)MHP6UV9ePhb(8(Uw1MZU^9Vu-up2Se3Em3EDTf zmDxGNq$xd87g!2xQ$j4?oA0IsS-uk_2Rw}`At>O5(?$W=RoBoOj2t~Yfbu}ujQqPttPzzM%^p!@$fS(ZtjAv6c=>pi~9+dG2 z3TNqD6re*EL*_%~qPVM97MHnM!2Hh#)P=?@L682_?51q=z!rr-CB*R6yfG=IbZ?p< z4TcG!A%!*=214=ZBv-;))Z_BCm=a(VA>QY{VC>-v#8XtWi&zYzixm7(eX z)>wy9^(3L11oS+d3fGFIjJXWdd=ekG>v#K8KLtZHOGFBY_-G|9qv0qU*H^!E2U+@K zZ&~=L6{rOX_6VMFIHdJBs|UPB6W;={Rs7VyzL^c;GT zQf5{O06mN6I<*xwJs&~|I-4y^S~hAnMg z7{EdQpBgBY6Oq;cR}WiK3X45wFMZTbu=Ide7F-fJTXOU{h%|IRPnmlmFu!d3<3#|@ za`B_vxw))F9p8IN0)RuR&tuep(JV;5gBd-3Uzl|x&sh)zgwCA|)O0x_R36?Mr1UP@ zTAH6od4LcSEnv|y4=uB9QUszI>aWv-Ah!a$K;f{S$MN&LS&3xb{3VH+S3#n=j>9v_ zs0sYpAZ!SKD>WhSeyF!@f0TWHucC0k&qQIRLfrt6TrX1OmsKUXdieX1J)lZ<|N0zp z<`}5O=R2dutwvs%ssL^jJ#?GlAuJ9tlJ&qmFChb5D75o|L?wre$(l4tk8sO!nHS`? z&aBr1md;1MCw4Z$E5AFI75uNF^KgXv|Ks@Q_PIMZxN~-Q_TDq=4rkNZA}f?+C8HsC z_Bql~DNU;~8cIVntU{BDgbMlUjD&jGPz=_P9m1DRoB7i5SfDI~|cBV<(5LuJbTtu(JfkGbD$;acUo&C0nz z;{BL9T;{_#;f^c<|1dZuHF=8iPceI%Seqtul;gL2Xifn+jv&vSmTPCxrWBxE0g&SC zAE7wa1t`D;0*`}Pp_tA(BK0LB)IO@PR=428`B0gWqak zv-%?70+MtF`e#htoLwxqM*Lp6GiHkHu%oNg=eg#w4 z=)IFu?}N#4x2Dc|zhe4SCl#9EHE^7~Z^AtD6`AFPb~o@jckb-5q!S8$tnASO=X#%y z+L%7QN9|>Ywsn-X1?eoa|9BY*yS00 zQtd}xMPcVQW5>I`uG;-WjdJHCSZQ&4qeHUDACCn$ON?;yzGdZHk!>7QaR|k;c5VH- zhh6l7(n50d>|lExhlBm@PdQ|nfV=d9#|!PCvg1M3KyzL?xaM6{B?NTDq>wZG9OCRE#rhAVzN9ko zzt)|5&2OuS-3@p~8auqRp42NJWmC6rr^xxN>gKMyjekgu6K#K6*#*Do8{@y#`mhT^ z`rOlvAH*}I!ofcQ2v=#iN~t0k8(<>EW9FnU3ZDgBnsr!j zh?SiVkxVD%g3;z0N=sILdANsj#~iMT__Wnh609>)3-`-3@5`Ge1O=c)Y3-G8R*&uZ zTOpIkOUaWog1K(M_Nf^$moMU7Ay@I42qfa(+Z6H1^yPjI`aXT{0wf?Rq34&+WT(IF zJp~|Ev&mm7fwt9rc$2*^j+pS#OM7^fy^M?TDp9)%X8R{#OP6IM{4WYGdQWCIFC!DS zB8B(QNbW7%G@CO_E18lr5lU?Cn#rC)?#0SISM{Eg6dC*J*Rl7+V?WxVTYimi1(ACv z&-h_`IDQ74Vfi>eCiWRTTV=1pWJ~d*!C>(jzem!b-;gMepZaUIg9mAU(iu}#5!?}D z2QvM{Q{x@@YN%K@zJhe_5H6Y^{M_p+$f`>3=efwojBDok4N)FV?`%=*x#pY5&veA6 z_~P~-aA;fc=pf8S`S#Ld$ele^Z2_RK!9H0K;N;>FzVI8C8$MF|yz>Q0s6hfvzK!6t zbl!(~IKl_N^BFTaH^!)~&1}mBc#!EcAdd!LNlNaOSM{(m#~K7I3JL&h~!h zl46buJU{mjcLzgm4AXh9X`G5`%I3iYmktnsz5GcT#~Rfd<)JGU105%)92)*T#Xis7 zVacNf{2J5DVOly(5G%f&a}^M>n)_YC;|sx^&PDOTofA^=N#|sTOu!uiz4+!DIOG~> z2RrQ7gA@1vqIZ(Mjd(~%axSbzU#bKfJpR%Jm&Pu%fF8KBRrdElkNoKffk$K5S^0T6 zhU`~7H_mhCYdp-u6?vAZ4Gy>qkcCIu-8&ca0zT(o5E1jnUvtNuMa)Pt;$jPpSM+;1kfFQJ_HWIo&CwVqZ;;b?1x*&S)3m@ z0S=x@9d`*iz2RcPaNnffKI|Y&JC$=+%b3EHM!K|Pbqa>2%XOSEdSMo zRFwbXLe?uG=+YA!`AVN;B6{1Ubfd86fXm^%E*eWqQo!VI6zus|l$;%^#$_XG>8-Q; zGiN>K_@H~`3z2sye1o%KgDP3GfjZ%=)FkD!VUp&Kk>DVC#PjMscFu1`X>-2}ot4fT ze32cs0-b3a!J~7k59Jn}=o{4bMkn*h2VNWG!}N}lbIe{@`7by$mI5J zo0|o{L_SYWQ}flGoHtO|9H)m?vchv9V`JfmV_wB;sjb6zz_9}L{kx}wzP=HV&T;^t zgD#ql9EPu|=|tOYIEi*-Y5_bk#%E}~ca->Jy7F^$4g5eLzu?rtMZO64Q>5;-{_>k* zBfmiFc=X$&Y#7LJq(#jdJ3BVPJba$Z+AHI}T4-wFt&wx}Nwo`*gecq8Ah~uu00LMeoJ!+o1iWZ`rzPl}t}^L%Nd%kjpUSj3q~DwJ!#;kCzt$g(PMt{% z6}9&n9-!OR(IAo$hp~1l^PD+Xq17GH4BJW8RWQpoE3t)?a;k$FiLBBFb8NMd99dh0 z!3~vz&(GUl5gU?#tPrI;ygj!cvu)q!s@5h~R~YNh_8*wGVZZ5uPyAAKf9%WBnf6%H zyQ>t@qygE%Vn{ai;DhHlC2u-r3J-zIH%)7aGRi-Tm`%l)+3HV}Z}UweIl|H6DdM&R zH<TlzWj~rlV-L?#5=zW~4Gi@6v zdk1~Dw)!jgUB}n`a~-NePNF)#4UlnOksFI*T1aI<{$JNcP1M4ABc>)Mg+JzOx@;Iu zBDk5biJK3L7!&4m|IPFwMI<}KEoW``yf)v3k2ZOR8Z9^*Otuf%&rG{0%Z7f?grL}y z_tE4ECEAozUob>EdKaN|@V_pf+Rt^xj#FqK^Fom)w%iCaObBWD5xd01l13-c94W#Ml4!23nEqi5Jjy&SqTaSIOV9|hM}#w^PPG* z;pq!@Dgh0~D*2!1#op1LiySdNby_LT0A7(uuPbtdZa&XHuJ@ zhC`)#FTzbhqGegPmER`3uX4NF&44?e#pw__T9yhBaHsbgRMvJuSAZumJd>|IRJcMR zAS@AxJOi~Ab@Ko>7W zL-Km6iAsUKYq;{PoyAGGz4-gsRwT7tQ=}9fDc%ZsFho7Pu^m>5+xv|>d_<;=gVB2( z{!(-jZq>e>p>$*Wt?gNM?O{eV1wDPH49kq9cZL7qA6#X+?AF`s2V_&Wi}YG76iVkZ z#%oXC45qT&uTD9$xs|26Lo|2fH4tpJ3&OG@eC0H^s|3JH$JZ0KQMb3Ex75%`32-}p zkvDI5l(~T~b_jfS=KXkqxw@v1^V(csLz^kS)8TsXp}F%6^|TUgQ!&$A&`VCN8(2O5 zV&?emD88fS@iv3sDrUa6Y9%^CA`Z-+ zP^C(5gT>XDth8^z{Yzpg1cF&>7QD7ioylnVXDY}Zet!|INolre#i@ybW2W_Yyq?J^ zuN*6X*``ms{fH7Q9!ut+2GsO8-mw_``5TzW*~6;2AMS*VEFx9V<7i#k*#U`DF=JhC zL1!PiJ)85Lecwz5^uA+%cptSj+G%;uKGU-2EM`AwYAJepdu&Bxk)qPNv#~uN;@XUn zKt|)6xNp^7jX3ph|7ucY-|XO}|IA2s5PC4U{j%KJs&3cT5I%^L@dMS=59uCw?LfH; znnc#MF9GNCBiWF3|9q1QmJfjL%x-MgzP#EfZPDzPCZg~%YrlSKW5I&$c(D7z!43nX zt7W`_8;6g(nIUTVk%v9CH_Gj?^U$va{cApX&>H*r9dafbMNQ}U@)10ZqK#Mt)zj~< za2jAntqO%t{&5aq6-5TW8i#Bhg2rg^%A)1ejFo8%@UNKn(r-ftsEONFqv27Zu^=^0 zPUkDyC)`uy!HzBG4-rqd85C$_3K0+GDI^~0^+>bz=$(O9Uy%ik&N4hESES&YKqF|x z^$3#yjl?`IB>cVTSS?x~s6yc9_#I6yFtV+dA>4*>Y!DYgBc-p0!;Rp2aTzfRAj=NR zGRP8iUT80P6B%WqYJR9-!IM>c8ak)wH%DXL`fpjps1*lSUd{@W_2uV{f`$U;+Ut*= zpX7@e)MH9F2FTx}Ptl=Zhan3$v($e8>9S3pwa&22H!SH{m`pI7T-?53@@nH%a`+i@ zM?;QckFlY_jLrJACHPlF`*5(no{NNa$(oq1<*EUNCRP7@=L}KXyJ2m_pcT)9k2K=; z61C&ojUmJfE{roVFQnxsKOl|P$>KhtQ=lG%v9Lfq>vy+L#+IhW^??DU z_g>z5_gHUVJia0QneA%|Xh7`6Y_RpS<5WP`tML@pB&Y6(x39!3G(^`;3(IHDs(O9z={BJh%(@9hO3h`h|nHkmCQ~5T8k| zK)zcmR}B8qx?e}I7T;YZ_`{R{yL9%_5u2;3(_c1c8UMZ8Rn=ZkA^m80BuOlBP-k(( znHrCP+#lIbUrnP$!@`miczQ+|X1fy;X=);6EQ(p90w)hMl(gF&t%eIE+DlsM`i|}1 zm&|Ma#ugX&=!h`wt1>Z0TPzp@xvKfb@*x*u&|RH=UcN1hSTN1Lsf;2bvOKcE-CFug zMytYB)u+$uU)0)ViLndZS}>ltV<-IX(wDmv(=-GAE%RqbsEe*ihC<5hH7$lN=<2z z$S_EPev#Hoe?$b17G}(Uf^QMsW>u6__0Jj=&TmP<#FWi}nJ6d>==*an~PnSdmILed0W`H_|`d#-xC%ulyJ#_li+b^K~|q_ru=8W8mOH zq>TJn;i%W9N1E|lb-~^@D|`pK4(H{pyuTlQp&9tVChk8e#bPAdPkH5z(X17t(`U73 z4JZ;nx<2^Qtsmui#bNWl>i8scrHn0U^p#Z++cCXc`yZ$J(RTa zLw46&45k(u_w%J41N$&_Vyw8W!T`aFRz^;cg^3)({FV7U`7Y960v;9>_Wki z)!PYpVjU!(Q$KXAkv|dnk+T1SccPf8kL8U5ik@%Q8PwQn?$o1@ZN`OcZ3z@f)>q?3 z$?uGbgK35jB|VW(!xX|Q?D2;6IbUPG)H?hav+1`{W1V}T)X=Os1DlW2@LR|gPhu3^9*o8z9xzYGg_5%a!u!qOnJ|6j92}(CuDpZ5{S` zznb+qs^mF>^KZTi;Uv|3XSsddd<`zTm||1W1&;R#lg)n5VFJ(1FTBOP`Yswi7Cpd>z`hS+cYk}+cKJLt*QdQPWpVMmoGiKkr zwsd1+jc|B_t6byr6MXHdcx_4I%|oeZRoTPI&O(}z0$R)_nEtd#+4=j-1)+(2`h$A7 zVlGK*H451pR-F0it>T|ewwFFwu+|o|dj&1!$M24UhrhXc>`99i9;y)pI4fYe+&HkT*)sQD=3N9_sW%4dql;eNy zGrlO_%pfU!{5`G&M9o$-xLUqeayo3eP=N9cZ_cW3Pw_EgDInZr)l8e-3Hb-JOSoe6 zAHo#r;>pl>`!0w3OnUvyw!ygeF+7 zeIHN6GOwA&)rc6Ciltcdqd0hInYaVI;{;*4G-E- z)-#9Qm~+(O?B2=~m=u&wQ4M~LzEYg%8l_eONos~JcwcK)SE zB}k*sU-Zd_bOVU`iM;fi)M?eB#O z-kAwjBxJ1PJrjm=!%q#qZW;*dvv64MCnDOO z4-S54Qzfa~>G@FBul1&%EbN{Y{VOEerag>)?b@4FjQV)+#|PliW+()C#oL>G?mOC? z;v4WvvgptRPJw9Z>&w%w2{QdQS}C-Z>6M`Tg7W%yoCJKU%nLbNk-T=}T%alB`yNPLhg zZzGFN{`aTRu%!*wwx{Mr8#^pmea9~8D`u7RR_bJXZhRk{%{vv{zXre=N}ggQr+O_m zoZ&Yk{+9&LIq}bn*VPC$EWH%Rc~+B=wi#$(7prs-DP8AlS>OR68FnZl_;RWIHuU}4 zgiOc#wHjss9yi01aPg-W_siU0fv>_mqZf`p#-#H9b@U7GLp1f;oFKg)r=q zBf@VGlHOGm?^%5^2mWU|GjH3*&vQkcRC-0@0AMjWw*DQ&E5^x#s>MiGc$R@gaoXoi z;cr^ufISzMwLUeWY7Y=gUHdM&NR<(Imt)?KHQ%-(xhacG#J^`fS{~FLybIy3jKkhd z-VQG(`@#9Z_-x=o(M&g6NnHpmg@5)r;C1-}Fg66e-!|6|w;x~6mL7h#!{cn0D#wsF zYLS-eTfoiDkvWzTtN05t{^GQQ$9zPU=MkR_J09wLQf3eslpRYW zLIXEdV5VImOP_J0jE&5O?4nq>!p9q!VmpN5VDvD!d1nZ^#vPG_;~SO1GUuLS?c~eU zGt+xB!4f(liUxQ=`B-IUI+Zg;i@ zbHmDyM|=rcl8+d(6X1)-4t?obCSez*&=J9-9hupKk|5W#wk;^J;15;)x8It#ugR`;jyKG=0)sIaH&bEs z`oQn`5-&Vi0qT`C7!6(SX8qjR__*2CZ@743q=IDUG3TsBpk>Q5`l-R0IBVp#rM}JO|+sP z0-1d~REYa3ZX%iSVhpRHlj*=&faG8p$nd9`J#zWM5$U?_L2l-w9D^4i)0M~8@iQ)w zA4CLK&)VvhEBT0R&3%#?ek7Uaxxa+C+LP_P71_%yzpP+P-}20T);zq9(Wg1<^t)h< zNh{ZrU3!8}*j5dHN(W*_fiTOyQ%IqWo13OJw$G>$PV=esm=sMtrHPjNFsE{;qP5_@2rM-{{eD)5m03Djpq~KVa*NTQG>0+4%*y&DxZ8NaQVNUeC&lKZwNTFy1z z;kTVv9EQOh{g(RI6B6B7HIm(e=d29bo#hBmRs*+1!gPbsyY#2E_J|6CHG(q0Fse>~ zX%OV0#&s+|79D(=8J4`eq}Xq=u&8cXrGkfkkT;Udi@e&kkh)iYg%NE+%lml!7fF>* z-DnOVY4}N;*IpsBxabi+p7)Q+UFW2kK84$)!k(i(*f^M!C$;>iyMraXhf<($G!F(b zeYI^a@B*P_!6>en27@y2;uT9dQ={EGc6*D)sr$Y2pUYZ$LmFs{e*x7G%YHkcl^E*b zvGhTV08&D6envYbgg|R`tk@UIal{v9Jf?>b-+Ue@J+xJMA8MqT{naQUUBj_MzTRtT z{#yJ=?PRZA6tkXj1+K3AH(K&u-kF-M=RU_ z=a-+FH;+EHB(|m1Ub=xP%#vJF!ss!bG zr8c`TsJ%m7%?jstk=W=-LAmjBrxIZ68Mq#i&=*by9 ze*=R*4yuiRP?rIk+wiHm4wd!buDHlQC^MQ{M~;rkLxfS|rESIQsR^B36w1h<-4-8` z4+i@e_jkuP1dtVOo`M($Y@D#}%9vp}I^Ufpb)5Q~O;vvo)n_B(6OXjbVk^Wr>}rqA z@I^7oML8p$$tNR>{U{T!Hfybu!rPPa2(*QkW(di?^eR#;ZfO3b{<$lgx!K4&!8uRk zi;Fw>jZLyGJp}vdewTkDr;49qj!cooo|($T&Lmzv9xwS$tD&udAp3Ow#u3hnrUV?;0ppWS%?!@*IRtj>~ zbG4$^Pq)VINcW9@eh!U3`bj}kVQDK;m&@y!jzu3fCSAXJ&|*Zt^<1ABCC<+1;YL{S zUGvzmjX|OmQt0ukqUO(SIQn(a3l`RIl?lCS=DQj&#~-+onA={<-I6k(_zT}VH|P)E z1F}wH24oo0_#Xs15ZW9EggL}pLA@%??!%^)_==7yerH)~Z>PA*$sKf25i6Gcla6)7?f}&=`f@qyq39_r`);AR-WkZFzPZ zS-=uJ;BqS3cG?HEd5`sv7yA8$*J_(#QMo^QQw<{-zAX$Z8&g$8c3(G~+;<7x@jLJL z-4zQllfQr1kY8-8_~)d@s*>L=T-}ngUz_UwP5VrH4tZTg^Y{+OR2r&E!YL73MDFpj z$qkng?x6*%;GwiVz+S!WeYEcW+km}Pa9EE2X%1RTZi;MO0R=k9yC+-Xu+w9jM3 zee_9|Pc%{I<2-xq4OsWM5%Z52yZnyQ8s=!>cOdDupHZT1@)8E_^>I~3p&An2pir(^ zN}dvy&P9sIexNH_&Ko1zk=1~?kONH4EF_!f?CW6}%=e5@n)As)-YK`iEvLru>dRRP zuV;ixJ@c@6-Tk*=4+~=?dU^GIsW)RpNwISGHS8ycEA6~e-cLb_RUv~7<&D|GA4jD+ zlC9HmWn1Wb{DOFUUKYi!A@|#r4Z?FYKE8d@{&(DqzC!e9&U{iuwyI(qCjb@f>BpDj zdDd>y9>cc(cl~{%IDKWMxI+w9*q6F;^3c}s*jyn%YOSi~ni2zf1*x^i%nM?PO8EmQ zIq=-#)zTGE{uTQ)+8Q8PvgjAz4y*tc|CZU5R)ys!NM_7N?jv|C;*S|K+6simzH?aG z&Ol4No!GKY6fiFg6QP`+V<|7;-9>1YWW{Bd^#Tfz43RrabuN@DBy)cH7l8V&DQ z)h{E7)%11RCunu5cgBPIox?ingo|Zs_&c!fdJziDCv<&h76^S|I1ZJAaPfl6r;PHK zqAhbNV;pwDn%4b1Bscr3w$IKlyqaw>h5Ros=T-$`CrI#sKaxBhNeWQ_`*93jh0)U} zs8dgsPPf)5Jg-g&&ZvhMz5FEOw9Ak~gdk<1qlDQZS%nl@Q^&9#M7ATykXe}K9=EGm@WO+vOH;z2*dfH>5{N2j} zM!#3fQ`y=3Dj;rTb^;EE(|skK?a((}vOYsi4?@H|D^DMKj-u6Tovy*W`yz+iM7{J$ zQnvjmI8FF*kS&l*ua@Tm71PfWM12;>ZT(z>$pHE;I9Ka8K@Fsc-%~KXIeP{C%ZX68 z(0Hox2GshiMbO@(-2`fX*EZ5|(OGL!hjc~hkfZAKrO!T4@2FZRahq_mBDgv}N2>n~ z;G6rhz--B_9$Z1qi<%-&>f1gfTscpzD)?|Kv_yidn_Yvx3R_8$XoYM012nWkjF)0t zQY_R228W6^?jS&b@Q-1bkv*JEl%?iBA@F0p@Gro7z%%v`yR+~`zs*-Ni#~ExotB^u-WFT62Hc6M! zXb#CtOVmFtR%N)0M9g)qFS21kTJu`bjsPCeLm>(~oM@>aD1dWl%ojtifK%i}6aeHx zt4d-ds;MD2XL4c6ZR{t6f=&?C5xm%nWO1V!x8faJp6a<}z#&y4BFwA>+S~pwf6&8N z_h>?0b$G~gBzX%6$Ej^Zps3Fn#k$EwP(=GtXYGi)e5=NdOQ^H!dZkNif8^4+;U~OP zWlL6HiXq22jNS>^2}TIvhi`G)YiABsKAyh~DM7D*VVD2@=0;58fpr+uYZEsoDv`P( zy7j@;1NdQ0T%z#Ss%paA+9vA$RUP_5;({W(Mf2ey?Y;MPBPxC%A;8D&Y`Vgk{v|;; zH+bFlN-VeTtPV>Q*t~;MFwLGLu9jUpv(nKVdGgw*B;?r1woxj_1WS?Z97B*f5)g-l zg2pu|BtB-TrUQwp^@xLu@9GFy2vevXemm6hCI4eV>2vc1sXr>#5(j%ydfS5zj!rJFZrc^EWGsS#0d{`29`2Sy);1iX7LeH}DtST| z2m{C<1W%CDFyH>e!qRF0WH4>*?EQB-u)8_D{=MPHb2AaNSs-k_%|Ou=RBl z&+3HO8@OTVhmukvs*svnz>(gjYWVK)h{&iPmHXyz#M%5vJdmXFFC{hY(BUJGyd7c_ zJng*NwMl09ilTHfHK>aA>^k@C7Z~v3>ik|ZWlxVR!X{GnD?VOyKkxevM;+P;9{gWFN*tNt`_jc|Htd!95 zkEkh_qoz#@YGWF<|LWaySJL-cUmeQ~%_iSI3~gos@U~ltqO5`Mw%9?(RBv~V`{hAB zpa}Sh`9#=qmX;uSL!-p4pa4`rT7dyDMQ?b3DS+L|Mka6|BTyIu76wehA?gbVBnsV^ z2Z_3}O$37-!ogL6F3|@vM1{WyGz5#4`Xwg*>>YPlCmV$t4%ct z0wLYmVGRHfy>Yse3A|=Ex<8+R2~%~zg>sKo5b!uoYAX!&2Sqrm>u5~U9DucDY8m5b zKVd-BMrBdZ4eXDtmjbw+1%KmFO33CjAh~3RxaTLRv)#lDHdETQ{3MM6^e-l|LkraA z^z_stV~N_wIAZ%!Zry|R#-vr>C4ijUAkgv?#erC;RzMpWB1t_60^W1z47egzBR_c)wkdS<3k|2--mH-NR_(A(#ieBCJ=oRj1iJqhZ1pVIq7b}P8hHK;jSt=M3hPo%Dc6=d(;-k@ zHM;{r9Hgb|ra>+`VCOC65I+&c0a(u0m{^3)m zs0Re1nS^(mh`0&Fde}ttR3K`=)8y3@)RZ19CT@7g!edTM9x2fc1wM@c<1HouMTGKv+?w&+C>v*hBSIN3nzU_@!K*hVEl!da>4lrhNi z(k2@P;-WHyeiJ}qmW?sk6QH;r$S>qYXj#BI$ z3D-nG)TuTIMdvhnB{B;z0I~C|H!(^=A9Y$Q7Pu-dj=Y1Unh;a9>=8qFkvM30 zYZr`ej+Y^IigX~P^@*uD5{R!Ia0_B)ee77i36BFx=p?K7XhEo8@tl_iBuF=Z(Bh`( zU)_uVrC~W$+j*69>PS%8NuNL_|`OKyZwP`pB7Z&+p(vB4oi(1Z(<3a|cCa1ae4I8HBtnm);g6b81CY7I&OO z`aD5AwE}4(>&U%J+XZ6N_i8ICYOG$AR4iqiKtn<(4!4f;Mr1kY8BhbZbsfd}X@crz zM1q0}jj|b_M>I0^h1{=DmASlKAC&b~RgqEKLgIqlmG&Cuo=?K9N#LYx#ZBupq}Eg* zjSy8m@ZQ&V#1cEmr386maagMghqy$(BGa`YCPOzYxp6d;Oz-7vlk#?P*ZGSR!%zKg zNpmzxH~9Ul9&$@-yQZ^SXiYL?h293~yE&2%?M}c4XlkrUWIz&ddcvz`+i>7KLE1>p z2pWCAqVJ#UqnKC+YIxm=hDMC7iiRFtvB?%0L{JRd7K~74rJ2D3old_mode; - int86(0x10, &in, &out); - - } - else if(vq==1) - { // init the video - // get old video mode - in.h.ah = 0xf; - int86(0x10, &in, &out); - gv->old_mode = out.h.al; - // enter mode - modex__320x240_256__Enter(gv); - //modex__256x192_256__Enter(gv); + switch (vq) + { + case 0: // deinit the video + // change to the video mode we were in before we switched to mode 13h + modexLeave(); + in.h.ah = 0x00; + in.h.al = gv->old_mode; + int86(0x10, &in, &out); + break; + case 1: // init the video + // get old video mode + in.h.ah = 0xf; + int86(0x10, &in, &out); + gv->old_mode = out.h.al; + // enter mode + modex__320x240_256__Enter(gv); + break; + case 2: // init the video + // get old video mode + in.h.ah = 0xf; + int86(0x10, &in, &out); + gv->old_mode = out.h.al; + // enter mode + modex__256x192_256__Enter(gv); + break; } } @@ -153,10 +160,10 @@ modexsetBaseXMode(void) /* reprogram the CRT controller */ outp(CRTC_INDEX, 0x11); /* VSync End reg contains register write prot */ - temp = inp(CRTC_DATA) & 0x7F; - outp(CRTC_INDEX, 0x11); - //outp(CRTC_DATA, 0x7f); /* get current write protect on varios regs */ - outp(CRTC_DATA, temp); /* get current write protect on varios regs */ +// temp = inp(CRTC_DATA) & 0x7F; +// outp(CRTC_INDEX, 0x11); + outp(CRTC_DATA, 0x7f); /* get current write protect on varios regs */ +// outp(CRTC_DATA, temp); /* get current write protect on varios regs */ } page_t diff --git a/src/lib/modex16/256x192.h b/src/lib/modex16/256x192.h index eb58c230..1cda5730 100755 --- a/src/lib/modex16/256x192.h +++ b/src/lib/modex16/256x192.h @@ -62,9 +62,9 @@ static const word ModeX_256x192regs[] = { 0x0b06, /* vertical total */ 0x8f07, /* overflow (bit 8 of vertical counts) */ 0x4109, /* cell height (2 to double-scan */ - 0xd010, /* v sync start */ - 0x8c11, /* v sync end and protect cr0-cr7 */ - 0x7f12, /* vertical displayed */ + 0x9c10, /* v sync start */ + 0xb811, /* v sync end and protect cr0-cr7 */ + 0x8f12, /* vertical displayed */ 0x2013, /* offset/logical width */ 0x0014, /* turn off dword mode */ 0xa715, /* v blank start */ diff --git a/src/lib/modex16/320x240.h b/src/lib/modex16/320x240.h index e31ac0f1..046bac88 100755 --- a/src/lib/modex16/320x240.h +++ b/src/lib/modex16/320x240.h @@ -59,12 +59,12 @@ static const word ModeX_320x240regs[] = { 0x5002, /* Start horizontal blanking */ 0x5404, /* End horizontal blanking */ 0x8005, /* End horizontal retrace */ - 0xbf06, /* vertical total */ - 0x1f07, /* overflow (bit 8 of vertical counts) */ + 0x0d06, /* vertical total */ + 0x3e07, /* overflow (bit 8 of vertical counts) */ 0x4109, /* cell height (2 to double-scan */ - 0x9c10, /* v sync start */ - 0xb811, /* v sync end and protect cr0-cr7 */ - 0x8f12, /* vertical displayed */ + 0xea10, /* v sync start */ + 0xac11, /* v sync end and protect cr0-cr7 */ + 0xdf12, /* vertical displayed */ 0x2813, /* offset/logical width */ 0x0014, /* turn off dword mode */ 0xe715, /* v blank start */ diff --git a/test.exe b/test.exe index 4221a9d20ea52a75ed284597e11ce39efabe8322..18ff54c7af1e9f847341b82e63ee9841ec33c2be 100755 GIT binary patch delta 19822 zcmW)n3d7XdY{oq{ZI{UA|oY!EYgLev80|W*^Kmh^(u!#EK@c$+Kzm5dy z!yD7pTT!l*ZQ0UVQg%Na4$7T?w?lK=4>w;OXp_PO`D6axeP6-L%Zrdhind@t&F@KW zbT=nYc^)W~D-zR^wyg3u#v>i%?E;Fw1p@sAE?>l7)VUlK3|u6H;kEA&`MG(JW?Ys4 z|Dr&-;M|>jDfm-?2Ep5Rnvc3kN~>PAw!DD^`^Ta@N+Jf9;D8^EBP*y%=@2jRen zsAdqfaYh&=lHEMa7vdWsQ4&Qw?Vn>;H?7`3$M@PlkGyQh!1C7iD>S1Pu>!>7_bkAY zN3t(9Xh?p+4#v2^XLqwu4JVh$T~vb{n8&~s_Aq9wS`V~-1vHj2WY>S z4VEIlerR|1PgtHN{GmvKs^va;7oJocro*_|7Peg$$t93}HbTJrLh_@?dZjJ-aLTR# zpc|H#6U_Ga<9K`d_&U%*9UvfE^d*RH+#SP~*6fxYuQ$$}06e!Rj1l9YxMfuKATm-K z50U#p=k@N1g*1z5VrGKQMFstgzXq~?r9)yhnZp7e&!nLtZoXBYW)yRmLIr+$_8_~ekD)7gp2bc{$7qH}oUDn^4$U|f9!P|+FM}K- zx$F5O3E|e*I`MFi^!`CxZb!2Z4;kpr}9E{xZ5@Rw~dFQc^0oX+=slovzk*SyWdWo9Qp}1 zCqSDyO@PH$|4HbCN?jq*D|hb5M9t|hfPD5`ZeLp}2*`2(jw~NkVh=aplA~{xn;z^tH3n1r)U<0Rmnkj;vYBS zaBVuWngd4;+BeI{W^;yI;tl0fuwqp9KJO1u@lV97-}!%;AkNWcH|kQu+bL-lZ{f0h zAi%dyye!n}wI`V81h6I#a?C<4He7vqVgT^wdr!PEb)u^w{uJ@U6pyQuOZMSF?p)Iw zNS&1FZoRmiH$dGuD8JcG*ym8cYJRe>vG^t|8}$~_`2H5A%F`M1v_H}r&*L;z@KIQK zyGQgBNIco;XnPYMkZ3LBS#vgozoV|Vi$014nD+rnyR-W?rGqjq7f%sNZ}*S%^P*E$ z`Y9WHGx62!u*+~_;;~$R$W24Vu)ES}Jk5M^dui&+j0ovBX#FX(?1MN~qcxXM0`)w^ zQ-E{j$Cy66RAsr2Dmq!18GahtO8F_Au@a79;a4ejSYPJn4xo^A5?q2^!*iD)%8rgb$1?*%iOO8vEj#ObeZjGF zAJ<6A`$U4sn+4e+YA#GZv=85Q-+=;)G3GFN&V{~E}`UNu;+hG@x z1D8@X#8iQ86rvlMq1n^PXD>C*+}K-60xcqmjFIf^;!gfG82)UGM)=dW$4nhXlnIhj z8Am7V4orWPZa0S=6#0lD#bZ82cEm09>3viTOTS^+a)#*+uqAl!$cAqNrBqW^K|o@( zhhb+s{4KOIk)L@SKr~?c;C>*c79h>mU;EaedUzP{v9N^O#U#K&IZPzdV(b#11~2jY z`Q5e$~!p_CH;uTFWt&%Z~jJUIv|Ul-qh^6;5^2zhA#!3pe$; zj>iO^F0TVU1@oKd-!t@AVuy;#R=ohJxZSgROL`dJ1^}HNVL`Xt`N`@;KQh2WFh|DnOQhj2#0jJe81PnPiBra=%q1rX5)>J(Ve{UmXc9c;v{3~) zgQJJI-FbMZFnV$7Hj5q!?f?FdTPgKM?LSqfel=j9AIwy12b@V|uS$mf4i=IaNIn=j5X+WsuH-&7B_vS&?^L9#kz`&cmmNP&%H-dcY9xw{_h?2Wo}1gs3%;s! zloyrUvz32_{|?if=iN@cXP|8HmXe%SYWT-nwacv$Jk7$WL$TZ`%rmvO+_}S4UHa5r z*4Df<+$mK<&_5A(z7kPzA@&Aiwds%QF;<@{@daS6LnXZ&El-yk~ z>Gq0zhf=ri>7w;su#^1UkcbmG_Csgzzj4Fld((^8lo0Kl8oYSw-gGElLOgkC0TFl2 zIJ}?0>lgCJ_~`iA7mKV7Z~cQjD@%H3?Z5O*tYxWi$ieR^1q`uVGO*9?zgrTl%BHad zoRdo(-+-tzdg2zhuKb$y=94PX^Fp&vwu8Txmj+06d7ttVH#!la$@<-4X5X_0-^{x# ztmWLXzL6?C!{>+zKB{V8DVqGDX9MZ-52mbp?C1nfhf?l<`XC$j3sUwR+&-LNP7=C5xMkOnF4>@M*zy+xW=oydy! zTEp4!%R5K(!W6qeIHMH@U*k?>G>X%y+e!MvnXjqq=@ji;7#$fzlERWdN=iuA=_VKz z7bQ8}>B7k88x4p0DO-hBeOcLFr|`k1(1YNfaCJBDo+%=3TIS*(Kg+rU%B~pw=6c+u zI23HfoB+vOsGthj4k~a-J=@#aj{VBKh8Q4G`#^qMeD}DCR3;t}?U`N~)b5Iy&@_bp zY>VX>^~OSFmV3m`diz0+J@a;%d1RWaX`w(I^cZ4pzSd2b>QSPO-HNm`zZoBb2@f~)9$@iAlOk?-SkJorE&U%N>$Gu)UeNC&jN+&JT zZuAVLcg}9i_D0p(BB+9Tq+yf~UGc3%$7(6CgQ#2i8ECUMPT3=LU8Ej43F<#uqk_4F z^x2z)p8sLT$;b2xtW|3XZIdp8_r$_UXa9-~C5z-Sr8z$YzlKjfj~0HA2v>0hb$qCA z;b}mCM-hku8zcO*P;~S2#fg9SuUKj4d^M*SJQXz!A#mnSL2MX5Wqg_>wh3uNyA-E)Nwz6dbF**2&DpyhK$Q+&j2JoV*Gkm!#lqSBv#NPn3Q5Z@CR(%xoStPvKS}S)}2F+StP31@};Kg!=R(vri_s1Nd7Y1SVi48Dvq3>+u6scaRKDT-1yfVKq zs+ZWALv1_014|6t&Yh2O(K|n6Po%}gz&$3uTrq_+#Or-mF8in>{st2-uLOd+TzQQV zhHE43(*lo&>rS5m7Q(~b-p2M^eS;9WyAdXclIr->piJ5HGg=eRW4xf#CgigF zn;}+@{aDNDws0McdG>_eELO^8WVtd={6xD95Y;zDACxnyHjt#f@B#(!pXiQ3n+6Q_ z!ki{9$ms-k;6sW&5e>bJ`j?~qm85It6nOyh{w^X0+L)MZ)Dd?Y9mpeh;EOOCigng8 zC-?G8ZY~KsP} z9>a?8BYe1OA5`ZdTwCIE+^BspcFu_E4>Q_qClu@>-H2#afHe*{@KUGaAl~Dir+1QI zEE?@|r_s#N+rhw|j*)bBq*dJY`4z}0L~X9_w(w|Joy)?>txfPqTMw8J0To-O2pe~W zDxH>sT&<({LYh%2oWx7Dck|X@P?0!2qj3Vu6bc`2p}>tjHgt)kV3z96Id0=Pe_@pI zNfZ$(uMhhoh<=6+-|eTS51TLxaQ_LL>C8sY+`SHJISPq;@%0aHl3EX=q3?pA6_nM_WnIgRY)=SxO7caklr^$8+bg*m0db(IJjF9GGkMT0QX$1 zKrX>~Uo0a(S_CKX_K*j4M|aqbhS8}=N9Y~JU>Dh`5pE**hs?7}ut(t`{d^kfZVG~! z(hENE-#P&sqivY_j);p^N)1swOxY$82J`XV!qj9p4u@_(*}6onjatkjNq*YmDL^B^ z&7plB7C57_(+98E?XNf)2*m~Gc$u>?IwnIP(}MYsP3b{v-FPRxE~72$qi1?oZ{=k`H^~9|-SK8@H%>f1^xCf3kryA70 zM%2t`9a!X$I<3-)qsI@0RK)VWS%vEEvj+6qLzQEGo=RrbQw%Cj9FH7lebj4hM;6cV zvRYYYSG>-iZd!FU+Km>#*i%|N9Yz(o0y~?_jyRs?ozaRzKhfm*Hl0D?dFAn=E`k}n^={+mbY`d&1zr0_W>L8~37hGALwZ-{hlmzC=xWlzYBloa(sE>bbz zTy&u^WzB9<@Rzk=_&-cu0Ns@MC-2l*l&CP!E_FF@nMnQC&V>vR9s9KKdGw0Cd#aK5 z<8)UShl~<{ZfXfiLYaDZfQSvoSdev$aKYV!H|_^2ao;a)*;LgXM7m%JA~yFb=-u^_^E=~EqYMAWxK$> zqLFG0@sdW4cBkFG`3#pd)^g<#wQPZx@`i9K9*OGI#*|q%XG;tV9SoU*Duu37fMy1EFoA9aZ+kY8^RIj3Yosp`bEJZH7#kiKF`!9zR5 za4ATOGwBmlOsNS4z6xG}lsEIMej=So;BK(k(Bit*5BKSSoHB@8`K5vU!LnxMBzD!9 zt#xR)wE~zracv27nh^VeI5(0ea!PsrfZ?W@$ASt{`8r{si>LNkwJbFZx3+Z>as2m6 z%))wCrTG@))EBk9ZU$2h=usB^CLdUE*>BSyaIHRce&+(2&++5U$0MDje4J#zrTUkk zgLUE4D9osRiA`gc!*ROvgCeaH96!m7e1a zBI{38FC-?~n~W;j_s|yZv{o(TbqafXy}{0&hZv~?mlkySdZM0IGDovgi7MJz1Lwp- z7vMQ^8wgh!-U>hnTu}A8dfc6jD;B9@em|wDSMsq(+NnsvsIW5j@tJ0co^RCn@^bqv zsRfBc9aPdFf?+DE|19GwWN?L@~w3l*~v7MyNn1vlr|>RUa{_aMlWlj$q4YpJD4sA6rcCN! zk}PVDqU`hZ4*WWL6`jw^22I~SP%^Qe)cv6Rt^s=_eEmy1*D(rY@|=@PTgjb8&gYB$r!qo=$Ti0rd{2=PC5%Po&e+JXL@9HCnEo& z8d9gvK@?|0@3Qj7)lM4ls?M|e^St@dX&5;Jnd!odyg!JpTdxJk3*w1Wgx%d8aP}-$ z^UX@tMzLVyplC2P#(AwlDa2yN4QeqdAUubhFFELfO9eDn3I6T=IeR!p8E-7(U45V1 ztJ}^4^61W*54-ucwalNg!T&I-an@=Y6|imnrfbj7z_KvFQQ*-2S8ZG1i<#xszJRE{|0hCcF^mX%XLgDjsvUY>cIX^I!y5EGOh zE9B-d-*FlQ54xsjlnl#4>QRJq5zfP$x3b#st3-!bXX;+ZuJ|Rs#gWxL_IFYTe?11; zD^PFfha}9BzeNUrBS8KcclrBaUmQm`b!&QrWJnfJmxt{*3htnjgaTkO5AUfvVxl>N z%_)6vftGkn=|X1y0VLG^z~0Ui_;%J!7_AiXq_Bkwm*7+lP_`l zlw;YCkk~8C#p2_!86YDeuW)%gvD8%9lNQcDzv;Z}4X4T{->`0z`T|CP=xZ@XyWF&W zTRS_-jjL&xKFaj8z zx_@P{YKmW|Yhl>VSKO-=4`HIDNrKSvwF{+3Tz&Kr4o92Y z_$Xuj(c;2bJko2HyR15I4xs@XXLBZEnz|52&7cp)>LFk69as`IHV+LZTj9)yi|3 zz#k^Lxh$_ESKO?nx2D>9(J{LWVa}O<vs>1tx zSN-Ebs6qxrOnW`b$co;m!#PacUTof>jEr%06-d=WNc!#R>dOf*yB{Oi-p}OOoi!9Ja27 z$CK6D;F?T+Ei(b~Vy_uEa{JshV;c3wJ-2LI-7xBm^S&gm4!3y6mdN9lvN&QI2g?(i zP&{d7xjn z7zm;e+KfNN`)yNg(DFewal(b>3SOqwr`NwQlL4M%f9f7@J_b*|7fB~`uP2c>p{8hw(IYB2U%$B%v#}Yp6>$~xa|fS z4`n7@42m@})WMPvO}hTY8~lQ=>ScP7uqYAC8jn75T&QMV@1y<0o&B-AW?sck@C*P~ z{Y@S_E(cdJMut+-kw*LALFWiNKxho{$k=WVPZZF4lBNAirF%7AB@>zZ%uc>P6}WIk zv+`M@%9W%}S>XGXzP!2?l~~H-{R2EM97*3h`E%@ehDEWdR6B1f*RkWQ-+8L}dezI5 z;bm~2Urm{*n6B;}H(3{_BZltuA>b$UdurE!UbdAsr-Ok5xyq8<2yMp^Pj8+O#pEjyk%i(R2r2|rPfB~7AdR- z%F(}omb<=yA#6z3=REin34ejgnslG zPM>B#H$09rqMe`{o8U}oW^{84oF&bQZf%3JrPp2FGFPSekv#W~O%>F1nq&NLUg z>v^0T&7JPyiSwd)(|s=Bd})4k{{Y-Y+9i76Wn2&~n0_S$ca=uGMh^|ch0`MFkx{to zv}k$^9!H?X(&OTBH)sj;#3WoYErm`b;ZkX7bn;DHIxT~KD-%bdWznhGxExw8{dOMi z4(%@e-ha6Jv*jQ+M9S3!G6 ze_x5KqS1)->Ka@vt&U#bfNP{R(VIWuT4=5Gwsu?xt&`r>jq9QH();>xA8DWH^v}32 zw6FAmLEI2+m_9O!8>5ZWzfIu2(zS2mupK`pTqn}Z^V?@yL!0o-j&vbk1JY*EWVDw9IA8Bc0< zC&ocowXVSrekWA<3S*A?TSnl(6YwJ2=HFjI;Xd3xQDwZrVy&4kq&vxDolv#UhSV5% zxP;eR=X_!lU^EzwLtM|C8WWRFku?^J{xjf4f+Q;IUC`c=(!JocsR=#Ws@};OcICeK z!%2*1hQviSYr%5}Xi-CSE^&6AlReDiL6BbRR|}TcT!zXjH5fxReALyPcxF!wis$J@ zigovzR_r`_)j6^p+p1m>p^ZO2ct*t<^o* zD+9=n)HaYC0~!28;w@LlB{CO&hhaNFHsuwCe04A80=Fwwk`CSo{W+Dtx(4E%WT@yv z4Q~3DxWguRF0B*uA9aCT{$8qj>JE&3O>^bS*YZs)OglALe)egxudk&?qGaCV`AJ!6 zmG7{UA|2zg(y#yC_KIiCNaJjT<|(Os)US4uryg-x=1B72lL=Q~iTBD~Z{`+#!{hPj z-m`+5`#aEqs+k`1ul^h+<=54%28Bc+kyh8wOdKG;JHucSJLQ33f9@)1#L1DLrO&*j zcq#>sN8cB3?{_jOy(U}bw}m(=X7ytY?-6RUaAjOP6a zaHBBF9@$G=9gXQXqp~_MJ21oXt_JuPh@l0{6){u+W>vv*<;TSY-)(g7m%$@5!ywq` zqkh5Sns?d??t`H7vACfUPlASGokN8wY?NFYALy%Z(hruZ4pGZbXdLT8672Dd)1Hd&s{nhE5dU1=B7n zbQtruf+`XUm$T*3$n3FWaH&q_1WDRwfvalwZkqL92hyhY&hrP1Jm8jG^(Dh#J#3R6 z-vfce;SzqlTn%DU$DU~euuCBL1sTP-!NP!Y+nPWARhEU-0^6BQ?!ttJ{sU-=_a!>*l0noPBlhZyJpz_Wip~kouJOiDE3fL1r)Nf=8?i{7X=*)^67r0vQRxRfxe$ zVy4D8=Se=nsPkKSX)afg#P2O1pDoMXL}Cae6YWr#obtTTt@NsD!U=k9eWO6pfb<4z_;4Cv{zHC6y2y0@aj%g(mmzcqz%|q6cIMLZ@ z^AN(tx2f*errD3cf$qJ4oe4fh)+B6(aMt+55|SVz^N2Jj#5%{iY}+;vi!w>Tmw1UD zk({r2V8~FkD0L3&uksTrU4YNHUL&mB)SON|r`6p%6k7&(;6+Rv34^i0l-VImjTo^8 zmOk6Wj?m_94JQizH&Lu;2E(#m?8`vo!C_C+&lmob3Gk3$yF8uh_-&I8>jARhCR%tI z6UEaPW|hvuz<27!p~ho<8r!TH@f?$q-=am_`)C*};WF&diH>k)^Qy3|hhc*)q8$%7 zL;^x3(?)9ce;z^UfYYhsUdqY#xsqnj|1F1&n|9C~Vlw`>1#8_(&|wa0k|y?jA3$fM z7Tr9yEUr7*T{bat{=cE1P;nsox`eQ~=N z>GquX`W&TSF6b@t9TXDQDayyVPww?C<@D8M@rkZEX7W|sQ@Cgt8{=W%=((lKx+Q)Z zHCBTRP1;HSm}DW$r-_WxN;e#>pN{u8j<)Appw`i&;+X)ti&fSKjc}|uVp@g z*FL$p&?U2(u3e(aDdWg^D3b+?fq!fJ#t~YQqQ-5_q;+u-)2@zx8 z-B!8?X?gGaZntSwV7MBO(*NMy zPu9^;Oq%Y@!|uGaM=PJ1_6OTl@0Y5(ZO&u(IeReXkpow*AHr=of&z&s73n5TJ=uxU z!KM)l+tWJT}fCa&~cu_=)FoyHV8>52HxqQ3L9Nc+CGW{B%GAl&PiLsh} z9v*GBoB1EA9699o4m0;bd7l|;Y(T>p`IBgtGh$iB_Lvp6Zr`5#?k>#bmz~i8NgUcg z26V;T=Lzi17{raPNj*YcF$JUw`c4L~F|Y1}ZcW6Nplmi`ZlAWy<*^aJ6+legy6uh9 zW;TgJg|7;}=J(;x>avj(&=9?QV@(@Y~x1eQ{F|%zp!Gp=G z#ql}A+%kkSMRYzz;>mp`L_(xfQrney{H}@T6#kzhf#!Pq*J;QWvoiX#NG@{DXHFYt z5r5Zcd|Yh@v6IYUIvOe*XH{985!M~`yPsI(QQWD;OluO;}0X`m% z2)7c1EpxX;XcG8$g&;Ku*jO3rUAaWmf6uFSO-|ah^Su(d-FG3t@@-lG;eM}=c2g|Q zA2MI6Mtu4!XyyvzK(|QHc-Ik2N4~f6U}hnbMHsn@=heCw$w8o3~@+Fl1>B+ ze{eDb%auo6pWJ6GZ?DVoGBH4u?oqT4A+9ibvCEHJdIw$tvpIeIRNsy-B;^kApt!kn zFm>!=N?oiS`)ZOaK}RtW7ktrB4$Y{}ynIWLICOHtqTqv=4LSw!aSP47TUn!Ace)4C zY46Y#Zx?Y3q%M~O<~b65dijNZC0HEVFWArh6_K;hOFf&o*8kWNdXFbL`)q<<=SxqZ zRrRe+*S&lvQKiK}r-#P_MaMM3RZuRwx|)V?72Ht0W= z1tG!;im}ha^{9pM_}5TOFfSkDNszXYgV?(!jFb4>KWEVq;t!I z5VTKym*1ZXUHzR~KHN%R5e59qiGYN+<~~QUP zjaPAG5S7x;8C)&=h44En|Cqju2>vX& zvy~kc%WAp<|77%xAj_M3b^j|ej~Ny3b5%1uk}`R=V*l|Sjql^fkniB>T=SjV`w!1p zc7E30;CaO8ISq^VU;z7foT)@Xa8vlcv*O2!@5j)EhVNwWVqRx;bkrb1$K_H<^-fQY z*SjkEdI^U-$_)}(h`;40pl1Ijo%;9!d*}~jx$s?3nP~8vpm|Dj>TQwm;_373rf&xR zaDTsmW1cx2Cdb-O?p_1gJe>H*PVIbWXObgfp*pkE)8OvN+fQQ?YfLKLKPg`J zQDsfS0x}e;8+w~Fx+cEg*D8p+kDbBS9($f9P!bY^EWhBS2J>upIbB2LEpTwsl+l;(J`kZFLw}IMkRNWcQojBu-0U9Orn}|xV%#S>-FP)1qQ>>rM zlpTEtUZ#;N^9SprZ-#gi44T#r7TNdA>}tJ~=?Rs6d&RqW?mv>*Fo*$-HXRIWyG7$= zAxr>;Xmy%ULk(mybL5JVdx54T&;ZhtCzwB~v?#6{Ye=~@v}}TnJ_f*9L!>>S*w6o1 z{h9MVDLcc^(nsQ%X<e6jV0RnaQ&_H29x52Wd={nYmlVbkde>ce&$R1RbANP4$iR-gA2K#8`Bq z7PKU}o#{W)#W%u&eq}d<_$9HWty27N>#_$c3Yv*h;4Zl1!?Y!wR6G8qpc9ES4+mv$ z85drOrHDcoSu5o#qcWHL#J@+0Vh(_iez_J-W)|$u;)tJDLl1lBY^DkFcIVZ4CQfmZ z_Fraz--?=3v-`-~UQ6AbdQIK_vFwpb`a~(Y^V*;`8(}|{139`gY_M%;fMS1|$gI!F zBEFc326;*sWnUbWgN>t%R^j!RU`d1R$e8);Nx7coI?K$FS;s8tT!U+wlTF!%wwW@4 z4~O2=l|nQ|NK<2<&~N|Cgav-0ivuXkiSF5-{p3BgPGNVF;KI5yfNaeGh74)_kP z?B>2;ulcuvSGaENS9#s3lIgnF6qyabA7#|N?n-THTSV8R+y)H`V7>No!YYTBGeITeTUxBkMT!+GW(D2u)b0a+WTe%j{*$gsgpax z;w_pHW0mG#zkn0MxXQF0N}44;6_WmRsNJj)RU2qNnmq}-)nZJlRVua_bld_>irWGL z)q)=DY{Dj{dzEh+xCPwgnFOiK_d{U#%z4P120Uk{N5 z%Jb_>xA+P@)gn_F&0D$5YqpXklcV5Z4>?UE-orS`zNw8q$Z|QACNMgQU%23fkW_D3%>3o;Y)l-jO1(rJsjxR^Jn-+u10& zBbHZWGFF{_qp=!;`R-ounSaYl`@*=cuDY8rWbch6gPkPy+Cn%BnhSj?EEm7S>e4A+ z+n(L_s~MTyl!pUE*J{>a43`s}XM3;1lU2a>?v4RJPSoGa!|>bOeOfm&TNxf0l~8i6 zF(!iCkvjOT0YSKeh_me&##r#m4e{V}kOE_4p}8W6YVHn~Og1Iuz(&mv6F`kEN_^ao zE0hJ>Y*GY#<+QdkTWQ$wo_Q7q{siU|NaNR1!_<0=6GM<1^BLEm0#`Ok@#MAUWiDWoaAOMZ9=wzr?X!Y`Jh zkWU~FF@(0m8|qwsap;Ig95J=en_Io3ash04^2fWltNvbH;k6!};+_ZK{q;84YsG%k z#lsNXT|ewpXV-)QvPqC@^Uh0~z)H0xWcG%Sm0>Lt|I7 z{TWvPPVOAp1cb-5+uJ?u&aI0#7uw;^@&IE!_t_7d7)m>UwKa>WsgkaF-)$-&$brAn zC8NyXQ;t}*C{h3uVb^dN6e;oBS5EM<_G}32e-9;f&BKjD>OMdv{}pwmVU89b@q;Az zXG5*0fSwPzIhFr|19>^g!-klULxht5aypFWG=9}+@)IQengs0z3#VU0>VhGIC5dz9 zKDxr+YzG|+qr+qMm}+44#iAoz#rfR#reRd=k~O^G=LJ7uu7YLVv+Kg(l;AVKdr+_k z_QXfsDH~x`TVKUsVf5srW`7~kO?v9R#g)aBnj=`Lv4Kci^Hh#Z)m1lzOp)UpAF3HI zcvM9a+94AvYpr6>0lbQFN?<>#3o z>ssu>^ZmJZy-mlxPON?&_(*>@bz1nWDSysD?K5?YYGJ22HT6R5l$G!?)9!3_>a+9J z!tQh8>T%d9PvKLhC}Oty#b=Jy!k6b@>JHecC}F(mLAJW#v*>E!__-Z*MeKHLZl}<| zJRf$-K<$D6SE2E4g|LLl6|=Tc_1_h$m%@a-+0*LB2P(8bru!MDM-bD!wd+Pvmt=@_ zNTlEqzpm-w69Uus9dLsoR;MSfiPJC4hocOZC6E&yFd@1bgBXr7VGA)_YgH{8blk&w zy^M~z3UoC_U5fpYS0$t0h}Y!{)9^uC(q`7f z{xL>a^}d>uN2I)pCt5=^2{&nlJGpwU{M37%kQ$;BF8{s4t6$l_s@a<53Kznve}$cd zCj*T)QIu5EhoxZnuRv5%3nc`gZ%6`|(0gPxHEBUzXA}T%8|CF}e*3Qb<>A z@(l~#Rpd6xhtKb%raj1*L~bgpFXRL#Md_curLz2kwW2V=$$&Du^OPn8zLZ1{#Szvd7@x0ixM%*5+y~tavbi0oolLvqQkUoOcuBzD_5r}@t z^)hrarwE8&7W?m^Q&c;`W}aaHYS@J9nhUQuMGdRPIUI8ul+@)>noiv{dhNEFF`Rd) z=u>?;V{g4B&&wz1`iB6YDbh?Z=iNcfTN_Ve#j4s~dX{91-1B#KocZ@o86JD@|Cv5; zYW@vx(_HwaZpLl`fezv>fza2}(lw_*(Y)3Nk%X|z*ogDEqR8`cqRKH?AUHA_8y9^Q zzkD^~iu`_*kFTk+Tq5>*6haEiSFVK#LmOqgMTQ6A@pVCFSErCCPUd6dE`ziLz8@iC zL1Jnv8VTygm6z3_c~`^qT+x^H(24T$SP}#{2*QkcmKS0N$4F3@uporJ0rxO@apn8E-P^l;`#Wi~<3pOdRQs2MQ zU~8_k{)W{!B0tV8Dmm>c!BFFqr&qqox`uYkl}dog9r&L~C=XIeVN}1#vxK=ujeow6UFm6!8 zzsOtn`Zx!4piR9s;afHrvr68V1qd4r5Wc2vXz}Xn((ezh9W-THD8#B^IWSWYDSBnn zckjx2uCBktZS*ha%k0eU2E@n@og#cKgJZlb_CK;ER^-w!BLs3}R4kOQ!w{HW1YTimEk=Qgd2KD6d+V+~tCVwwHtz5={Z`?HTt}1nlE3AWiuRH=h zd~X4^uy5<;llsceT2p!9V#c6s8&+fwj^@Oc@XkLJOwX~Ry#j?(#4ri|H@&5*cAR4h zG57F)$U31R_sK~px;mX_dv1{UD$nsb7f;KU%C@rg zVY5{BWRgrrd*MZ;^O{nsP2j=Y*PQtUy>{b3yFW3dUQdJyUxq9yKklf4xr%Qujxc_B zQ_&pc8Wo1p&$F!mIXm)omj0DLB>HN$zvzHpae>DdM=Un>*ocExepTnw@IT{Us>gx{ zxd1EdlHJyjvzi-Gc_D06)yicF1{&2z0241qAw5HnzVca-7TtFl21`SYAz#jX1V@EK zb;^;WkTs6~!(#E+k%1R_ZTNAtjjmd8j*0KfQ|s4q%~rWV6uhK>qC>c~gpFdt7FXjR z%EoQ9QL>D3j$!9S&UUaqbU^Bu9qk!B=tj*$d=KKi^N6w}TuQmK;m z(TRLq1vwa5km?-SF&nc(wDZOLhp{Iz2gjd^;l7`Ic0xg9xFB&1ChC zYD;*<9!~{)rpQ?SNcCA2Xt!avH)ZOGMe6cfl;nS36bKdMdMZo=;^!U$aK>qnshya; zW*DzBz-1qn%=e-IbV_5&{L#aC<`ux=PSlV5Cqeb6V^Os|4aY~EK%~IMpaSB{AJXpA z@`1mc3w|L~7OXBW%HLx@M@+~6nt$*xaLMG?=E}QYHx~je1kF1a1CPv0^WOj4_~QBe ztln0Hnd2Y+z~<@Hw7||4i>}$gQ!CN)(!T~2YN%YtqfQ4(p_92D87l|INM1>PA~MZS z82~hbgPWgqq1+5Gh+ZQwf$A+z{0A6XH-UkI=Zb@(d{cU3?mauMUruF|x&En-t4w9W zbPy`cATa?$?_58Auh#VH4#%x0xqktN)ZhlWAr=(l1KTG5jDX=*H=InIpQCPt7|ent z?btMbZyxZ7vvx-IBy#>-*2I-U`%A7Q@&6=0%*Y|2?&f^zJhqp=a_Qb9qlSHmFZ+e}z6Rsfk*u^LZ$8wOP=@ z^xn0kcXhIBc^=Zr5AWP4%?EAV%NK}mdvI#m#5VuV4ds0FhxI3U7e3?}J$s_Ck^ICa zzT7cuS^{}edkxGSOun_ZsC;A;*8lpfmH1=XllLt}0+Z&r@LFxfkFOiM2N5I0dc_!D zxdm=CQZ;1~*7$(O7CCtI;MQWD?Fy{aVhFL*v4x;Jray+nKa{@FiZYZNg57Eh3JJB# zc7NlP0sik6!uG2JjhZ4Oz~k15mKvzRj8n)3 zebDCrj!UFThFik(q@p~eAB!k|YhH`zZN~?d5MH3py3ZBJp&f2581)X_la8fO z3M+tLO!s#DQAMH`U8DTEz7F=-LztE>0F1FE@-MAY*9b8>EM`MsT{eF$LZyCxvHxIo zE;p51*inlH)(eU@2wh7q6$g3sA(L2QtCszKE{p&*vxq9wrEh>_8+>+W)iL%c7*uNp zpM>pomzSRriWj)|C<7{17B(&R0Q{|emq3fn4u6Ojfck%Tw>JbocK!p#2R}xE{s>!& zx-Xa~?dS(0?ezanTW&n-oWxpxL@X-@2O29(XkCRg5H%jmgZ&bA&w-KwO#~76l=Qk) zlx@lGx|X`hy{isaf=zx5G_Oy@^_Cb^sbWR1u6fmb)|RLVtbSdIyQMFf02@BIQP2TZYquZ+V5Q9<+oX|e;0y+T9#CsX-*ArE z1e*UFWvT#mU-`Wye*eYV8wZSwb-KGRT!D*#F2Q7*0Q;raBcdI*(iv6)e%tPQY@b}S zmoEso{xsPuUp(phUZ6LNFo1F(;JDj81C~Fj^w2O>yi^c%DnZ~t2hq?3pAyZvGX#pn zDp(zrDE0r>5c(-%bk+=iYD5CZz@Z>~=!wt?2^6|q=}i!WJ^7HF;Rpg{GxTjBT*?JY zQw2G%7)`{kf=HEAhhVqJ`vWm4IlUp(t=w0;km*tYK_DS5~v=GHvL~!(ix$ zCF9|i>%FNjfslezxz*84Q1?PW1_g@l=sx{Igj&-sSHdaMC9x@gkQ9{Q7`0o2%N_wR ziVUCdy_6=u8nib!RTuU|*j!5o%^hamT&3J34Gt|$)&XPaqNM^LsRlyuy8<0w;&T`= zy@5Y~0ZobLwg4RH0@b>6u<~HqL3O!XVMWnj1{JEGM&j_yGX!gTG<$Q)yA?sgI*G@A z$M^Gv((G8R0uPFR`$2cHgTn(1H}yX=oDx9rV)i4U2ZEF_Uu{O<71p)OF-=2Jw*Qka z6N8My0d3V4axA5@6l<)vZp$>VmSzz9`LmNYA zZTUR$l7M;Jk|UBh9l4T)Hn92bp-;ZUHU;K1p28pcJ9clx4m;i0a$>v$?sHuiRVo-0 zXn!~5=h1@E1|virMPc-k#D3}#?bqoMpk%jE7b$IJ(851kR0cw*P*h5}2Yg+v!|8ri z2SAw(+fj6XlVd;s2kFee{&aO=AcqAMO*tzTt%_FvpI~MZ*xBweI-34ig$6aU)`Y)~ z;*P>BJ_owU14Q)cO8quC(z_UxD1KLZ6gCn!DqG0vri5s2!O~`{2B{LW(NfJAq|>-j zvE~_*vI=Z$EuL_8a)A@uWd!iTb6^t?0@(IX)uaX_`Q0#_xpS%3UUZ?Vrvmp{_ z3ffQ>7|#tz4-5|y4e*luj6W=ZdBKnX?_gR83IL9agpvvmDEw>}BaZcv4mnhFsw-A3 zH3}sjq#qds96^v5Bf-W~y|EZrqk;qkXav^7Yr)n>C$|UKjHuBq2}E;lH&UX}ov zHmwSO$6fUXbvjVcK{0nQMFBhUe%^N{LWl@yedaMkO<>QD33M3$UQI{q*Otf#px6e$ zD=fQw8g;9!__6yEgTet7a&0?zjeqmR^EFQN9>jBfeCM@4$H*7ik-ty5jNV%2s3?u7g;EfIl_#C7qB~zmW4F>O1rmDeBN#q zng}Pw*nJ`^Tn~`t00R=|7Arp+LwUr^xN|$(F`EwR?VgZBG`ag=U9>rDVEfh(88Xmr zPNDNEnM-pfVE2j6H4xRx3Rv3>#?A0m_p$7%s-@wD7mE(4g%C+Vqu(`BPlo#)A@jOQ zTpSWBi*An`kQ5mPqaEMEi>67o1`Lh%DMs_?zmK>*3Q~qR3sU_NEGG^C&~Oq&v<~qU z_511*v5xk)cOK6GY7Dij+643hD>9S*OBR2Oq54li=vsyUyp0SMV!R5G2_x}YjF2I&-|*_qWG{pv z?m01#^qD6ij%xoDoZ9RXlK#437)N94#!UJqiBnekWSdq4Fk#y0j{G5ATX{T z8?aCu+ZO`~GlnpuNAVmzjSXspL9KtI1KIckB)K@a4D016v-O}HN7jyni$p*J`cn7His*{^BKDtWXpx<0_2etEtQ!D2=)qfHJ>(%G4%n4+!B8z#SBJu?7IOk z<}s@b&^r!tn-J7Wm#3 z(e6<8&I`osY~TG=yNiF)|3!93J67s)vAIxCupdHq@(Sz7_xw|S+W}$Yewi=DgC`Uv z&FJhT$O$+Menvd*YXt^^qh6+%Lhu)ehmPn8qbWo}qfF|abU6@dnMDTfS>Pea$A?no zhZd*ob^n%n>23dxp9^p5H0YD=APV1{c5AT%xr=HR$%QHbD@A_|wpQ{w!{UNc@I!@C z#na}Gzw`mtRoIz>=yrP<;dDNH29_U;(Qb+G!NY<9v{McRb>&#oc*%wdA^Y}@!V(AQw_81n(02Bc zc#Wc4M!~g;VMu>F7%ZyAVB>(XJK)=aQUp94b@>32Mj>uH001NuVm16Rseu;Oa0sfV zHyiX-|F|#_ioW*$&43UAQFz^5iH(88iJoh_=tLL0(3#N*mW2!I3x=a|H@sB`QkpBR zV1+^d=E_0JH-2OB;LLEHa@kQNJy2n6AFbA=X17IZCLn+{0|!Oy0{{Xpq2Y!C6s@rU3BH#L1_TA#00MtIod+cS1_%NFPf)uSPf%0`Am9z8 z0mC>D+4mp^HwEW+P|ZR)6!wiw001g?3Jp;_zxwP_Dgp2RViqoRCSeyK_6a*Xu3z{s zepn>y{!Rja4ODClHdOxslmW98E)Xxf0Ttj;{{bZd5?Ub(FaJmZ5sMFNYAWq1mthw1 zqc(p5D!=z6BPyL3`y-MXH;xf*EG_;+85$T35gXqG3LIMjyS!4j7XJer7C{SED=BZF z41wG&90g{D} u?*UaS6GAxl(E+9g{}5^s7Z(8{{saN88UzXk{r~|V0RR91000S-#!|IU0TSXgvjuMz_}j};pOAYJVQvX3*i3jc-3w(LAfd)H5MTl;iH z0I0ZD0G1&Y-E_M8D<)N&=cZV=y6q0-FHc5!j6N%-C1&$#Jg-pBF=9>7ju1IsC2m7; zg8ElT5{M}-jO2!f1nl7ggOB%vD4+sB{1K?%yfaC5QM*%qEZ)3`=?`o&&EaF96y$ip zAYxjU08!|lKnF-cY8az)We_h6DdH^lL^2*AB}T@?rznEhKpyBs0zMAwC!-kw#K&Uo z_3gE_0Yek4CoxXf8#oh-$0nUmA^`YUJ*<0tB7u7*J}yZSh)+15c;<8zK)`C)Yhu05 z1L0vwcpa=uTtkGip&4-Kkgkz|fstN=76|M1-RIb`m&r*<+7ofkaZ!3>xkn}hGxwP@2 zIWUO`W$Xh4n@=s{P^Ma0ED2ZCV#KUH`cV-mm@evR$p+;E#{r|o-D>Rm&Wl1K&{X)Y zU5#cnb&+bd%%s9sL*1aZ>yP%eTAw{TQETwcSnc8PH}O2Rk!iO93uq$XUnH*s(&oOC z38L-51h81k?=8aF8IVJ!08yQs0kb430+f$nj;v)&%BF1pLpLNr2Y%@EZuL#wXp* zy8XsqrzKQgd%&o5YyBC;YC4`*vhg{rp&VI&-uw5BCti+dJ(+5O6PT z=o3}#S`vQ`lH74W(Ap%JzHcw$Uwdo_L#gj5?EkC)ux|j=Ru5n5QX6$lVTCG^)7lar zg6pUDj!@UUre3$fPVtbu57rk#E=KKC%3grR2`X&Azu;5PBl};DajaDVW0&*B{^C0k znm0s8X#c?X(K|e!)g~5c;^Xf1c}Jns)HzZ6B@+}iM{a=~2K=@2{qJ*BRIoSOTT$VO zteet1b6o{$nco%ZjguJK^%O$EPTS#YP?4kAyQ!=v`%&#Mu5< zfN>A;SItXW7{NM^Fr2dsdh=9ixW{;Ii=>qA4BoB468J0NS_kUx2eTujN$slV-fdZI z_u!Hn`c}wOsyigH|5D8x%djamN!{1B1a*Wlg$b}SNLo6L$6!45hl=G5T(U+XNPU~H z`Iot2Z`hx4iuJpaWCko(Tn~u2P5o~uEmrkx=@p;fy^`(&-(h~wg7;EUkUz_SSe;&GlT*N zv=hziA!0y@i@3dDSjN#mi?Y?u=D8PKQA`rJ)zcSHZjz*ON3FTiL@6qQmtfufA~Bns z1n*M zd=nUSAR$gfPFi&&XSZNMmcf6-L}Wqe&yfi)vlZ!LUMCtxM)1!DZ~PvT;KzVpOP~I_ zQGAAa0QvygwGrw11CwTbR$wcY9)T#QZYZEm#wK2hU5t+tU)Ud4+m;6s=@ICOlVt#%7A7q7k22YpT4$Ws&uyM z>6~9h^^-gsg7Q@UL_5;UN=U+5vBJ)5yyOqVzDS=T>{idYH95rbEZ=Ibh84z5a! zzO?eQl9Uzq1l1OlZIU=zI*>2EI{?)i&tJ~FHEk0ZOd2j|Hli4Q?myI6@!m!E6)0LGVPErjKt|y$)%TDfi1gBzBjY^Y?rI zYKn;@c@SLB2FXcODGiAblz8Fy_O~~aKe4011En-WP)EqdwP}7DyNducbS({{I!c(@ zWYgujY-k45^{AB8gc`EB1MwGkUk zS9MS=j^7zdB#HO2US%are1+ z^FXLX+NHA!JWBTz)usUjB@dyzI&p7#(&Im^i!Dv&jL)Ig`+2?D4Lf{E;)`T!h~6%x z1I}4F9HW`&TJ+7CFP*cTy)TnWkSMHO@*>lGB$W=?35<_>+e$}Mg*vX z;$@!w)|70;uT_8DQo~Pm6>$H+f&2NoI4nAzXcSqZbi#z~WDMTNsZ!S^%vL0uiXZgr zFfSGK4l*D&Z*%e&Z5>@v)*LR*AJ9Smu)~J3 zd`!zhLs-3gkOC@lg%B0>zL135G3!kXRgsOIR)zvul)vyK=$q+uv$m9@b`cZ>QZU?X zDA@yLP3i8&tTRN9Q}s1_fkj7tOXc=NoK-8h3;>GjM@hpwg47I%ZD6!R41`!!B7L5B z!!Ei`GKljfj`;G7Fh16=O0>fF(2Ch$vZ)y@6t-fvPAs)T`0$YcbqZQBw@bOe1U&^!*d3P*`=uKqJsM08WOLb1H~VJq&!Iag?@3%DC+#p z+f18;RNyYS~CUdA^mM__Tg(eGLXGB$i$Er^P88!(0JK&C^ zOd`j=Imn0wol7=?_f38r<-BI2WL$Sp6k!w*105h`hBPrtbU`4RqBQmUN)J=l|2>0YST zcONB(XDHvG)RixQ!#gvW=GJF1-Ks1}l8mZ@JZuaW+`dt}R4{xt^UlU>_+;IDF;?1W zgHmdTAO|pd2K`WG&xCiMauXi!E5h?W&xXi}B%v&ZSRl(8>2=wI_WY@$h6ZMX8^Z^> zdzO~ln-v?}YmcDi?{@1deyqO0y>^Fd|8wC1n!ury^(yFYuh`#2fdIrih&QYRHb! zkjePgQwLkfix#HaFqAp#(3t+!y7vl8zAl#Y<17dMNzSgvtewxD=pt`fsoM5k(7rG@ z*_vij^~AQJR(ChThTQI6mqR*aEutn-Otd?@Z@?KaZ0$Q6G&g;O(?B&jd-zb`7-zZ9 zuoclzj^;FfK3~3b`y(+mGjcX7aYrGmNesZ9wa$@I`7C$#E4C9BlCAE(4?nV2CKg`R@N=1 zq$rkgi{OKE^AoNQqL|u5e$7B1Cj5TU-qsX{sX5riZ!9L>a@59vJ2DeEAb3{vc;NZB zzN&w~gSuIBb^GenKhc7mYT}*lGKLZp7%%nGdX z;chIr@cGvr1b#uQDJ5+gKLwo5O>U!eMX#TLHQTv24$Fgo+EmGQxHoxGw=eq4WXL{1 z#50vo$d(mRSGZ2XZ|ntP|1eR-{eq-l#h%9?JfhWrj8udN6*`#_nNo!6o!D*+#npf5xo4$u+*brm_Y%2F}IacW>Mccn9=#(0sI5eDzbu4SK z2)MJTnFQK2A_^6B4b?red{0~6;sf(^QRF=0_IWYme|j9l#&-7`$>8w+Odh`~beb4e z44l}kAQPdJmOT}X;@@^vU1etB~XQu%+=#NDe{g^kwoZ-UFH2Z<@#tBbD%H^t(^%29m(RWy{Q5sl)sPPPJIsOp&aB`V^S#Cj<3+-vXiSx-n~VF+4{(2Z~p>~a56 z8Xd|fWzfKbL>aqiIq2nk9rEtSKcs~d-Gl@3%O9Hj>fnzKMjvB+zOWZrO4ZW=_oA1w z-!ov>{;pn{D{7w!ev>|JbKC4o2FB?psomUdD~Y!eEb?Neg8_e4N${KFJ>h0QXX8iI zO-t6ir8VtJLJ;4WrqnVD_~uH)bPpcot2bD_)!_@$)gRiM?L8P7!{62Qc^5Grob9#R zU+RG|PM(-ek9SG4xagAHHG9RchB;d->WcH;!Fof?x^#e9Cqc;Uscv_}%uIm$KfBE`h~s>S$% zk{-l5YGzZUi9}<$=LV8ZneRyu5T$?pKX~w)$91~LzoXJKpH20va5;l)3c-Z`om_AQ zjaA_^mF2sgzan(ulY56W*kT?S} zr|R2AWr!a@Qj67gwcD7=?>#8^iOai}RP1xd-@+PRV2uP;8$a^GsbrV&Kkl9_MxY9K%Buc2e3o}gI?U@4J6kY@qN-I74RX?p#a$aU`Ra#cL(Q2xV1i*+lSIm5s1g#=Ylc}9R4d7HEq>X9#!XD6cakc{k4*@E z5J$nwwbQciud05l?yxV1UJfR&C4G>1E|AVesP3`(p#j-#@=6h|ed9g|Zorrv`M$oUgf9>e>-@YBQ(V3!8y2lp7zTq0co1;_^{KygD>bZu@or&Oz=NB zlBzvrTj=?cEGFegy@U|@07!X!gjKc?1y@%s2Ain{8O+(L$B__s1J-<7Oz?4tYs%z2 z&1swD^2vQvDbjy$u58>Y77mpl?-IcMwcw+a90MtO0-w?l`rPN;;*(#~u1!9o{2?kK z+4AX=SKNHsqZUuI=ba8Mf0A(O?DYh`y%ezEnbH5N()be`z5 z6v&g;TFBfPACV5`u7UzEQJkK4^W?wk#*m%@369bV(5zSp^of`--?u7@oTxXhOGvmc zL;!d?aa#Lx&4i)|#n&JVNSrv*(N$id9E(^iv@y*V>~_*!fv}N>$g0q)l^{=}CxOxM zk^>A(R-n(sOp~P{H@oGlwO0oX>1AyQPQ9_G!}b9}3wxuxPWqV8YppM;NNCxs?!o+L`sA`D>E0)S&hdM{S56=P6?^7~AK)kO+k9iXoV(`VSWu!_>r=Qw7Eo z7IUV!UphnL$HydiIMQnBl4p-^UTn7?I~QcRK@Gu8w!J)Y{pp)7iGwPCkMpk+JWgv& z58bo0(G-ci;A0y%FNlSs@^8OAjoGfd`L!`jY-s0;NfzhTe9E*A`o}&=hC6&QR=M+f z4J+`g+b_TQ8bn*%8{94a{GV&FI>&1+&!=orM|dkc!W{&Blbcw{9hIo$&94r5mOmFa z+eKKRivP*-+ELF7?)<~$e==`1)W}?ecTO{pw{|1T$cBasADP8PEQv$@GCM!qpQ`x9 zNmR>{&~LNb|H}NQ3QJueWgaA;!j3+Rbwh(_$HHVXGlc?`3Dr|+5&Uv8cw3>g4Be?_ zZ2dbKe@HEteadpynpt~FK&PZ9Pc}DLiXUrYW0al( zlTfVIIBJ_bMZ~u8Pocr8w?ymT@ncTbXD#Ij`C2kThXjmrqK!zB&=&-WXZMpIDuuOg z8Q0HugQ%Pq^VI8aH)#%h_V_a?qSkgwuPsj>{W#0c1f&oCzWTaNGPu;bB~NCkf?SQM zu1#AnBb$14JbEju)s`La zd=?)8%FbxVFPNEzV*jBAgHWe6tm@-9xo!k!f%m!K1i?%%#VS9!=rz{%3I6%7$Sc#iW^QQg$= z^~88Ak9Wh5raXv5N2kwz3ePp zX&P~Y!ga-%5Bj`w893LJ<=NG`C@q%=W5Kb5`LKhXoiQ^^c zl2SNnybN7d4kwRSpkozrN_gc}l@1cSNMGv)OK7<~65*LOKr$?N^;qj65(^0rHcv3X|Yz!_IA4iW* zz@5V<(vt`{B0ia(l8QTzPot-2;4<-9bP^etjlV#rT*T$zbLp4za8!IgomPM=#23*o z7vrwruhOqw$KAlw={IlTZsYIJOYY+C;qTKQl;XJvVp5mX;E1%=4 z@Gs~ut8q2>S0wuDT3j8zp5D-idxLMHGv4Cf;hX6#t++OPJH4Y5*M;w<_w?fW@bBsU zA8;S>pXdXFxFP&7edIH46hB7)!o+>WkJBe6aZ~tj^ywMgcl<1U?g#EC{uli>3&+OK z(>V*cMf?(dc?GwMU!$*Y;Qrvb^vx~YU;H+mw6lxb!|&e&RFfv4_ayHT<<)X|wc0X< z%P!21i$iZTi==2R&=|td#;5N*Z?wrzothvBIO(=7aB@1;VHe`SnB$1^*gP9GW<(3?%?Fs6+0%GpPHA zI9d|VgcQ!hw`9KwFdIzN5jZDQKPn-+CvPs1`0Kt88NyXHe51#bIT=*C?gu@Pq1nzE z_L2%~cbAYMGk7UhgtUDkpN^@R9O>JBYr(LzA4hgUqxhqu_5@U3#XJG(9q6f1D)a5I zWNGoqc>T_9%dNm$?XDxqMUOLIDMlc#4R97vCS@@;2iP9* zz*^;%koCXXggkki{)G!UuXS(((<}nl)-b4a~pK?cjM$C zaNWgg7N-XU`&z_7m59NQcclDyFLY8w2&*i|0l1~CIL}?*auG1ur5e2TpUAH(CDSV) zG^MYAbrJvmm)2LkyDFx9Fy!;m}T(m z{3qjg&CtB`M${H!AY#fo|5M98`-jLGR-@9Uh**Z#2euAi|ChmHlN2ukk#*+bKEB;w`e^MN6u64Rd;=qH+v+_ zviJ@XrlnSWd}?egXj&m&xC^U562#2~6-t5H3jvN*sPA3LTe}2~rZlU}#X)ioU@jLY zvKVn(@Ec*Ii(oftIjN#IgT`qS-$KTZbu{vT*eqS(VGBzgVAm|#hV^|;V{Gzu50)4W zGeEGR;8`Bb^2S{Pqp}^e1ydX z)o*)Q8+p75S1XV9dPb74Tk#SQE(G-|S4GWlu=HmH z8!bC%@L`GH0W`5O9))}vtvsiEmWX<7A^xCCKC~u!`(kGAIe@2r+Z)q1qRTHm9ZZ42 zx-1sEf_H^>hAS`x1$fkWs0-Txs91myX62ky%1+unr~Nt;Y8yUYRq_t`>6Mb=rVRm& za=0x>La_e`0UT*#>Dl{2HG=aZBg(}&t*ol`v~IPni3RZ<&$Ay;cB zxwiVBkele`+iZEPMm`QhcC!=TGj*#Z_&{}|A-KL|eb@XQE=1_gnUWOWecO#y z3D&I6ZEvMsjb8wAsKfP%1rU{_VsR|V%Yx-X^e`W_Hklx5#c&D8gMpbO_SZnLKFZ+2C5Bli|0qN*EkvLGbMTf`6<$IK5Sz7pl+49U$RoD-Z=v;k5w(jtw3 zbJsPJZmTub9a6UO^kDYZyc6-9MR_rziA&nNjoBvxJBJFBpY8h*#4Oy1gNu>WZx3y~ zlk^)o#uf`s#Fl_u9+K+y>T=~w7?$&ZD+f)5Fz@C(owJi`^)uo=zT43D(*cI+%HQX) z&?U%ODBlQrr*aGiR%$SSri}JzEpw(MWh{QW>ptY?^1)ymr(k=f{Q@3~Xf>|=W7uH2 zIQ_ytK!mI1ImmF{y|MQkD7{i%NOjJhX1G^d67=4zJHZP{+k~GsoYjlMccu{*Fukuk zfLJc{Au?)F(x+nM>aNE21H~tT65Bg-;5LpT)-t@(IcE1hrVVKa89(@kzA0@%W>ZT9U2U zWAmZR!{K_0u~j{!BY&3;jcH^h`)bIK7|2 z4lq)^p`~eC#L0bgma<4#kvN%SUeFmJXSzDcdExPPQb*0PNfx1UP3~`JiDiVi#<0MV z6P!6t^?|dE2D&$yoyE8GJ}k3cc5AX;zV7UDuxY{U!`Mcrh_+g8el7Fmbz~KsY|~BO z5doY#ZkPykTjoMOx2@FQLRy{!YD;@ak?b=&AS5#_8F_Kd`SQV|k^(FT$<&ji4YA7) zksP)-^oi&lVGRE5Kf92$kx<#y`15+8qh5N&Ehqo27m%Ll!52va@auu}l>|7aZ3%wK z5w~E_EgjxHe-^Mfm84_go&;!HI3{UX*b{8)PxGZw1@1QeR;rH4LkK&_n6s-|(q{eG z=+XTaSGFk+Tg>kLZajfvK_n65Gf<~f_XvM2{0V;;ZbYxkdPi;a+11V;6pP%6)6=zj zFvL~L*gcJ{czEVMo8qRFeu!h^DrEne=f-l8Bjm(UYxULy6-imq36vVZJ6OZy%0GBY zt`fb~bml=!;m0z*_66y1<*yw5;NaUAf@a?91yo=co$^89)zs*_G9;ceX|ZW>WPwX< zYakS!F9xv!|5eYkRNh>cfLx^oIf=~PwtrHR!)l1;f9^n__E+CwNdP`=`7bAK>S|BB z5$Y}cw2Q=IAdR{gWn`tsAV`Ci55~x_HOFSQ#eM~5F*OzV#=gZq3-GuD8CN+49MtA? za4sch`dPcoevTx`#P~q@4wrYI=H7cE3(KC`>(ll+h8xmOr-f+#P_@=(zuxOf2~{{r z$%rw7b<14Aij)`XKr)eFeTzS>n3CY>B`s}~vbvxl@uH&sX_*P47|()^px>%S%N4eU z4Bk5qG6prpCk5|XL?%Y0oWd&S%wP2Dxp|f6{jX36{1VCcR&K`!@KEsISo(I7#RV(Y z^p^U|qZW{5vlUkBj-R{UM<1*KI|a>K0%sSRsBUk7*}uSac%r!82wf_{+;e&NG=V1< zZud)d%vkk4PJhZ|(eSAT)X+wIe!*Q65{ z3V8~-ODe)2Kh65uxAotJ;6$5e6 zIi70bbP4obbEOdc*(mYpqVZ}qxBx0#z+V7oP0rGe#i#e)wgnknV`d)fNRxe}3S4MD zS833*dYb&H;NySU&7PCeKfB91zCXr{dGEcjKEB76*a?tqP`5ZuEa69?lhKS%)-51X z1L~`{$yY6$2}MOdv3B}Rxy9SgC29L%<|j?Fawd`` zF7Bg_x5b;Y&+qf5oYU4t<}4ZNB^)G~JpI*qQ1->B2}0AB=g7Gwp*>PvzHG$>*y73{ zq%yE0E##NMwDF}tewA#nlHVgIW*XmHYxip49WB)O1MjEcEi3Pl#f6T|#R88SVHf>anV)J6EoLtvVQuVDaz<&1~+d+S%*qw(Shx@(`9xN|=ksyEd?UVerT1@O&g{W+ld-|P2 zUdrc!aZb1LzT-nuHO_?yyX2?l+-?aP`V|K$dohj|fAU`(p2|Q9UG^;>ojlR>1#iOT z2@N^OlQ%xf5K4Y)aS)dL7v%7incBx~7kv?B@mUHom9f?6>%D+}b-9*gfv=8HeiCSx zz!`VSg=jZgFmhu%zFxTTrSwKRc8YNDzQ2%GEX|%j&?-iL)g)W~<5`?XdG#XCR(X>dJ0^SHWI`Mp1xzkJ z@&YblSe5WLf3>E=Wn*tX)k(b6x9KzhB!`b^LnNkC&3Y0A!E)(YL2qCQ;9eQdi__BP z4OTKqu4rsdhRu$?JmN|t`GXC1WsSC@{$RN1A~T@626$6qtU{`Q6$sogepHTWp5QD} zw+xKc<=)ub&*St-2A`FLRX}yXwxRxc^&QQ5s?dD-y34Q9+Au_NnlKg?w zn>XWAm-U^G!~-$6qz-ll^dk|XfOdz}c4$aHNb2*2s_*cH**=p0q^LxEG6q4n)wlJcX$&s2i-}VUlFP9a@B&5;_qwt&biGUnC=VK(Mfl ziL%qw25~57$tO~+locM*@s%tdxC`{!?7rL2gLxOthv4ova`lh3=2=)>KGPt?j#U2q zsyr_Qq2Zp$s2d6B=H1F^);8!Z7^&*dVNzV%2R#zFCN723kORXeo2{lM&hKlPj?IPn zqz8$hMSs}>kwN4ZY|Pn=ry(_5d2puHI;mhBwB5B>&p+biwwf1wV@5<6V<@b{Pt$LHXX0h5(G$w)M|o_L zr&E7`RVe1f6q~pI6r&OF$^L?f?-z|oBx#*63#pWC7|b>g!TsFIiQ z2jTqzch;s)ZE=7wL`P;EP<84g&(%8v7TMTsHVvj?c*v9J=5&6m+vx=;$meAN^eaf9 z^8){Om*C&%SkaZbAJ6NLRMgKrp)Rk5Jfm$3bn4~M;`TcZOqiI9CJGb==CqRp6Aw0B zgI+mv^Fr3Ns1gkl*fsEwl2fop5TlLI+8Blh`VO?`P4^z)w0)x0xAq7x9{@z1oS9pq zZSO+js;qNAfzPJ#hCMr;P{H{4o{av*d(p8>e87=;pkQ3(orQTFSgBmijl2Pu9CQTo zUf=ZN67$ymJC`#y0pQTZ%xRK9-7OueCgxe#b7?21f9f7TTf-LQkfY3M0r5V3xN8Up zdFO^4&iMqAJF+O3n1f!-MlWq-$VDqfyFk2ONfSyZA*-GTH{6jo#&R!Cy(YHCq-zV0 z)I*wYhD&{FYJ_baG=-WzbuQJ7g&FphgBIC+2zWglu%7$U%fO0dwK;aDdCQvu~yU**LqJyALhH0cj_}uhWlLh?8f@*dqP{a zYZV0&53_%azSi!2^V&c7tM3QUg$-f7?_&npM|?`fwymYP84`{LHjkj*M5w5;!d4rn zgYs zxwL4<{v=9rTlSY6cq{R=QHtI$9}1MLAV4cDMHG!bKP#31e;wX-Gmn~8v+H1o`g4-@ z4_HsN>d5nf)v0%c6c%(hnjPubhAs{Yq;W@y8SyGxBNpXXIYvU*`sG5Q?s-qFekbb4 zpgWbaJgJ8Z|OIi=mNXs0EJTHJtH z7d?)hh)JM;IVoxjt>#hxd`QeFB_+EjV4q*Jtr~3WtoK6VOmZl$qi)hqQg!z-c(uMo zzWnjzZ22&x>*^x5y{3cdoWMO|xewd0x7=%a0cZ69?A13Q%U$skTdcQ06E;*M8^pR9 zgWP>J!zu!7k43xEG-Bayj~}f}(LkecPzs#_lCL8jIE(6y5Rx-Xs)7U@114uT6^v!J z+xgH1Kq%`pP&7@kSO5`FF0h!pMXssV@gkUfzYAcV9V)kp#8Lt5?Kv0NjcT4Z-ucv? z#E)X$Xyul_4=a3qP8@+@#5wKZbEQ^)1}i9?`t^-N<_F0zhGXAoiq!zsaLr7WeO+xq zl60$lqj4QYC{U7Nn2kD4=~~Q4aY!p9?LsNP_syn-e^hGQBBTRDgq@xZN>4)0Mna+o zFHOI;4g?=}987Z)PfY%1r~%fzGjD{wUH*>$8jSW{?=k_b_aQ_Sd%x6R`j{v{j67rf7CKltr<+ZPf#=G zW~C*o2sGGaEhLG-h_uXjm2viWlmL(mM=fsdw|w4WukN!YR4eOF{>SjlQXyH)sOXXw zx6GXmKkK?)W{Z8+mgNUOFn#oxIK=eE%v+5WN;}Vk9vK*WDiz8un!2B_r}@1H+~L0; zN4uW~`j=~&#qBIizi7fAx_|h??m+*Gdq-c39%Et#zSe0@*oeAYYOHCN3D~_BJ;?0T z?4wP1i~3qhu4$$S_`eoC!bFlZ`@$yNL{C}5)-;a`B)k?SFn2ZkOeYdWQ!TgFG?fLD zi`p|pX4V7+OlmZOFxSfd)>MhoEv(W%Yp(sQN)8tt2$+n}9Jo-$>I?BVjq@i31nPZ8 zhJ}+R5Qqrj5S_^b!$MCdk9!VwM(G}nE|3hWpFw^zk(xsI!3spI>RBY@w1o)kte3hs zO5e921{Y!GWh?u}Bq3R^SVqoR2C;RW5+`SFeiNRo5Nk$wA#V3B!K@Tfn8g@-%6`5G zX4gTAswHbv59uL8c2`YZ&x0>dd)2=eKZSEUjRcT1AGo=19I;k`&p5ZUN?4A5#2+U) z(^)nWz%$0DJlEAMKuR~~NiwU7Q7k;{QIAC);wmC)UxKu+Af2J1A21*EVyI)r@`WcR zLfq9cu}GUeaSWKJUhtsrghrK}wFYpa2i+A$GDjo{fSuyHG!YeN9^W2ghp)CTM04A< z#f}d}l^e61UbpYK=fo!}p7bx%%81pmd!(~WIPskPaw5u|q~r3kN$H3GkAc_P&+$tA zF+WV4A>GQdI9W3Um>w;A9+nYP=(GWfJj_=QR9@S3j6NjhPqQwM3szx7>x6(#E4N^c zKpKJS!7(o*m9Oy^mxOE~r7pzgA|9@XXck6hs2jr`UW!}fEE`Hd?RLSEHx<5+zqjre zW*isS5%dftDU3O1c1ayi;PXxqo8`96f(dAtS?hbhDBOk_r%|0gZvFcgncT;7h6ibM zLGO{R?xS%+A#h?*LilDrx)n6(z%l_ztb?9dLzeQ2K_X#$fvN^Zr-Dlk$|}c*sOuk#h>ziO z6Vh9Vh&sz3hSg3!m4${TZ4tr}30XF7?ME6UBEs4Ucu#pfKC*CEd8s>(7Dhj?yx8AP zGG8sD@LPhMgn)v*uB*W=BrmrkDjY1bDwSMrD>So_!$aAEfJZ5zLZoru!d9lpEvZDgeq88UKsswaB zwM;3i=LuMP4!U{M25jTKbtYItKb<*kA(_c7|ihzQ7-t2@L znD-uNeuOployOOKSfO2j38cEm_J3O+`S$8lr*wdHX{h7P0mhBek&oCsIoWF?j*&IL z+hfhfo_`$uI{3^TU|^j{8$+T;EqUJuepbgY4#Pm7`9ET-d_Wut#Andk{ZQ5NDan!F1rJ7o$M}T!F&YCz;v?a&(&cIleh`(=EtEH5 z94O_13~%3fbDp|Z&Sy3)XBlDIo?N&YDH^4XPz(c$Is|_4O)w`}<;e9Z#2ME>zd+l9 zz_lz!9<3tx)Eqy*OhbMo@2Wu4cuj9x?_g}hUs}1?m#c2wz4P57V<`CtvdDeH-|7QR ztMd_g#6X;fG@jwZB=N0Gv+rM5Kc4I@9TMXnqpd6&ASTD9l z#$as%fDe8LM@fjx<&c{vkOxbqJ@Y!NA;+#x-0yl6nzCOIU|*u5{5&N|Qkt)uyms(>}6gHR=R!MW@a)`1xE$Tl*ghTNnsLMzZPHFH%`^;Ei8J(1@9QyV;z=2HJIK z2<+^AkrK=={VlGO249e62Q=_TcdUS5wOwgoR`cWn@N;^8$u2*)f$vDs{34Lg`v;7+l~3^a zKosfmt`i2gXX=@zlWMBvv&lZ>vpEE#*evDzbrOPvyfJTFb_X_*2+!xX#jiW(BG2d_&}rNab#EH-4P9tO$3pY zS?bw`_MOB;teq)Mgp1578qH(+xC8J>iysMe*NFQIKh7_G`C&I3f#p>Xn~#v1L8FhZ z4?P%|`6jv1VCD7;84*0$mK_ncWE1u+;?a`X4Eo1urEwasY=UZp0N=&T4_^NQmeQ|p z+{usIq7DFJkp~#}!jL{CD7Y9B7<)hpkdgcYnA$%C1GmH$sec6<3`c#pXkI}jxn4dW zAEbn355x2Y)Q0i;N^t>2A(+?AsMl_kjUwYg;J$jKLhBHRZ+-G#Ku0o8arxw0#(y{N zBQHgnbc3axxUWJ31i%ary|{vL#LS6&=IOGqa4)iChV$SZmsb|=VMXetXK$AhvS4|Ar~DH+v|JpK znp>HDJ@yB*zkaRA7550Bu%VQ0=wUh~I$&gEK0Ej64L88?U!t zv=t-vTJcn_?Mf^A1aR|A*VS8sB-eW?A=>xsUT)sS`ur%rOY5WD^+21lADy_Puky4S147H;VO} zA9;R~rd^bFX$2^~?G(hv+L#Y?T!MMCY$!7)Hz=>w@7)GlkYp{IktGT&SVv23=2_(e zUoZC#@O68*b=fh4msTiyea#3vZ$4??wLED@M89C!k4W=YcgE!Z0xS*F@}a^7y56D0 z7-nD6p`pfRI^q^p+$KnO6a#h+LS!L-)zE`g@C;~%S<%h|*ii4dU5$&-4`LpvjL3q0 z=;r@NOQcCdTVeC0q8_9lixht~UW>Np#|O&f+#ik*FQCr4&kD%U9hPp4^#}EnN2MqQ z6F@IC_jdfEQBnUcqx`zx4))kXnCR$}0K&0fFU2J-2y-zkq-Hi<1D9kiDqDVk|6ms` zSvOlDSr4dhCldD*(6tVq&aEt+)sfg26ELUUz-eh)XG4`ndLTjKj zha>H;td|l0iWj&j11h;z7O*Y$2bJQLmjtz24v761fck%TH@^fwb_2!-@IOX@{z7ux zx-Z8k=m&2i^#4w4TRe8_oLVA(AroK+IT~9`T|_7xfd;J1{TmX`u$htwO(2ha!t}b7 ztI2Kdmesn+=&N*B5@u*+G<{DEi=p#WqGH#sdFp)D%cunKtqxEBk`!8f)f`RZmU=y` zQ0ff0y+Q>oE&C3*yIoKrut%5ywI;X?>1_tLupl<24In|(Talb=3;@c11`RK6-;UV; zljlQaZmIxZP#a5r-T%cK2dIp@UAr%+To`i#!QgzG4~@FlBONQca2ZxDe(t$@Y+Ulo zm-7g?{zCCzzC8MxUN;E17zZFOxD7oUx|Tomlh6fQJP^cnDjp~ReYqT;0V}mLkVve6 zg$II_kLO(w`gSRF)*!@xQbPN{p&)$diO>V_ANZl^O+teQ5n$oK2qR_mHXvNl$`PuL~3R{A_*(&KMR?L;4hM$6>hds9XFEg!SVQlSJR_L2D=;Ld{=miG5 z@R#eomZ`KpLaFW&2u+VrLbyN%FN)|s{SbnJ%PEj=w5%m5WE3TTI2bKkvyjVx9++|l zpLo@j6Tc0#Hyl+9_M+G>GXu?zX0G0)LtH`*P0-dB&^n?5S|A3WLc0P1*I(ioJ28Pj z)PMooiRSNhBAd4Y{|X+7 zD{Kt;wKm)X1Hkzkc{#R5>iHb(92v>^8YjT{9G?J%_BoS(kdg)={}!c!hgEy}#H?-k z4+)bX9`lk&IjVjJ5w1vHzU9|-#Fc5lQxJJ@z|Vg&H+b6s3jqT&0X zf8OQi(a{JQ3lMiLd6LA?e(FcRwCP4T^rd|kEMx%yQH zKxYk6Y;==CFE8bai2Z90gG{AuC#~DgRlYffAe8?qo6Pn*N1g2G_ELTfdHu zh{CWY9J1(>TCsY|m`M;JGxxKU5B zF`APK$!xJLp2Bu=69;8)1n_fU2opnPmXY#6C&!Y1Aa(FVZnAfNyZpso@}7|)%@U&u zP-qrl&ooF6Fb_)&!jk+yGAse)*^mRgU|Jvw0y>M55DGmg{IVA#GYwS)9Fo;5jVuaD z9%&y21V>qr1@I%rRP3b~R-+&*>>30$!?0`CQSA>0>e!6Y3Gq=JR_o(Z30IbYCLU`F z$5-`#T?RT(c0n=!??3@Ne%{4*CkSvMeWd2@^O?Zsj}lxk|GiB|>(?#F2tcszz*iJ^ zd>VDDTlldO_JhI!6>{4wyz*n-JBC62o**MA*W~2+Vz4S)xOKAqtER7s6AIg;>%0D!biJeBQVfnh2l8 zeIj8iawkIolmkfTSgb#9TX_*HGII{w;W0ubi4|=_G`ag=U9>rDVEfh(89C^l7fw*~ z%qmN{CZ-PuHQEr$1`MM2ERb+j_p$7%s-@wDLz51ug%C+Vqu(`BPlo#)A@jOi91<%= zi*Aq{kQ5mPqaEMEi>67o1`Lh%DMs_?*N?b83Q~qR3sU_NEDsI<&{z^dwD$27_2cRj znU40icOK6GY7Dij+643hD;kna7Jqc1`cFXZTC?8WjSLF0qyn-HI)^>@PS>~$TT2MU30)`K!{thdD&f!Hr~QD55v25vx5h` z1NihmOLVY_W0NcaAv>Lv$3Ghma720Dmba_D=S3 zQ1~6Ui~__vB__8$ql^hA4$J_=EhZ-1AA^ijaD*m8BYbg;kVvj~@aoykFCig2Q8A?S zCO=4HYX5~N+Ux|slF?@D(N==)Yo1;XaZc{cqc71rPSF9m0}MbkmIr1a6&}_bP#hZ< zVFNQT)G$Y5@l}D1YN&%Xqkp>t_yZ(2I5!OR0~G(ynEmNm{z=_>_l8av28r`6XV-zV zi@lCcc?6+rSFyAKS)1d&&I7zc#U8X( zwyTQs;fM#l4*~#Xjmc>utBwVSjq`$vPocWbeTulZ74KnfFytG+Ab;>H+>xR0*#%nO z$cZshcQ6CHy2S>G6j`?o7}80V=wOmK3|WjFi$mwe^{wC#LJ=ei?z z$7_M?(HI2d&J4VbmVe~?65Fm88z(&r*mt*Pz|rIVF8`-me8&v2qB<#=9}i-hFgqD6 z3;|eG>eGo3EI_o)*aeTm=)Z}ukQCtLh1mg3T_Qk|z2HtRWb3c#1`vIyxB~#637(6F z{NnqImf-tB;|+PDS&6aSK@=?#nV{YX3UxKcpNrlx0fyY7Sbq!*sNG$=0h}*M)eL9Q z4t#RQmWmz|@;ipXi-^8oMz-Ytj`)V=|0?7ry3~t~2Jek#iSRSOi3LVSNp)3f+&_(W zR=JB}M+2xiO3**PzPAI0-unbaQ%PZpgD_EBi16WbHj9k3PWJ9iz7iJO_!ZIK?oiIy z3+#>Giv729(trPMMMpc`R&uddP%0J&LJsow3hT)H6WzlB%Y=TJyxoJG6qo2U?2`!~ z;q-kx8SbtH1_`5izL*QbFNlsD=p>`$8;F_eJajoBX);9&?y}%R-;jr;%7-nF*meJw z_33T@9G@0%>S^dE?m!j4oOWxm1B-HMEy)Th0#{fKZGTqsI)>tcrSL-wQqzm(zaI1f z)~lJYgXryh8Nzfvd<>R9jL~lJ6Tyap0<@+M3*-Ar4+}kY2;>u~*UF1S2!wL(a-a++ zjlg9G_+f&OSS&U?)`$zdxT*qVUh3tr)9~Sj6Cw8Yj=~ZS=+C!3i*}**cqJO5w?@H= zYGIH&Fn?L9ioxR-W}p{afl>eZRk$z_ zioW*$z|9ZS& z2M`Cr2*>ZZ40X{{fn5Xw7a9qMLI$9;OAxjdYJUjI0w7kN0`2Ht3zY-ya4NF@X>q}Y z2<@{F#>NLW-3n`~*oBZ5TnJDj!IQFu7CRO#qLb+>180JTOJq80@x?JaO`1#dWWb#QG6a<>O=L^>H{01f>AFflSS zG=DWVH~2U?VPazf6`8+RX=-b1ZEqoPadK!#w{>@T?0I?s0G@0F076&~KA;Z{3>EKf zI|uUz4=^O13;=-u4nzpf0|CMx78h3nWfMID00NK^_5%O|BU1#h000Ol0T2yO6mSE6 zMFRi=$}S#;0umdo00|qH3+)C31puQ0m4CknBnAip|JzSc7EiEHRB#~R+@t|G5I@-< z2RD8NcTjvnIo}kGOaK69DhhQCJR9=t|57Re?_w4%bpIw{7a$2cJFahEFMe2#B>uNf z0u5CEYz#J30h9r=`xGt^F98+5;86i30TTaOAqy`^0TGM-4{K^FDVJg27Na%+Dt{aI zB>y8SofspM8aK9%5iIX5Lm3(v?F|td1p5jcTLHXMzqb|x92PNO}6eZUfu!~Mn5|4IVxbx>jm&hCVAVPCM2+A#l$K4hRlN&T0tKQ1r&{;$jGA3-Cy^1_R%7RNb%oGAJ-F!M6@hM>^TwW z_)2qs=k9z`o&Z67cC8h6N!nP7V+o*j3ZRt%z%4j9AR$0IBIYa{A9mK)w}h&MCtxi2 ztVoN3aGlWClE;$h8-kl{LKjOuz5~a~u7f8*R?|92wLl0-Hc>2lkyJn@)Qz1mq$}9{ zJo22cSE5E6q-r(Ii3Pr#TLHvSiS@3FM?a4VaxX*NHv5e2{I(xM@;C8fQIf77B=&X) zkYn4>ysW6ei5!84$nbo>R=&EoDm$E`ZN=G3SAg?|cXcI$Ixb27&G&GjL|ae}xpIr7 zCUI@rro%lAYT@`TYR9ER+Q;qNM}{GO5jM;;M1o8r8no2z19tYUGV^NAf-i?xvbJR? zm236s0`0G$6}r;daZ2FM{XI37&kJA5ArAnwI67DM5?yH1&kr}}65N}=(S{zHfh!W4 zIe$+_^pNe$Outu5Z3qJ_M^21S8xq~S(uRUp8vbkVrb65(K4?3nL9qBGw}IL|0wtXM zYi4^uQYNn>?0}S+2%WKJJ73ip6;X*EMRBjt>c6#F^2r*t)d-M-c&oyPyL1|kZp7MH z^l=i1zhK&vM*7GZkgm(U_b}T-f8J98knFJKSUS!#Jg1Hrk*O`V4iQrh?6j z9r<+k+~EK4c&R6hP(GN@J^|6YmR_mPMfz?^x0`&>H0_K2EX+0glt1>AD+5bo6E++4 zxb2-#Xf1bMClxM3$=#d;BnvH>TwApc;&yeZ#%Aa7u2C$j^Us;u0Y>Tm-B|jXds$@* zqZG-Rrt8TCI}Ko6jZWmh8lpBJsD`&*Pu!ihOlB)88E9w4ON!VBzh4uMZ#osaHXDi>}z<`KK{67JSqc7$wiC|U^YEKYj(0bO(bYB;X3i;w3=7mWk^P>yA zf-XpmIoQ*(7fCrQ5b1XZcrNl{2_E>ixX!g^;Fl*XcC$`8+NW&-u`StgAFLk+(AC zn!e)g;aHCTr%V+tx&}Uw7dTx*78#m;+IT?Er6y$y7_xo5KFUAZybIE1pigsG8f~w( zew!_)Nk-2TqK;WhXoD>)9yOYC7sH4K=VhjiZYC~;BfoII0Dxdt-Gq}1=T1iM?@1Ml z{q8iCo2?1^(#0Ro)Y@u?498efg=K8~kle~<2*t<1L zj`S$-w+dwRN=T-4zvXsDE!M8QN#S(;6n+u;Ry0~T4E{U%FM|CieyamXREGJEM5Yl1 z%7UGZQZFx@$$(41?#7ED33ApyCSJGk$O%nl0w61%k)G%Zc6_^x*G*7O(M^=J#nc~VfvWJbA-kG`CK z5I1jH{{7r7W*zzDlT$*rgc2N*?UGjL5F@I4T{UhuaI?!=57waCyk1jAd_rr@U zJ_{RZ^h%XJ?xQ_IqyJ^;O zU1J1I_;`~kJHq<0L;11spLn$VivL>bKg)&KJpX%sxu9Jg&+ii9&S0PiX1ZV^VVcJn ztGw{E5Yfd$KYJpJh9V@?I}zkAoN=>woBGn&`-WcB^z*|FqBR8X$Ht@mIW6GBG(nmJ zb^MR0pKVBRUfqMPn+wFA*o$VivShlURgjPj^C=w0ht zk;AFGaMl8p)eFsTgiDicpg)nQrS?^L`95U^)*GBw639=)z`0-c{Vn=4CfZ~ZOt9*l zS#W~SYNr(_$y68EKg%k}@pUS&tnH_6Gs)~yW)&A*-71`Qa!SbZKzmv0mibEDs-NK=7+l!aB!_e&(D;UXO^HGT!I^O045=aW{+(rYiUF|yUjmjWaC*~JCn9_O9GuyS<5L6u{o7PI{A zZAg-v^RUO7;3yU&;4{Y;I!K!GpR5#`LVc+e!G~&p8mtt%89K}FK4~>@P=o^|5)TEN zj*#2~R>O)XPJMvPsLm^G{DsWQ!9b1-K_h1@ZEzkga=3dW*Sq`I-753Dvdq2I2w&#+ zs5h93xi;A%lJA`l?H@(^D)&TdiT@_n=M~+H!dbe#oY_d+ad8EXEA2dKC-TO&Y_4$1 z0G-Cm+Wlz`*weZTnxO=m&$yEo?ovAZ+JAJPk+-M3KU0dIdXh1Y^-+ttfk`?*t>+WUQL^E_>@iAm`DIfYc^_6wyar;gUu zG&t20(|>R0WExmkNy~3PzIMR&MkU_4)1&ccj25wtId#@qUcDxF_@1BCVX?dhIm3Gd zIg~+1j%oz{vGZ52_Q|hBSBh)a zQ|#9bJlyI-Q$Cu9J*vuaTIakIdh)`2>zc2Tf}}5w&LE8*h)>B3#uX0o4hy@e`;Mr; z`il0R^wk4&Pf@yZMr_xAleP$dMs5A!X|Rx#N}&&mT)g=F+Ct^=ENHwxd!`es7_x4- zdWOnuX|=xmQp*Kj#L*D0$7izu6l1MH5hcg8Zc z{IoN-wKB6c&lnp`yPxLKM?G(V19_pIU#RyWWDBv#iV;!ebpqifhrVsyfdD`I&5E1p zW*i)*fo%GBKsts6urB?NHoa?ppm*d}ch+<6l`n`Fx z@hhj})5&@S#CYi3OKOhpbFU%0f?T*`4@IyLa^8ce+tFRPAE# zXIVW#plyVxCJjGue{_oePXCgBsQl)JVwPe5gaL}w5}xku%^&CVsO~B1EGh1~R2C!839TwRU#&lWa$P77W`#66^8R*}9^{YhILJFk7$QcC273~^P; zmNp+j`$_?UOoDIejv)15v8I47O>U3>j28|NdpCon;UMpB?(JZd&&{ zQB(D0k6dJ_!#D4ES{g>wZIQ@sp9z?#eVbF#@Rh@f6+beNG4rI6!gQ5@s_XysV98i@!IXJorU886k?yi)Y8` zX8K~Pif!2X+x``!%_jZ>+oT|gPL)N+UlQt<9aN}>Z_@Av%cQrKEzKLEMHKf9v84+J z_fF?XxdZ8*v%sY^%+(WnodUK$$@jEM3$gdk9q^VGk<&xFE+3hHo7RfP`|#B4Rygfy zZKMOZ6S>CxCw6T$YlxOCSO{qD`iWZQU$mOG!yS(Q2!!KXYR8c42<-PaKK{C&tPYQv zA*d!z`%%U%C(S^$yR_r?Rw1u^v7WSb{2|7>%s1PLX&J>{DyzsvyUs=(a%zT8rp8$D ze#J*LrnkYya;m81YKG5vpT3-v&%4x+vl-rkLCGymsfN$G4P<)~lbW(H(D@w;Cs;__ zTjNf4s^6m+tG?`1=&D6{s$+!c{i1VTsnj_WyK4QCykb%|pr*oe6)y1U&?c$sA4?gLx3tv{!4ey4_CNh`& zN?D;xtS%3(&MiKbFvlqa&Y5f4s*e6FBM84YmsEg}>y~Mka_*6iBtt5Ck~(B+!OvLZ z6oc?AboCSH4-~32Z;YQ^hi-qovb(V0^><49@r5H~7FzjN-DlqTh3DrSJCn;J3_Y7c zjSsr95_K$ZRj%!=fZ7XumL&WZmKE*8*g}^57z3QFpcw1dGRP4SoE%2yW8|MNA%McC z^2%0naSerY4s8GS7atgHYz@(sfL5J3scE@Y(aFJ*m8^03VER!eNgVQNe~o6)HJ{`h zh9a*g=e%<0D@$-w1+SFdaFfPU^FK_0Bl$|-rxdlzUb!h~Tj#&YZFD14UlkBA&AfGc zx9wIwzR_q{BUw%}V<2#>8YjykCl?2Zyq=S!DVXBQMBU|eSm#O1A*)QSOt-62Slk%J z;5M773wGsBIj+#MPqx&8|Yy9#j*p_|R%p>k$fRn6C0h)jk{x2qFUF9c`1=td=iys zIGU0FjM9cU=6my-$B>LkA|hR$SJd4l#OA0PFb5NPrRNK)T*6lNx-;d>{F@$%X8wnG zV^&L{ogkUVQZ^BG_Sw-(0C4G+k%;beGNoyB7@MCYweH>q>j*Ml@ZbRwXs!2i%7;pq z%An`p8tvfZThv`e6n-zb#;=`1vE^ekOg@OJLT554FlLori4nk)@;l_ciZweZ$b>+| zX$5^lbz<$36+d5iBoS{KezBZ!SNQCHK{MTcl6fyC$^wUhBNK|n_F?7T z=>3-vES4mub!4EGND{Y1*%wh2rA`4h0BHB<1kJzcM5DOIPKE<3?l&bUff5rF57zPJIvpmCv4=fTuF0z^DD{4l!=gP9iy)=LC! zT@fL|2M_?FSda$+kfJNH0RXW3z;1LD2~o(GM(3Z7LIKr?td|%iG!}&0L}U(zKma1- zI986Wi9NP`%L#zQyv*ciKF>j81M)V1oG$8DuhV?J-Zv51qfb3m!%Cl69n##rU9@yU zJ@O#r??w&ikSj1ZOyUm*$!xPnXl*$Cq|%Dmz62FG3vakv0xGJ+2#JA8z2MP}T_pwm zq@tGu3|I~}!6N%eYVqOfYVhTNY4w}pils4P$6P>I85Hu8=hwD}%_L>LrOFA?Fuu@S zLQUkqlPvokAT@e+iZ5Tm;>pYY6lEvDn~!H0e*cAejt45uOESH?T+? S8dwGQf&c#m+Lv8E)$)G>s3?d4 delta 5229 zcmW-l_dnDR1HeC@``qM?vxV$z&Zd-^QOZbEe2I)QLS}?J&N|11lXe-^gCdtgG>nK! zIXjzFXI&S*Lijw->v{k1e!c&OH~TsG={ZO~?s*K*0YCr&ybyxnC%FH`|B?BhQ9v2$ z<&xH$p)<5UvXw6=xm_p}z!*V^AsH<@s}HwzC}G0H=%0m8s|5rE&~g~@*Epd0D#iVy zyUS?>0tDfP9`{!|TT6xM6aZ>x0AD5mq{0DyF@B`bh+sJSLa>id0a+RU79)qVBHj^# z8-=eHJe5NC3T@^JlMB9l1lO6j!PDTEx;2n&j@a5$7i-yxBqO7E=6%{KVefrBRn9d+ z5eK=PBLF&#Hv@=kUvdli?$Q_OYrH#6c`L|hHO!98eP!7z>lg@%gCc z$%lMI9We!5!!8F)HT;&o-qn|}FWTHGoNFF?*sa0*mo(S7k+ip>-C@TB9~!(^3<;UY zGz~zm5u7nH-FOEH(gn~U@b(U{twYahsR%|_?Ea$um5p7=NZk@_dI$X?Y&`sWBxn1; zZ_BcEKlxqcA%GIa6<9s(?Ra*Mu36w(*r`NmBsH{a79YRB*?nn|DUtgnQKZ;y;*$t4 z<;IMhf7O0#YJ=*zIA|xRR%oh#OKuv3mc}*aa4$Mv5h>ruf3rtI>bE_E zy=6}|PhUF`t|UrZQU5I7L33*;MWZ~qPZ0GzmeaTtxkkZ~e;n6&&Lmy5e(RqW&COa) zuN1;q<6$@Of+j&o_Qa8*H7&}i$o2*~ zd6ECTLXph=%D7i+Du4FbaucPea!2PH|Vqc zEtrYO&j<&k?9@8AMcNYrf19rrPH(DIrVp`wHw>5^-wXGAH>Is;bkjO|3K6}Lv_05o z&hCWoq4CZqvfuUBA_|Sk_d13j#DClMv{6xBRI-moOQ{tcyRo7ged&BOJqzQ-A8`|A zA^K;f+xj;I2kmOn0L1e*OCYz6>KYZq@baQ7Ou(K=Vqj>A8piC0XM|%eX_p5 zL4SOHNFSI9>5tw11k_eaQ88#nuGSZ@YGR=Zm-i`KSss zVDj!LdQ9Ikb@?$?s;EaXx*8w9fD}{u+k64ueddMO2#?-EE(z>|_Z_KCKA?I$z;ZI+ z`uuqqS%B%jiVI}R^Y?dLXjH|d|M15wUsd5p`HlMve!Z%SR?wdnSx~^Q-$AdAzn?2c zlKo#U{hYhY^`Qy*S5D5h`*%%837oRJcXj&mWXD>gxzi78f9`myE8ezOzR#a4Go3YO zpg7R_JF?B+WrQ!;!Nr&2A1!r0kO9WW(Z0ZZK{uOg?_zu0`B~`wcWLK5wHeIrBc&X69{(g# z>eYlC8hW}%!#xb<6SX@Fe|lw_HK7eu;LQ9dHM4rEs8Xt0L1n30KQJ@vHkvgIM>K+@JXh*x+DA{5d|dUSbN zX}UG(KRLK%Oiy7BsX9mDR6=16f8i_4z8qyARF1>dCr+09HJA*0-Y7VqOx&7Vcr;*n z^AV0}eG}>;(KK>5{ib46IcLggm&`l@!zDT;IG^!C2`}lIdlPwNL6`<8E%L>zEoj?v ztB{v0y~fsvd*DdgSy)E!4OV4K4ypTgV>vtDF4yBfE}39a7TUu4n4h^1zh+4(@+6Aj zv0_EwVFCqv=k5j(3BKbKrNXGm-cr%Fpq;)_aa>UE>~r^V8P!QqC{7?fz-F-29nITw zfpF$?Ipk9Ni`>|hNAHzACrcR-8Szg30b?CG4~~R+tv*mHd(b9FCujQ9(|^AIikb70 zV`LCZWGIqw9L}cv%jwAa@sMxO(y{vwFK*k_4LOG2ZW17`kJ#McFv|fJE{Sxl z=mqw(o>qR)h&SPUzIJ6w80_nLF&5>vYn>PiJ8xRl%O(;-m4lg7T!Wp4ybdlc-tn}uvuVLZN!1_o2ClJ0hi-q` zA&`Yfz656?kBdq)&8#&Qxz~4dix--@*B&1@rM%nw!EnbpIa7GcG2!2&p}>l?%hdv^ za7kvKMyL#~;mEB+WuIwyH9vDKszF+E8;Cr>{kmVx7@m<30v} zo>gpX#-Q!mzr-!V^pLIZ&*?pR#H3hSujtkI!CUjC$EncQne>?!tWw~bG4DM6F1g|sL<*IN6R6<&0+w)us)je`Dns7LmpEn8#k-25bx~_K$sDm}>PJ~I-BtX3o^85q zma~bBJO6rt#+&Iox;+a)lQR#Eb;$yZ3O%=zjt3Bq@@O~f>1M=%v$H=X^%7GpDBx|{ zPvD{q2dGl|QM=MIN{#(iN&UB_4FDTX=FReM7^r!O8LmfvY!;&)C?Y+5|7Ud~qwjQe zDk5i4tp8Cz;v~8heX1 zY5^Z!TpXf(95O5pLX?=p)J@}26;zq{iIm4S;Y!Z#!$qiIMFFa9)#Z$YuUq)dkc;CG zMA#pQapT zYGYNKW?a9ySAE#I-FO^DHXOMpXTKE};rDLLY$U4T9FiNI<~{9xxILe2_3HaiHX9st z_$xXAr@l)OO4e;En>P#)cU_iH4{YqUa6E~QwRYh%^C$FN96(Y{wTKDTUkh@juqptt_rP!H^7p(5P^qrIl*L#|+c< zzZaJtB_a?wiR4B55=F8GDOxKOr#6b^G>;B_jkjpt?(kW0E>H*(9^gA%q2~L=&_PgF z(2>wqxNV`Y1~fj6k|sUk?)Y&_DhM?^FOd@$V}A`%}y=82eQwpa!94_ zH1d{Gr~LYJNyU1Y%*VW6j%@b|9RjboU=l}i*$7Y1xsv4a*%Oa(#qT7jOtPyaxrUJZ zL#6x$>l7K4+X|D8+b<3~c41FyGg?p+*OH`ShaPXRc7&;IpjdsBS$rpXb#~RvyE=;d zk0Ry8tGgwqEREfsY!M|+4ihjJbv7qt;2ZXF*A`Qe5kH874^vc+(3*yqum;HzXMp^d zZMjs5+V+&<48yIX%?-{;lP=QaVJw*3t(c+NeO&;6TorzvV_Ce?OaMcdq6Nmj>w&MS zW%)J@hJSrEpI7hqz4e#axo70~fYYjhhNJe`_fynlBQxR$SG&o9KOWwu?te}%B@RA} z%(&<-nexvp!ZQ#PaH7=tZEwKU zp_Uq3uRlg8u@+vc_t!46p}e#Ax1_+}R4*a_gr2%2<5z7)a_w>PbyN&=dE49>7MAqV zY)nr&TN7c`btehBX`Ytk6e{+JaVaWkdcVKT?*|3>2Z?}!y8e3fdWoRhglf{n23AGt zfK8IH%HB^vw)SxqE0*dNr$`|coYL=^EBOjFQ@n+q08+XFw^fgvzjpJf0wV+#y)(Kb zT)4{)T4H-}arY>W0#0^DOB^zZZRW%VUqX1Rm<>#jyqN5ew2O?igdb#}JD@A!|JxX;oo(MuNh7W$kNbLtmojF z&-6VR=h&mFWUiJUuRYPhlH$8%=aIw7*-~D-F@m_F4q2yk$Ra_oW?%-Ljd?AI00Q&6 z_iNxhQCWHZDigj6KVJEe{Z~(jc3UX*d)knrS_Z~DP57{kP`C!L_6lBE+|T^ zFs0lTQ1h3G^k$}|yO?-8-ts6Dp?fX-CFwD~*GwR4?tQKO2WF_dDj7SlL(Yryphum% z3YD#?Cc-Ok01(QY{EM(vKT?}REzwoqo5YJTrW@xvt=~P~_Qp$mL+lEh>+*Swe@W=Y z)Qy03?`q}+uweMhr9 z$PGoI)5?8n?pX8e?#E{_IJolt^mvs8=a~K2;A6H_uGVz^oO8T-WR{}0ax8qcc>W^n z+Il}CXrYi3zy+^!Ls{bxJ%rwEtwFhVx{a`pz&zz)Ds29NL&t`vbE8VE3RIu8VBYF6 zOFcx%u5pMIg>^<-tMC}d!ie4*w_bQTugvwru$p_cyqRxZl~~$0Qjm9ZEae38U}Wqj z!ptRoFS6#|2|Cm^>^Uxn_9c7j7f!!Ev7h`bb4<}ei- ze>oxX82}`NApu;Vpe%rQI1NDPIzGtP!n{OK0B>Y*k&ao#2LW-1KuUmqXtFU8(TPib$6r5!0@#SDD;Q-o7958~W#s}P;2Gk0y61?|=FYKu z&Hx?q+$&F+^af3hBzE|t^fpnQ&a2f1QKE83$4{v~&+*!ScvtH_W9fuO*nPs?0y1Rt`?3uq%j2*W?Tg)-8DrrPA#*+N9b*Ah>(N?=rs!doy8*g(7*<$1OERS cD#H%|I2Ph~15xW%xh)(A;M*DI(=%oN1