From 1f0c7fdf6d8cc3b6c9c86983c0336e9cb7ae5635 Mon Sep 17 00:00:00 2001 From: sparky4 Date: Thu, 15 Oct 2015 15:23:55 -0500 Subject: [PATCH] initial version of 192x144 --- 16.exe | Bin 45098 -> 45158 bytes 16.map | 918 +++++++++++----------- bakapi.exe | Bin 46795 -> 46844 bytes bakapi.map | 348 ++++----- doc/art_tut/palette tut.png | Bin 0 -> 267469 bytes doc/art_tut/pallette1390508302777.jpg | Bin 0 -> 86800 bytes exmmtest.map | 4 +- fmemtest.map | 2 +- fontgfx.exe | Bin 42341 -> 42393 bytes fontgfx.map | 308 ++++---- fonttest.map | 2 +- inputest.map | 2 +- maptest.exe | Bin 44883 -> 44925 bytes maptest.map | 904 +++++++++++----------- palettec.exe | Bin 41784 -> 41835 bytes palettec.map | 876 ++++++++++----------- palettel.exe | Bin 41897 -> 41946 bytes palettel.map | 886 ++++++++++----------- pcxtest.exe | Bin 30239 -> 30297 bytes pcxtest.map | 644 ++++++++-------- pcxtest2.exe | Bin 30307 -> 30353 bytes pcxtest2.map | 300 ++++---- scroll.exe | Bin 57890 -> 57914 bytes scroll.map | 1014 ++++++++++++------------- src/lib/modex16.c | 21 +- src/lib/modex16/192x144.h | 190 ++--- src/lib/modex16/320x240.h | 4 +- test.exe | Bin 21814 -> 21853 bytes test.map | 528 ++++++------- test2.exe | Bin 23348 -> 23401 bytes test2.map | 544 ++++++------- vgmtest.map | 4 +- 32 files changed, 3731 insertions(+), 3768 deletions(-) create mode 100755 doc/art_tut/palette tut.png create mode 100755 doc/art_tut/pallette1390508302777.jpg diff --git a/16.exe b/16.exe index 00a9a828a590f37381c10df78fbb9b2ad29d899f..9303b54cc39581007bea2cd6efcf229dad13c35d 100755 GIT binary patch delta 40749 zcmW)nX*|^J+s1#hFUHu%&RDZ=*$r8Tk|nZa%T5@?%+SJ&EE$7HcZ*h~{%wk~PuaIf zp>hvHR4R-#Nkf*I=l(qBi|cc~x?Wz#bsop}d^ivOHV>wGg~S6#01yBMp2-S@A~OFq z|6fY~onin)r0s7|2k$9kL*+pey>ti$1IpPjDRg<)?(e%hM)VX(@yze%pVncqShy+z z_Yw}Uj?x200(^tjL?IB=m528mFwgCNEVzLzo&rDs2+-cPHnFxehIU%x(=za;v`U&j zty#)va*xHf1>VdAdKGLdG}~)yZUXpb{7g%VlVe$ejpBsaaYp#ViN`Xp;xj25>FH^i zAvxqUd~{qhED^$q(xvQ1rQqX_rM9Rh%2N}fNYX6x7|Jd=Dmo=jtuvMymyvmlot9eA zq{X_Nk!oBG`xuu2?ESZ@m7f}yB_<*SfTJKEu&)qurpg$kOzRbb_JDODc<72gEL|f0&~RKtY8S7fkXD^u!6IhzID9)!oDz|tAlDum^nJCP^`jvtMxCW`KB zg#t{KR0$?FMd4g$t1*CO@oyo0+bmYAom~a3ARxaF+Wy1-bxxhAdCPey*5SvZf~p1! zp>Enm+r}>} zAn-xtlLdHowsQ0R;5iqd6dTfp%4ZU(D%jS;G8dWU%&VAv`p}eG30W%(>6b%np=F&p zB-ueeMOy!&^~&P(b=-Hva!a{VS-emXQe^|yj1*A>9otDrBm)_7I-lp_V{~+F#THW) z4eUjBV~w+p#VVK$E2Y^hw8zWD@+C2B0~|Is%F@l)D!?e6ACnD`ECIe=QYK=Bn7$8A zu{xNYE-&P}`4F8*8PV5Fj-q3$UV!D~19y%VMg$cOleQUb#xM&`HGQQ&0%0jSR{a2L z(i&e0g?qc!o@|Wow^<#hJW)?pEYC&wG7(qibQt4IBA?F;T({rHjDRz}6)Tj|(cGg2 z*@oBd1k&GHGdSKe_Hen9A9kSn&3vk^EBpgIU zJ72-rb^LH9p2|h5u9Xgr0K_s3XE&$>s$C2-K2Me?*>(lx4}dWwHyZriAo$L)Z%4<^ zBr4{SSk9ywbn9zT+NrdT28nPeNOL`qPM;1;nez&$D1g%cD~H`(Ixgr5ecR383> zUOq*{dhcUcE!oh7Q>-Tk4aZCdb^6vY)&LbOn-!_HGFmYnl^lvEJ|8Jtv zic=D@f@C4GPx0lBSBoo%FtZu>&FhGPw;t!Gx{3wh6&r&6<}fBiUQdG5b62KYHQCI)*i@O zaL=FW3n+f$VxM5SjQF{9LgKa5rR-sJt9TCWBN&LEyx93~MH0!Va%;H_0JB!XMW1)a zFda)1)lMSYu4~GtPg_tZ$#3JSfWfZak9{)$*3UXWrW?0=hCflk* zzH}YUt$(K}K8o9O{*?`gh2cJu&p<(3z*b0ZrHJ%qBZO`!%daV#kLo4gap`}$N(*>L zCpO?x#7HIZ%l9(m^_!i~jl1aYo17BC}40q{H9f0xn( z^juyE!epTcQvfIzz7EOJzT{CE*IDWijdiqjw?EDT18RWA>?vr5Xg$?*!Xdi{tRf}+ z^rWzF+ObIskGcM#>)K&xx6-Z2p7YM0J&e+RM848yq=Ih2Re6R1{4ovJjBb=tx%Vo& zVdv?2WJobr^KINqrT?xyYuSU#plLJqv7nLCr0ADWnbH{E`L3gK;*MgG@LyvM$#W@Q zTPh>g`j*&CjYd$|rSIJVPD+>B5Rh~Vl3+lxB8Xf(0{H<#lMcZy!ae7WSdKzW0_=h8 zGL4W!{zwSlB~KG*w&clzgNClV8R`UDrpmaAu>KTOP*lu)z@3v4CEpfHY z{mmN3BxgwSVj81-HSlEQD{)H1l-6|>__j5gvnypG)^K*~^{=Zr z+;OhY4%T~}mE3ZL=Cp_qa9?Z?!YKOE+e$8W5hpY7%ydS9sW3iMpJ1HnM#(ko*0X>r zUkH3A3{Tnp+ezgRGIlc+2&v?ERmuXbU2Z6qMIbF4I^zL#J?dp0wT?WxZjxa^_-T`6 zYLZMd*c-aV{|U`Ohag#VL$Vm*QoN8r=9XoVs={9)qsf0+AGma1KuB=PfD(vo>T^Y@ zD64qtrN}0w9eIf!lMV>ZPxS74h{D}$__$#y2cD7p)xX{%NKLJ_GUR}Tk-heU$5VCU z=T&yx=g|5E87J0S;78@hs(*}IiVw6}(0;LfltBMpf_wf&nKcF~EwC-;bAEV#Y=I#I z%wfj-z|V^{S4HY_)cQXIk1Xaw&*kUsiy(O@D`U}+gLwy($X1xLryxYBjY3pHs!m9B zQ}Xp@c;v%VLc}9W#M8zi_=eQX%mVq@gtdpYfpTvcLe?Y}^ceiA?9X#q?)=Tmv>*}O zj)l;hXS~S+a++)X*EIiU+MA?I3(r&~gp%*+@KP^*5^#1_id;Ze48#)OL%3um^~D}6 zT_fTUlOx@FiZbIViP6NoCa4J2183#E(KpzO_Lp3V%crz*oo!EL^&KW@haj4I_Bu*i z_h?7h&~vwDP9N`3$Y94UUpJ;H>sny|!vXq&ch6@~rwWvT=;~Q2yp`(E@h(?z(phUu zdZBhZ`FlJGth0+Jk1b8@X7#;Vn&{f)MtZ6lkvfilS;`U0XtdwDmZNC@KOpmF4(=rL zpHSg9(P3hW!8iP{>;O{#*_umZ9OdZ6Z{I@OVP~vXDXYG4WercGZ_jToJBiM7@s2LsC;LMM-jFP=;Ea*s9s8Sj?E*s z5p37Pz} zBvevec+fvFo(%lbA!X}|9Tn%~T-vSjO4A zC2nbd0RQx;*_b%H6MI}+;%t30evtiR+_t0AGY=+i{MCLT$Av-Cp-;_G16OaxNP20^Nrjf=|-V{=nv~#jQ1!waNY|XJco|zg*w44H4 z#F_uIciRX!ea-dMjceh~;Q}BO2>I{JFKi74f&k9`)VRRpP;yB8tTu3nr3v_iPyw&^ z+khtxIG`Mw2Q<06)CJ#5ewva6IK5Ag1@@5$KwZZ1i^f90 z2rvW;0DU2#4#)sffJ6@q|MyJ82c2MziQ7I|Cfz^plQSN}7Pj{3Sc?d}9w zEkcYaFwCPr}* zdV_2gG%Ka;=q5=$p#+|mZ*$J-B7G~p>H$^}^suTQ8!YY!jNMr^bcFMtZpn;=SUXo4 ziWwt~V2eVQoP^!;Sh4*ekX_Xi@k6v+R=v!f*#eX#V;fh5suq>Xf9G(ZcVIu#rN7m& z{;I6iN(n-9fL9DoHRmu@?3mB6)+swySKyYkslAC$jxsR#TgWTf#ElQVRxOxq%57fO zTk)x#o#4V9ukI`RyI`$*DDPF1k^k!i==w_43K@mOo9n21OFU~-h7_p`Lj%s>?9ben z^16IqelI;qtUX?A($w#pz8Ie|V*2WEhP3M|xEa`N+NCGKUpw}&nWXD0tQlH70|#{Y zAOk0md`%_f zCDV>q+Pt4f!2n|`3TL)zhE?=kfivN&p3R%lt3%Axt@wI4(`M_VP8aj2CR$DH$gb?7 z_HM9PHW;XAt6cul*rB6i^>iHv@8bBw`JPg~ZjA@|3f#Kpja-^k7RJd|1eQoW_pD&^ z4kw#GJwBiH@wxB#m&udnOP&Iv+@@o%VK{q*JF9q@~!seV}*m+5#Xb@?o&&11#MmKGeI$Fw3OtfUTAL ztht>-r*}rfA}xCxa$Rq=f04IcKX`D$8S!-(MLtq@3|_gx=}?B#sFq)WZu~1O$jAlSGRl_Iz|sZ~ zN-Qx@aD}1~4mW9CRFA`Y{PUZRJMGDmqM!C+N#xz)KG~AV_GLMYoEc|W;g3EyM;{m( z3hmw95}5LrtSY2dpiAdIFR>Q{ie^anfiFu!!7+Jh)Oje6B0|5q+*~f+!|XB4x&Ma4T#}$w{;r)a8n#6)?X+L9Ul~Mi*{NOe^-AVd_Osa`T?m!SNzd zT2d;Yr|B$o-jmy(7WH|9bOlb+^OILYPq@n`vY*{qnN~AqAUu$tDEo~OU;x>IhF{$O zKa=&FEqumrAkXWQg?sC#DaB6_LKD6CE4NY~$^LFxE}f_=Q(9iM`h6AY&GQ$~>?#&} zxB~8ZSl!_MrvsyK+xNa*gUPaf(oI{x$Guo-c~>^J!xC)_-Xd)>2Su`c8Oqev#WVYu z43aiz@eBD)1VJxCAMA}XYh&5jT!8sERSx-=d?(K3$w zyqOa6T1Pb$JrNi4Ufk9JTCYvBXX|CL6MAK7MndlY%C!!1z|)^$EV!rUc`O!e@T3eK z_#T_&O|4~=MM!=yd9UQ&SMD)sTnZ5WEWvklxohh}7qBxG#*vJDobW2z_ z^A!6-K;6BuM}&<}Lqe3K^#`xS*5Fg2Jr1zfc}}0YX;~^WV3TW(ZJnz9Zk`s~y3|GAwe@)+S~$mT zMfT7T92D2u?6zM*NLHky|K9*Y_H zjC*23oEH(*45}A8@OIpY1-LTHgs#AfE!qnP8%Oh~S7}lbsXb+i`hYgYId;Z&&_SK) z&PRTZLH@Q3j%w4J93+|<<|4O4D{WPeQ^aH$HBN>PQ`7AyONogJHZ}DY1Xj)K5~D-0 zie2p*ovrLK&;r^*&jZzmiIsbRR0F9wK61Ma>;8zkadPO<|{As8#5= zx7KE?%f%!i1yvjm^-DnTX#ic7!&@8lPvEVMe@Cjm-;P)0uGu?9qOS`3xwJ)MzJN6N zwpBDw097+?#YNSa!6k~yk!hl#9$N?==CWjAEN@}02z#%-9aKp!IMfqiGSdCLSc5P6 zoqSD=!2QP!Yz+sFYIid}>b7vy9fes$sY1SFc8kcvT^aT53p9+>5^W;u6J^b2gq^{W zNEEk+`FZKeKNN~ztd$LNhQ1@BU!}_A7Z)er_W~s5-&waBm~>$u5k$12TAXa5XUL9{ z#Dh59EL3Ec-ZR&%Tx(aI;iMLllaQV5A|t7gQqo~*eYga|X4%KaW%9|#QllWZtzt6bIuqb=*=Y)Kv6;{CMr=79W9KA2jc(<* zV-n3>8T-Yz6QfdN9Z~_440DY}4ivvaib~&~nkh~*arJVF6XR#bk&jQuc+QEZl2cB^ zB?$p9ucNLM(pb7{D8rV6cOj+lV^jB#9XRQGX!tY**8s0|Un5gXzdamEdR$X{?&wt= zc+1AIXiB>J)eaz7=;cp}BiU=E%u&#uUZeuII*I)mP+g)j9vC&j*QZZrWo6tAiIQFQ z!JB$bR=%F0R&w7(4L8Te-uRK3`3PjkJZ{K#rKEZ)Mg9r`G6&LJEJ71RPmrZamaeqa zW4q2VF_VdaIwwIUip0t`nuv~?#N6Rzh?umSi~4iqY)3f=a-r{DPD0#U=d7G$d}?8u zLT5Zn4M1Kt941Ac7#)DWq59T>4*H89Q13<)YrUpD0Cw=a{32w z_^OC}Kx1aUHdC5z7*4I9GQ#cdMp2`VrO0&utWot(Ov`HUa!&q$u*_?iNkPS0TEXPd4yIM#kaf>=deZ2Cz+gWq7zI{eF)` zb5Yh9f>UsGLK9VT9VDJHthD&tVqZa3k##P6#09j2aKO*sLP4zOTnVldrWJ3op)fJODV@< z*RgIK*dBSJx^8HCY8odYCQ%Xg@TKTnmQ*$=(}QUqTv^J(xyLn-c`H5?at{$=xY~5& zkHPggLUy8MGv4;o#b&t^AO2*bf%C|*@7;`v$42i+X2buOoxMRzFH1bDP;+^}?lL3L ze=u6q@=c7~LCb}>*z=~8)YyWNm)EZEW{wR|x7SEq23IjSl>EFN>h+Bb9hh~mb z4t$e*(5P=%lJb@=hYni3N6CmYD^}2R{yN)ZYG7J(z*(`@2Y2XzN2YX@4-kpVs|9!Q zRq%}my1mN}#s+)Qz)L0OaptY@u9Uyg&5_6UwUyP>%l>Q4Nxzd2lHA;r#a2j(ywm$) zZdt9sU^d((v0~Eeh+$-#_uI_Y+KJiYnWJwRXJb_{hBq2wW1=!fJYv$o3>%;Qjw>ep9x3MUED(##}w${f`9j%yYkS^oO zHTpA25{Zu7iurmkj)Tq|OJy56G}g+%<0=BDO=V7L^)5wG8}Tdl05Zg*$`f+3;m~9T zUP7jTFGo2qb6HP3>>uJuT;E%{O9iLv^IobYsHM>2txu1uKQXy3ayVgsMY5k*=COv8 z|BFtWA*1-1|<-KiO&u6zn%Qj2jCxP&ZxY+v&y}rSA$4v|m`{)~p#Mp+rD*h%l zk^)02+uq(`Qn_TYB9!fPx0Q8j*s zE|ZNMb;Zx;eWriBuh5Fv#Ymw&|0g!v=zv144;HNp)0~#zKrRvhYBvo%!cB(vi_-E| z>H*(kza;qT)AJ8k4sR>S<;}W#4X;b;PSfpWBw7=s8BGK$uO1hWhucB7M+ z(4EG(u_$bGjuElrGcNLkV!~yi2!~9_Z|T_Mm@zAAhs~W8W5SjMNFaY3nhOybZ@#gz zcgSTJG526e6e#|2k1uR|x%#rRB|mo+MA;239qNGDdFGS~#>zT8gymhghWf>Q@=LcT z7`J#TIA?hhV?nz2X}}S} zsYbU1=a93>17x^LwD}K%@Q+4H*_OJBqLs;g9cr{PwfFY{Wfy*Ait;9tR^ZbC%`t6s zm04hgm-LciH(gT>pv^yQP4dYO|3!7~B|rL!>)R%$--DwD zTzn&=l&{HR1a8Wp#Lqc2inlijf%o1bfib)E?RtvjV+rgJvRd7ZoRHF{%Wf>re}P^y zRam#`41~G>hb&iC${B@8RISyjCN)b?ebtJZj>KQS9W-MQNpbg!DfLadaOYu_mUh0er_>|JjVjYllO^?K-r7q)Z2>TmrHw0#=_4t&DpyU zD`k=TM2T_p&5GB}5>^~NhRQ?aV_G-7)4bn9;R{o$Q9|;mbq)Vnw4aRaCIfZO7*XA} zhHFhbzm{x*ROEf4j~MP?QzYHadyu3VG8PT~W6y7yL_fz8K8DyX4wYs^;{+LXz+ zjIaQ$STK(U>#Rg9I+<$RT1IBXRJ#yh7HA&aDLXdM?r49|`dY!>#kj3T2QwQk4P{V?z<~k>Js3tq67bxpI?T|^YW8uyq%@<0__r4@3|SBZ2-tJB`~P@ zn~PE45Q&p{ayYd^}Qr3rf!N}L6EB==z z7tnTb5`NO|zDdrCH$LinehloHQAEA>6B)j6#;TTgqt)ET?55kBn{Ge~V_W|LE+wLV+F|E=;t5M|GYA0e9Th=qd$Z*q*+N4&}`8F*i9BYFOOiLf8AO z$x!a=hkf>ffL1N-(r`cS%X;kQ`B&yYyt{0EE}x;g-FV=p`$YD9GQs!Iuy=}csXN?V zd8csW(S7N5>0IPqp-A5f#%6PF3o>M2A~tp}2xQTlSbFseas9{+UZs+${c*;dr%1EP zD(_M3!Ubrc`)UzBkI$C`A$nQ z&fJ~z+VnX1&e4^X6_h(%2Ua=d;-%)LUibEWbN|#@;QIxvjV^e-s205Jz`fLf*q)@l zubwKaj$%hYJ_j1d`UV#*)vQ^aq~UmI)Lq^zK+gT^-Vzh|tw-b|6EQWPLT>HCc1ugT z`}D!Z)DB0QQh4s9THeroXv@csI`XTBo!heG{tr@TE}Y<@Y7LQ0EJ+@K9280_ue5 zOnOelg>pAE>~=NO3KaH!OplTxAwW3XEl+&7C@9~8uAh=%sBo;7HVz4w3jZy9yt+)z z+Mh9_=(nt$SY|t%2RTX;qw2Q-JkS_u40q$sT576flgdrdvpaW%wW@UDFEKiMKum8w z>qFx~$$=(=8oiDX10*dpWlSG(w$B21{iQ9q0Wb6GAx`T^DtjGmPcPip6Q)0vi%vM94uRbJG&LE2qxX8$o!O6cTJ5dmo2z?Q5wst zhH6!+&uGMFT(>kMqQy_Wp=-3O{fENM=n%l_*ez>3 z|7UsEUf;%FQv66-@}pC&JZda>#&ZJVS;^x00vo?&e*Eq`=QGco0OvjIL0t2AJHRJy z(GZj<(uJ(Bsq{+(BjJ0c`=0D^vooBlKBrP2o~RPZLQ__c3b;L7!w!9$t_iUvJw%oe7EOR>HBftxydr> zOttz3r{R>EPsFT@**cQ~6nlW64PBVE0=lK%m+|F4f9~!7YGWBp z#*}@T#CG~-^kWh{OI?03+MgK?I36*8OFojgKt0iJ4we_! zIg;RFO)ItdsKa*$Cpy`b5wPv!&n^U;_(40o+>s^|U5S-+8&zRBdw((!MD|M#F3frs#p6q6p;i|yWl0dq6qrvi7R|{nvE8B&=;8-S}ToM zM<{>f>*)(%Wsbd*rJa^F7)}JanIK#fd&?&$*88F(KmISO@!(H%agQ)-2W-MGp7(>& zl2SnjH!N)t>Yx0e*Kdd)9G;!<5^i}=HjMB7TB#N)x=k;?vVw-!b>i;s<$kZ{8*(o; zLYA-Zh@ZuHtRwLY9bx8WYK5j;KVfqZMqR4!iT?G#%l(kiuODPP^)AJBfdVU+B?iZj zDjk1fHpg_;nv*zB0CndK;}FysUqs%hCn|#I7x@6Ta@fCjQU!9EwJM{cVPk zEMrYln==%7W|#Dj6rln)G}>jgC7-!iJ|*XCz(0D3w9B7UgFvOiz=s~!AcCQ@{)VY% zEL^4o)Vvb$Wrh*#BPtO0VZ`ev+3n>F`DDz>?i zzJP_I@FgpFN1|nQFZOE%R@mm5xXe74R;%E3a>#^Br|(2l|X1lzbYv)LKSkg_di ziZ-&pSy3E&M%kPVu-YJ)Du1dx!-B@B*1w zQ+w!E!fiY*llT30duoWDSwlZkA977_Em%t^=6ih=4)E+hf+@%WomBLaBJfc{6iDBe zdjLNollLpcMt}fcFVS(-VIR#wq3|sL0Jge}0_u0}G=>7*L2}t+s38iySMf*nCnb44 zs9WM44Y|+eT^5`oT(Ie;&Q~LqAAQYSS=6!KQM;lGRVpoiuvo3CT(9Hm{}L%G>F%as zJFm(N9sjKN=u)tjau*#>S8(Uo-YNI36-7Aup7GNeQpeF3^!7jfo~8+|S^_r-r^e^ppMt@|(3Z$O9zbtK0#b6eZ@!Cy6INnQ#l z-kw69L^=$0BoBXR(K;C4m1~4{Ha-@=Uy^tyTL}*A5iNZ-6!5>Lfk%SBK)=h;l(^UI5<}0_I?I^3<3|wT)1z4+V$nP%y_d?}sEgLPCPl{SQl)y@-@r`3(3{s<+ zyiQljX4?Jp2Bncar{zW@-mQGGsq2`QF+lt!jl775_|EgprIWwDT6QeV*?A^jzF*y~a-=KJYpAt5Jt=igL=7B~sRr_07R8Qz z5&dNMLSqhrG2A#)ZQ!Q81>r!B(6U+8cS=xO)i*W1B8Q?}o!b%c1OIDtq9xj&5mFVf zttZbU^(OV1cFDPr6a^~G8*4&ld9g({&{>U+``m4S9pfkrYqbZ zyXQwLDZAHIKG$rd+C-;aB0d$sUf4)6cncxM2+`c}coUu`8UtTWzpVQZwPKE^@>YCl}Sel2_Dk`s30t=Usv6u6_gj$?fBQUTQ zrj=>51jKAMMx`A7kbS~^bP)}dMv}siLhpnAzD|cdfQ^Gr-tI=P!wep!C?)m5C5t{_ zzFdN&r*eI1xU$h4ziP1NP?FZmu|7-?DS8WL`BOq+L=-%Dld&S>vB^f>3WjiNRz@J> zW*{U7D-HsDcJdtxYx9Ma0}Wx!=5GSuTV9akWZUj~Ct{ZUAASC;{zS??nGUvH>#bA; zPt#8&(B&J|3(H(ZV(~3!SLMJ8@0?WdUa=$`iPp(ocqVb~ZhiNH0C|d9l+-fR1Y)(* zr|+cExZSPKE{D5w`WF)}+za4614jjz@HGC%pDjVuV5UqKva=iPn%XXO+>+IzuDObx z4$NDBW1X&^2n(#K$9a^M{3z>Kyu75O_0^(zvDA2=yRRzd z-g&LfRxceBUX3}9f$7A>3*!9qv|q;@N|O`x=kBi3?F}jRh4w4OTKo8nZ70QNAE%GCOZ_Rku_^GpwV8T+9K6~PP4Ac!(;$CqsImQC*Nk>?cji0;ZW+{GexbgB zKf5ph^hd7(&ubS9V z*K>;8fABU^*FpMcJr8;38%~VTUIa~nbSmNg9`=eaEu2oHUqVA@u$Q)0+};*c6!z`O zARf2Tv@6yvvS3sj4L&pvzDXNfEwOgm=T`r2^?vvdsnp_55+RJduf%s4xr|zsINnzb z3#`L}fPOnG?f>{$XIccev$*n&wA#Tj5bh=%Y=3jklJH;RM7SLV^IHw0=q7G)uPnU* z8t0Ao@X=gBIf0ysCS)|#&xwk1=~);u0_B<}!u47DMFj}ik6LM;Qh5PqaqIPdCy%x9 zd=rm^Psa*|4(e*OP@EdxgC)~L9}AaEg#;>vW$G)kw4p7`LLjM#C1t6f@f7qTW=S&7 zDvKhC&PFaFgl@Q%!+N5WTfqD5OpIw_*mM zcYccz&^_eUV_rU0XSe#e?+gCH*Y>B2i-_=R+9hH9Tc*)4gNruc4RuWe&9o2-pI;V_S_0qVdA6%p*<41 zt_A4(>a=|t3`>&iotL;JqOkgIy>zFKC*JTdsUh~z_-bMjvLvNADg$cxFfJ4vk5ihk zZ?6}z_l~Pq1fb0dL^xf$H6U|8PT=O}=i~R4nCJpbe@c6BugiU4uXmKvOVOjLu^*yH zik7j7a>#>+!p{Jk0sd~T0Ma|*FkmB51OP8-8kfVQ!D5;c0i;q;mHaA4{7lp zFCNY2`nkCQhC@Jz?FcFot#D_6in1T$#I8ooQc`}|x1s%-JxSpkQPh;B7pV~Wvrr^R z#8UjVwb_d6zUh1^L%w%hREB|nYJHqxk3Ax_G3^v!ve(--b8P1!{;6V&B@jS=nn*iq z3GZH~GsbWe<^#X{$4{^Q02*o#L)KWGF~&Q{#cq|3bD$~4&@0_3GD7+7Fs0^m{dicr zWuy$|K8zmB`Hb1vVylgr_5^?4DQyEm6 zt7$mV$6YL*%YXX-QV^yw{kB(kkaqP0x1mkm&*BUB_pBU)Ga@^T;69h2pE7~}MXj+~ z+0{qxJQN+9Kr1>+C#8!_B?o!E+@VAC580+&$4wEV^o!5daJH)_Xez3!&`cdN zQTc;BLUfgK_>{Oc!jYIzSTOIhKIn>@x{X7EN)(>2bCyxYw_pefxP^(xSO}tAn|pD- z`+jJhZ!h;}XbtzJ6_W!a?(D(r|%d@AuLbfWt4kJ=mCjKC7{_k21) zG9_t9{>K)NFG80oWCvOgJ@@Sdh_`LN>L8)sx#DEXIZF;SDbfB{{N?%Ti9Ii{dCA~*Qes>t=-AmI|Z@)6+~gSYp(a?Sv^b|-jN z&gptJhOe{XTvKo(VR`0@py_?5rEBgo?v7QWLGm@7=0#r3tTru8yHrYXmq}oTfy*v4 z>Z~NExa``oaqol~vq3kr=OV_3h<{dJfs&u|?4Rq6#d$A^_KBVtBd(&fSg6v7wzYp? z$(4cerHr?~#RCdMr*Lm$Qu-A}o+dPvS$d^TWfnHqsD-QIT47m%Q$JY2(1KSA+Z+hh zpE}tpe)o17k5+(Xl<*#_DtnFO9Z?$m$6)`1vF|$Bl0DM`r&9g4EBnpkZRThEQ7u;SwTd^^Po^#3mbj!QD&JtS)#E-8?{;rR z9MtidmEb;)RPM&5zSYHMH>3>t|)I{@sZ&4Swg4n-TUSTWu> zR_;HwMKusBizu!)%QGOw_aI;oT8~rf!F7tZ{PHe>?@EVv)djgk=u}^H=u!0yy=+Bo ze6PkYN^IL11<^P;B|CDUoP4ki4D|8<<5gf9X|XsoaVC8+Y67kcnU|EBXPR3|@O0cC z69g@^w&11QTo||d8^L;Gm3tdAbZ=vY`^0e&7x>Gc(fh@KoGyVL|Mt6r47L&_P6XfV zUygv-kUeLJWz*!^F~{X%SsmD8~7J3S%uz9o3#2I zz3q~5 zq4S@qEGq&gsz2_^2NPu?C(j3tL$yasf15@UrH@Z&d>O+LWy0PUxJ;@kO%`Ol&s=`> zF>JbUA!$?lJ=>c1j2MO~dsXmcf(9-hmPjiYn$T-|jVyZOZ1V=W@cR4O`Oj?&B11MC zUa#w|`R6AVG2enGc8;=cl<-SfLPsCBF#fU;*>@4`wv-^NA@{b(avEfIT z`mT0O7nJqbRpYDndO4id1BG1$VN<(10mUA~9Am_XRbTJk5)U@I-o7P&%lZB2$=H4Z z5Tm>Go^=7Sr<(_lcL9H7N)fLQ5=~dt>v^3&(!PeEe)b*?4XS##) zJiK$nyZoKcs+7;Idh0_KColLPT>P^fm}ca^yLfdrf_L?d0ibO0Oq_UI^1J0r=>l^q zg4t@&eCHVe{3^wDj*i&%Wh$-f_o?`bSqBR?*mm#=cosZ38KIO-2CGhQhv>g^)01W0 znbNuCzY>S1`M9P*<5SZ5veYDXXZ)_zJk2f(6d#imXKi3{6w(f6cc&Ex^J{;3s5DS8 zZRKrJ_fJzu4)n#}V!$WB3KbMZ7RIEJ@GizK`X%~WFrgnM)$s5!Qk)Fx z%m8D@^b;8Yq67FH9T#1^{|Vo$bOZc;PHK#XsgP!4ZEa{~Vq(TI(gX0@-T?;>;+065 z`X#9d5vZiB7~q|jjQMY|fZ|eII@0I9k^_jMx7+4;-K;g){9nCd^e?PwhROy_yHuqF z4tYK!QB&@d`bB2J%e7PEg^tJT6w?o;-#Da|pUHU^jyYUQBjza5K(bVDZoVGnQ zX=dGYbOMO70bvP*j-JKS6A(7B>4>qnKD$wPU{tMiu6(eQmj{%1rIq~HsTbgXKPjUK z+n39FLJv9=uow;x7_IO}@JsbhzlF7WT@N4nG@7JZXy5)I#ah)a^QOHeKr({MAF7m* ze(_%dppKuSc)b13Pm+13!9r^*iqjtYX{fz)=YvrYSIJ&lWKIDP>A_^;r6R>c_d-!L zLMjE3_q9tXXt#&aBMKi=w&<*#_Q$hCBBC})G|llBL&?S+Q$s7q@>+8raK+oF158DSpT+PA`L}`av$FPcIKz< z^J5wG@di@9yY6Um5s*u@w6e28_rb+mSRYVs}OLwSq ziGnXsm%-Tu+CE0UVAlj{J=n~zLVGu@`jWi=TdZEv64pKIdLIN$kCN^&L<99%vNC3g z^KTR7AzQe1qf3ChldF1td{=%376g8Z^c{6s4~7qRk9cQ-7gQvg(Sob*dXw#x$)21x z;Q?Zb;fB%R7o_Yf3be)tmHvQP|28*~6`GRk>`3cy@m_Be7Fd`{j-07VX!bVytuCvp zT5;IiM{}PM_YyNDqXdc>M7nGb5dhnz6L<1M+GGbeO4<;qc}cfthP?&mFNZCab9d(a z&CwO)nWxOe`?E>Zo!-L4kV5OEw1}Ike14xJ8J^d*BkA7;yZk2H(|)()*TeCxDk|7j zjHV|m8eqcW_fBdH`2|-1FvYJp?I3+iznc=`0VAdL3U@@CMfoE}7ym7cz>{rj%1HAd z4roAcocXQgsu8Gh(n_KZWKs2s&HayJX<|un&qm}Ll54mPS~rCPLkf7~BZDVLOu3?h zVGJPO{sp~e&)=*x zKmxVF<1RbXXW&vTU&9CuQK+sn++cIzApDjz3NS6``a5?2PtCZ{WGMn5kh>{J<%i@( zEnQKVrkg;^gBwM_Ny>p8qzOOVAcMEhubLvbHelW;?zvpbv3J`NCCaw6T!)88w`~bA znP+Nn2RFuEv(f}}ZS=Fc6czYYZV`Foc5Cc4zPIR!ma`#*-x`wED75y z**$p!6`}FaFvf_c-qqD7&sP^J-fGWGIF;IkkNT3|5+ZRJ;p=tlje+RBmNAN%1_(gB zHQhv3b#2|&#nCCPr)u<45dEMoMDkxC3*5rh1s*cAmH%XlLtVy*brS?}F|8Dt(QllR z7u)e)QB568t!Va&;{|4q9$jb7XX>TZ4X@R#laJ>bDEWMtW3Is2yUH?77dyddJTlV= ztum|IksV1nEbh7w_$V&fB*k&}B%R8YBuiY>`GHM-yTjzK<0!<4>}xx-JH4H#-mxx) zT!UuC51BV9<5kyg_myJvwANx2kh~QHN^nL1Uo*eKUt2Trd?cOQ4QGFa>UsI!oTIS$ z@D;nyQEBQtSEjb$xnS{xU5u*xpuIH&Gp=eJ_4MOMXRl;lc?zq4P4Zei2Nh$ zIrNt)Sawb%uY3TP6^a$j$o6&_1*lX#@|4k^kVMj|jrdAV7K(3%U})Eq33+>+rq{qg zkc%<%F_2y|b`@^ant`-4CvR_~MrF-_Q8{*C=tL*__|lFF9oYuxiSLTIQ^-R zNPt-A^ig&N6?O#pGz>|-s3G8dZiZaGEqf|IsYzIF&KKwisDqb?L5;>@+=Pc}$_qm9i1w)H={#EZv- zRn{1^NZZ4=u-4b6)%<|5yK3;SVG8-B4FFjyjlLOx|9(7>-+CEwN@n#B9k^zH2@{k( zloWZsnPC0CQE(2)Z-hC1Vl=Lpv*pkSgai#`v`>Rbkc=PX9Ka3NcLw0=Av>VZeycsP z$9hhbU$)B8uY1fFRiP&yy*p)c5%KDLv4o5zAFJ)h1A!hjtIDd1l;LgC%umJvJ}0*+ zUX4WI-26j&c=zZZv6w|xy?)_m?T<@0e9G*nZh4Ed$K*}5_`3rOttrnh&Ed5!^7Sr^ zANcgycMIH4C3h)oc6H`WnOzQSVs9!URQ`iK+3VsX8k-h+aQu(MKMEiAvU|j@Z@)MT zIimC8tHswE_@;OtQS~}(M(WCDS_caWIHE9-+xSHM=Y3*13;mM&y^|L7;B>@h?%{q4 zH%lTE!gooryRF6cM}w?%rJ$}GCW@UWh>`q;}td+qlhUCYP!%E z4&EDb?9l3~f{p==(8~210k@CSnqzX%D2HCH8H`;{ff3w%dkS7JF&gmZLJ};3v$$owN6Y3qn+M_at#IDqhIw^kmytXm>PUeIW&9CTh zZI9*h$j>czAg2>E@*UiVxOqG1Cwwkz9KbLeZ@XpI9UOF#en9P~GJL;;_%-j;euJb0?UN40fx>V3o4gDgUORgS^8jqU*eG|8qF1&>Cn4;BHTC zPh?F221DR2(pZ}v)Rn(CXySwEltEyFZER;30lgO$9#}+$}Ve^ou>83U@h0nNEVgF#iQ7%<9v^cvRKr zFwM%nW?9ng%z+w1BsPbSJkf+ZhSyoz8d)dLQ)Y=%djv!Nv(q^p||+5^@06WRQS8g{D=B@bN`C&L#vyO06}PI}P(b1s%{m+~krv zA<6E9qZaGS++eU5`umMe%kc_% zA8MDlj9$O289G)o8Tmnd`pqRO{~Pu?TX;w9uz{ol{^P^*?(x;s7%Fos)5Fbs95rT0 z6U{K%5F(U`1(4q`#TDp9ZkiMQMD)AnQUedu(o*Pp=Kcrwu9y8IMr+Ub6DxT1>_cSS!A?h&c8EVt?#%NB$4%DtFY*Qp z>XS^!e&%3Eai;+cB{dMEP87xx%Vh-U>AuNbI$O>C0;N*qV38 zfSMcRrhL%hw_HOrkwo~_%R}3S+eU?8yrFPg$51Ox2>cd%%93HH$_IMoi*w)1eduaW zTF8-Xw@FyBPL+KiUBPqpptP0C4Gy9b}sOZ&A4Y9W@U!VHcYG;2@Ok~?NHMuri^OqlZ|VX(Dd38TZat{Qd0FFBfgu_Lyg z?OhSvm*2)Y;EmJCW*7qO(V%5j9{-e#jl$MU^>(G!2b_b*p<;>zFdqQ%7+6i=+BK=k zi}fuM8CjA7Nk`x$_K2!1XhKQX(xO`L!WH`dD;moNbV^SBZvG%rtm?WJNW3^UQf#$yweZbIJ;7Pm zEwN|m#i6eF`w1HqJ-df(#i@V_5I|A@P%z~?c`9bM{qH(+<_t%&Z&7Mqeiu(5pRiNK79TuW!3{|N z6j)}eaIHs-INy6T!(>2tfqwkJ%Tny+x!d1#*%O z+b@>cVR6lp*5en*aV$Cq*9Yh0X5JawnKRxeMk$ZmPgOyUolE{QLrGoKo@5hwhz&`N zt2nSJX>QJEp>=^Mw2%%fDL$$Hj7$Nre7J0}HP#h?alCNQCW`R7GOo~h`l7XOX*%-@ zlfHl3ehVskPpe_%6SJ|(bGNGb)=myQqMVz)xKEh8L>79q!{2ZaUfm?+#yw3O*g&&p z#IG;^MTFgjhWBvXlwv!_RA*2lJ8n!m;DvlV2IzNN0iNmr#Qawa2fE5>=X%3cLXL#0 zYi8Mm5^w9i%_8qOf1B)w<5izzhSQ;45(4U@PqeQjNVcLv9V@G!{&4Kn4%VUbKy1=p zNQ8Hj$y;y);biLzSZZp`5BgbM(QQ7&g_3KTPCqbw=b?WKf?loxucapB{OJ1P6C>$$ zchwa+2S1)D;##C#`V>p6whu-DP+uw6#_RQK3`wkIv7@l$nXxv7Ggpty4jf(2kO71Tl{u`mXk%qtvR5{2y#@-Y4^4Oq(On8y31jt)RqvNI5jh zT)4Kd>_LedivG^CY-t83Zu_4~Z@p+VmORh(PxbrLxlTeZt z7uT1l^v?`scH}%PQOdgKLOP7v#pnGmp99+N<0vqJ4Tpb$3@p8s8jyi$Q--oXdq|K~ zm^ZVz%GO<+TC4=_1(r>87y6#rSSCn~@$-)WWepv=PpRea_ARN0ZD8od`(fcw| zK7G6Rc`UP!|34j`hjDzmbTPwpX5}}!RqR`YE^tJc&HEBx@W+Ddb9C@otipfaWG}vn z+yeeC(f$Kw1b;JAj}lj`oWES1vVWTl?2gdGFe!ge!bf6SEHOojPrw z35N;bs(1ryfXVl|j6dBqyKS;Go`#{>mF_a!fJxErJKe1?JU8U4Kpujl@KXBCS9(vW z`v%DwG%Kmr#`|(SoBX5ol~ZzkZ!0pi{Ogsk(iD}S#s^TxdBbGiDF|9vv5t)DMOC4< zGc#yiFyzN-ug+AcNZTuOSg4_8Az(}WD;+BcD7-r72{I9{{Cf42gYHEsfm+_ar7}a? zPZ(Chw=X9w?I|0*r;8SI7K;>F2O@=%4u0FnyavIXXxbG^{|TWs7Q z(?X*?;l`JvAJAD}4lCg$uaOHLL*Q*k5^UdB;P@uKRKz)6LwfsmdCgxdRDy7bW|lT zB-Pl5{*`T&a9OXiWd6~ln z?8cPz)t*w3MLC&W@|_NsZ-~4aeMq;G$erNoym*%_fz%8O+d5nG#L_&`hs@SRdYF=? z0jBgO@lu<)yJA*e;n2_y@9H;&rl42Z9j-+i?fftXM&xps3UIX&OekIW<~1s00+Xv# zq3L|7hWG_a%DOIn==&rw;m5giJMW2k$wa=sKVjHrF$@JH-;Cm}swDxo^@su)$`%YP zgm`Veto}|4v{!06g2Pi7;FSBzk!;*d0eWkZaU9t8aHE|6G{tz*R&q<*#m3;u(OH&; zdR6#q)0coJ_|hXe3Ye}*&%zpmz!;&yaN}0CLn+#z!}if z+on|rO)`B3h2OyOM6E$V1kig&NK=C*Jf3aCuE?KGKeFBziQ=u*dXE$mpk$P*PqKwY zW!F}iVyfkJdEkBhq=%0D?1l-pDsLn^U~KKOjQOv_wVOS)I_ey14@-s%;@9=ec@lRj zoXZOC;Cd9f*Mud48aL74({*rHOymUe>sxZ5HgYJdTaY`0;6+Y#0v`KCQ~bSO2)X14 zy3P8&5H-Aepk8aiGOaD?2)~#kJmGsTB;!v2ZDS%i(NXPg%+^aq}sxWa)MXN zm8qV;S=0B0+Et$&k$C(?*?Y`X;F?YvcW|kc*T{mGg zdf-L0&N?gxc#|KmNnf9QC)LRl$$J~3V5zp!MSQ6wk?_i@@pqqP@@Y-$p^X)Gu7u3E zhJ}U16>13If`g{U`gPu`8~6-2M{+*7c-QKL8(%Lq^P`$#V7`6DTF-R@uJX{6b-u(3 z4v=uF0#6Ku*^TOcjE?WsJKI!JZz)<+g>8t*iJVY2*7bQ$a+R#NHf#Qz=zCm5Kz{uY zHh`BqTTK5@+$gNZDc9-#B^#INqyCI1)^d<7$gWl#m#hBHklPt!i?Ai3Qb= z`;J?+j{a4wKinwR+_jf)JUIjYi}BpCFc;6c^@EQ%r0f7&EVxRN?@)(rZn|uUbpl%v z0-b;F+W4#1yj*0(a#<6{GL`vTww&_a4&QU~8ZuGF$FN^{|8P8A32FYXpi3@6*(&dO z!t2Pzxq6Ik-gwv!I3uIi|HD{%SR=8>{##D{OfiTUU>ugLiML_`v-jvTw(J)$&Yo!hyM zq0eW=zC$Dmst{%}{xO<%f#>_!UC?jgfde}OcEummWq_<{JlCbZ;pla}Cp|Bcb)?rI zG3fBT6GF)9qhoM?x=tTd=5E$E>TRFUHzzGt#SicrN% z+RlpRb@_6Kri_!x@ptUbV;^5wcs@l}wF>qxBKq6dwm|$3XO&+_!?Bs79-*PZ=$A_- z9MTIlTj76JllAbF5tupDy=p(dzQxNOM}Vh=tba z3@C-JiG&omY(a0Hfn78nnsCVXutljOC;cD%&EX9F2qeq)M9DN^>98EX#%uYw%N;-^ z!;uAjt?ibQ5bA)XO8g{&7l6o?SfwC}oBlaktcR^lt0zkZQTKsBM7vb}eS=&H!wSKf zO;iQ!wR1B-R*YS%BpoqHmk#K^$ZS@Eo@2UA(%-GQY2KZ5q#$^FHzCTlL+7Ed{V87+ zqZ1U#QVsbffI&>wE1t|rEBh5vD+G6h`FkSIW^u@5R_QxPsJCnVCf`gzuX&EfsY&B_ zp+^zro$A)6eR+@JxMy7LvP*+TD zWD`WC4sa;rnP1z!g$Kh6CRSIPxoh=u!d94^ENfysrl8%;Z^`m*3tx&Hv%j0J89j?{x)q5D0F&9=Ln4=87uYBsLe|EE#n}kJE$XzTia_!X>2AM~e9Ubb6Qyo_w%igYicy8?A}vi+<`XF>40UIb1>oqm zuyzlweax&CNnsC$#{FyAD9WJ%$=6tu2Hc|`&afD&+|$<=sGl_U_|?WjzLnI*2wmRU zKJ$^sFw8upDDaB9kYPTRZaZasBh;j7H zThe#UgPD5hCT9ti7sOooFYYs((7S{!j=gy5?uN(dlhT7Ke`>cxf8_-Q){reSKR>k= z-6}eV5e-@B{)Y^$6+lPfiQ45lT@>nf^U)99xbh|ICk*qZVGr3ukLU}dhpvVaohCO? zye4$Pk2*BTs~Le|qs+Krpy>kRl0CNZ2d_r&jBp7!$h%{L`q!my6qD>P3WcP`Nby{| zmQ52BJln}LU%$Ie`p7DaA{#BxKEZ-E`LCf;Xb8`|Xp6OAbA8f;x)J}6Q4&Yf6)bhO zH#1#VDW-zdTx3z8Y>~;(QBvZ&AeA|)s|1aYw3DBB2ZSSjiU(~_PD}tXSXpNDTA&tz zzerTBxC^)Vhx3L1tEB&{A0Cc|)3&c3H;b_WUAh}hBXE>q`3QGFH==RwYb37ovIt$k1MCIHgle z&z7`T*xDs_(?ryj^u%J6bH>!No2CF$j`nhQ;oHMvFPnrY;j_S95lVyek9nsR36>-$ zMbQ7_)Pn%5Y9rZ}3$Q(AW%#W5#i4?5yQ;_Q(w?N)7#ETLSAEk~B_gyQT>X6f#YH;Q zq(sN0rcB^A6`9C)b(zc_(mh8s<#}KQ@>9#kI_cwLFl99={FuQ5aNt$R4x00>+px+{ zHCt4WuX~gv5+GWgdFJ+CY29JRb(!H1YKE0I8t8=%CaWHG>e?HsJO&&hf2F8=Qq;js z>g=Su%?>Gkd;WIF2PMU~+~4Uism$jSrpXOcsV?ihGRH@W;6J*rf1#2?hzaSF zG_`mCC)6T8d!;NQzyMU#km#`(nU$ObUvTt}~?_f%v9c^j*LRY{qc$nzb zJ7j?Z68yB$(p-^78EcOoT+roGY$!G@mPcO#3g0%8Q|7*rk#{$V3_d5#Brq!558_+h z+Y>lB0~vd9$Rr#EDwM8v1}~vy%B!B}%_TGDX64yGGb&|nB#7ik!FV6HBbXF!AA|*B z_bdE^^0}@dGN@Jy54X#{IR_t@qKup&XlrF_PT)7@)nb!mt>OS_)7Y^j=-$Z>5isbO zb>Qq;xLOSC$KCK#CEZH8%X?7L1lAQkAX)slEWdhVTDk^|o_-GFtz3tde8(1(54&*z zrtr3pmP91Ep4suGzI;a#_#! zW@h8c-JSbfv~w#xcQ=?|Q}^XXejVjQ^>mCD(d8Fz`tL2ztj^CMXp8dD;tk$rFtAfB zvn}1qnzBgUs~*s&^Ony``jX4^;t56);prNiaMK=Ri+g%@7h*PDQh?!jV8w#*Nr2=c zvKA5YZlQ^&hy%s)F$$hj}8s18s?utpp zjB$Pu7Goa3d*2^OnlhJ1l$SM-&Vrs->-j;|8dBtmPO6@&?(Mlwtz+B|+p_!y&C-U^ z;Bi~U2U)7uxQFGupJhsGzf#SnB>vlx2~vh`9KZK01^poDDWyaG*OL!ICBHUxr|kt! z_)O6k38Az@uE$Ow$d7{~h2;y+9NT(4`6~IejbCXam7mASA9^Aal&tX4O$W)#vuh`Q z9nqk4g#Rmoa4S;)64)8Gl; z_x4i++tClJ51YH?xB>*$8fQWK(Kzd4;MK^=Cq2iD{GdSvV*gYUQ_%8=!)?ZgG$5*g9Y-jSZayl153xt^r zk=)|CvidNfzpdEgRBg2ZZl@jUc!n=DNDzkg26d6+HuCV`5a42 zgRox!u1W)-j>KxlmEO+mEk3d2O+eNfDDvD?$)8-$I#qzWyZezP;7H9S4lx!iLdBYm zbt~2#YXzO92A%^UGUMNby=xG;0v2|-lWsCbv;afZOz_~ei1#opNgey}ChqmQ*A0x7RZMFmS zz&2m>8euaopJUjpJG>K4dp=o$WIrOt)Hp}}rb7$BU$)vz8q4n6{bN_^im1&FV0eL6 zAD0iY#E;tRV+B+AvyR9+r4r*Fk5hMGyy6+n|In#8vr`Rkf!4+$u}4TN)Ws%AQOe~A zulQ0`{o9eppkm&s2_@P-$|n6$n4seRI>@{f|H#kmCazjLpb7)iTG}U%Fo#OLy?NV$<2vQ)w?`k(R3?KXzyVf>d(}&7gIxUVH?X{J9H!oI2aEL310ZsWF2b zTATuaFP-ViVhjG7ARHAxT5S~c>XXsIfUK^I!`tF)Ry2w%axD9PDWoVXazOiJwwJtX zW2k2eW4wfFk_u7V5hfRF`V=ZNm29^lkX%=2O?^PpxjVgj0&~#219XhI*rqFB0@)74 z^hZ@qo#s}#^}!a8BSq*Jle)}zhX57}RMRp^8@tYYT^kd=O}@i@8f$4k+tlS;?JRPq zjr-i>w7TuL1n-1x?Y)v9qdDFtxjd-0`H}Bb0}v?`@(BVX-@zgFculu&C+38ePono~sb^&53$Z?K&3! z8(g-ouN~G51C{~=S2}}ws(-^mKcm3fnomy>fq%jfg2zMl`J-JGxc$%^dFZDKp~&PZ zB`uF9fwE*h_zdv_&m}GBwRl&idwc1{A+PuZiIH9duZJ-$BLqqUoh|vTPmDgai+CSp z%5$BeR8QWv8FX#8xU}nIY1-6B^)lxNTZ4K6PF;f9nlA*-mORF`*h*K(E!^Qzco>_< zyFv{B4e2Z$ynGq2CfraVc_p|>IYzFxSz5;`j6hZrOj01*a~>$otbN?{U7cGEez6)+qtJquZsjSptZ%k@Q}q;cpN0uJAz!>SoAJ*`|&B z0urE+y)r4|=C4I)6A=-#x!!4t5`l2Y&FzBS&|V%RRq<_v)CsM{VNR}7&mHJ-NO&z@ zuXlRZ-oDy%U~fcEi0=|nUZ-91M9-Osj3XtWqSED?=u0FMDNTl)y+;})i~AJ*BtE7G z{pse;xOxu8o!jziAL&@K{;OMscPoffyqCEz!_za=?V9(r3wl|gy|kO@FoYlrDCY_* zo?4E~Pwn7GI37sf>Q-=*>&WhoxJ{#+ymkN#d90~hczV4b4gg%9t0;%0Mz7*EUHKzj zUG1Z*5FTjY)52msef}f@3?GT9*gLJ}@8--8QjD8yPZ8)w>b2rM8AChHJelPakdCMc z*w8|(phZP53OBq23VIy3L>@;5JxyE!46J3Jc4e+|Cuwv8oVOR^a z{HMfIxKdA>$$2U^1hzAvo9PL}NK2xw-8KkL1z+q^3h8sHkz{Jvk_jq^t{U!WC*9|9 zsQ*R8l?jYgVt=ft$IZxpgWC4`^G@q~e7jX)mk}3cZ@<&jGMZ+6eGQCVln01ew+mB$ z&{-N8+&mGc!f@spKg10M2YGPE9SO~KO!|+ZFDnBX-KtTc<%fJ|ygbz$iwgvwR>(m1 zZH<^XTjS|akzJM)xf5w2nt$C~=a2ex33xj;Ae*<~bKG@E1!9zdL)%TsaQlV3C%t&B z*?(@c)o91(wggm6u6BJ$yuT0xC7B;J%uHQQ8gMwq?)0IB75vU`E_I@D;VVdCA`--) z!QN4_F`iY^Dcp_^ySyJXkzVjOqVg+jkbto0Q;Uq1W(o59)#qt)-1GTlaMllwN!h$h zVa2rRXwZ-#^kaj8$l2*LAci2Br0K7U=6S<#;XO@?)l~&*R`K%d0i2WPP}d-|uUZN~ zR3p$33wS`#nRmtl)6bABSKBMzzXh36g8swi4Y$G1$Tm>w)CW#|8q8?#G?e|8uD3dn z^~tW=lAO^KNj&>WxL_{bpLV1yzUHC&sbJgaE_!xq<^UW(fv-l4KuFEbT!KV9rLCYT3W{sV(A@adeC6*85LpuiCI;$0D5D zFrUgh)f(|w*Hl$Cu`6cQ*a-c$9<@}fMo+AFs;HXSX)_;egku{*&CROO1sneF0um#e znZ;ux2yOdnDprk&*p$>AH8BBG5~*2eVBzb*n;1h?O29>;1?$ay3-g!QBNL-)Z%3xX zuznUZ8*1$o=J6mOqgWrZpTE{9A~cM=H%fyGiUozv4Br=+!3&LgMUD+diRuMRFCYw4 z#o(lq5Fwo-0v40zTS82adKIhY7P5DApRb95$H@-9_)1diC8<6G__v)b2`RI3GuSjq z#)NPVXZ<|hBnsZb9s60ydJ1GUHh^kP|22}0TMEydUvC@Vwiz_Z#6McjRKiV`9D^`km4in; ze9+{QD%S47}!?WT4G-x3{C5O^tG^`(K{M@v7ed~E- zjH`z7bC&$qQ(s?7OT4C&2jy=@e~obfTI3{0|Zp{f!aYKRKe%Aa~p# zy>8PorYvw=F-jvk2%r%D91XBXJq9{^|5ZSV(fF7E~CCKTB} zp}5c1`yq!C#+}~g3!7KsH2B^5)5fT~1{Gqa(@5Dk=F(OtaEL%gA05s(d39l(y-8CT zY-$Cney6Oz1+v>TS&DlG=3k0Hw>I3kf;;#O~OA634UJ*#YQU{kqlomLY?R zDWF~uj-=757!O}dhxkzz$? zZNV0<$r2+`qhd;N^2R{Dq_n%@^q;3jTQmnul5st93!doiqejTn{nj z(L<{|Sw{%V93m3Zf(gjaF)$L8XpvkgKBCW=RR>EqDVl-7zii);t|a&TRMNAC23J{> zCmeK7I`SRdT6U6OsOL-Cb7Vt$cs+|06=1QBXiBhyH#~nTjkB%f!6cr@ z5>|J(b|}aRoX*L=0?nP23_tD(vDO?yT3dG7KJ?9bM^F63a}aOx+j<=lS%25c>X*rS z(!<6xSvEbAV|Uf<9D%>A@Xhl~04TDiPvJ7z=ss`3ziQW@x{h*w)2AGUeZ8J_hI0%Q zN9Q5%IERCWuyq4~CYqo@`!2ubR)qj$tuCgya1(c$K7he>Cv+c|OmjeAG(VBkax z^K92K?h7;O^Iq%O?&8t`5S$Q`!=|jnE z?hs{Hi1eO4fCo23u^08(5ThX~!>?knmbaJR8Xj{oXu%-I23=FavTs?~hh_QKMl``} zQrI)R*(>9QZP4JL4*doRZWDA{+gyLd{d>;KMT%|)yArfkIzU}3uAzBEtvjDLKiOt= zS#ja$kh!RjZpw;O@R zR{*bG0XnpJNmT%*?oXcLKwjNSAhEi@I0JBZ^XTcbfR57`uLt8jLDO+#A|ZLIJ3F)@ zdD||S(&@U50Py2O_PWn$L)(?TB8XR;I<)8$`n+&q?avf7$#vHbA-)x|mC(b|$9tXy zZ+IdABxnY;zPFc6}(g&l!_0e;c`1qBo7I30QqN6<_AyFE;QjJ z^k8~s%dH5TCGX2G>11ex?Z% zdfBnH8a-+hx-eOQ-=G~}saB{16l(G0LM_+GzgEuH|1~_KQ?gsM2o?|^acn-jZ zcP^Oi)3BW`fylYD``K?xeZW|1LKvooypE#0r-V=!W`Jb_fFHI+kXb#Sa;<%n-Z~7+o1|B}H@5U1 zGmznh{|*r#xAF(I1CH(`czk(#*wyiB0@T;SpyV~EY#4h)=+;ZHS2jXiA^uDEGc&Y& zOj~U4&>{jS`9uhw$%wEF+MyZ7D5xhMKd>5FfH10e^|Z6OIWKUhb`RyHl#ff6RRJl< zW&6;WoidXSRm_bQXeOiNxhe5?`CPRHwT)wg&AXv*^$ z&B2NMtt06HP*C$aZ~$+0ZbaO|>`1YMYw?aO;TY(G_7`Axp+*Rv$Fd_wKvl;LM|OSz zy*sTK3Ax&7jaXop89A_5|7A=WPR8HrG{iR9ZyhdoQ4J3y^G^Z`Fbxl|#{xmixq}&a zFgd&JFV)-4ZwOGBL9+UT7&Y>g4=8rvxmIVrownWwSN^D5Sm9j<15~i;#06)j6{QI~ zLb)P3TZe*Q2@?_qAN4EA0@Y1c@d#Hsgtx=Yhx-y&dsjGw{1+hZ}n*6vv9dG8Ap`iJCDEwkl#ap zOF0sZ>CgZa#a`A7iBB`hl~iAj3BPPfP;CWbvqZ=_wUE3cf~}ye3n~${t`T5Yxhd{nU!~;_fqIGREY+=f|yH zE6K8p9c$jxD3@e<=zgbnyU^cCJ%9N4g?yT9f9`FFGbq^97*t#R$GXf0f7iT?max*3}PSe#=Ub?o#j5KUvq{S zj9bZf{&n6F+ls`h8sit}#>Zv0P zVz}E8b|*v@s%Bo<&m8|!0C$J-+7)Z(j(z*wvmjxZKDtgzOe}d6H%G?xrZ3??*#E#t z%WLxF;^sETmCc5D{dFkrnX4{KJnmMDgiQqI2#mKtxx2Yv);}-|XIt7`?!! zQps`l_fI6|u;j1l(x%cF-O>_G=TffviDyMdb>bgq2~c%Xt)#bfC;O$6T&kATNkw%> zoah&6IE6w&xVx5fIIxr6M{Vs+6hHOAyLW*!g~(xAb=I!+leB-|6!BGY?Bp7DwVDY& zz!She-)c1(OIk4gZD-^4!+m1mmP6f%8$=>MQ?ksem}>XT>4$yAZrgq46NHfVvh?Pt znA}n&SHS&2#~FphlCJA8T-8kwz_?E9dp)p<0J6UAr!dIiLz;H{rVBn<#ny&Xek+z} zlMnUUT?qeeb^mVPjG&0g4(w>u2|RTjaQOt3@~&o*xpgTrX3n-z+jRCMkt-gDdv53-i1H9Z+WJVP%B%}nfRFyF7!)V~QnK}2V#MsM7zioM9?t5SKY5xq;WH>LpBl9hW>c(32%`<4=VJu{yi8NqY^ zeyZ}BH1u=}UqpY1w?aLMY_w0%2#sNKemzSEioQDgNumQy7jrRXe|vNe-bt=Nz@J46 zYnrxn4_)~14xk3fygOP?Ak}Fch%-AZ%#clsPP%GWQyPXpX&00 z^r;F!Tl!{h_GXpRP>SZ^9FJk8m7I^%HyQJM+#odjwXpVTe$dBK+{E7@({K;;0VRKd z5854svSRq6Jc;S+6J=BgIrF6*J~=GMo{0|$UO0GSAllTz^h3x9CU6D(B|Kv=Bkr-Y z;(=Kl2&C7%H*kMeV7Nl_m`puv$~Ml)mRqp`A}mRH#s>$a7ffhEf>Clg{v(Kw)ADlK zcmkThd)sR_l_g*pC4R?v>61VmKS5~3HE9Xt*~;^W(>EG;bcKvRnH@ue@FJCze4B9} zj@1|6{@%8EiHSwX8q?svgI5OE2=8c4N5IqebD#x{r59g|ja;|XU_;Ye)rby)PNU5w z=lu4{8OIc>g2`yZTq$GYa0BD?;$Mj{?*3ys*JZ|wZ;QS2nUc$6B`vR4NKF9^}Ts=m!MNC9)#iJ;S1`~GGAYaV?KTT9$riO?t593?@M;w z!MCg1`f{wQd)uDIqO#u>z5j6c@;a6K>?F2jnNcA074mNZ_~&}1xQ;^v=XfFNt@ApG zNfaC?4*3|34>)VI{|68Q&FipJ(#Qv#V2&#d6`u)c$u4((E)PFFy`fnSOn0XS zDq(V(?!L}~mxG2Y;1c&7I3#~%D#H!`>m3bu3U2eJ{Lzw(ysN)I&U{6ScvhxRKt8UL zTcp%LmVEKpPts<@@+i_?5D@hTN zqEE0kYz2JUW#8#Y#u#753^JtFHTAn0Ct85)AtO)o zlLrK0a~C3`dgk9FDkO6Uzdni>4PQl${Wm5w~a>$tx zpHl7SL^fP)yPjmr?P?OF%oV(&6dBHy-+LwVrgluqbpOn;XGINdzNt12q4tmv$oX){ zf$Jo&z80E(o`HvkJ=4i_@`{V84E415y2ck!IKIRWRSwZo=pxtk(9~^IiY8 zfM@@@`Y3Y#9#gn^V~^{0>3sCV7_un!d3SN~et7`^rq?5s3?+O4TFWrzLHen_-D>=j zCKG?jn$EB8&5un>jHUn1uFHIH==roQ~8Bl zU#kviSe`OBvsBTt!~RX`391Zl5q}DCj2{P6#c@lh`Wdj#)BHG>t{nbrbGmt@UB(J=}_dy_>(Q}A-T`gh2xuVD3pEy{1O$|@%G+A z?P6=VZUJv7TJSn8hcE1qDk7cx!Bg-unuI;wk2P;JCK$-8-H*ZI+`I7COFF&uKa(_xES9F*Lq zf*?zvxhoZ;Jwrr92jV%Rgzml6xKkoq~ ze1o@EKRg&p7D`@0_c zkQSd=Z1EfRzD$`Egs@29)))7?9@#y+Cr{5^Cm|q3-$y-=u#}m`y_(4{R{`b zgB6U#P>NW1|2}b)z@f&@|2DM*N+sD3Li)IHM2`shpR1<7#PJ@C2N=5!lj>ZHhq3Ke zbd1F(!j|XHnTIE7{L^+o+STlm4w>7O68wXa=WRbqv?R&bHs-(u<+D8@-cGyhlPt6_ zD$a>z1ZA*<-%P|2vaI|f9tT?!M^i9w_IwC`T3v`9SG11dHGmc0qGDu}#~bIhAjRw0 zB=Ul4%?@n+0*B=iCvVKghdCWuSjB4nv;%FPD78%fs0fs#JAWt_JkNvszLhsT%+TaZvc8?#I)fuRI6(~zE~ zh!)}+FsCFQ(F-k8NQ}R2BXY)?*|ZcuMk{`Zrw&3@m!aI!`#s6PW58{7mj!}358Vy! z54vRmknofWSqnov7Oq=I2xx9@*u|7r*j$cH;j-3?Sm(Ifu4z}s# zp7sQN83UgtCk2|HNj%k+9Ik-ySw4BRKmKaayI>G3(mSQ7JLLUwg}?rXcLU7dXZpi; zgr1k1L!?~Smzf=Vl|%Z#2f^fI^lhGI7|U>8X%oo$UJ1lnIo%m?5{s8||M_yC&<%xI z#|c7EG3|(^Cm5j*AlPF_)fxf<5R1S5pzg1QgH=aB4f;xdK!{Z}J%k9=@iw1r(Fkq@ zRl4j;p1vEweEV|eiGYGQvq$Z2sPDfQ3E#gJw15Tou0v90!GH72o8%$NPMWwYk+)2S zKbSA<7iWsOy50ZlUkieltAj$05t+$}7nb|rUuq*(>J z6#UwbnoK^BPcLzV45%>pQVRr&35ubF32rM+;vQIgKiY+a+g1)>zUVyX^ST|6YPhsJ zvc@{UA}Am8K3w^ByOO@lm)OVX9$v(-JrADA96w-*)a;4+tC+V&+(qqmb4jf)Elb-q zw;7Y(r4Bq;GFMs;&KeY7$P~U%Yvcos(f1fLdo#Htr(ehn9MO5Bv76bw~*YU*I zT5FvbM{~P+qbbudRC9dOquUfG&eVZ!+(S?M!j7cxVofttIUPP{r31oo#c40&*Dk76kBK6IyIvp=5Q5?YA9d+R>Y z&gJD#zL!<3_;V>|W7OkXsm?Wf9);e}@~Q9e7ATL%!uB4|Ep02r`{oUuqI@F?0`e>& zaZkZbPy}cfQ>vQL3=wFRqT;}A@h@|VB7kj23A`D9k*?nbVnZO6pk}b(@9AmYj(;A{ zmx7n=z`*>MKDkyv;Mr?;XfnW#mo2nu2)W8$=_cvct6U^>luNK~UK7rnZsD$p?EoVf~d?U@_=|5xM^xI`4m~ zA1{u--*>y0d#`a_D=yhviI&`JCM7!~dvDrxEi$<7#P>WF&+sYeX`KyB%c1ZOMUP9%vUmIuPbTT516rkY?6(s+g3Y z?KoTmLSprkqV$9==yFn*wdjh%#?QbNnHfERD;iz{Xs^suC0sm% zU+l;BejPqL16G|HoZ3UjKa%&AHXMa9X4rYuAm-?0EFK`tAw+x!+f!OJ8uy`1oBoHj z|9FI8(WI~n%@41Rj%n7r3H`T{t>Vd79;J-AC=XIH{C~Z|G*lrR+5HJCz5xCdK*ZxI zjTOX_Wr%*dU)6}^zKdpNN>WIpUO<)EBRpQZdyom+*j?JGXiOxHRI5d(!|yYW_6ZL# zM_6~zP%S*Xo=;r@Kt@Z)()p38<>LG5*%hOr_6(9FaCEZ>A z9{L6{7N11TbY%Ua!tpl3+6#WcVS)_$7uhpJM7B<1#u*zSvBL@S_9SgQV9u&?26aMYk*0Y=@{D@w(B$|zKUA>iB|YJ?KsW6%g<-%!AYx2!vaXPWfLf1O z?sK$=%fX&ZNjJPR6IBOD+S(R(;X<#amDTJTw&2CuSq*zGI?7oUI*MGyDTwYA{sO0I zCp+`>u4~|aOlrH6Jokns?ZMa*NV=>k0Vjckz?pzF@7EoI+4pvbReT}^vX^7cPV8u1 zySB?GeqV-8ORi}dEyK`ACBxPRg3@c7ouGS^434GnI4WKWAIBQ$;Ga7TKE$%%yWBbEM{uJCxq#McaXEEq@Qy(gkbW6lhw)o2(cf zm(0|uWn=5p(d~HSbaa@b=z}pnlVJKx!Tcff8zNC2H zQm28)g(m53iOx>C1pdJis^IqVNhLATHtL?JysU*_|+F~s^GYoq4Z>jhtsk2 zp>OmY>^r6TwfnAXyE*$;D@{nV=ybS>jW+M|g4P0t{;AhM!lFJtx1i2chbN-I_|chX z|DK?FF^eyi!Nr>IQ1hoYWW;~S_u_(F;S65$=g&yn_fi63#pEQ`cW5npfQR5j6z^gM zx!S$9qn=T8&iR`2%IdYn5JgqR`um7p%Z^TGM$3d8SGqD?%~PAGaKpo6C%PhC)Q4h3 zn*@(rOm)lOnOT=(6)@Kj9?>^&xF~(TOim3D>pvDZWi$jXCf-IR2!> z?>nfYlTtpeEw$eJx5E}^YIkTlqfhqi6-TYXX`Iem)N)j#et2u2%GO$Hsb8DC@_oy$ zwPod1C7omO()mN{JIpggB9EkBl@ZonQSPZ;(6@9Y&+pO_+?L6V_ z)U=)Om%pf9i1&`(C9Hilzjt`xL+|+8cQo0a)Dzt{y0rKmJvI%j&g!lg_&|k^4c*uJ z-suZBz$~-G=`4v#&%igm9V%wm4)y9W8evv1Q2RdcP1*Hgvqs~;Q}R{UgQu-KS=_@G z)3LML-h(YKAmCpvWjh{F^1hmE^c_{uJr7m35>SHB^&1_wp3iB@{9H&hIb-?J@0=yv z-JTb`9rdpa+jwVJ19=ta&u4-};mD89{Q9)ILOJ(6wGBw*C>ONg|7yslmM7}A2nzlb z&JFx0Ak;jhCD6J{_}t>`dY;9-jm4ZUHw{q+HC9%p@1?|g&F?dxfMn!#_hb&6Pqn{yE$-4Aa*bzwnUyoq3gufBKkKbrQ7<~EK)En z#KbwSSgsYRo^hd{+Q)TYL9I&3P{WWG5Z>vsqw@kWU>MZU^**c&xG23Ifa4}hht|-g zBO}}3+=M-)W2o=!X{5pPT$9Oc(Ca+X$wF>qOmvhyo!vOUlHZH8&*^LDzC*nd3M19W zl@vTb`CUEM%3s7eiI`h6dn)N#Kp2 za@(~8vW@-wCu(DiG`u*{uKi5&z4yxoPMQRM(Dg@Q@(>tDz(f=dQ!mv?8MgnMq}$$jdZ zHye2|zxrJwSk+tZ&^^Lw)LH#qyVOIEtaN)t2<12#{vhT((eo3QLzMKhag~qQTW7oXWLATzD4hMB!KZ7LVl{A5 z0O`^F{ApA$QQkZ2yn&ybc22NV^Ua0kGbl5E{|7O_c@?APIa#4;!vi8|{EO6H@Oa%SeX7*m99G*pH9@dV1@`i8w`1 z!vy+4`GgG5U$G)TN&WGPp7rr2kDz!(>N7>61{8nz86p0H>;oHB!xXF~fe${OO_ks1 zo;&&}ZP#5<6UIXPNT@$6#CV_F2noc7}5A(#3@x%;& z)6b<&7`|k%kn4wXq;1XO6X`R(roO$h4VI&%EIu zudxABk#YrOYRQM@%$}~`9oCT+e~=`o{M4OmcsgB2el`AYnVnl+ZsYOh?L%r+GWjzyn$9uchvO2KJ_EyBfNmWM6u!{(z7hj`QF!ls8J(E23HE z&nWmz?R?ul|7_tX!QnW6+cB;9AiWjVCohz~$M2m#l^(aK3kdA-w(qME5` z8t3X^B9PAg{qAp#dC5n3GKy1XJsiHjS^ZLDH*d74x!beq>RuqAIp(xCi$1+ZP9AajS>W@?k`uIrfF(y6T*9x!%{$>EL$oR!ML z#W7IO?G-G!gQ+e{DOa1E)rxxRxf_*z^&A|kkv=g`**&aQ8}|icOtj1eQ?NO`juKWI z-1OOFWVVq;A4d#hk)inH*!BW zM~!eq>6Mz8iG##LxIW@-ne2V@7Qb88mC@ve8N>AGr{UNE#&PpW2jA@A)#>db8L@z# zjl+p8g6=sO2a^ddV!zkq4+*`~X~rz@TFwt3Vq0tN99C6xZE0v=n_Dn98x{RW7pUwb zlYL{}@3yN>)^CY@i`xCl!rY?a-|N-inI8vSsu8leoA* zv|(11@G^+5*zqv8?ya7;X&|gaW#_kp5q^~Ih>vMFQ@@B6cy@i95oIu>_!+JJ`^*IJ z6^4$hV*HZ5^3 z&PC~UnQM`@3U#X+9WD{;vQQ-bU`XVJ?!83I$Tow4^#q;cUhM|xSpAzmavMA1qp~^> zYFpL5s0m)I$5SWs_7#ZHF!;m#bQi*%kaY-7jp#Tt=a@__{C1O~_D+)AAFWL0%*RFKsJs+5?nK zz^x=9or`~Q#$B4&1C5eu9|-$`i2h0^)aA4K(l~Z9rt{w+dw~;0*^=JX_}3nP zh|K-Ay$rnsU32gN|IAo|3M0KuDs|fCHwk8iWivLGk(Tpc8@h0a;ntQNgDDkKw}YT~ z5q29$0U2#Wc5k2j{(azbbqw!>0>EB!EonJ{9w0o}U{FD^4|)+GA~H{{;{ zNY(tPj1$J5%p?U&r+4hguwL5ky^jpbfdhzr^}DFrZP){o+xM^uT`&RbW(s?T2-1Xe9C6ls zM#%Q-+pUG4J=fbp7pO?{kd~Ko*|qZ_E6;t;B7u^|_dwQl>CG`F~T6!-^8=gan@eFAdJ|n63bzC+T5fK&JIwzf(7#1niSAo%ou> zy0~hhCc2`svcDoFL@O9@X~BATN zx%b^V0`jA;^_Jy#HJFCVz-mK#7nCmk?F(G!bLNTOboV6MXgOX^dXUPg7{x@EK}O{tc+gUN91lF-Ky0dnG}DaP&T8a9B9-+vNU^!1V#jxkq$louKb&IgSM1L zXNCQ#`!R~Y8*YBxu9`l#*_$L1mUW`@F&Ov(NjLZH8&ctE`tZ9057SwJ8~Z9Gb_Z7~ z&R-e8^T(p3S2|_qKB2&$pD@|ty4ySoAmH2-+fUyyy{r9PVMwHR^M}pcCzQxVA1CTD zZyKL6(tBZ%J!tHob68$c0_o8Vbz*XJG@G(y7Bc_&rk6akpnHFv`w_75XsvG@;L13! zp@Q?STrqpLKYnj#BF?}QJy3Wg*Q*E3evKN|TbaZO{z2UB8CkM@fZO>d7%aWlyv%;3 z?pXp)AGj0H8q;;zw&CXu)J?FDa8ntcS4d*Zr7cLHET;<;`R9tJHjn&<@P~Aa#Q6!| z{s%(Mi8o%GcGCf}!ltTF6{@9nLGQ7cd4aTEK&csKIu}b2+Yzy2Ab=xwYKl=7ikU4@ zu=*TrLqq>;euN==RXjlgK>|17ysXL1yrPLsGJErDg}-LNNrsrYNNvd&4bR;3>Q>&E z9+)*!<|GV8Tq9E0Xy_hON6>XMpW1Hw8lZCciwr*L|IeuKb zL0(>n%}T)N3)s={RUgkb`2)dcLFm-dUvbAz9|^^lO$VHzm|DR3JdGu*j#s_IBpG)Im!ezrH@`2EZ!;*FPDyNV`@ZQ;#LYAL z-$fsOeN%3V%ZTB{lnAPvYf-wo?B~Tn(e5bZG!*at)FsBHEx*7pLJhUnoV0GQ^8$Pt zU^4yPqW`J9?-9Wq@X@+I#kppZ`L5#4H(L?-sTYKD&DG70)PI7w|K+j=i){UO+=nYJ zoMAcf-e=S+eno)4r{l9ktGy{p-Q2 z+RXUbVufu{<=O(N+c|$KiRW;3%z{^TXOQK2g$Ig0F}`n!NUa1n4(LE$x36xXnF6Ex z76k2jr2uvmnT&z8%ux=W*-G#7m-;)z-x7a&mSl`{yfIOIl`>bM^8JVp!Vvc4q<0*! zpDsurDjgG|TDrZY770xQs6Gn#CZ1QUoAQhdgyfC83@WHPHY5KEXgFN=@O(XEjyU66 zjQ;q*F#MfbOK)t}C={jpqo78o@5rw&$owHsp9+5l*kpsgcf?x5aBjN52PA~dtLX+G?G-Jfty%zp0ZIXi;T1VzMzmUk)I;9r~5z>(=n zsgPwA2SEzJp2<|%@IRyir&?10TgY%E!NWg^eMbE}*WKlb1VU=Gc{otIS$Y2cI^2$i_0g{aV@w`N`8 zd9C?fgVJLRVehdQS%(as2IrC<#3X2~Q~v!EiRL(l!de3?u;R-QOLdW82{Y00?3k67 zpVKE3@h(usm}>Dl6Ca{G@!$?X7P*;Thm|l1cYp0lxq_`UQV@EYlQHHcbI=b|u1Zrx z*-3Yw@a~)X;M!1fwOr`)QP#v+RF|Dg#7B+G{Ew@SY7 z^8Q~m4}HZ@qlAI|Y#JBQHRhsm8zpRUOGxa{RaX~;d?Y5j?2wa_;ka;ae3$;S^}1b>L-tm?<%&-GkzaLSiPU>5m)$tx z;=uib|LuX@E{NwuI=+LownbEcS=J8~hz3jR?b@xs$G{NYyH8ik2q$m~K)vvcOJas7 zX+_b503SHkKQJgbG%OrGpyCajJe}wV$NNS`Xlm;KE8Em}0Km*2(lURjadbf!0)Xv# z^7NgGN?V@F>Z)p98lRz;Zszumu1=d)$8D2Sq0f;Gl@0x@D`QCD&6O3rAVA%#*e%%Q(sdZ| zLGH>cAe&Czu>fvwTb*!J0Lf!A3Ih7Xf=Ez31zB8pA>{nsbH#Z%A!qMq7PGI0+-fYR z7<#z&Bdv~|I-&2-&p2imqVLh~Zf+F&4*5XaP&x^D*Qq!biVBIi8&(_?5aM??t>#V` z75U!SQlHs>{8)mQzE6MYu^ZR?cWWX`7OJt-)wX+7!73Wk;C>j2fK(+)gy74^NDm=o zarA?b&W9S*W>ut8h{9dDVi`(^$X!w~@ONHg4SAPWe77*<<-`A^n`f~G!O5$}1P^O0 zS0B~?$KxG@EZC#_mGtQNoh~+zpNIrdEsvr-_J8?dh@9*{nC&5V?AXD(x;~4T7816E z^ob}~ML!UQ#a<=Ryu>g5;UYxU77zeJ_$(5rL+&g_wHV`E0JO(XTem+eNEuYCAnO|e zr!63aSs`FhXrLDn^%bj#`Go^vvFxbLQwU2)_0&g9@Y`KEQ?wRzoL)4D?cP+?)m2k^ zK#r1wMDE3g)gzZGnBf+3EEt0QfW>$$1br)FTK(^N4yd<#1iiN#H9}LTuP@7R(L>IJ z)$nNabXd$H>P527c!z;-0M`U9#<*rHw^nq@Z^oLSaIP}!d)Onww%3wGl@)mfF$tNO z-$aPScm!1?UKk(?dF>!*eggnv3(W|;%uSM$KT=9C_94~w)q*>q5kVQCQPPjvtrB^g z1gyjX2b8txTHGcKHfKRp$V*Yc-!D>3l6IP)G5S$Tl5eY0J?6E51_VKAfcQ;>DlI1d z5O2WTE0x@SzL6kqZ393;8R?lE?0_&{4|6Ro>ZJ83fEKx|ioOj@T@zLcm#OnW5M%c% zh1=tNq)*5aNkD6F2Fc51Or#A^HY3-$dtvLuqz@qhbbd@#9a;Pe_KjvE;2iHWYNgK7(90A!lHJs{mfGSC_mc zt{u0f47%uKA9#3~qjP4)MR8~`#KfssH36e^SPv$V#P+($6Ao+%8YoFhNeEGXy+Gk* zq-fRtE8yyFsytlgcu`zaOe9`I$UtZc4pmpX>;qDNz)#5_f42Z(o6A1tE&w)7T7s9h zz+lSNXzfOa3?uUOum&VCs}gW z%b0xRn0B?G_xI^P%_cAPQ+6#^f=?f5HX&q;2DL2@CV}M!az^Uo$cvfh!Eq-I(e^*N zv*2ChXCx{8pF8v`lbU)7x}k0|(n{d<1=Mz41OHHB909JOGPt^US&TOz|J)mk-rgqw Zz$pxVlmdnq{_oKt?Ei2rLv=){{{x+DHah?S delta 40811 zcmW(+c|6mP|9|hEnYrg?&g9B{j+~=hi*nyra*Sp}iOrcA(p@^G`&7AC425)|5=PEy z3@!OAIkw;T@q7L8dc6L8{q=fYkJpcB@cn5p%{R;d2n9d@7L)myKyH-CZA7{ey`fxWs{;kcPdtk;~{G6SIBzeh<=%^aVB6Mp9$ zN_c3adfRbRIZ6IWf=oKvh>o30h}|2%D~x868J`QJ$5=}mq-2p0giQACcq;yIZsxUL z9{z+Rm#^eYmHzkVP&oI)G9e4uqiA?Lm%^V?VaQq#ZJli6lZd zNlQueRaqu|Cpcw!f`w^m;P*t`n>=0{I*EE6g&FKeOwz;{!CKsWVwRy;IlvH6!ByF>7_iosL1WU*oQ9aQeCV9w zLLZ_vS_!V{gQS~-%u#Z0(BmD;vV^Gult$&bejtK?&UhPK0bS55hZWH%(iQHYVoom@ z?Li~K`@ok@|A_YKl1k=af$fS+3V z-d8;?)oXgM=282En_k!t5J_nf=sWioSb(m7ADv-Dt+dB3EztOk0&Zm#t#t9-ZSE6+ zL(piJVWE5;73QE{kS!2pk6xr%@R0$6rkyWgQf8u;P>dmAN(%iH^66*kr_jy6g+JCs zurMj>(|<;wfj$3BT$fUiZZ*kPk-Js4mVMnjK=}2aLB3|8v3^05J|8wm2*o!_rIH&I zx?M#ig$-x(`H5AD^UW@_?`xZjtI%J9U*~4Oh0Z7bsG7DYoyI-=vAQWBBdGGfvR89X zG?~*0%e+wfQ-P>?4Y|t6nHQO?bvM|}Dt+5otF{)pdWp$n6v>7rrEcPF!N&_Xmz2SQ z`<`bkwdQoQ6d=m0eciQZo`^NJa9=G}@d>k)!{zTT9q&ff`^zd6?-O0844by2?c{q9n!|gb^iL-XoQdR}WXY{V=&lOmf->xM zk21y0{`JZ>?EryqdL_tOdfW{9O}%zN^0Vyd%m9#0Qz>njTdy4FBdV@ue5@R*ZQs^p z{_xn>thF(zu@-F7BnVPe&5B!e%@#a_*8Jq>A8@?a1b(?Hd7|JM95$;$?tN*A2=8xf192J{ z^ZjpQJTmJ4Eo8I^>HLaWgy}qQiyx_(6_zh{>bQ>ndapG`#~hkt#R3EHbs3v4P;!gJ zU9;hX*4>3*@fFc+#TSvzHy#lc-=A#_^?3(XmK#A==oq;%j7kt8a@On0;ETteDKQ4% zZ?Uvgl&s6r)!a*4Pn3|lkIQvf9}`tsqhP@LaQDF!Jpp4k3n~Za#6jgp4KpHpxi5QIOFfzM(AC^g9;3Zwv+} zd7FqkiyF`e!xYZX;`2q6Z}>>cINpAgMj7#OopL8@;c1gu>gpnuEU08z`7-YwPgW#B zsmF_v-{3b<*_U`d_#R3gv{fjI(j|M!>iVnmRV;+QZxj(nLgkFiMd7kDe(ZS5ZW{Nx zaAChl4AL)yMlN65Yb%$c2Wg&W2&=O~Uvqsn_Cka%cr7ZiS0wEzZq~r2UBYanqFHoo2%z~WepnT&U!;IuND|=%6kb)%X-*_EjzjtPh1WnQO5$C% z$xu8Y`+1>?MQnCb_W34I*Vbh*Q(T7?)&NHQY%fF1je9d$2`4ude7Cq89H6P}ak74| zNub{6`Jy{IBu;|kS|h_SGzuVIiKTr z2e@`2zwu~T)u`x4>Hxtg_QwFw+UsF>YE`9?8g2CB*^h~Kn1YQTGcVxo(})i6|= z|MEUwE3fzHVV;%9fL}Ro_9ix)$`C4Yso2+5D&u`nA^wG7l5C9gulfb5sI^2W1&vAb zqt+_re|TWh4cj(ybujw9b@R0ccvv=`Mr?ic)-fW4(u)gwbVMS!4RX-brTD5edUSg| zWY2oEfA>P#R*bVuO}t4fThLagv`%}0*=pU!=^Ad@p*l?~SOz&~T9x{^d6DiQD6cb8@jAs{q?ddEfNR^!hq0Dpfv;#1Ot0WiQ_@xBb1~TJzyV6 z2k@T^1AIF^1Ku=X?}%FAGT`Z!8ge`A$z&SfGVwV9*sX#GQptzIGDlKVnhrfmK1fP( zNgIhfC)x#=0LFlk2rvY+0C_-`4@d)&t-Fc)BGmUEP$^ZfhyQfF#N6At)gA*Z8F1&@ zWBH(T2jP@BqYF)6;g|QXROIZLrbGzwGt|V^YBAdR3RzY+3iJ;w-dF0BRabONdlpL< z`)-kOWtbwqT^FkV<^l%I15xZfsgF+SuSIoW;U7SbL4EGc3 zf5{S)_izsO1lud?9FcRNo2GP!0fcae4w1B4rB<)~^^l!v#+^ zw5U!tX1B!7)|M-SqVKDqT%DLt32 zp*M%9e1|@%rLC=pu3NXeZeC;;P3*A$tkHy=x&zP+RcqUnOSP}9LDz2cOKjU@t*g)g ztqZGO^jTK#!e=F=+$PD$B*|2>fGk7FJjPqIH~a16v=ZPK!Aj$r&$|Pk>FrmN(MrHv zL?P|4Ky&~?$r<0X<$=ufIzfhZJz;376ptVo(VL9lNj1vuUuWcPyPK_6c`0(|Vat;B zF0D=sg;EcWp`Xg|7Nh^~pP>c9K+|)YanwNTCBe>gGU!wH0G6|{)7bdEz0@xSW|008 zBuJoxL3&S_z0u?6M1uiF!v^-^iV{XbK!h_PE4rNZxRnH^%|=oPoT<9;OFxFWOQ!}^ zBMepG>TN%i#Df8^Hn0EY!kXpuRi3OBW7+9}@LK}e8^m#Ufy7);^Jal8EeGv#MI2_C z?fI;Gy*@p6Suix6lkuE5G?#kRlHx6_B&_4QwA}b!UY4jB2D@B+?<1egU3hH?zdoS@ zTwN~9p8=BP4&}@nIKsip8-MB)v>hmH7uyfktz%=nEW-VWEMrsl z-YYhqBufXUDL?X4ZZ55G^UB$rmt6j)D?ax4T)nBMh2{=--S>riug-p>ac6{%moFS| zQ=Tbq;r@9eEg|6vFW)W}fyo12HpU-DK)@y#$T!Xr^^e7@AoINTLDLjJraZqBz9|kW z-T)&yxInQGk_Ld9pmkTZ;MJ)UQb%D_CDWbBaIe419cnB%&H6hKgPX^I&bxub-;XMu z?8NjUR9TV_K{XOg6Ktw&SqK*4wf7Gj>*URnb$0S)NjncxA8)*nC$gLpi?|G%n&42& z(!B}&#y#8HLNmdFjhAdTbis3WX<=TdqJ-4`-Mb(XRvwZoc>~R*iaTGTwpMG-ln5`b zy8hZ(5>M-e75yTwfh~XIRyM}DS^pZQ$Aj7X<2+mwaspY}E*$nx&OnjwL!qW)E9P`v zaLoH|iD$~JGmJiDFa`!dgU(?AhIO=Yx5`TJNX4|F=+6V$H-GL&`{qW=8r<+GJEKO~*5@>&TU0zb;;Ju7~M zgPn?HsMA}Yuu#sAhcdZm`RqQ4PhrnrUNS!7*{C!cTAw|xsld32KxR_+6z>9;04*@c zIh{Kf*8E!p4Ei5uU%r(mzjchS5_Lf&pX77B<$=PV@WslJ;VRYtxHf-$0l#~E;T2j9 z_rGo-@6-saZ_n4>_r>-p#F#!Sg@AFnjXzvpR!iZbOk=(^>G*reoAK^Q_KWE z)^-hQ!YKP)xmnMMa|gt8A%Bv?%<799UB1_m1#6^ZiT?01zw^M5Pvg26fwE5~VE+`fMxfCbfR zwpj{VdiNOS)wYD;JJ|d3OJDoC70e!BSIF(h|Fy2w3 z_&ri&GRFeRh-t^}#f?<$eFe4(CwN&e5k`l>9L;$sKX%?>?Rk!;rK>}?r!Ax?+&VXi zG14#AJc=LDlzTbPqS*spw<1~Z-RbbzrWTI34#=5ggpric=>%I4CaC@Gp zRK&L~=IEC%skaAH@aup3T}AFqw!UGl*t~F4r^2j47h77FXU;@5ot?yrY>k7rdKX3G1y3>}`nu^%s++ zyjDJv)}2?qJG*&%Zg6eAMo9!ZPGNyhwnR)DYs`wxBhA$x&(^fePeaC7P)GS03b|Qc zlJuefDcpiR_)b@?XTyib+6ma}3daRsyLdO~% z)R}A&ItbbP*Y`e8kM1NL&ag}o*FD){uR)cRK3XW_GETbVC{am>O|GxIWQ7;6d)HK9 zf>rTp2X{KQ4ua_O^#=PZ#n1%By{C{;GS15F9)LF3$@lFR+o}x>*efsGYHr5ufVTd=F6>^Pm%T&n9AT7nUKEm76F*kqYvh4apCr7v;dhGIV+f1wHr zi|?Ehoa0iOkh`n6)W1CL3=h+H@8;{tZ2nV(_vX5T`1M#`>lGVU{V`EC+|{x_Gw4GML(A* z-!1Wzayed;$3E+UvyFl2ZFVuf?c`|XIEx5}WlIHyx#EZKZwLI@NkhBXEDYz&Y*Sb5 z-jX?G(uIz|0WIHJB~jfulnW&os`Dx4A?ajDxpn#7249f+CrE3NDVsg_K)gFP+$Gl@ zO3{&l#`?t7_7pdiH7s@N^RrGWu+ImHx9YHc}vj5YnXpOW) z(m@d=8JCfS%gUgwQOWU%o@%sO4oQfvxDJ0y>scn~f~%vIh@Ovu8S7#ArP3Cs;{ zm^BY29#Ux{$L^6#jwLlGH~~LREwvoabU<61H9gZE_VS25&aE>&ErGOylJ1n*OxuA|7P%krC7PI7dl;)yS(%AR z1re79^)p%y#Zk$bJFj*EA-;j>iQDOpx@lCDz}vTE+(Y{79;ntyy$Kv(%E4uhAacmm zTd|K7{FiZNz9-Y)Wq&yNi4{ALkU+Ycl{NT4mig@Pq&u~Qq}u!&mIL%!W>{GrY>_x3 z=a%kH%OD+cos5r92GX?-Hp|DdhI5CP<6_b2^<Q5jB$q=CyER4IR+@H68_ES>@gEi#&&FW?9idKh z`!iMV8cLU?=RhR%Dfy{cbuSWT5@82?cqGP7$o%4{gqx;IVhB`uVjXkF5aVh--e;iqBv*V$?fuP?%(|qZCdD6p z4<~Z%RSqR4h%sM=Uc#r+ENvVtXg2A`kXFyEW-`)lU*5~vMb;Y@sp%kZeKAe;xaWK9iStab{^{=00DY@xc#mtaBl`2@4XCj5K?oXw> z>W+hORzm*^xYCoD+mqZ(!r7lwQ9P3IB?|?FB8`8d8Op;Zy`Rj-2FydQ(sDDChmPN? z>n*;}#7GN_%aZsI8}Cy*|GzcOc90T6-g;ji+n&to&6ZqEZ%7>cW^`FOO+OR&LmLqm z1l^~0nEGL_OzJ&D*NTZWI$!Z|@a;J&Ik8;xrongP;cl}5Gr7G6DlYyB`)+0x?(w$< zut=$!Mw05dgvN({iay^H=rmuGigT8h;Yset|AoiJ97^3?RokGZkZ+sWRDbY6YIk~$ za#>nE`i0Y!=3QU(NTgd$m2X@qC&usN`Bul9J0`PKKaMgIGBw^9Kb?t>yL3Kn&qI}C zmk@?ZEAbm=RO$|~lMa;}@!qfLce1tCc{$-SB+@N?f8oz-oScS?8?$0?+KFY;T;1?x zecgD_-JKU1Z|O36>4WsL4g2qj>8PxigCt|8ibiMoLy18Wb!K@1JyEgQry4PiY@qa> zm$#|I(S2%UT.i-19u-&tr7A+|p@EbXI9`FZbS$d@uQ2eGuCq+?ZD%BIs-8uuSO zSJM!%nRV#s9jqz>2pxCKp#jl4gAGn+=pT2UFm9m7(a)EHhcn1*WoM%=zQG6DNrD7) zcJ~=dY}w*TF)BLY?WcZaBLb0n`t!rYS)CB;aO<-u!ZJKDLG$iIqSbK+Q z_Lh+@tUu}LK^_lIeym+VdnqTygql8#VdUzXMxF;*rl1~a{V+t8(>j}4Wr8eZ!9(rz z`ge}B_nPFL%+WNY`!`(SEM4O6FXdJQ`m-$RZE$d5OaHr3Qc)PzJxhvT`!~9rko2T6t_rxKMpyCO4vcerQHJEANPLq+!54Sz$af}7I80&+B$-pN1td_s zISnmTHO0jmlW6YKJRLaZ>Xx;4+XMx@7-hAiy%>;I10cqFT%qGGC|FkP#*e{bA| zsqwi@#KX9&xL175Ov%;%xd1i4H;Z>W)3Ua+seoAzU+p8GIM1x+C#+U#o|>cVp%tlp za1RfqmBVOVy0hlx*D}r{8K?gYKRNYw1h>7C1o3eMqWjKzt=J zU&Al5Z6~ra#*kj?LwbcS_w3FB~@R2q!B&8_6%#-@n@+XeERGYlHcU zz(+Fn{`kx&RY*UVt*oMZ;ZtSzAnep6({dY9Xd})^mX@$wS9&Kdoo-{$&QM3*r?I=@ zJ72q3D;LX}HA-tg$uB3I65itD+k!Ppt8cK!QnmPi1`6FbjP(poVnO5~?az z&L5?pqnE|SS&Sq3Or>z}epN5pOzDR`rAsV8%8)Iy=M_*U+atZ@5mP{6PD_RJdhT{V0_-1aoMW zrUW-wp}oIwWu|ivU=(iqBC~z^V3%|ynU87a8BPU1n3=egNqE;54M7H0>LK+nB(x%GwT+h)n@w}cC9VZ)_> zuPsW85Ck8ZLet`)k3>b z>ObJ#lWT>p8jJaG}qh9~2dj3OpSPY5Fo;G*JWcu=PT=ssZX zsi=;01_%5*${0AM`C{p{>hTlwxGy(%x(o%bxV{KkJ8j=#h45*ZT#iJ3`Hc!1Hnkiq zV}7MQ@>B42-;evxu#&AXH7Iz0joO?Yu|LE;{O(A2JMZ1Adf}IFUA@xE01odZW?k%! z_OkmN``6W?J02-lPkGcT{5XYgBC7h`c4b$3#(Iu!{SAA1*ITZj9w{EP z-rplBaT}He>Itf3Tx=q&o!SDOoMone^W*o>RB{eivp<;6)f_k!;DruZ>(G+B>;Gv7 zqk3@N+jMQ2%616prn2U_*DTqE`-V3{jsM*gEMQ%C^G@l}6JNu(giA|eo^ZB)=$M?j zuiUuSN6zQhV~MMz&nvdBHTr8YH?=P}N=6;QOxJb$Qxo1K%pm|ZRs(9dfp-r@8Hs7!f z;H!ZKK`B?QS4lh>^=m|x`dhnhYS6a{za{CtlGO$|U&MV}410~j4CFhm8_`PB8U_t* zUu9aM=U%rJJq7&^!`=uA9i3f6^`HDbBBk`mjEypXcJ8U)UFlDS8^{;TbGE=3W8WG`-$8Xim2P4w31$lE13ii5B9&U91KF%cEIY{A_xH zvG=QCNaslw5%~KD6!mBHmH+zma4T53`#R-v>s_X;X!fA_p5+g%Ewpb2x!m^S&aq3?b7w@UX3p?`nd z_2ihS1f=41d)93;;*_dLm7SW0Jktc9Np%o1>}qz&%Q;kt?~_j8Zv7k1V;{-g9 z>u`mebI@a2@e4XR=$><_xvrR=g!FWuT(V~|@`n><=r@L5vG`D<^_*`4q3*|Fbq>X4 zRP#r|{|>9>USIeUUFDZIw)D-hG?bKvp?F6BYGqXMn%Xacfqap$*SUvR*=bE&Mo0aR zhKp7aA2%t<4Mdb_#+}$kpBz+qw@8=+HWidl2hhM)Bw*7AYmYdY8BpYRPU`jmY1mh^ z?M3~oaBF?3R-|%cdH9|UI5KDoTWZ1kx!GXMJJ$%IUfDt(hwH3CrN|v7mITdiGu}N> zcsE*F?8R5ZD~1>1AV0o;VRoMNPGCO(ouo?lB}Z2u4mQtVx*N2QEqyUua!oCo`aJuo zhi0}pUO2}W!4Ch=!pl!9W~3gY6Xe-~QwAqiuRBjiHCkY(CMa{i=v+{rbWnrf9Q^Cf zpwM#?uX^0hWCsb(XhnV6DEzr{W`nWrP2bx4AP#MdFSzQrVZ78^H|=d-1>AmKx2`Q# zq5EBWXwRo+r&Skzq!k9P%qNo0tuYfj{wEfQMf3f*)w6hW8Blo{ zbEyPRRC=nGR(EgylA1cmH2CLk*Yr^P_0gb>617noRqzj;Lk}eHN;=HzKo`uuaei)Ut9P|?H$W+*Nz{(!B9|OtcvNS_jko?Tc7>o(2q@cZxb_) z&RVM;Lld!rX#M8@1iv&PP}zvP;E_6n5tI~Y+~sGbIex#!_lxkI$a(`c30X%y)8s~< zF4(mbq;dN0u3ezP)1$kzK{}_mc4@f0wDd-*w0We@fkhJV1siyWmvxM7(7)XCcC`x^ zp5}FQ+vXw_Vd1D<;DS(S#~r(F>aNPHW>+Xf*1nu6(KyJ6PR>(~;SQbZ8AlI)qOn z@t-YYA42RK@HC^s{s0H(d9)2K;TMkQ0z#^GJdULz)JrT^a7%VZII^3KlpPF@RQ+jD zA`w?IjWR42D-S5WkL4K*9uE2mui%ox%J)>u;S4n{Uk=&S6-g4Wz*zv_5u8g!_ST_T z3o3wgo&=DoxO@+uBoF(YYKK?{U#Y0mhr~t;#AsqTU;+StyC4Q^b=ME216`4dgR^4& zREUaX?M#cR5~yob`V&%Q_bCTXowpNqWlz^4)#jdLHE{K(w@NPFlp!~&d_P3 zF}t0UUjD9%dZ82_03d=2*dtYBoH=zxW>%=G}`Cf;zmDm<8?A zyOrMz=Il8iq&>ETJc`@w@9_R&FsVzhC;-|}$d`MPbY+w)b2H(}fdf|;dW8@F zLF6$D%=gr&6>G~14K0TZ_l-je>0$|S z+6;!?TkuoEK^7^T)aPH*#@139*VWzd>g0yGhl8hYGdA787}m;Cu}Uo=Zdb*n7sk_YH$pse4Pz)n?95*4z9{zy@4GG ziQG8qLByBqgtLS4pG{+BI3fxzE;cnb^G0IRwp5F2l9HL$zJ#}#L9+e2RYfTiQ{tM? z_AE_M`lS?1@*I5Tn}hZg4T3)Rx2qQGA-e%8FX%30Zd&r-4nJy{dqO)@jB^=I-Qu+O#&8h8@Jy>Bv&Y)j=vc zz}`zYvh}9&{^Z*`(>(~cr>m#JO*EREy$Mf>gx~CB8GPx47}GQvIrsB2&~gC!`VlDK zg4I?S$Vvz-tU)fKp9Z7Gi`wBs2A6DuHZo7@?)mG)B~MBotd5Gmvz}6d;svYSPC$y+ zAfY=J z*az0CDRB@Pw=eKHZwT=}5)oU~@jjpytTUe?^OE}tZJ79U1E%szTH>t)DE=CwV(I33 z8uGdkXppy5_?Bx9D&ZicK){Zz;%=v##Ukq7rxDENA9saBUr_sD`>qfdf`j9KhARTY z;WS#s?x|6SRys+-+bpx9hVZ91R(;;BPe z+dv0VTsQm1DuTieTD$xqQ`!<1L}WI2(FhfSst)djHC5d?jup3ZSA5s2m|!SbJzCT} z(g7#v{S@~Pys{+B( z+uX#V=6WV??(HYN)s@dnxI^F zcOGNYMWSVb@51uxMKl|G+Bs)ZTRlUx&5EQMPPO-TaERXdLXgteX%Jxa_9}Ow(4fms z(Rc5GT3#m$W*huKHt*P>cG>)@tLwsm>zwt&LoD#qIC@Kmq&9{7&t?>H(33-d zrZ0X|?*;ND?%(lVsPU7wg{(e4m3R4K-oi}^PHiWM~dq0TVj5FMTI>$7$Y%rF9 z&|t5fJ^xh`%8#3!f*N|NHTz>JFv<5;Q|Lh%D%p9iBUdXe@67*e81xl)9SkYXLp)#OhG+2hc z4X-C%EcOrP=vFYV(TuI+p{V&@jE*`p?c8{6Ma#Bk_rA1GD+ZAcvZV5ibbJKm^X>|B zWV_f($>GE0F!|y~pr?R?uipA#<@k9T??$K22M?qV1q3U+Mn7>a#~M${;!5FT3j^{Z zs5_H8co!Ew0FS1{X*&cW6t5aj$wJ{DksER(BzW}695g~qT1A|<<-d>(;B!-2IWznN zb=b9~fTPN7`}xYD3gZbvvJWagUn?#f?J6nIYgfoW8fsDmfW`yRXGG3zd zdZ~yu{+fjDh3fbG;p0)1mD7j(6ORAh;CVpE|Wajnb zA~tSl-uR+{RL;N@-$&TWhFTbdE-)UEEyzz zKQRdWI;m0B@nO5Tq+ep13IH-zCR~S$wVsXGBf@$F1o*cF5W?I5>zR!EnYX3i_4i7}K`>5@X3{jFw1=#-@di%cP7v0Bs>_-d7`Xo-Sx7I&KQJM4fo;$hoV=P0i!wu*%qST$oK^Cme0F5!8_3zB4Ak?x3 zxYSunLvXu9OtJi3P)vyREL!+?RCCa*``ql7b{pUVZ)J89@5Sf3to^3>-yrH~tbnsr z?b@ZIZHA60)ukOd%;RcJ;TAtaf=jfmKlW54^?h05wJGgywc`Ehon%5A{~q9eaP-e_pbv}&zGExYL$^}* z&n9);>!o~k)aupU3^f|6CI)M-Fiy=KsgpA+dC}Ly>z9l9qHe}kHFKGMuluZY)&A;= zy5|aXGE1MJW~Jn^DM8(zSAs!E5tK@2rrjL-++laeN|84}WzU<}7R9)`U?AzPN-J^8 zFod=quk~D)LAW6?llO}op24$W(!eo4#~BW~QZ7pV$Qo8Gts^Gv3G~09VP5U>%^jPJ z8$l(X9qB-2db*bk=b-*jA$L)Y;*?3h_Hh{0!M9v_<>b}Laxvp*exckjWqd8!b*grF zM+RnyBtSNgcW2+8YBpp)V(SShzz(=!s=`sI6e=NQX2 zr}B-MvVa504qP5-mD+n_ieG|Z@a01^9DLt~g6;YYLiYszyspouvIdTde84|PPksu} z#Kb{w{>FHjWRp7eFosQsGjhP4whfz%4^JO%VrQK@by=6CaUTvpZnFL;SC%xShG&hs z4}76j-74C6;d9_aI+)(QtNbc=bhLk>JSgC8H(TEOZObQ6l~$c* z!?Wkp>-rOoTIwJO-6QXjWzd@j;LjO{h^B1VuB^puVxF;6p3ibk&Hn&!JTVF5nB%s@ zYRuVLyf0PhnG1=*PyIeY&c+4sDv4F$74VwB zMXTp?w_f{Ke-7!TV1y)8JY%DggagH=!h@jhweL;;yrvWOy&A5ZqYZ`-4v;@f zqen`}Bhqg^e_Z^gOCDE9sae1D**1>741({-oEG*SZr@hh*af>3XS9E8@b+r}Bu%F)9uNx=mh{=ux74R;1bg>Oe z025rtO}1gRd&F!`p`@b7V3TRa3}wQo9!&RAi4xlcF}44Fv*|UIZlCRfohs;(t+@$% zSS(u!6ka(}odZgi09`Sxxh#I_@(uuyE*!%dTDeP}V12m>XdS;!lX?6KOo{`Qeuhla zZ$0~b7XbeU2iH7xU~AFz>ex`$!+pr_+j`aTZYU@T#KClR(&62I5sbI~ORNS@V|Ehr z%Hs{Cn(Iv#M-vX?fsmd5ZLrRO_&fM6HA#QL#j<$%`MI&0faJT6cgAR&(tW3N0m+G* zu#hT6{cSPket?$v=Eg2o$=IVi#Y-5ROpI$=iN&$%F+&7sR}za0p(-6BR_M2$2KgMU z|4G}pG(}pwge;1ASg5ljUn(Y0^SEU7RF0n^R3-IHpdR<=;)4u@zyj{2$teD%E+YVA zH6}&aC-zh2CCb&(0l{p=Ht!n)fZR&#)cdy%ud+5&4Qa2`i3nR!$lp;1cnv%U5@LmT zp-KU3q;H1F-}rP^A+mmKP(Ls`5$CUE15HYs&B)Od+er$zNPO|6Do83>1{wJmgKeg6 zp@mzmSXe;&w|$jC!etvj)1b5CVfjT-|H=V>gG*w;u_8)*Mmo-Im)mPYLm8MTfJ!pn ze<;0zmRNFh-2_LxOPI?tIPaW!`iK0df+)hxr;B#3ws(?u55-+DjdP1ZuPG7r%?Os<2+% zBT1i|9RHuA{#UP3y#hW4nUEf=ZtL6*Q}hX?pyCH z1S))ODwelI4Y)s#tEi%OYE`$fkJDrc!|C1x1O5Q+-QXhnbJKu*|Gs}?i>Z|!?M901 z`MGKI%PU|SpN4*D@@g=6%+_aL5Xg(GZ= zt_oo$M(A2q|% zo=hE@V7|T~ya31PHNzR)i_d-B;y|j60O=~Q06{orRao5^JZdTIzZ+9Ma@H3D5qq&o+QRC+Creh-DooABS70&Fw zl1)4wC|-Qa!W>AhN}0&sDz!?k zFHI?n5?#{}ymF?%(+amhhJmn)A49GqI=VZ$hU$ZiFHd`|caI%HHa{6Rqnt!;8hs#3*XZ zt>8VzA$_Mz%%%}MyIt==vCqp6b$!}YRI4wb-FLdRxo(e-&#Kd`PGbiig_?7JX&!fHeYaZ3zAuMqn`hR*vR3O|nHx9{AYb2w-3y+`)Q9!0Xr z9wpgvhaz`~oI}^%Wqg&9BAd!4Y24X+weB2}gsl7aH++71JwET(^ZBZIAfVhOOv68= zOyhuuTQMS_a^%@)gg40|%V3`TK_D9BZqu0OVx5Px6-ao6Pe;SWJHtg!^!C{BEUeb- zp7QiMk|$i~xa$%AT;^UCPX^|aWz#i*&#>Z_U2m7Jv)xa^VyJ1VT{3-A zW3?ipc8$#Qsk&XTFXdycqF{uc=YuI;%_E$8jgPEkkUg>vW_6+OL z=AcuT>uw1IW=(m|MKlxuMzBRL!n5@Xk~Nn*bumbUfz+y^cE?0^#1WuN(LP#)EhbJp zbh8f&$rB@8uGRWsKaje^&fhbJ+(1JR&I`<^Fxus@>k% zxyJTL7%9GNJ2Ol_QDC0`?RI!ajP%>FtD*%WAAjUbG$~23>%N&xw!v;JhizL-4TFEV zZqsn&s97FoR7hOk^NO0IK0~WadDWga62wbFRH&18t=;<;%IeIYu`TEx{w?s~6FqdS zYI+auKT*e|rmu*ReAk-M^pu<4<)-@FOYNMkwieiTOH~0k`9?MRxz)C<3-rKuK|5=w zg_Z;7Du7K%D+bXIwj|EXkUz*GZD4OoB+8fzUA5#W;$@SXuq5L6VK*{71}U6PLgt}* z((|_>ZDG85I<0;NXau+PjR(orrbt_b#zkJfAM~!MA^|@|dJ8oI`|%DAbwfGE7udF| z3=gFh7L))+r64tZGr;Kmc!;UhvQ${w>K_{1t~tjtH9OI^H|y zZ**C@g;^w;ZqCj6vGHSUL5& z_L|SkB|6lCp6 zzzlm+PU_(W(Pv$2+(biT+Y^T;qT!!BK6%>Gco?FKkfftpUkA--)smYcL?xk{qT=VT zZmxH*lmNT36DrfsL>|2*ma#CL&)@&48_rxjyQ%c4p9A6+&4F->%Dv@o{lO*uDW70v-mO3-M zZg{YXXAj4%Q8ynljP{Z`FB>V>?lSK2){64w=ezfy!O8H6Qpo*T_2^m04or`df?#uzBnS&Hmk62p}=m3$C44R zk2$H+7^+}phlL}(raJh~WSuLZ@EM0?vpr_Wr?|dL-468r&dLO0ry1P^) zd~j2Nq>agX`MmjyzJsWt{S+b8zy*6g+1LP%31E9_dm?LU0x$^Ikf7lXnBo9hChF)l z9xgv6#EMxw_aOc+f^c8 z#+!>FjS^7JfL*K+Jz087m1ijrHnHG%<$2UKbhcM$MMcb>RA18U!GbdVb*x1UX#(GV z+(K(>ctJo6aMNBqb$myU2BfQ-+nxaA>SNsP>^p1xt9rEfj|E4=Za1p;V+x!^i~aX- zMuP+#Mw}|>624SC-?mmtdR7E zBN0w&iaqV_uy|OKd31biE`@{+wh*{c^VRUgqt{vbyg#F~A_5_bbEk7wV;$H{*_lzs z6|abNckqrdq?%I{UJ6GP=Pl#Z@3NgXK@6O4LNGEz16&1?>Z zhy8P%Euh?SL~qF+_9Wrrt$05QC61Zt?potD9x_I46Ux{%CJ=;^{Ygv_**cmlw0VMv z*?wOFbFVipv8Y+!Kjqe3=QF%uuvY5|OYPjZ4h1cW@~HG%cY->V7ul`uwmun)6M&$j z`jt3QiK9kKeaVQR72t+ZS8uYf(6NFC$JJTFXkeX%j!61D!Dyx9*u8T^DKtd($K6PI zm;CGRpc`A3tkVEP5?CiB(n*Ls`$Di%F^OCv?K8W2m?y3MgV=5|l9Jf$X@gArm5ft* z=Ot$reSG0#F8%ngjvozHZk7*sTK#G`I}!=7W^9^4pO|yDo;_XPjW-<7D|R12A*az< z&MQ{_;%Xk9VXuQnxVLsjDf9Wm+&Acj(@f=2T*Z5!ZQ};10K_>mpl>3W06)Bbg`vM~ zaE%Gp2Q_st+B&lMLkIHBBj{z^0Pj;Wb8dN0TykfUa>WF#ndet*Qu!r1%gwKsv~j99 zfm@VG@d5V|QF@*jq{#gy&NH?sS3K*HsNPc>#=ZUB@5J}zHkBYM;xb;u<$m+Lbll}A z?tl~|l1PdUP7}mvAYVp+h?(kldT^Ybmpg1262$W_Id3<+WX+k#_d#uALm6!O)c@#I zlMEMM*IXAArf`;Y?nsbOR`KpiB(LUI)<5;nDNMIiwb2$eXa7JMZ4MU*qANptv-aA} z$Bf2Eumhs7(R`8sAj+!Pw*z^*3a#l|oqU;8&{03i_Coa)EWSNFh>O>v7wIE9Fab(j zjwu-l8wQ!G?aA_w4-YOz=#s&}-~vG2rFSWuMq6c>ec?VLJxfMR<7h0LJ=~)N@RQeu zoBgcPx!O)^p|Z%4|O9wOWk!o5SiFoWzZ#~rAZ(JBeV8q)5HC+#9k z42VASbcJ)U$R_-y)tC#V;@e&Q?60<#t)(;1%JKfS>{+)Ww965T^EDefh$l@&seq1h z5STX;m3B#Ft4FHgM2+63_2N6~N%dBQ9mWvjeddHuc$QdpWZ#`6yS)fS#KR|!LFDQ0 zq?lRnzkBP<@I$e?i__vVyG#oL>0}uWC;4op1ur4ayUb)Na_oi=cfPCVOIo;619&WC zudv&e?|svrV1L1j!QKwD!hwpvs5~d@&1z6@FtPIAX>Zd&<$;r0pv0-SMGtKDEkIXC zRU5>6_!4LPUX1fDO_vI1gPax;J-{x!B3#iYa%t*`*mGe>z~K7^(a7 z1sTBdIpDB`Syus{3P(z;4#e&7fsO=n-hj=|k7cvCU)hGr%Xq zu1p#LFXM~_qVCzm!R>+AqziB$5~8Vn9R5KO9@48?o)sH%PkW$-bZ+O{gMPRY^cmKP zMs60hQZ;_2dF}j)SR2|wPp|67T?hG~1uYs^Zc>`4Q}po~-^s3qL2j z4Sb0xuDRjpkNwFF{aYC5wHBVEabNOEWi?-Lc$^Xn(H$WfS-caoaCF zzRjR;Y@YMe&-YK;QW8ab;CnUq-ryhQ{%cqbY;l@;9H%cqo(MBJdXWiB5I@(s-4#jk%-fuDg`ln)oG5 z%J-4t``iz-n|1W2F$8k;;#fV3Q1QS@G5`rNqLV zO+xi6tqW(tciqGTWYk^Z_BG65T{}};AJr#TYG>2+nT7fGMcI7aZbRryy(q|Siop;1 z`q#a_0Q3TO`S~QtxLo$#c_dJ2i>w`myd?NrcsyRu)~vAo))(cE(tTN9ur2ZsQ7rmVgDNV|)(2WnbS@TJ1)XuH1#Qlif5XDgXM{;1Qk5DI2) zoWc_3LAF+ThbNDcfnhdR*3@gol^{xITJ?%*82nP;itzA3@RahqC@PZnemcgLiQ0pj_F3-Fr2WO{l<01U2K0-Dm|d;yyzFjT5-L*jamht(oSTJk=r!}$xsHK&?< zS3XfN$TK$Ic?+bO5n*=Q##E!k^@b30rEQTWZ(E{=yX~CQWa=h2l$Fmg-a(mtYm^Oa zSD^@8b_R;6_w5abP|2>cV zP16a50xBV5D0&eMbR$L1a+DL_0(6M$yow6+B3$8(`t|y_`_Nu-(X)pMT&j-O0v$9B zmz&AJ&l>o{E!g&uv>$yMK8eU$%Vs*C*V{YBQd50i`T9y7z+=-Q)P{3RPMIcWIzj0| z_1!&X4kmw+A}@#UkWPOvm?gglyNzlf?gN3TpyxM?^$@mgBpTXcBa>@35(=6mfE>uT z8`Rg}Y-_eafoS^Cl=aSt+b9iTWG25>2Chw&Eg&Q(zQP<4R;sN9=j<0g331qCH?WmZ z+~WRY@oPUMf92GqY~I#Vm8A5rIwU!k%i7nTafhAW;jn@@?)+{7FG4|Hn;n>>GjJCf zZaUC^fJ)MAMP_x&_;C=Z48Kl*$46gso?q9i^Ui$8S=Cpvum`;dXKPrE#>E}z%hx~? zRZ*G;3b>J^@!v=I=D8QUU?8zpK&q#*>97LEQ}e25&tI3sY5uZyf$pO(BR*lRfU%lF z>YdllFsEg}PR*?G&o+GW8PZnrp8cyH!(_ zdis93OQ(C`Zh+fPk&Uv@y_B8W@cBTDcoZA>d@=!8P_8=u&&Cy zr22me;G~LlZw=*>t*Tr>gWa0Y%^Xgv!n1Yhg!XbEA*|e@gBUVptNp1UKBxDbSMis6 z^J)RBhJxI-2n9oJ)Q2Rb7~aa{&S=6ZF2NGHbz3X{3=UzICS24gpgeNeto2JOKF(3~ z1yC_|@DH1hEq8ic@B3-G`50S}Ee0qiO@&8KWwiGF6F3AFluhw9v>^M-II*#N=YnfTZGjGw^kI#w*lgmoNiGtRT+ zc)UR2VWeZLk%AKUm&%rbALxprI~NMOAads!mW3~0&KyCB`|!E=*HPSoxYt$FBuYOt zc;1w~;HG0Oc)RO(M>gH@R!6UI_s92;kTYeEGv?}ktt@M4lIK265a4%gvB`eLD9Gu} z=|Nr~R&wofyUCrskdIv7u=7)OC9iAlvS~q@d zc9u>He=B)WVEI0+I$ksZv6=|0B`x=K50}sQh2Z^LbUMwJNa&VOJjv*k*8w(<|r7R{Y+_f-%y6 z*ZLR!i=qG0k4Ee*WTll+>jL?gp>l^or;T=!&PFJKZ5{~aS9NiWrxcShr2B}MIFFf2 zFQ;fwM3Cy;D57<;4aCpoNL*POo?XgxN2`Wl_Unuelf0B2GMjjS>v5-(^VvXqMlgFk zcU7=AaB0Rf)xKW_#wFh0K0{5y{JZRdO?g9`znRg`Ky}DaWG%#bXbT!nhut?cu`h5( zptF%tlaJ5;Jrwz&Dn+X9k@Uj-qQQLeH@XV0baW`l&kXBoNVKjLQ{KT9*WxEp8GvkX z{3@^r&tXo|9ER+xJSMHs?!aPTJGibuuQX3Tv^;PIT@JhB)C|ayV(ZI;&vsAJ{OSF7 znDT%(QLd9T;kD!P4=N_fK;Y3+5QW_P7oi4z8nL%tzJlCXyomo;F z*|Wf}fcVM_7H zuyWPKTs#K)t*&{;fO7UBGV(}k44-4)IGBgSQ%Iiwwi*0+>DhQov&xUBLP=QWoezpo ze=+VM$n6U{&OMHt@vb)XhEPdMb)8-a==og_5+fGHe|q&f;NfMUxu(f@irm&8iH;{e zUXo;PsW0sujyJfD=Ze?stn8G)EDpZc1lx!|_T$%B1U{N4?YQY5F{}_eCo{L-6o?z= zj0pu&M(4~$h{9czm|AnVqDcZ7y^p2rumFb!+N|9Jw@>jkRw*m&!8nBsi_>z_*8@nY zEIrOKqwrRi5(j>EzKhQIyFcK9bsKScThoJAgWsEQvSwYq@&s>7>L z^;H#~yCAO>DKQb9E%!O;{T2jqF0L&Yc_mtOASwI%LE{W`^T`rAe>RYLA&xw{Ls%-g z8IHXXwh`^_T{_sa^=C^+B0o^Ix`t#n>X~J=#UBL%2a9zBP{wPnQ&4z9cG)kjF7kI{ z(|ca^_@#O)`j+XC`=*o%?fydcbtj_oWXLA!3QflD6BT@&rWP;~5H|!cHKt>`>~8-+ zO{mS3+}u+MobI6fBR*m$ zFZChWm0D%KMDBivzn+~%o) zFdHDG9oRH%@g3b+Hhh~TF4|)^1~N6Yql3GDu9!u=mJcUE`NNSJ&Ng0=O0Gfz!|;)S zQQM$5!*5EKvke=l0d&uQ!WPog`g{6a$oPmeGNytRu-ta23|+UiaSt?I8)tAv6Um zZ@G*C76Y7Yh zw`<^gXB|6xT!hv!NYG?tWNaOBIHF*Fg+g^Y7d)7x+wp!M$lkQocXf9K4x2CFsF>X) zT=7?&Pk~eum(=}w#0!ICZ@$=xJYj^2lK8_U(AzZ*+S~-sALg#U%h*FMB;v^>XVR6z!5SgPs*wp( z9MYVq4Etw8hNQ+V!2)%dW%5%5lYGGGgMirmnzGD7PPB_8@JgF|jq52+?nkB&J-3L_ zRMD237QlQ}WS=ZQSR_$kJT{*Q_5K)qb_!}W9k6z~S{YXN<1`_xTS4Az&!Q~}=K>cN z!{HdERsWK2H8>UX5{62zfE9no;f|1y94WLy#0UMzPXewLs<=ydT&YA8=quJy1Q!nr zI9DoE3`(>FX&zTSc3&CbnJTiD+mz$HzFy$P#8;O2I5{&kJTaci5BEk7xX~~lRCQl4x3v9^nxk3HMKZ9NHnZgB4S<;S!HrErdPB>jc8W^W7j1zF81lFlb` zOZnl;7a0CT`g0*wiDw^W(u+5>r%^C&XIdub*lHE z^%&a|hC2{{@C_vkRix1wBHfWA*4vLT^^e%L;353{kaoUHRx#QQ*=1%kY5O+!^U0f& z(*((`-QGO0IMQXieF}MpM(#V+Y-E47=7d=B2U!zVN9LhJ-??k9+?)>)D;^t=di6=G zoF?)kR%M8Mc@DI;6=I8FsF58J^4n*X3KI}r=*>%aEkR+kfei0&yrpjE_jou{$i5{D` ze0$m+ne|r%>M-2`!*z}%A8)52r~xpeRYsC)*Fshw*84B+%n8bl@;i<#S~;WWR25&x zd)x$w6d1=tNxN-mKOJcUvh*&oq?9kIBLzxux;S*|FJj7&9Jil0j`wY1xe+}{^>2F+ z#OODXR0J;i>4{WaV2^PS0TRiekG?)}$I~Ee@+&?G3&0D9s#<#QVrTZ2@Y`fS)*7fF zO0@Wo>+#xb05sCg|0rzRovO+ZMa?pzsDC}OraX_W;r_^G3pH&5;eyn3r|8y-x zoM&tJXEsOqPdfl>F{80R%q01isLXm{leT#aU2XQnDZ+cx+0$vSq%7@MIm17w{(KZu zuzG0VdQQ9(XP4AfbpC|?>;|>cs9K=NBzw^h0Ct%O&teDiO%RUB9`lScXqhzldhibB zth70Rt!AM!N$bb!A3@x+xZgq7y*(>s@F5;kDdRYbrV&JWhajL%LS0i3m$#9CFd)aF z0`)Kaqf+ia<@JL;=z2#J0dJ}RM3L=4P(OF2-x-eQ@jj`6#S-K??QUX_DFa}(;HqmZ z7Gd37prjpQRJ?hltncdD&+NC-5iZa2o=x{5rRBT3?6&SZZ3VT6hLGMLGK?$@(DTFJ zJ1Bb2A(IfuZy25wgy2G_LomQ7EZ0vyp|?1Q5TJ1hJ_!>cLccc;+T*|f3R|U0o=IdU z*hXV_6;D>b>W}si@17F?pVE%RR~;9L|2+Z@U(XKRY=!{=Rx3WLJ)^CE^h55*gVFI z^WfpgUcI`Q_10m+c|JDyTUH;_8dO?f z{PS}npjQ?i1Qb7Q!r4fa+ZMeGsM; zwo{CqJb2q0ak6ZYF?4vWClP9K;`V7^?@O<&-o1UPmxgD1o}UsU%GkAoPv-ZWrRyFA z>E2y-!+gr=HfmLuwnN6JVTl}g;j@??;OC*8-to{}q&KIBAK}# zB5BCz&f|Sf?yBM4Z0`=RX8=J8By9nkM`01J&8a*Ht^;t-o$k}FhS}Zdd+SthzJp-3 zr_fj0XO8y60RZgWW;vufbv4_`C~P8#(oTFWovswh?*(|_W{R*D=7wZW(};fdJ*lI*p~qk;(tcj306gx8xHoUyw=c5Vb8?=lL#TGb8x4wLr4@)zssj*-WDa%~|4|mLz*@?aimAf1qJ6;i2u+ z?2LqHh|0ocOG3`5+Ih&gJ z&fz$l-AP5eGJZE#lu&~?iz`;dVvsKtK>t@Gz}}iZ$>|W@E&dpy?rHJmjf^-Ol*wEA z9GrKN)d;|HUS{l0a_RfWaLg~&V^VI2YZy z_)JWacCQk!xRNJWhb#F3`o;`|s&N^Zga+z&;P?sCT28n%V0yUu=xV$00NKSDbpbb? zKLqay$CDdX->H3$A8c3XoRLb`dGjvobF-~^#=jCW@tm;0&%!ys5$aK5{FCRZK`}O6 zWIKhC5;@5#N_!a2O)Yp~dUi-Tmgos6;VX;(nLeTL7VA|^R{wmUV3K|@Nv@jPVkUh> zKuQ18rGmM|Db^x)JO?(5+CFS=A7TAEWC;Qr#e$zTxuwfpGL@^?qa~Tx88do2G`P}X z>7=7P+&%LVPkcZIbV+DjaaI?ogJU22Xh6}RAO)PvDtw%mq@moen{4hAOMN_@3$T`& zpqTHie17|R)v)!k@rVA;EpNkc$JLy+v7aZ(l=UrepIwx~l&>mUMdNh0dz9a$>U-cm zdkKXppHcLQ#yM;wl;64PJL5i|hJ-1b{JVcd;|SaP%I_5Q6L6nXcEXf-6_cEsC;1n| z4&D^#*C_d8uMk;vZ_FA^aYs`}l!b>)LUCt(-_9tvyNI6%L>a`QNWLhIk!VDyIcbCn z5913|n>jMXTRsDd9EoThjO-Kg{|7zC=?llgy&--4M?s2{Z%z4`WA2K%2CZJvB%xD% zM(&l19S!kGT5S{JdNc5ytWt4;iOIj=6iK5P0-k6UziI^c<^+sYu(qB9Sq-568X?~l zLqSB~eu@Fk!f$Y1(*1oj{`4#7PYCYnLr<8AS1eJIjvUMB;cFCkIOTIzsJv&a0NQ|T zmhF8z$87>lWE(|GhZ(!ZNFhjX45h2#FTEvn5k&BZ4eW>2Xo_Bh#O%pHmqtY_V%`Fe zod!aAbYr}f>Z+~wl{hCcz40NH2GQKWKX$RoaQsm9@E9vpr)wp0t4mej#IJ~~-D2ix zml`GFI?>73G#OGt^vAFEwIJEs zVq*5&ZBjaspT!{R(f;)3{U*b*`qCA8jp>`_>ZPp#a*?ZQfq;D3ml^K>Bj^F3*ArQ^ zELHl=j$n#<4$$jSWnl~@jY(I!ZX(D2324Pz?LSw+j4Ka>7CfuS#`9#jrTrS))zcG( zPun25nM=D{oj?LcnKY!O;~lub-lReXlbe7_>EFo%K=xZeFB>-#LBvvm&fN#bE)WH? z9|H(~x`d7_EXls`glL$uS)j9UAqzJQ7t%HIBvmX!?n~uhO<#NdyMG%M(pQ$AwW^i9 zHP4>_Y<=)EDQFb}lRJb~{kLup&^sls#f(zSuZwJ6h#z`QGWCo+jE8xh>Eu?MBINi?7lF1y8+oEz%RPl@D14ncCk+^ z*{la}fWM1KM)!({Q?Dtioab#`Bd`BsH@Mq{YFtFx$|pB=6Zl|3(-Y92CabVqSWE;O zHtL;SitZpu?sS2?d%&8hMqP>ZP+=#GAYUuzmk~Wd%_Bx`^7y+cF$rb4=nP#RArT2`MQ9Y*h!{{r1H@KbBy|sC zRlyR|awg!6l3!<%?30&%$_rb8f=12U&L4Ieb(50bDvwpGEEL!)&F&<>5-2RNJH!Tu zqEwvZc$;7j7>giTFZgOf+Tk{z`zG;Z7E-nJ#w|@paQdO$)y&>W%xMLWVu*SKve-P> z=JToC_bs%~kmduU-_q+4-TDVomODo4iBG1(v#dkKq8_N)$^nXg75UFI0YKgAKHdjc z9+9$N7ixD53f#~t@cW!wO0O5TvS@UIf~pg2&T68eXB5h7Z{Y_``*7f>F-*8=yY@})q zhun1S1JV30-(1ybO!KP!`=Y7_KV2w?O=6ID`IA1di{1en8rX{>Y>+5}LLc!R^g)GQ zv1){04qVr>k;aS_vr1dz_hFV3erKD&)~4(k=vMZ)eh@S$Fj&{OfRov@r)jFoa{JzM zYOzDxVuTH1ti7|LuT|SNxUVZ*l<+l)8op%?ABMw^MFrx4=tOwhP-Sh zduk<`8Zw=s-ppD!nzMH5u0>SEMw=Az#8nTwjHc*eu7z%bD2iB})Lzffv8l1xwv(;A zVNaJo;DJl0Jrfh)Iwaej^X?0p>b*p55*3CCn-JR&(o0oW;EE05g(T23$IQa)@XSE2 z>%oFWkjc)6iU-S4lb@JZNuIO5dF*CazM%yqCMncvn>v^vX&O+^O`II`A*Z(Ie z@mHF*)A&Y8q^y}Nmx;X;z-17{`tQISkf+lbZ?bQ@fO{4IjXSrWJ>aSa#@W=K$9j== z(BuzfElT_h(Dm>glZ4u*J?Km1%5^dMyYJ(+Ij!oEm`pUC&U zd7bs14Mvhm((=9Z`>#;L0sP)i1+Wp1$Zc-I0~uR!=Lv8@5v2XKon|s!)AO`LYQ3qQ z>KR!bKfbcZkUN?#K5xw1hG6-V4pqvH0lmjXB(vc)zj*_ANP*;bxxHrhUjyYwrLv7TTRc$$u zZpm24jHucb*0kdEyh4TT3fQH%vBgR&$!+A)eQ4uOsnG%}S`9ui?L#?I(LU1X&cTWZ zl6q*N3T$Iiaf|P#F+t6C3oVYo<*nRag^u}|paho5z0)AoWwqXKTl9=OF*+F@AB#bP7X-7^Nx$t?r5@v zS6FVlB?p+@^;B6~nQI13h)x46UGqT7W(tQLzFWAw!dHHVNVyhQ>JeZ0w$8;GYjMdu zD^f*K(8iS8RuA5y6Mr=?)l&4Su+~%C}m}6DEBmR zCtLIrKTA)YM63z`_D53NT|xr$B_Hm+Bq>l^<%p zT4yKQt6u6uMc)sRsXVvPF*6DqRIJ&v;!W``K{0Nc(MN3tJn(N)c10@Sk6n@fYW`*c+s`aG^d^Xt$Hl)5v#}`r)C*a>%=RW zV+v65#w`KEzqb+u)}Kj=;bvj>AV|Smu23TA=^RkpYXp1hp2_5k39L=-g4)aV-WLwC zJuCPZ@iQl7Uxq8I`;p5dgXikS$8C-)m_(qewJOM&NzO}L6_)XY z&0K^e3{}YA?cXn@9*`00EE+N);-~)+I{|f3IXb&Mb9Mx;W|n{A%vem|Nc^FaA-^ZR z&KFXU>!IOb%~9!mZFh8bAZeaA>B`clk%GCwJQ?In%68~X5)mFylltYtYRy9NxFQAlp;`%GWs9t5wJ3G_+ZxHfu!GHH~OI>;$nqBd?u{T1ULxy6wD_f9!!6E zFsU)51YelBj8U|k;{200tK84x?$D2aKd8B>0_Se>f~vtma!pVTc&i9XD~3HOA2cL! z;ZHujMdj(Zfw5J=M(Mp806g-I3k9bM*pcJeG381EL0k|dNb#0=5(mH&Z~!>5xMU5D z8ls6RxDBRF5Tsu%5&110tIB2qQlH&|g|(Eh#n|6Jw=svre#MkDHI`_X(A61E9D(tZ zcMW_*KCKa;suM|KUOb)bIzH()8dc(Qx2O(6=Oy4BWD|s&i`yXw=IwRN#`dI(mx+{e z7ZA^1t3mRyD)*hF!rtfDRhAsm8V)p?2|fVw$uFm>lzGglMM0-K-!}^Py%IK$SWIZ$ zAo6UAxza5uGB3)0jM(i4Jz}~eD4KsHHY0_xOC!0QipRm>ya|iNH(_{BM-V`)R`7d0 z(9;+seNUa2IS4~882_emJxjvXobLF|S1hPfLh5~c`ghT%2YqXNf<`;wV|t1f6!NlJ+n9wmbq^^FR{7POtZ$OJ!9JlzEoSrZ zmv=fKzeTs;bH_Uj+W8Utn_CpP^Kv-}?j4Q1ZQQz$EBdnsF|2jbvqTA|gOVF+13*UJ z=}rL+);>|ZJTJDW9{NHx8@-AD{E<8efZ8RVuyR09`lhYb=BRu`%Izb$6+_oodOq27 zy!pw^3B<7TUf)?Q2xNT1NB?DrpLT~ISQNx_gBAme(9Bb4731{vl~M}C@)XIo$Rsq^ zu864>6o2qcL}3f-n?|d$&TC~vvJ6sVPD-yuTf3PEMd^PQT(f0VCxar!>LnZv{(uwqp3@0Tlst!wY8 z@<&&}c5|TH3rnZIx`?`LWhpaawyG8o1T8=794EW>k(t*HmW7l0`g!7FhB|s;=`JY= z{~XItX&mPnm7J}8_9!`>C55cYH0en1S!|O6LV$~ngUVf)S zpY5Kg6f+Wp>HnQe${L-LH|S6yG&}Lzyt$*5kZ6Gd#X;RMQX1z(_y05kp!o~7d}@8b z)Lcd7+*}2DIwM@8HM>mVB`^5Q>V|q*JJ5|1z=!Q=I`KMd2o4$=fpb5!Z#(o;phUPz zrM#z7!@zUaW&iz>B^g_tYDy z$u?5R-3ETRL_PsQc&ak%K_hM_R?-4%G1tC2x~AMLKP|VAt!Vu-^*@>%?=f?-Tv^On z{+MHV?UJSuiE^fgPKoZO$B3@a6;t$yDk?2bW z_P-6gy1YYT+Z9))OPEFzotP%ZcrWn*@dC2+I{PI1G_d`962kN%A@7uWNV7=2{LvQK zpd<77r3m7B{{7-A%K-Pt3F4aSiHzBkKsH0v!}QRbAYkfDq%VX=(Jd`&F0%#Va!l=r zuiAYjp%8XyuxtmU$?b{w1ULotkdRHO3COiPLi(IqGDqDgw+VS>C4Hby5I2=ojg*UU z^7vlZn?IFiR4Vl6Cwo>%)cPCFkAc2~guH>Ah8!qO0;Xy(0zd1mAus3f@wZ2z5jqB1 zHllO?a5WI_yA@St#`B-Ps@-UbPFVHnvxD7S|7OAdb?iUgd1oeaW@C?|l=CL~WQ@=_ ziPBv}-*+n{gLOjrO`wD?#X!l3d7!S@sqQPvVk<^}N$LtM&ht^zqEYF;t!g_zNVykl z7PZR9cBk)WM_$7D2JMvMnbEKB&zgJs{Coup(-e&8`B zM)BamI25PYrb9PtG=>Mi=$y-QBZ)#mjIoQ@)71`W;T$b?#kRp`3@8z`x+eLbDTwlDg5QO_^*#BZLZ5P#)5~`=* zQ-@hdq)8$~Jx(#8n08jO$fndI{dr0Fh-D=K8Rf$fzOS%g>GJW4K<6c6hbGdfwvf^# zCaC7;*`yh-on3|hVnrZYxznd1SAy;LI7NXbZ{@4|fc0LW`6v z(*tj)xaz<8HN$pI>Q+Gzw0Pa(PQp-#{yNo5w_#oc<>1vpDxzIi6y1pmm|U};MaNKq6UH? zMtmI1>4PJB1SuJ$;ePrj2N{M505N%YIyavQ21!uG{MpD{=?OZx!IUC3rvTH3I|KU|g z!JoFEizi*pO+Lwy--#)FbmM!&h5Ei_Hz=dP)rh-$?&p(e4hv~bpAZSPXTQ=6XuIbR z?Rf9u8zpSlkO#=C(Flzf6*%`NhU}69L)=b7R*EA6h-(0P?!U>$OonW8!aZwLcrml- zyg!DI^wE$q2)elp;xHbCCIiZVsjANF1XD;kEz2*%(F{;2iV3b=L%0k0tRr%7Z*G({ zmX#M@X-eU+Qtq;%ID#%|*ALkFY}EFAQcl)9g4^=)VYXJZ-VvLV!F<*viAT^MsOi@skk$=~p93&Z>l}3s-g7k@Alj1KePy<~;P@A`zr!+xwgrIqq zcvW9n#qu3PX**5P%-xp)d3ULN5VEVd$Id_KaQch~==>PC{Y+wjX?Vh7Sn_FEh}yEZ zTz~U*&G$hdn68)U-EOT93bNIIkG$A54bN1EQ+8esnPy^K{;V@Q_B`%00H1^Y_5Yl> zW?(G+IQdN=Yveny2v>H$Y*@H3-fi;dK4B3Gvufglpc~r})6cN{-$BDLNM$kt0uVO5 zd9wDegniM1zZa&z-@nGvvxY_lX;qoVwyMnrfhwE}_s^U-%bXn8d6s)kWQnQ#Ki|5ZIB1}%rk92av4+X-j~T_f##EY{I2{xPwhi2>)1x)U{dQ|r`1L?w}v%-qx6 ze2mihqM`sn8zdy^FT}>Bxs@gFcf1!Aa_mGzN>TETGEYGcP=}QOC@1OtssZMM`SQ_u zt*$HbB6;qzzP8Pm?pcRR@6Uz|8#jS21vRV&V#o_&B`+~Xv*O`0>>@!QNvFIRg=JB}lv17{TsE?6QjO&Iuq z8g<>-CT}K_r+4|hG)Sk`!-xtqdwf6u>81rS*2hZd)Umvf-e`-M7)p_;-+%YWWgyP* ze$sVQdqSbTr@ocuYz}Q#_QA!PLz5bj{0!!=%ZGy2nFd{~3zrX*n^kWkvbVK040S~- zzK7~!UPS5tCCVb52WCxq55`D&VkH$>x(*+!yEg;BYjKW!JWra)yqH;cb)@<(G(Y_Z zVymBH5&eFDHY|e`kg+q%wR5WW`Jp&>h?u(NwJ1cfpMor#6>z8sbyczKP_bcf?pn$$ zAoSZ;iWWRPsvABFlly#@otZ=i|D9z}{-5mrwAi#WRg$3scEz3PwKJD2wIs;5cQ?yW zD_R8h=Md~*6lsqv%KJfUWM?xho?X*=?aG5Y&cu>ub;;aym8^#Nl*V(aakCVs>WaN< zRnW4S@9+R97iwYq^4^_0mX|;HZwTGBGw48oUKl3qU2~=K0ZU>SN*0;Th*@z8z8u_K zXXA7hFn5fA%4l@t2L$FYAr+uzFyHU#X;cS!@Hr28=zR_8L6h)C@ z?2HIJ(ckjnpOrQDzjYbD0nmW!i2`&}rzl&r^}-r0Fk#=KkMqI0d%a_qX!vgmK_|`N zL9{Jq3fYT(w;O}c0VeYhK~XJf!&<)EP&U3lF%z!42==~;%bc01<-ws|Z6BexORv=Q z=2QnIV!c&+B^V)xU!(i(Au8yf*OP+){2>5?$Kz|hkeEj}RIAV8a@!qV`~Qmx9A0 ziPVxvxeO9$OF7(}u?L;6oJ>Bx>AS-#zP)@Op}xX5$>MRVlQU)RmwXB<)GkPf;Cm!J z?fWj}i|zDO*HH4eeWE&KTeISZ>m2gs73J~>90p3(z}}@Z6BD0^9lFYo1=_dL8BGzo za7Ct;rckZDz{P53t^cg_WK2;O{WOvx%_@cOXX-9{3JlD(L_YTE_6d{DeM!;WD4}fT zi36g}qCXGR{K|YoBsyNt4z~EhUGZNsjM^1xmTu2qafy~(i z3@IG7Yc_kY4lwrW)5uM2IJo>MVYR&a{1MC?y<{La@^QgmCar>+l^VF9+xq`4(Wbs! zD|k*&E#r&-;tM3a)-<7|j%v#DYqNPYZ%yiPEr7iKi3-?}^$j(BU%xat=RBmEYA4U7 zT=MO-Q3NO(_4K6ZmV%8+T0Q3r1eiAT3vq^z=cX4Ja%QvF<&qX$HU9|LzjD3KUz$~) zR%!aX*ODe`p`%EaygbK^7n}j{_oXSR!SY0kf`rnT@(p zBzpFHBRE_2W2wFw65s|P$$p~9@#lj2uaNuxM^mR;$SK?(oRwToj{w0#T`*LSggGvy zF10U|J@dZhy;dHv?|XU{^J8wnplS5^-CowPu-n({ERpj|BoX;Pb3$;I-GNp0wYpKn zj-fH>RQqYR&}aTu-UngKmueJq`l?9Cf}PWAn*HpTZn62mj%QX%b#@5b{L zEzTkbJKocp+f)qtPjvKrOzn6#_8xj`mNC(;|I+_y`=`gUoXYq{#J2j%uJ!|+@82Vz z!d%VtQ9w0Yd=0= z*l}kgfQdfmQxB)hU-a~{#ip7f+b!ITO(xSlr^Zhj|Nu;@=Jm zWP7B|2AhvBbG{xBh|H;hWh9-5XP%ariKumsys61dH3TI!fT^UXLAkn?^ZHFhV!ufK z0oWQL*v zfmG+P0Ju3)NNR-BI@cu>N_T z;&b%1)`vHfn>T!7ot68QR#OwqrSk1v7g*O5zGv`g4E0?l6hbxP-{(>3=FcliX=oN{ z`(%T-ZO9$|-3+ZBsm{oTC1mij3?p&`viERxAKCmn^@Cbb`i|hc3o2WBCgIVi%R5k| zoB=Zx_JXWQ>BgrAdX0!IEZ@%rq-$(o^_@3=KskU!H>u~R38SS37z|6Q>lv0?(b$H>8j zfOlb6zG1HIdAE_HM<|Pmt#%c$R6a)_@Ru3S`>2Q<(ci3oIs>OaN}G+45Z?uNigjPg zZ@GoLlN%!VJX~#MXSfW`$9%T?Z?Rjq`w4h*a2o0wt8TuiuE@Lt$l!n+G10BJw-fcK z4~r^POK%Tf(6KC>yxPZ}M%MNW0Y`!C$UZxX7OR?Uv!DT0vkPpG0&YSkN5?UvTIsjT z=&t)PD}9AE&^ckRSsOGAv3Kkd^aQJ_Fi^YaHK%qgWmdwzwb@j1K`dGoGDAP>Umy-N z(lYOLV(*_-oGHM?5GRpS5(y!xVerNyU&IOk)cPSwfhzD$oy>IpxwM-T*5@Y_Ka{_y)Eqb-OsE^k)D9MC(}s+L1;>XK-jB^G z#$n>(&IX&})5Q$*cLk42eWV=DUqO5V9v0Ij(Lw4SZXPFL&XGW$7h7O6MgV(6!ZL4F zINIRr@FwxFeEP_m8@=-@UR)VYRt*iTPHxu+q9@1)8uNvAW-sQNe1e^!14;6oQzC|* zHC;c5VdSdWg&lVijv$X48y--f99?P;h!)E8FyoL;^g+XS@*nqF ze|P-krid_Z<@Kp|t@0o8q$tZMZxPU@37`V=3%?Bz{BMY=o?joiIw4^{WPnhG&@6cyr|1(udx@21#Q=p zF?qb?g64gRGxRabGTV#Tl!P;ErPlj<(BdN)hdDMlk$!Y-+oT5X z3rF=fgT-jNxG)lr#VTX{x0UDo0TUd+j#_#w;*yJ@+ z-Ag@778IWsoEIKr97r5CV-1v!vQa~vQlU@@dDd`8>G;(HqXdruF-J>rh+RqwA@je| zJ&w(V+3XAZ2u(9*>(EC#Iu?WEz_^Eg>EkfgiGOovl<)7GN@X{jU9M@TjsO>x)dwrSMIH?CoT27wzi(^Edkw%R**b*#`}lXqwAF{h!|=GuL|EzpapD9`&jd-Gpe0CnJ|O`p zC?aukDcm(qa;+J1^B)4e=3uPtGdBPM6ko3wtj4d!C@#WJ_xMUk`&|k0$uE}0U~fL{ z@F~R$3f}*#gXk9eu+5)}C$ zWj?K2JWyUixnHHVBvwd2Ooke{FTtu)ZItNJyh{F>L8^;PXHF|{x?{!5yU+diyx?#S zFOynv!;L5jcg02?Au|7budM(6rLcGBh0@US3NE`vr89&4*1hM~iL98OhVQ8*EHvTe zrg;s2!Oa#6QgPYQg49nkX+CDyx(&0xM|tVn*9`sDx4*+s)o)vQ!M2B3YURg!M#)K< zm`di#OP5R8R{M=OS+)i=sRLiNP)*sI2NN`c61$P#WFF=t(nxt7qCHFEBjscW7ACgics!#1sTH%oc(+A z>;Iz0!bQI3A)+vRlV?K3tG5SwAZQixO3$0*9ABN5cwf9~a9?c|Y`YEwP-1zkGf}Gt zp`K>dwfa}lO{)vPV!*M^m_l<$_QM!c$(Ggn^Lty?O;N^|ugPQArrEKt^hKPDgJO|_ z1V7YU58Xm3j!tc8GB!h{y2+{U$ zh~h_lkK}n^ZqtO=E{R2-f?>??#QP0(IbPt)L=s9<>V+0UXTTHgiN2mGdbvjsVlZ)O zBZr0l_UNeZi^9UJW+WL?-v-$(PVD+;YTnhb<*a&!*Aa13Q8P_nlcK`w6i!n_^*(%* zsm?WXc05gNKGd>qG=aqsld*|@v;c1NjDRXOL#B$78y5ez`Y$YHJ^>}e z=U)m7>7DtDwQkeK)bgT8tT`Vpp71X2Nq8oHM*T14)92JHCPaK3nZ}G$RD{i>>!Ad0ljn^MP zk_9u7ZXl~~_ZPIx7)n+nvwV)F7ma8ChKC~+$!F3bhpBHmZCF}WLX*Rxh%_lBSe`AK z-P{C9FyrFSzKi+&+ft?SYrLRIk=mVK66Xb)-nhR;rqFB?+M_vKX3}o>+XaVnK~tGr zdaE-WCfX9mMD}FSaqrXj?<;A!&0V^D&L9$(6TD`7uVHUQ8s(QLPONo0T~SLH_`we({M(24p(Z=gO3o7 z8F8u++U>*6arVI)G|_5F!~O;oph1qal5i!O16oJuQgNEyyO2$y=sO+rK)!gM+?yre zWVugBu=%GDRWz~_vHSY8pFtPa!O{}3P0}!EMHjQ*k^|cNGq50r%lCngU&iV*# z=Wzv&2Ciu7>XQ2o@LU+6?aWa1;d;Yij;ieUfR2Ky2^ww7)0xhd1Jj+J0@Z6Z{-ix+wa;b_mho)%usY2kqAk9Y zg}FfWl9Tpk%A^@|L8?Yl`peayn#MxDL~ydDZUDH}FbMJCU?q;&x(Hc+y6|tJ%V%TI zKP9AyQigW=z3*FZz{wx^dr~shBcr^YfSw;&#x&1P9knvSr$B>)$XptF?bh-;iH`iW zom2Wf62t88(o3y!U%n#2zAxxpZGE}_gDOt8O$CSDWucCp6xS9lO|Ac!KT{|1j58bP z?);O=o%0$v&6w>a-8s;fr!V=RKGaa(ip~??S1Tmbl@ltJb!Z68j@=vKm9>4dVrMH% zK0QGe?7l!9>SCL>ZpTXdqoRs-+36ibWxtt08Z3<-!~Ox?4#q4n?;}N?_<+no>&5KX z5_;zFxTS08aD3Z4roj2?6|nOL=c+0^qc{?@k)1ic1$k;*R{7*`@!0y=-{RZfSn13q zH~&OzxRS5FIo8evsCGs5W`&8shD6;HaYcv67ekrZw&S*5H}XlS>6}oWxcOK~Cj!c3 zDk^`i+e1d=3_e7Y^~&p@#{dq#R&Z=|y`XqFcb&fe)OsJ?@*h3RF3X)$EltJuB$-_A zFW8(OjtxlNEzvP5Bk``r2!EJGVB;LXu!wo}0T7)6-Y66#8w!AT96_X@8Y+mes~D+Z-hU7w z)aSWiAz>T8!1S$m{XCv;AoLaNW>;tPWmD_6Chnl89WOrN`f8Jl*qe3Q%Q@%At#wi@ zc53d2i-M45 z(5XO}>`pw+c@t9bLo%#`Cv|#0#3RNr>AmKOl$+v_Z~)J)NHG^}JXwdh*nQX!bP{$5 z0 z07axTj%Fi@m2}pX?B3Z&iK(a|L=Gt=Q^RJUL{6-WV~DkRP+S}p=~4C?WBn>Y0ejh7 zOH{~ZXVeRipzw;sY_Uv<#3o%4&*v_RhIit`{88R&B^{Nn-t*76KwCwjA-Rl`^RU1m zeLn^PW}xh04IV|3`z9>}}N#>@pgzv}V@z9Wkn$3r;>id~>ELvAf z`aHAL4XZO5Y}| zwxnsI%_9a7OC+C6DzVF*UqV)eEO=H15rVCJ1L(NKJjPjan?=3XXzEBfJ{YV2tFTJ1bJu(~bfV8cuxzXsC>sams~`J&n|&Q8u2T0S`Sxy9 z?}m747VokblJ2`R`K45VMuQ-=^aT7087irwelzRB$|!RsdR z#^B-}w~lC}99P4TV;S&rpJoXq;TSJJ_tx&2ChnS@AVk?(jQ*ilMl505*7CFJQJIO( z2%%To$~OjuZ7u8eBqSiEt%eKgTsQUzOn&tiOvCj{Zws|zeU-!E^03RZ#K$Uf4KV=fW=*-@52xoroMVU`1cqOQvI=K&3Qusq?^G&kqs?N zS^Z>Sl~-rHsgHkmEME4O`^PQE0hx)M=&Y_6=ew36e=WJE_FsU}d*ywVqo;_u-76P+ zGD{^O0|e&=gQ@Md-!XuD#f(&9tic5$3WSZW%GP{;_M~ zi+^GSz|K!uPfD1^tBKpAhjOy2Zqm8JxuqG#BAgD`TLeoo$tBPI@p$ejlHceqeT*!E z7MUFME1R{+yEX^Mg>cu0E`B%^6%m+zIN3K}^zuvFYc|2YRjbUfIi6Z8F5o*c$p#RA z_v}&DnOrRkJBCm0rHP0hEFyPU%aq6W?|@4GfKpO-x}k^KJS%R#+VO5b%8?F(oNnRHv3I z1i*m_AqNhIMMT0;mTD|u|B=IRFgqwFT3c6d9nb`*001TJ(D`PkwVSQa*))!Eq!nmN z%CL&Rs;0U|fK~+Odyt*0`@Vl1kDYs&sp-!-bT?aqqa(b_X}5)929O5(c-wd~_Be0v z*fw{nbRiZ3LNBD^i2&h@c?faLJH!z@D1RXs z$fXiwmp}jjC{d4)!VjCpqoC?fKyQEq^daGpaqQ=4=g4s5gP%j4gHwz-{n-*`y4xlo zjoU7l%#6YnZgUJS?X=Q*e-)B7mhL8*3GMp~nK--cHFo~&`LI4O68>kn6l$?<)Yos=Scra70b1oHVwXmaR7ZITwPyZV@ib_i{Xg&-AQ=- z7b;J>Y>(l>JscPqoW*eJIK_TDf5hg221dy!^LC^eRg<=3Q6>h}=lPEi6}O6tjBh|T zrs^%fG8GYoGEn2Z(nP z0A!&TvIK3Q86Y*$Qo-{n$?^)j7zFDU;p!V;kSshvlmWIz2UE_d4c-(6rV|0SsxHm9 zHW`h{>k$)bRK~Rh4~QF5VS*Nbm)e@fRMQ#?NJRle5DlzsY9H(=;!~1%)2rrE4}s*E zQv}I&rw%}fm-PrEErLg*jWQ!+ow(gvc)pL{)IjTQAr0 zK>$xL!&~`AU-)x|$0haeXcb2TVPRO@+(&_6NiFrAnw6|05fld4S6560uh-3}ifDHC1W#RJyOTK>46ic5EC`k~qrQ$`JDe zzQKBhEbu>2&6Q5k?munwNGcioTU^?yL3Bx4!RYj@rA%>!m-F&l!S9~rUCz2hU)K2G zpL&AvzcjixP{nO~zwYnq(qlw$N#8I2uL_IB!W9tsR~UfZN zDJ(H!Cn7G1lC0_{JrQwmmPk0mr=6neq5#!!J`hTYrX-vq(3EvsU37^Cx_azGFw`l2 z5+Rln9zh6gI7>>P@DroY8f2Zy%HuP}vvRXe6Cz`MVxB<*GhAp%<8U`VAvhT2b}B47 z6r5p3a3s5N$cKUhfD?Ss5il8&4fW>3=x{-Vm4FQ&w

jWL8vzF9wKz$HOJQ@Bw|0 zI#>fj;6b%u35xo57_kcu{A0|o=F-PjfS%+5{2;AVedRDx9`NY*%V=Ijg469%_Ael5Py24E6@|hXy%-}Vq$La>gX&ifhf_wejXMqixqL>ymC*$) zirn5k5$qr2K4{q7RHgD;pnMerg4UBY0Ov-yjTV<2BxY*_1-EP*x~#yrZ^-8MweM84Y5Hs9wBYCaTZ=Ay z^Cy5C-zzGT3TVWpjvla-+!X-qsEP43)i44_H5E*gfx0zE$a?lFkx%Z~#{uD|5{J|z zoN^ZdFBQ_CX7jTi_E!iks)-5@P;ZAL2AJjwJvjJ^e+9UJDWMpvA6<*+zRU<4wU4CUwSVv?k!OM&L3-gX4!z z=mHJrZ=O1ND{9s@2nYcDe*njU#yvm47jTZNkMIeoqgF+#ae$*LK*JG#z&-vQ;0n~a z$g#5kM>~JN@dy8FhyiR8-_1%L2F!uz#54X0BgKlLISY&XtiH~Qh}nZKdAqtqg_Z|+=R9EvYL zBEmR19WRs_T|G`{h8O)8AnDhGPzd7(1qApX_j?;ks1vUux=bv;2u}p$di%5NGPfSm zsYDfa<_+S!`;VTjk#8d-%&G6c+TdZ#bR#6E(s^Iy)>MXH+PQ*1m5V;5VL9WQJ+mj1K5R^ zS$zFg(gqV+JQ-xjq#oRlJ=uBFS6cFh3^Al5K#i-K)=OGj@YgiBjB+w)G*HfueG8u5 z;uU!Cs#igya{|TdZ`aN?LPX29wvKGvjJMrx291JO6jmRs@9>{(@DK40@%8!qW3Tc> zSKO(5k%9@OX~?ev_8?*g!ruW8(w2e>idT93F_bToQ}I9;yqTtt{D4z<0~H<$@GzSym|L*}e@g zCN~br)q{=CEx`D^TvTptUX%s=^jnbLVt->XpsjfGfqIl|RPzVt$MKiA=7`)xkqIIw zycG*gT7|KolviBsn!0Vpd%6m-!dh%c96-1sw;7$~4B>97=g&RkNwryKR{476jzz9z z@w?kam!G@fbtcwRb}T?_unagbXB>lEVZ(DErR(J)>uKv|5K7L_dUB0V)>SAYLj+P0 z5%>kq+?f5QCI49A3u( z{l*!@Vx>v3wfW&>3L6_D>1X3zjXIl$KeaA^^l&|UuO{Ki{%h~~GY|F)8<5$8G`RPF zhv0#`x&2h(rh~d;3#zU@1`i;kaQOYoq9)Jr_O zUzug^BK%Hfr|D1XZ`1p;CpgRr5)YGCBYWgv;|r8sf-O-ZmJMfA7Kc>6dsu^CiDKic znr7~n(~ZT8IqaPhXk`vkq?w7&GsnztKUf&uM{9udbs)EI0Ft# z-kkLuYZ9|-d>w9-Ie94R*$F0wP=zcAykbP`97iy>F*`JF?ygVSBDlQ$`o%VM zg8I@sg%8O8G61@kZ27efJMO<5pFX_?d6>+La*8kj9-5XdYX3!7XhP&a1>TezOGvN5 zQ%lI0IjHxd-}|DN8r-=Qd~r&q!^>RFTk)cZ8rHc4#VEnUetIGp||C@k!aG9+{p*mgDOVm zr!~27^r2r16-3eLNqtfEiLMKvG2c57L?+7{jI~V?V?V&5UM`ZZNp3+gJ|CGB%&>cXde-pvc4-=!HB8Y+JY zs~8A6%H%VC^TCM&1mlJ`Ai!@29lx`f!k;YZT3AHBCPg|R2p8CtYiW>!8^wGD2(nnv#cy`#XJ<}J8e@A9zh&7% zpsbP_-B`RybRHg9bo%9oN4WN}MG@|OY+=cxGDDf->twbS;K4K|o{bqa=x}-7hwt3q z+t=eQ8s8H&hb}7fJ}=Ji%V3bDTRn^X{hm`|w&Bp1G&JFcSz~d@r;dHM^@<;2H90-) zupg!yAnBjDwe+clq(6RhvA!YRdJc-==3Z>&JDj=iu>;xTs{4xajoAK*YTqG>;B&#i z%a_3fUlSN0;^T)0s~Vlx>4;zREtm_?`nC5&wEx%R69%a#oYT&e8&OCz3|Rg-dzRO^ z3ZfCAGBhJxewFtV6C0U)kbM@CCGZIfLeyGxfZ>5gy}~S+sO|wwo6))D=g=x?LciyE zDiZxac0Q`Z-eK)hFM}m}cTK^U=@^qTVY?0PP~2c5ijg#D+`$AeKyMh_;5q+C-1xVF z$NU9kxqmWrZ2UAS^C_55)Qr4-C+>;FuZE?<(W)X@{(|u@e)2lY;}LiNR>{Kej{W6} z8)n>}oAm35EszaKH1y9ZO;#EoTgofck(rE0vcY;?(RwGA8kPV;3 zd|smz@Tk#=h<9&rRyZ=TUe!`_HAMgg&fL@G{}(5g<_11L1!KdVRT8l_-Qdz^6+Zsh zA`g#yBh0(Or1$S2j@OErqG(*@8MiV?F(h1F9nIg($4 z__|-%AQ1s&iVI=9$fXNx7(j9|S|Lr0f;o!wP%dTOeB(umqk*k?hocE3JHRN_hdJIS z5Uq@}HxQebc>{RfmtZH^(`~9gHzd2NfH>CLScc#a74V@M()1DCkV7fcjvu!c78Fzh z%!yy0O&_NyIh9rFw<)~}-k5q}XFdA^G(iPbJN-J&-ERzmHk*lctOZ#QN10kn!KzS! zAKO_I@83s17(Q*Wwb^IOw{J0VXJqj3X#qs5tfVX(lXl0H>0)|YBs-`nRAfi+BluD~ z=-O*_y&LhmRVZlkr-GHl8-*>Zw#tnWvw(&v0}y?d07z z$695S+VD0Od3y${6Gh4h4tF7VC1J&QQDXk>W3I1o7G6(uTk}9fi*V^GJR9XP-+N=I0=jY^bI9Cu(B7 z7!elrsOGA~_c8e9-e%UfHvU~0HB>-OvCJ~l;>fj=tbHj8&m`RE23#m&qvT?s<~I=? z9zVrGY%)WIGK4`U;u$@XpKG4=k>>njRebq*RykhMSdpHiPmS8veYWwJWAT|z)$(;R zc?i!m$(;AOlK49(!dvK|)(VB=DZ`LIoZca|DY}&~hiQO5s#9v#tUwczVflh>Z35!F zKUo$&B}Ew|RTxq%Dw1Cp=-%y@p*K6WHV#*V=EY8HmI~x!`XxP)wxViFIc?Mh2cQtV z<36}+2%g6POOhQD=a7xXDh{fB=XzY$a+I`P5XI@J*xdc|6QSTs9yHscOdoBx4Y;fq zBTkoU_>Rz++_)kLZ_yHX7E9y#F{Crg$`+_~VaTHW1={BFr)6y6qx5^-$=r!E)M6Kj zzyPs-w1N&Me+3E5^+1X7(!14s)m-aC_e_V|?1(301o9)Ho+lAJfUdyduJ?MK~RvgY7T!m3e~SsW%lZmajN~P2iW6&<)Jhj|VtPGuC{PXjd+; zDiz)ScrSf^R|BKA$RADlL|ZoveoQrtpIQp!@mPwdq*OcL!2Pd}l?^5s&Mv!K#y1)VJs zCQgAEOOQyDs9tp&Mj<95?j)ZYMTn0iB*k-SiD40TFEYa;lBlQRDDjZ^IKq#_h?8(m zM5=VeN_Y}Mw_Z|+5)(5OAEr4hV^8qwa}W>9D~DS~>YF3hzB7e|dbJ9wH8xVxXQr^O~T*kdSW9D-eJ3@U5|Se#Gn#JP(fHVKDwMXGKt0>43i!Eu#qLMMTB^p-P&=;f zIk67H(azBFjt{YUfdG+1NEkB? z@HKwD7mavBk9B7LncG+N`EaPli`Rvr`Ka$)N|VS(j!XbCRvt@Q7ALc8Kl#YoMoblJ zy8Z7bx>D|5dCpwL>ybLWq28yBsb)r}BEm&kX~!*$qW2Aq%?}yJ{y`c>7YG+h^9Koy%7j60eToMZCM@D@QVUCaL zjv46>4Cr^>?n#KcP*ma8BX{iy(<>}gFh}}`q?^%vMEFHLT3mR};H#Ur_L7Esl2_L+ zQifk?n@CS+Bv^kVA!>b)N6pXBTyBYk29DtiV!qQQbA3}D&=Mo0@&z>&zs7Zx>FJRx zTx2|tppUAmB%z#-R0DYHta~A8@`PH|5RYEBaBX)GwZP!H!E~g(*`H8O$f?7DMZ?w0 z5+z6POHwr09r(F0A0Jf(P6q|e){^jSI1Jp88ha8T@=_sQ>^m6Z6q zCIVUiu!*Uv0cjelVW89l1k0?ZaS>MedLOE4chE(kl?SW*jOK((!jUFYavc!k;g$#8BB<3drnXj=+5b*RT5gL zE5d$<`b3U^o9U$2MV5O*iirnU6EBeVsf4W0bmNE8jjafbD9ZD{O`%#Vfpmf1ybZpL z&EhN^Kmw0|EwpD4W*!t&I@hk$HQ8UuzqNHs>+RxO^f05+BW>S}+<~)U6X|1kJG- zGeRubqR)q2#tt+CA~6zsd*x86-4#UZqeVd={{e@`uU$)f)sV@^SOd`lwhQ}Oz8<)o zPHw4gapD(O@XkZk@?r2bzHos(h|vR%#W=9xyImkp1+ajuR8s_lT-6l}jE3^jtA>LLhmN_rh`M+bwEz)WoPTKlki0+}n zGL4nj z&SZj-7WG##k|7#P%*h#eU+&tb=xFa?pLX50$>-W8$gi^{m47Po z7>BKs_C{$rMMs3>xQEHC;!^I#b>fAK)MDpMv>Z2;cvg<9qH*3yHo<2rS0haj zt!h_s&3u67fo|OqqVH7G>O_QZW2wlx%cs*hg)8!>lMScp;K}3sHQRmc!W2*goyQ@R zQj@pGzDQ_;WVe{VgdM?5Pg{Pzby00$_u4b%T)sW`FeWiC*RD_64P*6{E!#03VBwR^ zt?@;MjRlZwitz&8AlBYwaFN#k3xUQB)e5sVhvx)+H+kL3>w2l?(8OL_ znz?784Eb_^Iy~MT$u`KhuKrvm!ebp~ZE@Ka*5H?daQa$<@L}fEK2yGNLrY60Qx*~k zHtpO>5@Bu@#%ly(Sl*wo%#T+Zmp?o{U}$x^#Y|0<6b&azis4a5q?IXhrh zW0*nh=x%m*AoA1&?+vzzH9c*Ibp%|TldQ%xtcSR90*$k)3Nw-z*V|p$nTvQD+%0r{ zA_-eLeQV;VYOa|vI*c5jJOs}_U)Ep-Dj;8cc|^s z)UlXI>LFnl8SRNmG_wb22#p2llo+JDr9rNcQgr?nv_4auh+0+!8-v1}Sw&*@a(cWZMft5RS+x`;c&?H^ zv`q{;DFqyPB1%_4EZOp&H{6-+G1Y(?wj_MRPAZ@+2

L=;uH?o*2)rw5g2jU$>TTmCf0K< z9{yNj$>dvJ^%B!9DKDSRliz}$yEibA#Wgy6u96OY!J_1PaV1^lB3it-CU9&+bv68;ulcpDrv%fYA(y)=%&% ztNZrUs+-jeVc}tp<`-!o(}W!A7gw)-XptCPe6{Nm-2pe%BOMA&qE-2~FM<|-yyKI8 z4{X+1QM9hhbOV<**Ar}qK|3na&rwfKVC8Z;n@p{4 zl$tiWhN$#u$69nx1a8arE$4^_m4%q(jWjT9zF8HvQ4abCCRlvTFS`4VB|sR;%9|>N%UDIr=2g zaO@&Q3nWNQ`iYSS3KhUJl1}cTVnj(j$Gn)q>2-5Phq0+ zZ1L(+`A&HiO;BAWQ+T#i6>7cdHX$#y^A|R5qTsEmpYG7 z!JF`xV59R8Wg@EketN}ea8A%>7@-+)cR0C>#$UrS9p4Wv-kG-d@7^6 z$Fppi68sS3<+e#0?ACc~FdqAj9rTID`Y3k|xx1@!v zNbD-CvAum|8oLAvY^rs(E~k^+!70@%6AqMoD`^JI&LcFSUeYKGEps%V8~m zf6qRvQL`gIZ}DBEDiQk3+B%#=9O#_=OWLB}+{KZ9#}{8#rUd8N6f6Pj7Y0hIDcp<0Xv)CKMX;jgh9 z9hJ3tGfR#}^0Hy$-x+2n?NP%wd4vL|1Xyx07HE*!`bvG5YE46Z#A48AG zRw_fEf1l7?{T%*BW5HtxE}H--I?OZk9b;3wrITYL+!Kmg$=v1m{WUN1bcvJuZM!=^ zcqPVl#u523-*%b8Jcf5)o9~$f6BYFYT{(@4d%Mc#vOgbg|EuEq6D}vhf{XoL%I;ak zJ5dp{96?il@bM%G}_rjB$Rc)ms!sT zmeXw&j37PEgeWSmu-RY?!E7r%Hr3IFxrF5gAff{ZYY7Ig?Wnm3uTxcUYB>XO!?Ho- z;nxHpS@9;#zw%R%;uT!l(r(vq_6yBd@o*L0dJu&HJ_FBI_ehnCM|%3BFY=~E$a5st zX_ZDBoFaT`?c8&BCYYmyrk6CdWWK<3FO_*4Q8f}h6bq^3t@M3Nccqm~6JVd`Pr3O) zW_B@^SRjM`+$RNv=3xbz!bZ9_5|$ZKe7nCt`#86=LWj!p<7glqR(1_?WFViY_6xB< zjBNkYCXUc^Fob|==tn-MmA5~ZHVNTOMHt!IU=F&zjYqRUX=jb?Q=a$xK=<3s9J`M* zvtJX~URhNJavlIq)ZsO0U&Y5#zehG8d90kVUJq{>IEf_SNzFz`D)D)#Svsp6s0Jn- zb7OstwY3x;TM3FTPe(N?_+@9Nw>S|-^up`|FeCkuGtNix$0lU-3t*HeQfyP#0AKzt zA+=d4S1OHnLJqm=wvO%@trju4jio&4a&fN}0$boH0POtkLFJQO21t;0U=8E6k;Det zt!_Zy=4h*5?ANPV=d0zN;UbPg9q#8;mhG7x$kW8yl)50UJ${SSeB!UKt)jsyNwHtL zd-MRNYrhoIG-lNt>GAnPy`2@Yu%jxmnNa3a@20F$$8OZXm6%&E?8_})L{rXS<|d4# znv+|mFlcY2Hlxkq+lr~SPILlxhj6_4I)SuwHd#o$KC#rC>|Rt8T|K^p7$#Zc@yIg5 zbH|cQU-*P;v zG2F%H8wk{J%y#`4As{2*h8%Y&n>v#uCNwuTj{1qDc*KWwwiN*YZwIsmWMlvOxq$c` z>yxKP=jhfOJw%PhGel0KW)+E{XD)5$-ke(k79K@xGW&U0mWLuD0UVu@vi(JYV*!>K zFv9-T@VeOQ>21paU@2{sAg-``b;VymbFt!k0;IV>;~aX?MvqU@X-cj9Oe~zTx*HRxlz}RxWeXd8v z#I0#~H94gA_WKj`NB^p*??0eY;92eNE2*g2W22Y~5dXYfU+!0MnLDR4+IZtKV&j2= zBK1U_x!L`$GCb1^tO_3<{QLX{!zI8txw4|sf`d8H;-^QZsfVk`)HShM`n5tu8IrMo zaWX-O_PN?`hDS(ZzrHOg?+C)bd`>!lRGO#eyTin@uN6v7^S`ag0+4wOwTQo~cYMxP zL}qK=TXron@Rs;;V5-Xs z6K{cr+nlm~F_OetV=puqjG`4d)0MCtAHLKqayyy%*3<#42me{O4}3|6_Uci2TcTe0 z;j1V4*A2-Rk#cD_tXj^zH{U`29sT2h+&LK*bwiU1xPJkRTd@td;XaEJf9!W8S|s+m z<%Hq_cNW>wvVZVY$89v#AAszMe*+hyNLL&7|AwbvM~r6jRz#~Ot?mE$=~Ist%N_u| zAxorYqiq@^a<6ok3Q31;YO55!XyQyPzA$}vvgN)&Fk4bzYnd7B^?}+JSru;zV-)77 z|8CK(z2o2sy~$0`9yc{J%MKd;xLv7BLV%7`myg&{hJp1ch1umUt=c!&&j;dPmK^;o zP#t~FxBt_dL#ZOi)1}P_)fVs<@HYk9d}Sh96n$okLtzTUd2NHv z+~H4uB|q2s^cq2oIeKGXv!$zVYaGPj_EXAn zG@TF1hg=e^)#NhQRf^LL2@H*UJw;Z(&+Y}4JY;R>Y8Kbot|Cy zuWR=I>}CBp5M^aojU%Q&eO}#K%~5gv%XXyyj`Oba6EPWbc1j1n5s?~R%ov^Zvb#s~ z*@yf+{5;+|?6_R-Dm~VJk>tKE)BlWUzKBcjOBhQP?#%$!<82dDZ)r^90HOtjGoi?GR-Yx$`plU2Ld^ANniVw7^PbQxHtgI{RWGj}dLEl6qjWBSJ>|JVZ_ za0~bFhX>TcJ=){HSM!7FFDmOV>~0a@!lSXxMgt<yNmG(HlBC)w-!S?d(evq z2O$W=Z9kX*(H}FMd31%&gAs-D?-)Onh^LKh1;NHXqC`7`lDF&W7qiC&4a``{85iRT zuf2=t5E^|`{eWi@-4*$AZ zsL@>mu88*->VvuGwZoXZ33&|@>1Yk66HZt!D3%k-=xusc$8vUD#0|LmO2GY07Z;kD zYP@%~FkU}-9wW>H9rt^FLrc8r1(wry7xp4%)9)@C{&Zb6?(+qcrrg%LeJ^fe3}oDg z9kU@3L+A_t04DBXudHk&=o4FZ)h{UDKu&K7YB!%&PQJ4oe=D)_47E!0exCWo_Q6f8 zWKJ$u1y80+Omm$q=60=UdIP(v1yw&fJbK@{`I0sjVxVj8jhXBDcDF2!J$CZc@0@C4?z!vWgH_%ET zeb&zGFXp)3E~i+Ce6y-rD zTroKga%6(;`p2U=9dFmI&13Z%S%J;pq%V3(`l}D^ztKz!MomZ=VvZ#l4p7=D##+TL z?v858OAyrz1mF^c5)zGCbM5`n{J4ON_C6Zli zfb~>7I(}R`RkM8!OKo=>HWy&B+S{0Q=&XLLmZ?@Ta`O0LY2x2Lv64N#P2vMNnV;F; z_WbEO;X9fSA=SLeax*V(x-)b6p)F(e1jRiX#r2;8JwS@?fll||<-<{;|KsWd+~gjH zRE0MoqmS`n5{VUEZ<*LcqG(YtniKx_Bbyt&mTce0W!$fJcqJ(vqK>eP8#5|A$p@DetwqRgZg8Gfm)|-+y*GJH^;f`4 zkYyK#I2xBPfIMFYggtQr+XjO+^Q8q3oTAL|MR8l zN-R%Nj=4k!NfQ(<#xds{nUy9w&L^cNg%>cWYY6SM$kq(%5p(ptx}$V9Uz2S~LQV5+ifl zfIXcL?w_#494{@8^tNAhw>TXt1qK&bzP%rWY#7np^9mWhsM$6q1bxeqSBA3r07#;r zbNjktDubT6C zygrcK^$QE1dyDuj&ozKov(ZzAypb1_HmXCS+5Ph~@)4qIffJcQ%UNy7h>$yRN8PA> zi{nW<^Q*$iWQ2y^t!AC82CSdZ$4u+Ai+N@VWWU5||MyypslzfOiLGZ=szvTg{ymhk zO0=hHE$m7t0XuNCD4_w@H*z56*gP~tX;vzRcan#+gKUM_b?1kUt;ccsxgD_y{F;GJWI5S_ILU;m>bxzE+ z*$F~E?K&auc+xcP<#=6m&*?O1d1GfLOK+BLE z71RI6gHt&a821ZIM6VsEo_DS(OqhMzz4km|EV|Mh&X!SVypdFyn8Xb%1IoOvV*ZbR z(#oDsRXpaH5sxoSjy|f~ZYRL)K23G8WEw}~#=L(DH4xCoO_75dK=Grc)c=5ixrcU zPca_!@*FsJqAD3M!N@9@kTxk1^sGCW)>h<+UXhbSR%?k-R~MqO)mV?CcHWjNXD)R% zGZHjL!7-!Kp=DKT0HJRba_eDqY#Tt*xH6ccb(hb3X4@TG z)YsAeo8AUEJt>$@4>yLFP+XO8O+{3w&QHQ;=EWyS4C*9(yZc+Bya(U}&6x>32D|?^$T1(WVoH$sHihK_ z;=-aY$!y$KYcHf9(1!6gCJmPo2W!6LvtVevDKJrEJSxgoOzJKHelAef>xqR38vvPI zge5wj_ij)bTurk`NN-s|3aJ}|+M~+82RykSQj^tSQEjyEI|f$QZW^5_HCxk|GHru? zri}bhy}SmSc9wWavmzZvm7GXaiQ@r~9Rn0Qc$N~Hh!6}$6~DL;FW{$9>c0lWmhmEq zb|Z#8AlJhWvD`kGUMA;;0Mc%8v^wvic67t|1o2*J0wuEp8{9;ut(|Lh*I%ZC8 zu)B`y(>OkXJ{p~dGu_+KK9i!=P3u{zUT9CO+w(~E##nT`rV%Wic!9=l;K2bK<^NEpd%lp%@}B~C6tg!tkoE0mYOINA!Sp@NMV;+OGmE9Fk9 zY4C5c7ZmXcoZ4G8Q$`8O;TBqYx06-}!PAC`Mn z{pL+3JLYbMik{3a{-qJke@v4q)o07Vxl_mEoY_jl0hQLCNR3=eP(?SWXF_jHdt+#a zbwBcREgs6yVqS zYVsnmR_vEC7o5wzuUE}*n* zZMw@9ocqztutV*Rro=1iA&haKPvuCiIGC?S<`V)~l9J18tHUIM#C?n)5JMLPaak*x z)j2&37{&)r4Bz>79<#dSkU42&euJ1O9;rZ-3(%O+YxYMazuuZ*lz`(rn2>^R(_yyr z99lGYg6Y)A<@Wy18|ox>zBLCnJNhNqpXm@fjl>yMD_=}+L(Rra&52uH+rw@r7Xc4O z{a?r%hyZln{%Tl4XmpSqW1<1-uu@y?e|@(>B#pg~QC#%l(vN-^&M* ztV+xZeB#`UA`1}Z+X=&_s;6Bq{u|gG(;dsN2G06e_t9VK8CgV=UaTh2A!6hy8lm*e zS(SSBcGS+oFe7c4YQh8{M)edmP#gDX~_x*#2eQ+-kq4t?ZOIcvc+r|+|1ZUc& z32PU~H8CoY8C>UjM_+NOGleHrHn)R3a7iGd>H3zR9`)V~x(8hWZ=(;iFZNppTlRe$ zw|nQW^U*3#^#C1)rPp0){CO~IEmxDlGTW}+QBEpl3TIU)thEKFQY0? zC#FsfqLIY1ObD^jXqB~^v(FDpYoRk8=G@4l#pp&*jqI^2CVTLdQ@Ya=@m7o_l6MRP zye=-2X4QoT?v-iv>rd|YF77nH<{vM3i~1xczhTBk8ApBi>OEnywS0SEC{KbFgBv_x z5rzfnA64n!XJt@H1`&QfWcFdOh%IsTSe>$|O1R$kIbwdaf^bc=iY+;bjmoj>4^N4Z z8Y^+hDeE-}U3Kc5u%nsAesnK-0X~uIqsJktKcCIy_94QpkWDXy9-Iu~(vc=gEH67b zftlS($7D>EMBMa@IhvzO9A{JRc3dRony}F*g}cme5_g~eLY@T$;z{2Q-B$6q4~O=_ zGoLd`ZxDeSSEo}14AqJ=O}66=(sbrVi&xfMQKfDT72_qnuX#-4dC2ah6STvjcHd~s zN>-D8fOx&-jsE}K#;Tk+zDzt}Uvl`|V}mq|?j*D7ym-SqO68Y<_5KAX*-&+6gmi*J zxJw=bj*LR(PSpAl%wXxqe>FA=QRZfUtkSU5QWmjC+!xP`s6S3HPPW|x*K5+!>Q>uE z1sugd3R$mu6SU;mM5wYnSC`By9qW;ytSxKosibNld%xqeC@0JEagW8W2dQV?7UE;D z(?+5Ki^iqeUl~XbK=dEe>Q`GkzDSUXD%`m|+nBhmHk|b~3wvY1h77B8sUqZ!)DXK5Q-VxFRofWd^2UOX5?9>p zx6f-MX4{i8wq3nj?7-x@hJJG#1*jdtL*j`U5hS*S2GVZRxAn<866zw0(3}V0T?WNuSqLSrb~?olkOTKNgF(+)@H88HSw+A4P;OjdZ_yBJh%e! zQea~n_TB8D_C?ZOT!!_P$deYizdNrl$2bw9%4b2JvkG)$_`(>J`dzI4a@v7AK7uIe zL+Oew!in=3j7p%ou&COzqPFXr$QlLjBh?p1FluK!3GNp7%<~6FFVV_I*?N0;7EBuL zGN3Jl?1tgynuvZu*ChV$JFmKu(-+hU45OI4eDwZjbggvyw#)V9C%gSvXc1yARrRY zJ@CMpKO=ZeA3)*&@1v+)rM>8&uFGHEVHRcXozV*G( zU7!8V=N#|dXgK4(Sy00?O1{AFqA&%oE-qW*R=;NoH5x zPb#YM;-HsbH`;OOg7<<`j>h}&P%BQA=&DI5rKE7Vtjjx}b(rn|z<2+|l!C&= zP2&Tq@b+6GqZnJUq98J1{-r7b*D}X~#5P5$&&+`Uu;E$BCwkVdS22xFe3t8dgOnok z29#=u)GR5T&Q?Ct7xO=zYdtl4b{`5{&E1#B+QuIj{KQ$g!pstGK49kW2+@D5Swvi5 zPog?Z7pd6LF0rtCGHPb;X0JI&dFSVq&N7zmscwHEpJSB~P@?O9pUd5Zcbfd9oTdF) zIFf=_9LD$k>@x|ldow!R_&B|R^(i=^F?o^GeiT=?m1SAq1V+XQcDAAv&h2!y5s{i^ zAu+|RGKWNb_BR%1QJu?qSEFx+lyB%|Rhr=|JL(7B@H*Yq(?aM0UfD?eJ-!MzMwV5! z)|1_mv?58Ww*+^#_T5Si8K{2dLhbhB&Y8Ru%V3ap7`E`u=17KJVLa@NfzTHAcBj00 zi=T86uIB5^{XQj%p2`%js^lt}Z}Ex`u~c=!hujkAy$Xt2Gf;`;Rm~H8afGVX>ds2{ zbr2-UdLt)gjFX@gRjv-9)yUv1fbeQuX4nc}o~%ZhA^XfsMs|vGC^EP*IZOOr5|GdT zG|xzoUa4taTX}%9wNtNp$ozBRPL2QRb8a3z=n6SmY>CN8! z%OX3*)V+L*k50>gV)7ZEC5~g&6A1BA8;~%N)=`GlpE{YpdjfRwChVA%UIk(9;&pKv z00sU*xN1v{*Z?Eb^G;TfDGrSp$J`p6#L*`K%6==7%!LW9#qP6%Gxozy5#(*#OZ z4m#D3UVNIRh0TCb3Sw0nS85o>DTx>NIadh(Sei%?HuWxA1-a%bXu)+%!3l=2^T)7c_%> zlO!5fv8(Mkd9)b8cOHq}(V^%g+!~r7xZ1(R&>>4Hx_Rfb%lC@H1q;6Im_y6@WQyI4 z_IrAd{|kVI*+)VsUakH;MgHAFhMqdy@LLzgQfjB^4xX|b-X?l8Uo0>i7hI^a)6p7Q z$%Qo$uEz=Ih_BC57(4#J_@Bu2uE?1U>%Xw;|HhyHe*xe>RQmsgG^anXy|(+4dNaL9 z8gnL|g9}_Uxvc&+Uq2+ldm21_{w8xiL;}D0$~uyBorl#gn$w33a6IHf5A9QHWP{WbRqH%9q8#J+wyMt`x{Qye66OKSLhV5hA zs8=Nbxc-ZIB8DhV|Ebr7O|>Z<1;6grOZSqba^3T5M+VcXlW)JuD$I%ff%L&I=Gf)# zO}+Bu{m-_1R4*NtR#*2~Y(;V$m-k~cx$6 zs~r3zFx{oWhNUFAua2EQrqSz>mm*=uDJ_LmI)w_lwpaDM;tL+3;G;66)_dUD5z8ae z!{^f#S45O5t52F1FBu~K2JW1I;-`L2fFG(I(7CJ3; ze=xcW$7&TD#7DL5N$7@;G^|03ZfiVqWb!tIFq}#&Z15A}6i(qK!{jGOj^6?gGY{x2 z`M8t2fKG_6RET9s9JN5(U*HD@;GQ;Go`7ezj^A`CJq-z_Cp5AS$sDu@cS>4LX4K? zy@`d4o>w_|J<-ug?BLBa{bF>zc^e_H>t9~rD^2kO;RlUfduP~vrg9^ z{rL>#msQrZ_XNf!_^)^-B3~}eCbTdC4YIV72p!+dJIGD#p9|XB2e~k3l$!P`ccxOt z(bFXIRcR!!?HEjdYI=-CK`rJ`sGg?$B1)V3#$tRFX24;fqX)Df_(OY5GI4O)CmEM; zB(Z(l64-kzf^sB!mV^10pINeslSk}D12N@r?s&Jb{qJ@#paXwS+qOX9lO7>6u^ammK7phvb$IuIcNC zm~Oz-XU0k9k7ymeJ1mEIzU@X44dT@omAM}oD4n#4jtcRu_)#VYNps7VyT_h}EweT1C_H4(1#8Nhd>oz)#X6NvY+_qDp*lVmh zFz`)CYr3Y|biH^1k29(!b=TS{_F|w8qa1`C_47xt)Ne|Y#Xl`0xV8EdUsRP>Casu& z_qcUNS`D4PbD?GFM8-wR@WGxA#(@EXvU#9Ui5r+vx zV?C9m+Kv>5N}bQu`Wx-fS;j7XHs7QlRj=ux4{uKxU5=h;Wr0gWOJ>DSqe*Vhf$~T^6-_KT$Q2^Pd=$L{Zp$@!{5w{4v1n$au?Gu zAdiTHW-c^kS@_o8ULkgR^!U-2~S<-x5S z@osPLw4KIOEl1rG&4b=A{Y#h}o=W8N<3k7MF>KwQfZ83}hS!iGpfYW+lE_Ee7dh{1 zt^-Z`c4s(2A=UR!T!f&BDy88~b9s>PPQg4J*wKnM4!pJ#%~_VL{oajX$vkyruBl2P zO1|lYnnIDyDn>e27GrsfQ=AvSIKRJodY)+epM*T20jxriOCjV((JU)w#f1e@-T z*$(p+d(B(3)$GMT-NFJ_5UrRi7u`fvZ@VYl_gHi% z1WSKkkK>z6n?_qJ^LBi>>kd!02`9s=B@1%ccuun<*fVn3>{U$m4Pre?H+;1$qV2U@ zG)m5XL7jT_!W3tWwKstuua|yFElQ5rO5VGw3Bn2fYdB?gq`?nwh{F%3N=(z8$d|5- zE7#QRzA$}pW8`HWXlmhTA>?tYsLHQ}I0tF2Yh4rx?>vrIn&G>)W|BVZC`ZMwn3M0` zN|(Irrne4*#CZ)gsI`7c?QbH^9K>6m+2$RKSzb2arO46ohkru|dHkK&mn-Sv1Z8CF zQX#vVJjiNIfUmFXSW|1`TQ=@*&cu73lcpa%@v1^y9id%=reAy%A?C z%UFUP*Tujqn`1heN!;s(K9921e%X|OJYJUm&DiE{>RD}Zi%K@O@@PY^+>~vinulRbnx3PGFj ziVlbcb_)pxM@<9fJ=uE(LI>z`#;lgF5}yQ;C8g+O)Mv9@@gP<54H z{tS>|2-7BS3J#f+vf^U{UY*k!G%+wiJ7o`AmF#r&T9+r2u##-k4~$A|Nxf;f%}~cF z!ot{JE`!PiRGj_5zsVoqBicJ(edC$q!ng0n%se$9QKHDX5`>R6QZ4*ln;{eRGoAh( zh7R{{G0OAB`w{ar55OTWM}_p41WnRWZHv~G??}~U>WOJ^>M%vGp>vC!sCX7S}0pp#(1(@Wz7I3LB#iF=__w*$Pc^gCCM z+qb_@2z`^Dz3R_uxA@qnC1ZY4o5$i#05nBk46q0}_5W5Zq#tK%PS+VoEawjZDxO$rZWVJxPk7#Op^tIe7S8<4X5ndD)6 zKjP!&$#;Ev*Zv~$@|7qbF^fC=jB$3YPyswwO6%blS9>XspM>qjR9;JLgs%BtLdbG{ z4TOa0Ye&6>QgMY@_+0?7#pNW)mbw5Ub>*|+s1g%W{R5Ux2x;3;acz-M1`B>?1r6Z# zSX<)mNy-iry@>1n4f~dlVX^giJJs0m`Vq#T6w8ox!Ox}+IC}?%M=Cxc$NOy^J8s?$LsY;PFhRNyAM>Uz+xKJQ)0uTsLQ9InZorbCS>yx$uVLlm8k8*@Ha3 zajjD;Qz3k7xlQ2BY1IpFP!1Q%l*=1K#%tm;S@sdt5}Ql&jcr@4;3yK^D%K}qle+8A zO)R~puj(3CC$w6HJ$d|dM5r^w)bO%e@f%okE@$2~&UfGijBkD@_B z({A`ha>7kk$(vh{R`I|jg=C4NOW&qAA1YFbr-AgWka-a#_~Jn`o(B-ln1v|vJMmFy zLqCq>j0RrQcycC6!&D{`&cKZQneQ&mCeevUn6!Mcuj=J;Y^!Uc->=6-c{7g|vQHqn zs_~>fc#u&9U^~S@b@T=IgjnnfzS@9pd5Wo=TmoNFD5U}Iz2|>oz9Z{%Qo(JBwFW53 zkY_NUUTYzJ|Fg?C23_G)H#s8FX?`oZSeP00>fm%H6|ciGs(0e3Zq2GWM|(Rpk<#|3 zV>1&^a6hGFiT5Ncs#hyrzNycd(G1t8N#Z`RA%O_>CdiZzoLW+n<7Okh6+}d6RgemLH&CdAX{D~k zf2f8rcb^xe9!*|tGmLMeHN5+^?jOOru9{hX-u^=Iku^~w7rq-#?#WbKy&?~*YO545 zE9}fwX6-lcbasF1h3iul)R<^!#tg(x06x*Rn=cC)jwE~c1jDBvK&7ku%_mPPD6d9f zUrYaS+(Q4n0!q7m)n0T3>jitjo4?ts;m>*IbK97hn$za|cXBvTE zJ3qYhw1EXf9;|y`KN~Y=&T8=`xI6XFqe21)f&pR4#8&?rKp(N}K(Kh;u@ZV6_`z?0 zSXUL`O3el!_Hh;T&48E^81PWme*R~g(0)iWU@H;#7x`w>xV{O~2>~Zt-`jrzy+ZzI zAT@pCCkZGm#t%$%vmB&LNfX{=EdLEB$|I<2Abu1w4WzAv6B7EP?&&>S|F|fm0c3IA0px>Zu7deJ^E;Q~&dQ?aqGv;(;~XpR7V9vv22f zmpT4CsN}0}{&Si&(5rn}N{4TT`Fj5TZirt*X@}7xU>SWNwP}1mtG`)?R|iVW^Q8g3 zXvw={@&}U-1Gj4{C5$xsb7HQX8d)wUjts-TlJar08qbt@0v+4_(wWg>9iXvo?SDUXR9-C=WvY**qI2-_@fjG4TWHKdTPHux6Yg&@p+k}yBq3w$AQ1N6l zWQ0|7@I>N4)**H%89pYxJK=NlfUXhG*EtJ#y1iFdFQnn^$xv2j`IyG}WW(4d#uDcR z>&{l`%GRoR-kF^{j!e%5^GG?tUhuL}lrn}-xz|14;3b+9mu~szRJ3kz1V&Acj<*Z@ zt5Q#3m84r~Y&*1G1NcLaBl-462dMXlaNXXUnL_zJ_WtglQMehEXUjM4I}Xn4yE+x) z*(iJm$|Ap8tY*wVk;NgWgRZQA&y%=tU$4@i3>2rfLg%QGK2SwB6vpF#V&zj^Ph5oi z#7S@-!QTS6z;TqmU_LaRz9e$b>%(y@uQ)+*s#jNE(8y>?%(GAlN6jS{43rGk9M(HH z$t9&|S9BJS#!b*C)Wg2lUkar*W~G`q_N3h!0jT@FFZcxj4&ElXKQCvbQ{j^^ADCXy zcO^>ojyq}@IKTT;`rtoo3w?^G% za9IEa65o1V_`G|vd8W{Mn&RlNlf@EYv{{g|LdHXS}V^`P`w^yo601(_xfZt zD@_zoZNIuWK>#hK;d68Q6{LN;?`a%=@0A0(1Km$}STXi=#(T2>q?y*_e9?GvwW`@p z+yQmBnr`B1ApEWTw6-2@*EnOIl1%6j_Tt*;&)Yj>b`pTxKe1&3%Yi`z5K;g5oOS?# zc}DFI*AR0zD%-Q*2|m^7xlh#*1;xj1MVy!7(`c*aGr}L-!jm4^AbmEj zpM;<0VkIcMfEVSc0R^}#lSV0xlG0UHd5zXbntXI|GG0$RC-eu#V?0S>ss#Vt!UuMs zxw^ML$sC7TCiEj5Y{@? zq;a~NG+N@&@NxTie|z43#(bI&+23uZo{z^`kp>u_8p~wOhZu_>0IKg)Dc6l=cai_tL99 zt7)Nz0O;Q|Ao;8px!pQAM+W$7C0-%siDf&2$NX=HFBtFlglQah8W~EYwW)&p9ocNH z^dpVD(DpTbYugn%-o2DDc<|w?&xvcr29Kp<%e#xTPO2AUMQzt2mNCta8)9i0PExRs!+xaOi+MS`e_8ZXY(@u9vL9Mjx5ypp` zisvnuY`kXtbu4lgfa$}cn!m57<|VCwBe92BAdZ2Nx??Z`#o|z z3GF_3$NqQ>3cf@97z5CJ!J>bG%^-WpeAp@0W|&vbH-_dIs^YBa&y&~f6S8nj*e&h6Aqi?EwAEDNL4JKx%uyh}a!oMf>89#)NKv$z-5&HG8B)g9}a zfcJRPq3zkULALMRVHIouaUeq^tze=*QxyHk=A_R<_Tk@eposlaW28MfW zmgkq5jDlphWz%`mf<6mfxX?EIvwA(VY8yS0zfj_Y#=VPhQlL4x42e*Yg8+8wUa7U@ z_z@=t23IFJIi=dFDS0x~DDUJt%VIw6fgwT{=}L<3VU4-9zZqYQ?($@|!kRgroBZ z6;ay~DP`Rg$&QrFlNl4!E1ix8*XWqnntfr5?0Bp9sZcZ#gk&04|2AK~Jm zzSmU*d&_-2;e{-EjB>6zoy%+`t6r+w-LM3MtMz`ISikRo?lwnc|vw)JrK~Va~YxYFx|0iYtfMVW4K<6$*+t>$cbLY|njriAv^98O6C+=)W+slGe6- z|545wIs4tQ*q%c3(U?@0A_{&gkH6L&%R^@WjP8d?Oru} z5#5xTiFX2qal$3Ff5~jjy*u8#?+$|3NOp5=>Lg7lW=W+^oJm!wPS5M~sBDM+K1yp7 zY>aCn{g>6l0F1)RKy8->4%toQxx;!N$!L=!!o^DFvZ~|nj8z^Vqf(E?`?_3R;YJz`q!akNz1HK$5L+ zX#bNy8g{4#_CMKv2qc66>c|H`W8Izm&&3zjd%oFQ%j1gr`bov(Xrggq2xXYPTXbc3{#>Kn!ij{clVs;@ z&*<+dlDlVMJ=Blk^0&+}+hyTAl7c0OPiAEPvS3B6adgjmk{Zz#2!4*-lWCz=<$Xj9 z#^Oq@}Gsa-8McGaCHxe9_9D{4-dtR=7ZWiVRD_i~>hZ-f8X^=}3uM z#?PQxkXDu8M={NRBLkLnq&XT7(b6PDvy5@=DM`MQpl!t2h@W7M?<|T9pOBh<*H{Dx zCP`bNK_?LaiDcNp1!n6L_e~v=M4g}t>K8;=+S2_j4dIAmsht zidCE^T+;4cO_J4~O2GbW9!^eN5{5j7 z5^a%sG8CAqfuNA1;vG+x9_}p3-AcNC4-2uN+R!1-^DYMA?69Ng?+P7tb3C6;H64X22? zxyllz_|A86c@uJx$9g8gHcJqcu2YC27v~uwDo(qDVZ;sI->fzbr?K>4?k0>2jt9RwEW2As>cd($`HEo5ePe+u%6Vg7x(O-H{C* zAh@>0joTta72jLP9oNcuh7FfuflGuBqgq;FzRks!lWi>GdS;Z32>P8Zh5%@fQSc@< z#y=AFpQq={eWwVN)@~K-Q8t-jX-aQ@v$FKMK$NfW$AbPjV%hxD;eyUzJtv4Os!#aY zJ=(kFjTe5tNt5&yB%iSEIC88#w!c#*ixGnHlEYwsYX0=X5teumO`NYPOPpqOUgU+C zQ^MYs9&I=aCnr1u{-y@yN_hXD6kjkKq#QcdO9z9tUb{upC+0--o!dfaBAN117feSW-!_4hWD4#?J(B%)33HN3t9EE<`F)lzRRCzbjlU zt#w?r z@h3f-rj-UlKJnvXsF69CwiGS3WSUi}$DC$3L}f7zs*dm~ zmAs|*c2DGQ4bf){e?h=jR-=ew#Da7~7D0W>#JX$iEwU~BkY1T#;=W4cy07W^f$qeh zmz?nV*$El`ZprPDlDqacB)}SY@KP2QbvtpT(v9wJZAy~+S{eLMJJopAV!yUlrI!{y za$Y;6Ct|`SX}7aWldn;}O_ymy&F4QJ;R5r~3P31#`}Gpf&dR~0wJ;sk3AwYC{wzX} zW_mLoxANO1H#D~qs*!|kWvqXZs%uX&QE<6?;yQL96_{4UjJ=rtA!2a$zUjP<%pp~>vvr)Ymb>c>Dda0%Evxr!~Z`t3>ZdHF|{;-A&pX5xHC|D^(>NlbH)3E?&ZCm zdoK9loHbP}mI??7`4D;Cgal<68z*GAUwIr-8r^JRYEC0b6PGS+(*h!a`GBwe7O|?LJtErsr_|`R1Q~OB<#tsR`F}pT8UQuYB`%an@dSQqrkbOK}6^N zhJ&Nz?_ittLk$y-G+X-ZZYx#BEbsWNYHRZ5Tw{0X zcez>c;q`WIWu_5p_sV<`G^AYW05>wJR&rO@0TZ_#v8^3ijxfeQu`D=ip#<;rX)CVT zL|$ZUxwE>l!8a72=xjSfz<&{9+Ke4_?sk|3MAsqNs+TDN8%x6(;4Y>7{YG*6-L&)lq7#5f7@nLt*vKhXpge(`Heb(-!fZ)IkbphZrj!IOL~ zoAPJ#Lo3^Quz+_J`CgNNWKkts3M>Akb6TEQ1#4rD)QS#%OZ!ePaHri_q*bo9u zirzbLeSBa`Tg7%ZYT?8huw4&>ET>`kDSQowrBRKFc9OeP?Ot`gS0XynyC7e2$0$fP z0&kQCa94Dkl@DbeD&Z_lsmB;F({vl0yml;Meup0Do84ZdpL8MiQ{K}8hShJd;9o9A zE>pFsI+bL&5mWa2N$#4sx2L$KH5}baji@&KraXs+0SWgxw%$u#h@}^T-}BqUxE}! ze5u{Cp3Q+ZAhlXm49Yj)!{2A1<5lmgD0dguIGsu0H)o?lcjnpdaZ)(Chv(STi}VF6 zpzrL!&EklHwpD#oY)Y{RZ~DI*Wzd4mcv_j+{KYEj5^&>~p~q}qq;SWVgnTkjQqjWs zTmzH}CZ~FYa3XXVt}kWmRnh(J-qDIJOe3w*`~yGRSKGu3VE%)y2NO7w+k*ws(nF&?0@ zZd2YPstIMefqiF>CT;aeGDMx?l4gl!M``tKXhWQPj)D;#>6w&T}Ig@*hA4MMp-WMWg@mX5Wcf(@JC8~%3z0T%Fu9P5d-ssawq z8Q7TRw3rkqu~kY|p-DQ7Fhl?!wqnvCEOaPx9ab_ncvVO3xp9rQ*3H(1sfH^=LIHi< za<(qQB7dFVv=cM0l!ud_(WJILc*AnNRMHk}XNYg%jVvk}Tg#x# z0lyA!tPFAIN@#FUim0uxSD%t@F^I^T8>I9D&CVGqG8fE(8H#9rW?em(lvnc@d4r7y zi-{jeSCBVlFIcNLbB>S{LFD{ZmojCq)sYC0qH?#3%EQ|o&X0~!qIb@j)f#5XYSo(@ zTlNZxK67eG^g1+D5A?iI@ZP;0lK1*#tBfAr+tK-kmQI`0y?Eidu6=!I3z~>YE-?4p z@I*J>=HC2R=x%uYi+PBo^bsh=mwj|6P&7KzjD5#XxTd*!zh^s_q01QRsyeO^)6L9) zg&WM?F6X~Ua>Bm>5j47bG9scd)>IO$g-ege*+rR!J*`s~EP^faOq(O1a|54>kH&YE zpJ;=nAk#CH%D-}_%Av$kX|0?1Oue&4I)%-E|M?F=TJJ^LCL_o#o~<=Y+F4 z+jEROMrRV;;{9u~^Q0S?M)(cA=c?Z^A&?_s{>4^h5Tu}Qis*HL6)-}&tMrNyL=D^} z2CiKA19ghjfS>0V2YXza9c{9Cc>Ez%f%^xlodwP|yB(|yfv8%{Os4EQ8a+FB?FN;$zG z<;|N>k8icunBaH@Rpt`r9j4DCe%)2L<%T;(#}U+`G?)T7xT;QB?~5Z>SyZ3Iju?UK zd^>-A>29pni%ot@|3*yl^R|SHIh+8q+ppd{2fNk?`nl$3t0E0<37T6yKw!yozJwBu z*Ql`Rs5{bmQ>Oz|L0;vbZS>=B>RzJ&F&L8&a#fQ*ex5cAzr{!2q;(Vn+w7g+%<%Ui zS4!2+ft|=&3e|{g^AM@vs#BI)(H><5&1gpV&yS1xB5$cWj?2%)LIWhT&1j{!{`lo_ zbVo{vK_X@?6EeSCD1^L<(vOc0mQ`oX+@Ph1tySZawlunaXRtW1)}D6T)k1urEu7ta ztn`-X)3WeIBl^HwWZ}X&Unb4Fs2N>>mKF}s2Hvss1p|h*m2%ybeCF!@vpzb~7q(w2 zzm=}?NGBn^mI0Gq1!oxVh%k_xYK_2~0W2l;xLGMPr!W1&zV0JvtIYvlddc~KEYEF- z5qnrKu%3yJM`k!&y@5fvhG!KU8*7&Dc4u-csYx_a=&1MxF}NvzHK>GhF(1CseDMIC zcqTcbd&%cHxX}7`vD>78uM%G`S9-r7Z*2{6%viPNEx)!){u#}g-`J8i^SGVW+X7jn}u|$(x^EIRK2v3TWsSJw9q?o zw6RBDv9FQM^37{8Mrc@C%iS|h@eXI@y2h9Ael)bp`JgaD`}TIwwvS=eIlBI)bzwN| zdZF|VJmL0KieI*e{WMd^toA+hIQEM?ro34#6ulYa*)EuWE9reZS$20`bx4jHZkDIA zpRa{KCK2+oN!HdgqvoZW(%aQ>NLlB^A#oTt;HHwGBwYxtgOkzPBu9fWrepI`cg4RAjS zM#_~cdhE3Sm|TS6Gsb(Z{FF%nnk;>FNy=1{K9rE8Z_Yfj(Ts6@VbH?=6JqP*+NTZu zZ0c?mEB39j!VsN@zrdR*X`R}Vw#zivpt)r!{7d-eDaR=OtuLTSHbsC-;W71qm4nN% zDMmk&GgqaTMyz^u(eIk4J!|DtjUu6EcGt);ERRmmR?vY(WHoG>myqB;SPC&A5nR*2 z&ref^G5isv(LQln?e7f{K4V62oo&rE-t^x>n)mtK3A9ouXs2|N;1kM!QS#LEt&k%}In&e*WU`g)CAc8I$3D(PJ_uYcWGw z%rnGQeEmlo=;iXk!e#DF(XDp4LYkNA!v31m+Yg$5E^7LXb$DJ8E8w)e;4d-0H8PJ= zS9Xumzj7x3`aA`1fVmg9yjQ z+4)`7mAAJ+H`{wd@*WzutCK_D0iPf)9@^QdCAc$^H_Ay83vZTy>p`c)$Eo36$z%Dl zdh4`GkAmF~-}yVi9*&O;$i`OZ@7eRcYPDs4)f8`(PQl$I@A$fCPRAyB0e$a!0)NO` z4|o6X>|&|4H^N`Z%ay3r{S@AYwVle%Zr$nJT7DYNUl%6#GbtPJoX?#kYU*JWXj7aF z3SaK4XE6$tl`Es`j>UGNW(Y$!fV)4}8&X*U*Q)YwP@A?@kcLTXW_NaqX;D#;ZcpEm zZ5psMbe*Oyvx947s^fe$We5l%w`dA{78E5hK7n+8ff|gNM?5Y;qP8FwMZ*Wu@=3Ro zhit%Bsy3Eq>;T9RYw!RxsNs{!+_9WtCtG@V+h}TDN z^u=PHE85#()ZIb1MzAKOD%zzhvFo%|;7vN4-_e3ipPS+BWO+*wQ(Q+mjxf2`h zf}EeMa@E!s5{BIM`-6XyQTST?3{_eU?xLO>5FCH6tXVg){<@TdHWy{Ox2h>AdIi*B)@d2fV1wfAWq*wwx$qxrd!Fs z)-BY464W-YYl;UUO|s)>hd0lN`t39%<8g!~OBcP|uPKicVAkx{gigHjygCko%r8#F z7E@>E@Un3O4~bWuOI?FTkAJmUemX?p9~~-mx_%JQ{+SLNe-`3iHx*UUJ%`_dv1_xN zTCD0^xk})6==(5acb6obK3yx2_$hsWUoS)w`>ph)(uNPu`u&$-Wv(%`=4Z<4vl6x93FEsZZy6iz4UzDC z!;Z2LKjL?Fx6k~lWJf_Lgf^F%CA01w+27fxbrd+~pq8xni#y+(QeK~?j+3N%7_I;% zbE8aKHf4WU+&SuZzG`M3)|-Ld9m)S)Lh`u`mH%s8orKzS-@XQ^5VV2JJzRQ;ud~b@ zenR_tA}rGPZkFO*%jE92%9b-+;NxvR_OJ!bMr}P(v?~yEc=u}g#=s*#IxItR93;!( z2=Bo!xk8&2NYMrQcZ1`NNQ}pa!JyO$8uwCaoa@&QGO(3UIJ?=$JnepA?C^~|sZCb) zk4Ix$MauFmk%qUt$hF-Qs=ob*#g;W28po1r27RLHb&j zz|ksLb0FXu&`M^6_Uw~k%1yW8+qzYe;l$g9ST~EH9_4N# z!$;L`Q?W3t?Jd=u@*GqTSu(3GH(56!&abio2T2?j%HF79z!e4Bu5paYGB*^)#HbZD81KNng!gw8=&s zzZ>7|B67?(0~yRxHewBneU40ztyfM`e?;F@tnyN+jK{R+qzJODaJBnhCTSO5RxbY}%)j2|ZJXj|o^1*Dn5iK|oQtrxS>nc@Z6KOy}UVwL{ zfD^nMJhF0-wZ`uDO|TA8;fIB+boPJ;OhL)@<#00Jy!y7NeP-TjZs=3PI zwY51$_gV@kwiinT1$$h|5e*IrZrZ)Rik~vHgE-^~oMGn3mQ@ml+DUpwg-{MhRpa~& zNqfpxmei}K-D}SnF*zHdjQ~`_s1{^y)1bo46YwQ376nRg#&eGV^&OjCVlQ;37lhfw zsM-XB{QCM8*V*x51(b!6$7HCMWy?)S5v#f@ao;Rxj-1vSiS)| z%?>n#1#Hwyg%6L2z{0!RmjONvo+BHF3$92ue=h&Vcd|yDvePdKkoBroMsd|#ym?&6 zZWDg>=zJAtL5Ao)!?(`d*8$I+^1w@v{8)Ktg}qC;DwrhMJM!Hhe#!YANL|6ET7obS`j>^4B3YEm}D2QV1SU%W$8e%%#T!%hA5xiDii>P7G&M zeJ%7iq7&g4kV{5A$#{`bUw}}zF6KApb}FIf3@PTX5kDeQAtXwdQK4@-jhJuZfGB{N zK5>diiEoz9f^+_aHVV~O*-6)v; zt_%<;kff)(l|Eb15eV=kE}GBk{R~rc4I&X8p)?6rpg(YTZ8PMWsn031J-?lXMKMW6 zQmco?tAB)8AJV6nUmAWj#4!DX>GRYkkhrvy%12<)FyJQU#V+?2Q>%%eojV~&XJgg%7hk68}e4P@?MHht0>O$fN*4x!0(p?3{d6K2=0fuQLBiq;z2m?YA&{xzxa(ETm~jDW;3= zSZYkeikD#xVi4vnei5a<#%gSO0zn+K+7HEADcD!h{E_eGh@a_re zMmk#>ZKZ&w&6G9Uaq7#Z+^VmtCSA$t+D3_@_#Ku(Jr6;z8>J^6Dd_&PJ};D}MB(#a zf4-*cVodk>5x?~Ept9?cL&jnIfgf5veI}k3#YIc{K$LoeYc!ZoTyc%u$d8|>TP4_} zJsl*X9mQYj>{3{;w(9&^tATx>P_yhSqj415>qP3T`>oEa)jc2k={-as?7v9pUlbXt zsH%oJDbT3daoqgwDl_({{?0#%;QC?j?&k^BDO91CwkpR9E;7*hb)VzjeyqF&*z>l|96*e77DHAbO!fd@f+_*evy97xug? zQcrnJTlm4p!q-F=zKiK2--yVRt0vI0KkOD$mo7=`f^LY*^d3f9kUhs_XbtBW!}nNJ z?T~0{Uof6(G{x%DW?=J><$}pG)sUttwmjr-`$+zsJQ16ThYNvO`SMLgQ61MTM4J%N z*rBkje*9zRMMcJ@K|Xa%{!fez6Vh$^I&3^nXi7Apa?rR@G7WdSVLp_t`D-|}AzcGx z`ZZ2F_#?qX$2mbq_aD3Bu1_9IGApPx2;Fb|^Kv8L&`eMY5kO?~MQT{qr7x|_0xQg3_zJp-~xk$f8@w^o5^J9;T zmjECCA2gwglO%$<=+csWyU(e{c~Q zPjO!VU007&Konx;`|$i^oS>d#Iet1vxAC&bXs-`HQ|);f+uOVV=igSXG53uu#Eiaq zY*V3;vmMPL+-D)HI1M4{4UEH7{UY)=QmAicwtj}Aw7TEC?|V@YAbfp6y2dF& z;2(gyN1W#h$G`pa-=30}+7l>n)xB{Xw7EbNZ%js1;KctD95CHl`LZyy#G9Z8&VMf5 zM^POThVwHX)`CCwyw%yl`9WW6GKCy&(ATX|8e5$&HtCpch276NYg>O?GgfdGEIpio zX^H2KnrVKQ%yl_Q?Jdv!-q&a?tY546YxkXE$mjIXf@;D7DrhdL-gYn3Zgr+nOo$)k zH?_jYsO;frfw6hzncOd18ky!?<@HG<^qkVzb9!!VSX$WM6wBE&6S+$7RZ4z)7I65; zGuTaBY3bKPnrE{1UlrYlJNVKpdDn73qF_@7NUY1D?O;6zF+ zwTxTr0gg30&ImYh#k@Q5tFg);Kjn)dzS?K(7(tIQ7QZGMbT1b?_FD!IJ%A4-NL@j? z*KAWgj^_MTZnS8K^Lt>FPOC2WsdOtiiM@M*{}%v$K!Lx-p9-n|6+VG~m1Nwjf9rei zQZ|@zTZGbT0s{v%FxC*h)mC_Mze@ zi2x8ALGRei8dR#Fx&q8;`+1rFMe-v>u$4-X^i;(RzDg6}7u7$^G8t4R#AeS4+CsUg zDkJ4K`|Q?j>iR{o6|B@9Omfb33E6hOQq5H&(r3ik(wk zbt}Ppb%|JmxiG<`aVj*!LZWB0M{np35Hc53mA1wd+=+RMja6NlKG)%7-7}`dwz8^- z0iGJcQ1DP>&cdC>N&M5Qw1Y+Od{o?;P!qIJ5EY$rt=8>TPRb|HY|{$CwrVnN8wA6J zDrk0C#B(dj13Qpu+eInub`$F)xm8ub(i*XQQVHZ8n+Ch=4s;=_qzngvXT>!(8-!pt z0|}l9k6qLyxYR1E^Ls%@blj5>w201~zU(=Vw({SDp@_?xAw zANarrKDfmPe*WxDgob`RCD>)TJ!-kkrCI08lEl|*?I_JS-XMNmZYt?AB22Dx6-ii= z2!%>-Y`R2R%Y3rvFrR9zv6w}|A_;0!p~L6V@EGHl&cRw`m!w}I%7ua)qXE$MYE2jY zkYKZqgDy5*HlgXn713B`f621H8a8La4wXt|*P>A55H%N>V>v}uE=Q74&QTYJC$CtAC|FlPMmYznt`$--He?Y|q3VT_82v~b ztgggTR!x%NugR3t%C-QgDz%84z#D0a3hczIcvtoJ?*a8K&;F+V~p!&ce~w1`mH>Sst>K`q|dEE15J=3rp!dQ(cxmv zA)%EfvNgd~v`D8In&<%UqunEJvJoy-vT~~0WPsrkT(NO3C7K6c-&FT-AqfW#E9YRg zvZ(C$ju7m@8+XrKinO^b9yW=3})c&6+^g1N2hk0ntnADKa{9R-!XiG|IKG6*yo||vF&G@Xkp z^~qP4EwmRWY)Pqsfx;E502*UKLtEtgo8SN223#NX;sYP};1(bFnO}+du2(=PL&=m?gT$C*x6!g@%`pxJ;WB}W>0()A zsmVLg2#Z-<3s-2n#dviu=9942>1Z&NqGqx*5tXhQl(i4IdaqsLdd^i{;qEdR@+`Ag znbVSiD%vxiQt3letu;)z6x@A0Tw`)+P1m4O%Q7Bt~H<%F=2M?8q7zM zDx)B{UI4{nOo&-U=M|(0rYlsi+=wpf$z?(`FpZgD@ri~Gr3j77jkc9?NmYPS85rxT zlv!$5I0;!)Z@%58si(G8guc^Wzy{OIZG&-!R63-!7!)!M z6kXD3!d@|DtTj}v8DnbJ?Nc`z+Xr2a!hcTn4K0-PPGl^)(Xt?013|Q%FP?Ufeml#C zT8D@R>#Ch$%)Ud6EA&P6;&`y=b=P51wyQ3>=-B9vJ_I(=Xqr#aKFBo4Mtn>XMUr#d z)$MS8pD;oSSUERuPB+b*4$~HkgYyW=keNi~j^Us54%cbXGj%=F$|s zC2fMRx!C65)7j+FakcDNn!2C0PuLPSG+Bv?e2~$(-|0L!wpIxib$Sjhs+-DXy{C|9 z*U8++_ECLcGusO6ax;LPqEx6Uu81d(E0UnDrVw_%3mXcy3H<`yN)*XYWj!^U7Hfhw z&YNuo<9hD~E*tt1jFgJk2EGIuq=J~gtqu8Iwub*#H41(;n)~(URKK$;Bme#X_W!*m z|HuFMA9xIZ|Gj^E48MHuzt(pC|J&DZ;c9>A7T*`oaI;CA@R}NWowIl?^GzYA@zk)b z)2+e!wuD5w4WXJY6FtxU_y()P>P2{)etJCQ(W$0A%#Az(|t`e)A$SO{oRPlNxm;!#EaA+d3-t z)bSOPl^0RPc!M>#RmqE#>@u}fSqdb9HBEhO|DfyYN*`Rc+C)~vQu9`QrHVts0AF7N z)a8~sVpekttO()S89FS5Np(F!g1A5%A1-0D9veKtuS%@hH>k-9*pTaNe5|}!niONzRzfy${@1$w$>47E;<3Fb^v*+imLK9#fElloC9tY91FEjJat+s-h}fh2mh^x2o6 zwEZFGOYB0I)o=)%r4bI`o*0Yb6Xc7gr-(jn5kpfyX*!Am5{|q&kg`cd*v93O;>Go7 zoz=;8V={W|L`J4oAA{0@25-)TtqUK zBT+C`*lM-jYeN9Y)THDx_uw=``tXA+1KcgnQW1$^L}`(0 z41hGT5KJ=PBp##QY}=q5g{CVd8VrkgMlI3-{h%=7E#evHnJ7GG?wH;JQU$dtI3x>Y zC@i5~5_6<8sF+<_dMTnJ6ZGB+hK~6xHBwB7YFI$mvbYN7g%TK>6b)h}fO*cFgU_#2 zp{Rvn70YTf1eJP)Ip;I-GsZ6vD>QXfU)YqGoK!uZiDxZ})_qZ-g$>penFRqRAcHd@ z<^psY7Sg&9?7sGY|NWR-{QGW`_p<}vZ9MSxd=>b%Au0fs_lc8!`}=;A$yZYgLBx9> z-jD3g4}9Q5xA?xNDaf&<4b*M*HQL->)*rX>5;(VQTG!CM#ch?W;u%;eH(^@aFV3el z-q2Pn>T1p+onj1jZCNaEQrP*MPXhfD9HwW}5-_r&Uhla#9 zX%uwE(Y0%_3iT7}k%t{FftOW}xxN&;BvOz98)7juhNTLh+}`z^C?za(fw*&vnU<&W{p5OK{bA(a-yEo?iIT;(jB&*}! z{_M9Z%YR)a!MC6K_i8zRYw!QI_Vdfn=-)om-$D+}hi>tYq+v+NSgEzHIb4S2%Otm?ffOSe*^) z7m%ib?qI_isnMYU7E7cG#zG}VQZhOlNuv5~gtz#0GQ5 z&RL1bq9fEWtw6}Cl!$*^uUUTguR zkyd1^(P}9F+)w;Fq}>oP+?`$lASeJ#1ki6=n%w)?=~uDi&mBgH0Q@UWaX=LYjgKa% zANatBZt>ld3zrVMjA~kB$~a)XS=(VOWt;O-Ytz~sDp6nxr~ah+$oZ^YEsF`juGeTG zqI)Yd+1h4i&6`}$l0Vh@*0$in=*W4~L~)y9+od_YQ(wl1_USUspRru2pI5yapWA%7 z>ETeXV|tFyXPkFw-}Csq%l$QYm@IWK7{5e%7M$2xUBmX!A=r_#AhOsj z?IT9_L$={2=?~x+5jFMb6eD$~F;rDh#A1!5)aJ>`+47T@n>~8eQ8(uEicUD2)CUE; zea7-*bWgHg#S|{OC8y90(Qm1ZVD#o$r<1lsbV$J1v$Z-?x3FGiXE9f_WMRdcW)m8& zQ~|$E$|;cVQ@@C>U2`+A7Z6loeIL+7fB^mL;P$7EWnY6t;sC%ZVtCg!^taE%eo5T@ z=VWI5lB|xO|Jk4O%HJFpzflN&$^8E3Z0FZHqklVR;>*dfqoXVRf;mn6EF3bKQc;)mc`RwCU=520X z+86?R>`%Bn#pf}dUlhl(KJI|2JWwW$Z6KuXwog7Bv3auL3aKF>0HaEiWL9nhb0(*- z&hQlRs_kda7i8B}Dv^}ou$03@rvj{*&?*Fq_GZ#k^>1S~BY*UQ_!AOcykt zv|fn<(00NwX;l`K-Ks+);(G1z@JafkSgKJC;X>VPWSqQBD1{Ce}QylG|eNX zfnCL{o>a!F3Sq32W-GW>Sc*L{0Vwy3VBk9xEl3v;f!uP(=CL@^&&OB$-f*Jw_Y60bz~7PqeR5TAR_%*LQ%?PPV;fd%CIZI91E+nEVY7V zyoiRNM*`MU8?wx?mE<=@LLJJgGEHyVx=gPn95FV1 zZ}S(JpU}Z`zlcUY&yI$d(Ij}02EcXfm5K9(kjpBdS|TIT;^C8yfi_{jq{T(&z@wZ; zgxfXY)2t^2J;f%KHjPywTHY+HPFGqIeN#Bb78bGO;EF<`zym3KIl|y&)|wQ5q$pTp zmoU?qWGN9NQWKhd{Ylyu>p3DMT)4%MC8|s!8>X>Q&M2$wA28o&gql)i+E4<+g01Ls zR}?{BaYv9Dd^6(s3I zBK8y@c913FiLi+0Kn-pv67dwE$DTsQAt=FyVnC2t?g13kk74HnANbHMzH=zM9XGS~ zkJ4Qy`Lw}xnLc;2Q_|W+9(9%j>Z!<6 z!UdbA4AgF!mYkZFj&zt1ZEmcu=e9k>GAhNjm|%gVPGq#$|)X`{r_Mq`am8XMt4i6_YAfKQkFnnf^i+jYabY@Jg|TjdnKP1}CHJ#Ib?!{uOy z3#UiH4DMP#FW7hKxXQEorRbqC^EhljUFWy$W|NlrA%bG`s@9ud`)N_Y^A`Ps^(l1n}3qIfRB>?NdIpIKdCy3Nb6Vvo|TDNylU#6Io-6` zLZ*t=JgA`&*eLRj81&@bR9l8MrB*1t2PM10Mw{!oW@VaPO{u&%+>yU)lHb zcQTFnE~5M2lT+vSq-1>P7QYd#;y$hg5TRur#t`kNfW&FRBJtx2*V2bPnOv8j%&+J9 zco4rWEy>=%Z0AJ^bY0xtuk)LQob4)#alMGb#|2V#0j!Ewz`w8Zq<_~%##3PcIk zm?c5VN@>HV1!Br0A@03UWPZzST|DSY^SYK;qMKD0)}6|HA?fTztRG*k=9sl?<5K2A z4r*1_>vVPUTBq3VFYBd{*I2>a&+{$$G^#auUL4^)?n*1QwV`$dPN_Dx^MQg^AwUvH)6%o}N{fG1TFF-JW zCZPyIM1q!Ox|sy$v&0_j2&~B)5n@3Q91W7N2%!*G2^qgYN?p#z4%0y3%Saq_*%1gsx|8#TLua*b215`Tn>iTS|($ z^I&1?f&`TS?s*|1#yeky-v7P+x_(4NzP_j;^6i*#FUt7lt-l^I^xJ3upO*!cf6Yu& z>~B+t_k+YY^&b3YyYlWYr*dCSsRBxiI8cj-P!jk6h^PvZ;_#lf2^Bd&(p}6^99&co zfv@i(%ln!i_`nB#rH9&Yh_wE9|LZ@(6{m>&ZTkVzQ|kWjxBrZFMWdY6H#JY0s7l?5 zUc;YKKHrYd+sE^Cc@BN;>T~gn$TRJ{+C%HtMV`r@7?_|!hGb<4k(jG)B$hGSeO`x%2(F@yO>$^?nOJvd<~USdvh1DH z+Hc#(%Y1p>`EAex*aI8!>tN5@80Mz!#(8RXlE!-6c8}M$^WmxXCp$db^<1{>vR3!C z*R+?$24XvLy@4;3o0Nhg(g}*76sAN{Lny3kWK-6g#0&9-jmRoc$CS`XEhQHVsfw0T z1&(pze#ic-s&3h0!Q{~x7AQ5$i7d+*`9aDnBMT956^f=9itH4Fh={XS>|}kTnbhP$ zUEiWrA2h4WsIFlFg5nuVDOr-MTs8Wu_k;k`gJ05GZ4aD@f#zwawkLLp9= zXuyScE)ph2g5m%K=HeEhKw(ZdO~EPz1>{{y0D%r~lY_`M-Cb{mcC=e)+q<*5l^a@{RZ<+xhtwG(Z1}eY?)@-TmT2 zxA?6I7#2}$Aw1bA0p0fIp#lrl%krjKPQ#C|^|c<0#W@ES+*V69|5yXXZ_8UmoY;S6 z^E&nswO<=`xr`~K9a+Pc>&+I6emPP8=`xKjjjOBkIE4VUhCbza2+(|v5VYf~mbT0n z?8&)#@3(HH%n*WWm2Kg?RyJZi))$?BjAn{!Sf?@wU<6jM0Bs*mMXyZv=JCrCe<2X3mX=xx$wucy(Ln98~==4ZZos@J#k z_Cbbg7SYRjK1jPFPAt>Z?zE2cYoz5e^};`#$4mIqFCv?`jzpCnjZo%MYLe43<;ISF zMfgR(gxcv6kohvJbkUDB5m1N9Z8{Pa%K&W8(+yT(uz5T$9vjh;M1)qfz~&>GtxlJy zqC#PnDpeKzj4d&Sz+*hBt}?yBK@UpUa7Gp#C8sKbpmPD;C`*b`+>l-65@-|>02o3E zqX7XbCGO4;BF0J)3kt*%O~e@Y>`x&@gg^*Qgd%rh;S?8|!U(reh$$0EfiWUrgbG!m zDUt;ejgYU75q94=06`E6gb0O70fKxtrm%PFL+4j*<2_}B#8<`QtL&jb0+c9J0T3v{ z=a7nb4Q3m6b1ez-fs(0(5{T_PxrYUu@41{G6(S$_z=v+}z3UbL%T6y%XDwTWMpen2!n-8viq>QT5s(x7Ir(+XVsq7v)I}{+)GJIE*KD%Z z(jYB^U_Z;Pfujc=5ku9+u$cHFngN7RNX|XBJD>Zu*?YaR8Wc6yEm1c~#)TV^r6FCH zOSF%ej@DR|D!K8hiNzQdDQ2cEV)6&d5pA+5czTRa*UPAnQ7``dkk8lkDfE)$;JmK> z*x|a^zO~!@)02F@`em188nEBmb(l6PL+}aOOS5M=E`09fx?CRpq33O%Dk1PmscE_-2FH_n zQ?zCk%#CPm=8}<)%OzlJdkb906Vy&iSlDr;VL3G@v0O%t%+qlDod4;(j9Tod^Ml5) ztTa1MQVtl#F-dcoBuO2{k+5;S)eVL0-ub+nQ=3sKrxYOP#D4V*M&txL~luk+25%_SilOBIBT zy3(W~HD1?%#EFxp33bqDZ?`L?#M#Bx%TQHuNy6&avP#XdTVcA4rn{I1Aj-8s9sO_enm?8aNob}PMh zaW*((oP^srucW9f!JVH$@2G%wan%qiXcjn$C;=FVvmjF-sfwQg6%wW*ygNs!l9B}? zmQ~Ef2xy2zkawdh6Gf;{AtoY06(M1wQlX%_n8S(sFVqAo z3?M@8;Sz=Dy3a))Qx8JB>IG=bv4U!5z)t2~+Jl!xWmR`W6IfCk9K&}F zCs%z|zaSo}U9=OK9GetcuKUCO zGF}exspsusvm8fz>ioFyrOD^IKDk_=`|jzsUJjcd&g+*a#Q@!J%2m_j-Y=`}HLgoO z91ioff8JLP`O(@l{HcA!e16z)s_a_Es1Hd7_gy2_^WmW56uU++>!AT=uNn#IiB$-w zEA)vWB7j@i6TCuAJwiq40fCF8Upg`e*o`P)D1 z|Jcs4zqKp*p<8_59#jrx)`<}n?qJrjuO!Qx%38{etWHE`ox*9DoeepCu`0x|T5ui$ z#3Cxf$u&n2Q0+RbBspDl%WHp1k$U?H)qh-lh5R&}8t8c*+8yWH zB%oq`ACyP^LVq7&XKiLv)8Y1&2}xJagi7L#-uT_msd!g%QGrAodx z#5yh;Zqs@Bf7yGtB}tMaSxnX38{Fa+}+)j57`Y200Nvni`@mVWzy186%`eilnM_uH8a&z&CMf8W$76ZLf7}Kt?Rmg zLx(O1*V%2wOIiglkD0;kT!j!Yj91pDVg4yNE)tAgUs>_n5O$UIDSO5HqiZ+qb@m6A z-qX=}8*X>6ZWCIBak0?)En^e?mJEj5>>J@RVqv+YLd4!F=R*X--67{45O@VZ+`&jb z90VRxAn%}*JGy{tFw(D(knavD_f(eO5CFdkfZYvAxI=OdfD1Q?vH_OmcPJE2prpH8 zKnEq|E1bXp6Y^c|(hUMj=Roi~2>A$P>D!EnVBhRY`TM}azW)TIP5JvHKH?)jc#FT+Y6uAA5(_*;$HI*Dnp0Odugf@? zWfobWyl5?-t!e5ZsllhEI=A^y^zbdKG5AJ$c!^CV2r%ZdiPgl^Zo+V__$h^(w6-`_ zh#0)AL+nafvl_9n(mUd2?>e7WpKjxMyIF3d9lbt#KGqzE=CJnrtZlPDk38;PuebXv zkMH5~64pNJu;SY^y*9OmaNrb@O*JBvSNpK^^XgD7cVu^~VwIi7DwgGL>lH^OdX1pG zR&?V&&$p`HFU!3O7M8d~cVn@tp5U9&hqYIqjF*&lum=udc|a!**Vvv?z627PKs{=% z*b*av1O4LR1Uvg$oXb+@W~!XgxkyM}*KOv6Tpz(pD*Kg&1qj~QdO%;)tkb;I1vzFa zSjlCxkK;LSUzYJpWRq+{Y|OK*z(-v+DU6JnzC5<8eLr4v^*QG2KJrmY*nnf+?{K?E z3&ir}uztM__hb8VRkttY9P;V3Iln(%1Fn{jRdte-cTl0Phr@|Z3 zp7DXQhjl7D*n{PWa8uqg+)xe(SI>J`2j9wWC**NZojl#S9 z2rm3KMe>ni{r~6Ie@_k_O)Ep#`ymbnk~j2W!c z0$O7;tmeG@6iSkAm9|s9lqhsrYJ7wm#4MLE(jAB2wf5^ z%z0+@@TqVe`fIW3GIpk_A94gQONPz2JmgJzEFlZybUy|?`VJM}=gW(iZdt6E?|pvt zcwSKG7w1%kap;#~E<_gzfw}UWK$`a1=f!)XNaVGdQWGT+NMWTr93vW8JdHk z4*?cCFO_jvnoya}Oec1oFy#xH1J~~i@^mIWmPtg6LxmcguV6^*oJUPRRS6uHI!X%* z!W2?gYqK>hO7c3!@fN%W@A4(5RKi@Q+MAe{`8g)R9DVvqZ@4^`=79blR7nO_T1qxk zUjXwZI-GD+>&JLo%;@hb=tr7>rQ}s}?_flx+&*3BH-_bw?(*ef(Q9 zPHJ=;UDBOl-e92Hy4BPy0A+wO zFsN)TOmGo*ZMJ;;Dp zfb^50F||T4=oet+V_M`RKH`J7_;FJJvJ1E%vQN?Mn>sY#CYn7?1w2EBHs!Dwho5qL5*BcC%$RDQWZB^)9v+Vk+tF-kpgiw(= zBL0A3EbR(YPwe2A61I-FYVEOG4co%x^=vtSid+_MUTo;qz9?T(o7%#usj8XYSXfk7 zmfWq`=4nQ|i9>Iga|u;bFa0^L4da3}!fb-O#X4n6mPuJen`}0VR8m2SKtoXG@?X59 zq3_e2{GCD-YPU>}KqiWVWF~^^hMMULR}S;$cMEtZhLKj1Cw*{$I^8g3{r z;+Z%A59%mh=?B=Az7r>5P2Qos&`}$(7n-M0JL$hDvi!|t#(&H){A+d?{Cd@gzmy8a zzr?-#we@8DDcy@d@7ns;tby^tTm01_47oiXo!4=gW|rzJ-d%GqQ}A&v?&b+thrYWu z9z0$PcIw_TSDb4@$x}@ieT;P|eH4QGTWs2Scmy}c8|6SxccTO#SThJa!Pz_$iy;ZdWl}dJDF?4-*ydaZF*p zj+ce?In7O5pQjrNM(9T@*M9Ec_ukqSyRmUjeOfv6mfs!aF+I5Yak$@;>|O4aza<3X z(i08eXP1XByo9K8xsuNQp`9L&09EO&MAh_FLE*%p9J*VIE1#~?)^IP9=E%nFF{LJB zMuk;D%B+3#W>sUjAQYRsQW3mVUN57{CdZ+6#Hy<*7RyM=_L$JrzT9d*WZq!W=4(i8 z>f*dnJ$juaAUHApgtl3Rx1g-)rxH<@8osg#s-?xHq{r3ZVOZj7XT#Nl<_OfD(|HY| z^P(yb@QR9NMJXdw2__^=L8B{F#gwQiU=}jmLBPr9ObDMH3{Txm5svQz6M};j15gRk z5n)&6;0OSWcN79iUWl7zJ|PHvraQ4vLFnOGz7Xab&3{|TxU#q$W|FSVf@mh;Vm?99 z5yeE7KnDsTqN4G;f);*H64bx{ANdse!a)>u5(w->Q7>SSpBxd`0{}h?Ep-$L?TE^M zrz85Y27bgxeDD_k9^i%PWw*L@x7}uY9>+cSux9T$!C#x|>pX1NwMHFmlh7mY7%tqd zoBM*ba%sV_sD;&*b8Orvv(-uCPh0#r>*`!r7~DvB+JrD9uA`52-Ig*-_*`(Y^?n{N z?W#(Kr7oPg^O)wegkV#NO~`3febD^A;3#&M4&-gwdfQdL&C77BxKj}rOz`zSEZ1Xv z{W)D~vWnU+I=g+^;HQzF8ay85P|X*Gy_SpcW4-xlI-gcQUY7IWV8h)Hm0dCpjok)+ z*)-mz*R`&beezSmulV}uP~)P*Zt*Tu2jp7@h)4nnp{Y++7B+j%k5V>~UD_2ag%TM(7Lcy`{5NukG}hYlX6)37Sf5;=DX!btsD`gbMO)#8hJQy67C@ zs^nQKqLft==P~=rePEQ!$oAETRJEtF+^wuUI#@ufSI8Y=<2l!e2f*@rSH?#}o0yuZ zE&;xI>Be(l2ujw5oEVBjURJ0lV<=jX8?OiRWhM}Ts#t;p%uob_en7YOzp@ku#+CdC-F}1 zg$wi|-k|L-K8O4_u?+rk=K8N+-T(Eu{-59SPvs2$sdxIvXZhFptbUE2=C8lUKYthh zw!F&+Z}GPo9*4)^hVHS1QgsSj`E9XU|Z=&3lE1d6-k^-Gz<|ynr;9%JuIHe@Ce4`!Qg1dy2?IbQM5cM zsLqp1b)MeMKNDw`gt<4*)m+ouXEe5zMDsFrqQ%JOy@9p&29 zwETXr4fbFN&~+&><5J!LJEuud86a~2}S9D+AEi8*glqzL677o|YyhfB_wHE}-Gbszz7)xE0>c|*pm8}WL zKmiKPN%EVLJL2NX#MqWI)Hp8B9L8u&}Mo$pIl{w|+I z=p;nrOA!nwc_8vl7pGH4NyLE!wEb>>YTqS3{iEOFBR=ATxA=PxOYM3)&x4pi zfAR3hVcY1E;ty+kAJU7j9=aUs!+p7(n$=@2yH$%J@2p&$J4a-AS=lnNTJL=Lse~V` zyum(4oD-UeyXtM-r?i=DT=}}>Fy8#y=p8i zAouc%;}m)GW+`bEn<$%70c)a`hGk+-fvR&~qYP+wehqjen(sHVrlCe56+#cNg z=;J@0>_5VPjyb1l?dya-X(M!|;1OknYSd~`4R3k%$md9HNpC0~hZ56$ab%_H~Q@(S}HN68!Tp9yr zw4$LbEto2D@qt`dnsR6YlPH)Rg!oNDLVCXPq2Ky{@mrIm0RhZJ>JD!!NP?-$XCx zpU*`9RL1--$6owXulB#p^YfpRh5u_Z7Qg0B|0S;Buh}>CgSYrAV?IgJy6GN#P7JK# z*U?M0^pkAyx9Q!@?)jdZ!~5gm#Ch>;?PJ#`#4m~)@$eYt?eeXxKy4g!@! ztcyk?YFny+9D0bZxy#jlJ@i6VmInn5$Ru*! z=#r$;kDaggkL3>MC44niZ+#*B(ur$!W27*ZK(e34Di|Nvz7N`a*5rX3z1rme+ zm{6obBp?YDEs*YRv7GGXD_lVcONYC48!JnXj90yp`J#r>lNGp)WQvFlor=r1H*$}i!Wr-0ini-V6 z#x7$iVRf|mJ+1aiH!B5JY#Rk%RwYixRh;LgjX9>Kgmv(lt4%X^2yI9s*Nsi)%7tVL zOM&gQj6%bDt`v76os0G*zSkR-clpu6!-_nVHmDTyPz8?m4-MW&EIL;CdW@fLNaPYJMN8(=NXaWxvX|4yRpn z@AEN)ZsNM$UgqgoZ!XLDY2IC{;ke4z$xrK8`eoNv@7X^&7Wk>&eaW|%^)64>*Rm>M zP!l7#UAK8mT06#kYCjVS^$F8mg%$ldpsVVo(mj-2t{(wdvZ_q74vq5cp^7ZUTT~#% z=Tr!dL{6a?4 z094S4Pp*RRrX$dsUL1GxoudcVLJu9p8?gs((4Odl=39y>k@_2&6ZtR8nEy2yl)vn8 z{;jx&KmPRotvVQf4PW)aTYSWy{uB8g?todIGrY`0%9Y|Mp_DuTJv&HKaJl5ysttw^6*PZmh9g4R(?n~1>IN8!KgvQ%&r28V4laPFLLn(Aa(83b)xF~q6#sR)?88S{we+zDY zt#PvI9>gqz(-me`T=J4cGhgXE@8V`Zg~hE?o0nt>bEoixQ}*h{5r8W+~)Y| z)%(&L?7Y0a#Migwwk~+L*|mC1#gbj7rEWBi(bWv&mkiye_a&xxyHUK(xwPS$FOuF< zR~B|D#2D`Yl`dm4;XZrF;hro*m+VEngGKpn5Yc&|!W|H;lSp2nB0XS$T?vA{U7DZ{JLOk1L& ze0IS#RK!Z{ODLr`;}pALgSdN=p;Nw9HK60JrD90VW695rpDT8nLH+i#+o@TKZo@{ zVEBrFRO-4N1*!=tl*L z^_CmNx7Eg$tNg{<_cFhrx>_{PKxkG>$?B35>dlVh*Tm0E*RuWr{n^&Uw3MxE0Lh$l zXc&LA}8%zyU%I@<-S`@?{md!r8Mr^{bf3zTI=05 zn{v6?=QY19;j~Kc13$&xc`V2FA1=%LOWc07+kvlle?P3^+w6yxox5^sahd$s{`k)P z+P>b#+o^8fk{{PRclmX9xOTUFrD4IQDVG^1+FgEZa2ahE{cXa2#ao9%Q+HEt%sa$g z$pf(g3bB)J)KLto_Dpx`1xVsi;R;_HAns*n_z6|5@LAKveCHynt@*>tfpo;9{0434 zLDf-yL)p`%YLDe?ICv4_)|A{=kWd}8fOIVtssN`-LNZi)PlQD%0k1?6E4ags2>z`n zZ2QITJxWbn^d zJNWZQ_%(A7zdjfL^~d>_U@!hs_ws94qQ8dE@PoJb2n2ab1z|}k#gc8l2Z26>qB-57 zV%-O|3b{6SGgNb>q-ab-_Pxh(@<8F9INsyH()>JH;PyI{5_ruXWS8kZgmBB(g~xlE zpmLl2h~bvFtIbMO75Gt~aQ68ERP$(%`3GA7f=x?bin1_(_D|SgLZ7Bllvh*Z!cDT*MW6^8&heuQY|5)ojW=Fu_B4&~_ z6)UOae3{8+>cvx>-yKeohA>vx1k9n$>)SY2d3KG-tMgQcTA@7Lx8raj;uY?muLCUb zyiCz?o=b@NK4)v=b@|7F(>>os>!!Xa-bYhy<25A2%TfZvd42=Sb$k<+TR~8|4Bl+R zWys{_&fpFDj0g-xJKAjuaqU;(C2|-nLJ!Y7VRm}Sw}`#!2kowMqix-8#JepQ=~dw@ z4VJGgpPNA9?J5*5cVO!p5L)Pl z5-oh83YUw)ly4xBM->NdMp(K71m5AKE&}OSpaQNe3n0jzrIT-PLcdS)QF`ifI2on2 zV9?IB1`a|iY($3+B8eRoflrRfQ45(^i3As6`C9=NAMp`?dkOPjQNiZF|DXO{ls}$* zYk#shQml^u+kgH)m|S(XWfOCst4>%I%$5`9Ca#K)ZU}`8WnL^I6zgl-&fc}gg?>|f zDfk+aF}NX=0o4l2Xue_7mkb2E?EUpVp65deL;Kp`(&xST-M9nJ#a<%&F0a-Y2kb1q z^EapRpYHg-x1s6palbFj7znR zrC-2UiHV{lgfPCNIeO|O2N;pF)#lC-X~WP>036L)-dA_}rn+@HRLec_$m&{bAJYwi zSp{S$K@rMh32jWny|PU%kJ@$%ueGn1G*=blpgAJC7tyMQbM}1CZR9wC8)L`(!fH~5C?fSs&|j;OB8JNX?v zKo8g&)*&AduJ9wC{v)`72gD1~3E>9cg9Fr1owyIxL+RjlgKvNy+5&g8gTjOPxqU}_ zsO%W-@&kA$){m;dqO{qYeW@qsP=Hn0WYwN?M?fBxSc3d@Dzlr>LP77vj_5kG zm~C)JC?Qs1mRExM%vC@UENc0g_CwZBLH_~MSFGrBb!_vaa|EOEwyN$q9KrKkP8Dv; z_0+z+Oh{pl)PVw@k!K%fS zqg$~)lmq9tyxxWRtnx$P&lxnA_FvrvXrP8Ros|?AUS0E)>%OENy+?HNxOnFD9Qwaf}Efz zDu{)G$X`?`^!=yb9nc}do*yR&Dmok}fPLRrLZBsi1pRkUz;7P|MySwC5+LBe1&X^M z{DElJA1q!*;s1i33L2H8H?}|q0%U-J8M1@ev<2 zk-t~uAtr%!jwL9Z7JCo+Txqr@_#|Iv8|xfGLda1e(bfe6V_P)2m1E&V+oA-x`XwM%Z)nzW7PJYCg8QrnFwKFVol8)#=K5Cw(PP>vXQlVe8kyUv>tBgWJt(QiXV} zH#XdD^`FX;RA=`{SVapPlp&W@!I*7DGfR<%hx?B(Ew8(Bozp8VM{a}5%nY1@+yg@! z%gCx_W>vHjAFypewkE{cv>4y!fGTWoEaXdC!IA-TD)D$hBs@_L* z!D;R;1O|PBwJ3V(UP?qT3M#-8DmdYQ^V_;$fyPm_lyS1nDl`&}DN{R|dVUkM9CPiN?AcMoHgl+}l=M?Erg zob@oqlJ2P>X4Q2>&jnu0vtg983LLDgN|-0t63Yl*-&1e0FudgkmjzX^yPDv*_}m)a z(?rI{{LT=Up|3o?4d)VdwP=R>BZkadXFrB`9{r8Wxd|J~kNIZB-lidJ%GdELP2CK! z8ON05ml4>n#)nC~%)!x3sRQ=2zsbVmeyu~r^O7sSJ(ddY*$Y}O@a$ZY)=NEJLn##> z<_SZWX*r2O9ATkEk0o0!k_x+8n>nV=V=g*Jhvr*SUi#9kW9$f4Fjj~@iWbvU__mkL0~B0m%f zj3B`bfT(|mS3cq+KH@JIA5BbuEvSNg=4o`tChl+ia!jwz>*sa*HqM_{)!f&6%R&H` zklNJFp$podLcege=kTTQnBpy15v0gTl^%Vl5 z+`%BkU_N@N<1#6-rru)QEqX+)Q<}MoVaR1w%M07AiAKx0ur{Dr^@A^Od3E6M2o)_Y zd&V;+Y%5LJulZ%-t9bS5py_Jy)NF6V^|;x;cm1bTz725~>No3N>M%^)ucpgw_p%-O zsX5lkmeoT1CKQjNL<^QlWKOwjc zRcJ@{v1}}kG~`qdyO@OQse@rp+c2XFzVRO4DGGlg0HdC=6Yd}h5_Ebf&r--! z^oqYNwLCSjqT5sCJBSVihdKmY>JQk9q>Bf^szdHxPj zpl@3Qkc9}6DE}_?&0heEk1Xr2lx6ws{RYcnd{! zvAhQ7rbNnQiRqCGfkbPt%$I0Rym{D6^GrrhL#)~~2|-#05$fEDek{>|@#;WMZ`n6x zyozp16UbqnD-S+}(&9FT=>CrEF494b@(th#4?M5&8lik;~Q&)@n&Ha$^{n6G74tBd^OvGoa8CU;bT|VN1J=W zvzH}?IV)6k_EPx~i-I#uDYQW9w7M|{KwZ}Im|T~t5RFX!oeTBYk$AKP?W@@Mz6`$6`wwA-kg+hg5u=-Os? z%l%G!aaduDDdYw=&Q@1J^eR@9D`dwcJDESwp3;1&qS;tVwXigneXgyp1G|E?e99pn zEqAg{xUZ%4Jv#t3-WTjrS?oy0$T|cKP1Mi2`>+N=VJ+KV< z$98*}=Ur1c##O5eaH^Fi?l#-YQ1;tn-JOsAL*QdV zMH-uWN>}DxZN2P7y*DlLJXwfxDQq$Jc?F+Q$ulgh$Uesw8exs%2#atR2?S;-ij`o- zCp56{RS%a3n#qei!rW9jXO!yMWdZmT4fxZa4Bsq0zJL8xvP=eZB=aars>Eb&PJ5c9 z(w{9BCX{bH2i#>Ofue79m}f(+yFC%Mo`=>qVRC%~3gNf33it4F1o%jQ**8{&@etEQ|1KuH>J3M}O+K{<7!#<@R55 z_74W-gSYtGLmBVoD{Sm1(3a~A=ISSl4!aE*svcw5S9o+^u3^*9_hVuo9>sG%R!an^-Ic3?pM6AW-{ye!fSN56xNzeUK9z37n9t|FKP)cMLY*b7_53ud^p^IB6qVO)3c}nN)VMy zW`Q(OnfzAHkP$}G1%d^LzuV%^0^hS?g9ahMVW2~<@B|jwo|uOQF9r$r>8Y}!IY=rhvJ`te(ioJMfLW5v9Rl=M` zXdr|SBaQUXiG1P{pZMf0{!5p8M4d2sX_E{Q$wRAuaQ%};+H1b^wXM79va@fOIPGPB zs=AlOuZqK}y5_tyy?Hup_2(g8_4%Z-;{m*WX8}~{be+0(vrPB2Tj4a8T}T(cYub0j z^MeOo=fkNFi}-?e-7my`C}cDW4AVddXveO5ms?HGSf{N~NO`*TyDr{!Dodnxk?t;y^Ok`eJ_I=VIxPqNB+f;LH}sFnU8 zASgx>C8-7x2$LUi9%O<6;M@;h=?B@2#v=Ss31`SE`0cJgAX|dQQ#I2Rzo19 zKo|jtii7$X6MYl{2ovTXjjA*erEAit(6KBCv?lq4XF zApPiy1Yn@kOq_sF958{4STGdgKW1tlBQpZ|kdQYATVasuf70hn56>sC8Nx$)2QP1e8 za+{FRzRgRCbn=p`(oLtz*zxkb;5PK6pK|xL$Z0%AvJ-Shc^eBMmyx=Xx9RHCvs@S5 zPvbR7iE`t{f1V|nQomGcKBXd5i7L$cn;LaGitK&lf-sy3*o+dD3;`=Pr;t?Ng_sq_ zFq?mt=35%xAW8cU;c{)^vrMxaI5-vgz9a)iB?&!Ox?RqH0z|KqJxRHGB>2%OWdTGG zqoD9K(+HXC0dZY!613@>0=Mz*E6!tWNbj@PT+OH!!zr^0UW!KL-{&JmN4z7(({K{z zZmh6Qmk}Z|dd0|nuL=3Q@HyFaItiDzeA&s%aeTiboqFz9cA0YL)otdM)(x19_r7^) zv>z@i+re+OdN+3BJdS~FbD6zi{T42$uYIaGp5_jGzRyFbT*KNLmoYQNZD_)t`~E78 zUS?0lI?#%Y6Itsxr2x;pLed@X5=TlEsi!G;6ea8w0md0{%9V8GP2kY8VrCYQ!Z-B* z1c|WYqg?>HLV5*Q5?5*m zKZ~6aH^EW-j=)2Xp(650S$yIXpS;EY6fF@}NpnOhPm2=bskpD*2coSopQzu0S7C8U zP-4+}Yj$5`Q*l_fRXdKW87q~`Rt^_Ce`%i1_wmpyZ>(Qkui-DqH%TvR9G7y~q*EWy zvOi1z!mY}6UOk=W;ib}Y=a&sQWURyoCPR1?O&ZC1^;+&nZMNjqxi_5xh+t|h#+=rs zLy48isJNSR+v3b_M!={x%R7TR|siF6}Zc?w>(-9bkm@Gp{!2Psr*6Ob(($r=()%zS z)^;ATt@XC}er2cd!zP~>**>ir%eoE#Gal zYn2P}1pxe6D4^oS@GV0CwO7 zWus_A8blF;77Kl16hM-qU@a6#2oZQzA+SgWWJiif-y^Hq_E$OIiJTP#;pPr!|QLb)JqrQB(I#0}OWMzJlu6MGW$;cMW-?(5@{ z`xQs>hh2O822+ZEzN-HBSZDth&B5)mCwo2z&RCt~olHQkUsiF%Po38gu6?Pg=rmv?w zlv0vhSo}1GsK%hWQr^Rp$PxnBIIFw#7jwpVPFm?SW>Ft|>W6NBnv|$HIoo+Im4s$F zM=GKx0CpU!O_u(eX5@D?IY-|tv)HT^QZ6it914Ul$3$zEi>qVkMWh)kZrn$$lhBjW z&@T#`11qVcoEO05y~qZovsvbO))n)T5|s;_?B=-%S#qn%&f~F4HDd|B9LqV<_>L1; z?#mLvu1^Zfn`7wM$TdJ0(E|z!^6Y6t*~uq9e46__3M-5N~$k)lz~_;(%1DSmf52<&ZR;d473VDwtK%_ ztlnWc8XJ$9YLuw!$p(0aU3>UpvRjSBsBTW#aBC7RuDxQjn@x#!1?pPWL+gSJyL}%@P+$O$=xn z&uFBnILy>)D4rUMy48o0HR`%9Aiov9t@YJ$@}zZ1rB0YLsvt${MAWfu(saYRExD`w zYw)b91tP7aN#<2)?u)Sv;IQJU64fWn-CkZ!?4(XUPlq*cqgq`s%UAp9l)o>HR10kkGkzfqo*KZ7rjci}6o6MqsrhVQ|dv6FPRvM+d3 zehGOOzEG~h9pwbR&~Atw;sid!Ztx9oh95#MkgsH?`bxYr{sHzb{zSZkFTxJ8BhTS` zSO?z_SCuX025iYIu!sG~C9xO86}pEXVXuJ+9s>RdD0>)-KXe;^(M9~wUM|2u?7<^r z59x(F$`P^yd+0uR7I`A?g006zWKZ6N2a3XeczTH7FZLdPSjOVtV=ex-_y5~@f`7-m z;_v>}|9{Wk-~M)gFOA42Z}Ex$z&pra?weeuIcvzxyY#vbS;(EW2cejUeA`aB~oA zl$zHOfL_Z~)P7!;%H#^G3h(2|!!G0P(7e2l9YjvJ95lwsAo)BeY146*gm@cgk*d3E z4IIaJb2%>^EO+;dYC4WbRSin0oflQ1jwo&Yp3xSV2vIzyNXXZV?vXBMCW%{3(YT0t zG0Coql1a*trbg;%0eiz6ZKwBZcE>%~xK9ei1UGOgAs(@qnaVgJX^c0Mt=spEMaI64 z6>~{V%nsyUhOA;*ycV(-bz9~r4Q*bEX7_(IGxqNk;W8~x5xKaAIXNEx$4#j$v8I#x^7O5{b-xf~Jd)5W+x;RYp!!RplAMJSS+EP5T^N~QHIR;qyz z_-zSm}LS4pxvsQB*H1R^$vw;3TLhXAqDZ zAix!b$_Zj39iWh2M1cVm$WKQc=zXfUAgzoWxz^4v;(vDd+$SItdZE zf<^oY3gQG1-oeMmW1b>n^5}j1a*aHUGys)Hd;D8hFQ2L_=N z9VnEWfYb{-Bp?qbvB#c2eK|kziBI0*zchP6F}>aq_kYCdYr1y6DV!RkgAhw~u4KKGS@Wu-c@?X?>W_>3lz2vS z$m7*lu4BT6(z~oHxw%;l#*REDTKm<<_vg)_>yMlDVd}?c%WtYbHF274)6UnvdfDKe z@+GzJ1$&E=>!E(W3SOH1aKG+1FJ(FJG&+}O8HIe>Xt}~R#4@~C)Feo2gb$;R{;ln2WB8TwA^_{I1PUvRrkuE91Fd*)($%2o*~XBq2*? z)l`@YH!mEHz`kOK^;5~CRhlQP8)mE|JWwQAi0B%ejqO}brEo`{8vHkpx3bY{NVZno zjhoR&i%P7lwVldnX;pEQXrDRvNZZ-ZsZ|POEgWVv4Hs{scFkVzbONd!77f`!E^Ql& z1fJ@+FId$&&sa+}v(&LblB+3Li;PY+CAdm@i&&|WzMv8m7KJP-7BGg3)By_op_Gir za40CK_%N+R7FHAo1hj`+H~?rS1(87kl1L^Ea8kq+vJj6k6MslB<-<6W8{$jY z8GMFz%01=G_y#?SZ>dTk9$_hu;^L3H%KGifgMZuJ{^Qr&zg@fV`}GZfue0~*5cD@-5^&o5%EC*F{G`xXczw%9N5RDRaVgTvS} zhBq-CrryD;*EOc|+_0_Y(bT*js*3Z^1s-}6ZW*`blC@mM%W8eNPFE{(X8XmY8*p0qCK@lI3nR+q1* z;iT5aa+NhP4Pj-OvQb>Is93Ma@LaT%Wxk8m+FwI=D%WiA>qTV5qNOok6xlT*xh*52 zLiz%hrC<~O*bo>sSJ{3_9+S^-Mygan3~4Z_>U$Lb5Gq!ey@_3i4M<` zG)*Hjt4Jklrs^CeIdWfgN$658^U{h~^o4>LXAO(Nmvt~(i=f4Vz~~eS!Ua_qCK01U zB7g!hhzlAL@GMYZvX6)Q2M0jrhlw{}j|4Kp1tbdqNQgY_9~4?4C8+UXNg)|wFeMyr zVh>-6AO$8QO#?7UC=z5QGnfK=R1YcvRH7~)>1F)E8zhMQ^-bxoZL;veSrDokil4cN z*hxIA{G+%O-bjdk=VGEqL;&xg1+Juto)|^-jtGJ4hfQh7rz__tKJoWv;y*Li|D$V& ze?4RUVFT1^`+xsG|5q8uXd27Y%uN-?V&77nAntQW`{d)z+O`^lD=cHFu$u96Ew6b# zu9}xT_1fe-sR~V8U1nvX_+ny%M5R^axmGTUj`}s?XOl0OuceJNOtNaY=p&ulY!O{~ z37OuwdePxMRzLZ80#rmyNIHU;A*$#p*l5-FZ_n-i=-sZ=cMJz> zu8Xf*j#uv2&2gDt*6g~ptI9R%XZN>sIr#35`zz(W*X_PY$IA6h;#JI9n9{xT-I_K? ztdlN_7g44WMJLuADeVCTBabM=HZivy##H%s-kOeJma;~hY{ldE!DKq$rpq;3pB zZpJ1+&fk+1EIs(VOmDRixU6dWQqhw3u9`T(-EEX9SWRJxX&6Nh7%$G5ajiY_Yzx52 ztrkqlgpibRkDSFD{O$qYIvv`__2C;@Yd@WV~Z!Ac~6!gz#=l6+*0 znJ5DV43dBg0(3G*h)8Bogg`;~FV+?Ou-q<>W)1mI>55-Ci@%T=d=w%JECSu52g4MB zt017DR$&(g$%YNc>w};PX^>8g03V*;kAwfb|9s*Te_wp+E&ebJ(vO>|gg@1Xt6z5I z`J%_2)Ixn)x3_WHuLbvH+fv`MH>h$$z9u_KV+-`lLQ-8%|Yss4Zhv)qUYw z>$~_<@w+8^QQmX4O&C+X!8kPSxJ1+`GuH%UL8Y-6s+IT%TODNn?yLW{%zs|vr-UOG zxF&2E!a?#)GM9;oYt?oKUP*Dt5>!-*R2h+G*vE>V^hZ{hZ3EN z@TE+=Ncn%w^2=YBeuf(?ip;r@80WWXXBea-qw% z33IHxx|lgeshZmRj88PkEZFjp*#+ za1*xFp+IO*G{MERh0Y8BNLketOp3KUXN#7X(^%`5?vzpOJpp6eg<2F=5viV#(e zaCvl6L{)?SaQS@9hQ_Ztd!|;R)T$&CK(G>B5P0-K7z7$ok^u@NsR-dnxMy43!s zB{)F1;$wjHq9j;HIJAf;SW{*o5e5`tz$((A54#MKL}?}cLWA&^=+saA=b3u=?arQm zTPN}NRZ;w&v-e+b-sF?F_yh44A9@Q`*WCGRU37a?w=o;yHqPr!x(+2t8Ah2UZvC*p z&+}uel<|t|hBbDlBEbCodQw$uw^Y zRdi96Whqt^V`nSMcvhI+PRY|EM^bVQYD5QXlTWjR%aBzI6qH7;Cs1U$O8qSleUONT z>Uv*NrSqs&HF~ZDjrO6w3^+o~(>v{_+vyS#=q`Lp-QA2l$s!_s4qMPIENlDTqtfbq zK+X0%_CTETEopmME{^ItCyJcm_@K~<7?ACT0`kTrb-LpJ((;y<}o=-bF z52CWU&&li6eT597FrL=4c`}c6VF1}GOUVu8k>dsp8FKvEILYbL*#~jqag9t&$Kz; zwJkb3sG=}uJwlXAC5wp+p^zATVo*#&EZ_(#0X*W?gB&HYd?bLzM|c?$K0GB{@KASt zu3DGSYA9PM@S*5v`1|EqM#87BrHM^Qesv*1>y@NEV3|!p-iY|%8#&kKGYtc z_{1kZd5iz@KS>x;n6HJWh_7w?HqVE(4HKW6`sWo|}HZR9z`Ql$r`u(fC9_9ROa$fM&%F&jWwmOaJpwcT2 zD|s82Z>x1#%AtCF&zEQZgz|m6dhK$*-Yzm!`!;t=y{jN|X*Z%{L5sDQv>JIqrmi3*Ts0vaCKdUZ z(a6F8YNCi_h5||y!K1HHQ3_bVS|I@#)FC1kk^%de6OoTOQA%MkRDlnxtAapKAv0(| z`N0b)v^;W3DGHV!ZZ3&Ju;AmxKcVsdT(l;P2&H@i{Z{$y@wEdm;~HEnc#@50jYad7kS^ zZ#})}dqmNtlf}$V%W;pd-P}=P9LKMLqZfF6l{t+4zXO}_$0flOtVwzO@3R$;M=*g?+6Qqj)W{9KxI2t4ja zkut(eWXcny%vv>xgLul3?-pscGx_{;{3)@j?~t{e`bNaWgH~0_Vy54E{nFOA{{0$y9dA$Ab-YA{+jv}6+TRs*xsS2&d|65{^keqcP76KP zH@ug$@A_-y=qF*4V_6oYqlL=)GWG@>vsVR2lZetU(2evCPvw3yn%_rSt?$_rID-OQ zl?dLz%JmYlK+l52YNWW@9U+o#0zq{nilmdEz#je!*r6*ClS?&SIENXkicys;+by^J`n(dRsRC zz~N6@eIYO2?CSBlUHNq|UoD2}sm=ExHf`0H^3=v{)ct1L4SBWM_fxl(rwe_vISk|5 zu3dHWvR&zQk^}R#toAE8v;0F-{b_lBZN6Nl_rv<9v!3?4x{m#Gy*gpsGhHCh&Hm)) zU6OOyi^JE)|SA59;bSrX=yaPmZ2e~K?5P|MQd*)YE0{JR_Mmzxu+Zc}Y z15BdcNq&bP2uHOM+?Y2|7kef;L>qvn19<`W;Q?JEuFQpy_|Xti(O(o1AN+@afEb~7 z1o1Bij>O}`KfJ;T{xarDfGYCX&!h9AfAqe{-*$TVJ^F)xZMFS-JTU&_y(|8{E+GH5 z^Z&Phm%sg)-@})D@)n=?4Oa^tlB1v1VqV-ghGAG0wQ&mCv5ZAh%!6us8M{C!)3`|P zyObyw8q?U#*|ok8Nm4$}6)j!;`po@x=+2D$a(}7O^__XT&aEoWL%^z|)H$l_AQE!# zPj#w>p)0aFPdADBKJ`(0&4RGg1DT*?1-E|=^g%e6d7H-jX4(Nc{=a%wlyRfjpa;&HrZk=uBjZGH2bGOqU< ztNJ|6zD~D0h^3cRaCy&OIo`6G>@Lf($o|gnC2*U1Do&mO48tAZyPuiTyZ|g`6H44i zP~|&fN9(dWc%8~>oxUp(I0;uOXUVg~9oRa0L3rte2e%GsWWJNHo{pkd^A5U~`YO_+ zoFHGaT_HCsC-_$MqEeVg;w5k;gg(Gm(4VaoTTUJu@M>v6zKDNe8X^joE3t+2NCH1G zZ>1UlWxgOMO~zb$S=9=Du4XK;uD|v{4}?R?Rf6xu)<|% zUKJONy9O~vc_?O++GQ4fp&zJn?C;w8(W!Iof+OXJAZC8^Iuyu_)VB+F6O$e^O zVf>!j=e%4Jq!MUUMN71`5#B=XCQrgituCI6IbCS_aS`QNwe{%iFHg+l$6TgG2Fkv}eD@%z`ZzkNUd z8r$)EGDrUT3jW`Fb^iBt|NPtE=x?(ofBSs?N1l^U-r|31cmW1VLzxN?WSwsO3Wkl8FOD4(N5Mpr@B;q{1ndo`=e^dK< zFV`w~lFHT3y$coh(T)8W6yi<^=7LAyI+$=ghXI$)pNZQoXx2eB`ITW}qp1qAKu1nh zw6)9^0Ff@?2c0`zR?FPkT9?Ja9HT1>p{_NT2~x|L(kh`(&Yb4nu`PCoX-wDR&Z)*4 z94*m&vLzKr2~E7`&_(7fl@%vKmg!o_7h8IgG96`Qm;;_+wLIi$Y#W~<{MEs({x z9uw-4-EveWKGmC*_ftG!)m2044QS!o&bB@EN&}-dott;Cn;l$iZJ0i0xGOG=0bv*Aiu5x&m^Oz4MU4+lGpN*1I z2pTuWxXRVg)N4*-Q9VRl!ELQmX`f`iH|=g3`&Fwxl(g1mMipuyQfpSmW?W{g+Qy55 zYsEdVw|v)?FSA#TECYSL#K^RI!W2P~80yv9S-h2cChH`T6o;9As?!(OW8GA_&$3p` z5SLoK!RV~B0_GR=HQJAYk{-e>Ezj^)r_x+2%pCkE?`9{A#x%9VlIsc>1=YMA2;$!;}{b zU6zuGS`(pVI#NXDiEWdnT%_2ntg_!rqU0(ZR^M}FTt;{+cE1cZE3%-T?-x}q(_3mA z+=dE^4Whc9hA~uvoGfk~vaYHH+QxA@iAX*O#+LXm`H18JEk& zZSJoUT3=4oWR}BO?o&OXYI-fLzYmr~qj>92HVzU!mOC1a=~!XO6SGyER7y=N#Y)VC zCmoVi%=24a8u5Fml5K<+IJ7?tW$C?!meF;C(&u847mB(sUWJtT zBJnIZi6#uUSQW{Z$%x1rmVE(b>Z`S2O01%kB2=GKa+x27R*QtebHQ4KNl+J)6ljU0 zLYM-Hsze4Ww<-`2aTB}_$PI&1B#QuyU;>%| zKnqEf2r5_rf+`|EEG0ge2uclDh${tBJ%(B#`6IgQ!(rp&g$jNZRr+w?5Xg)VUBy4& zoPXJV{eDp`k79*C#!o)+iBJ4{@fM%>gYFdfuOEIV9Z!eQjRaIF{OV-Ep2?aym!6 zQjX>?Z|kdnY`;7$$A0&k??-ug4jkt>obNcR))T`t2BqzcCxWeT_k#FV6s@N-{x3-k@=h3 zo6Fg@>)_a~Q2I7)d+n2|DywHvX(jtoy~$rOm1=LrPHFR+>w7VZR!OTgB036y=Gn^` zO_W(JhXC5vrXp0BJydm6--Ps5yGV0Yw_t=A7%E-~BOO%LC2AHWS=Butg7}FWcqmC# zhAJM>fl`2|!3L59l~I8NFj&Pi#lRv+KoFs#-}Sm+B2Fft0!D^F`Q1;as2J%4gc=9| z2nX^*y8;i%&hJ^zKW&T%fhs{rKzjb!eG)D;i9MP_e?>ixQn~e?o>=+o ztmqfc_kQ+@{yOjbYvV2c$(laz@BjUFkVlRfRYY#%xk04cne7*;BUI!@b@fFSN;_vX z;C+eI=!95V+&-v8zNWz9A8x=fab43iloWn%A|)9rBt6}-a!*V9(6YrF`kLMcm*(7NFAXVyhAzIY2 zD4UD(S(@AFJp$M3eW$Ot!+do;U*k#Z;jA<}DW1*FBZ`*u+-Szl6Qdo(XB&UJ{Al>i zbv{*zVLVG~!(b79^GYqf7$xRKDd=bQY?rCeAY*jhU6yxcD)UiYPE+qI$lOSbHpO~b z3@|faYDma@FI$Oepw4-oX6`BPT05O@Si8;+NmE}1;F3HA7C5BVV52fr*KR4lwRkfg zMJvWp>QVx_sxjo5#T7Fftx5-~Ye*@-D;nf=RU!Q$w8f&*DQB84G7tdfD9)Wl8mJ5f z8z2n90AP>`RzdpS1}TZ+gg_pz2mwJSp~{D`sYzlM1t~?5LPIqHM$w1AxiCShevc&{ zMTzGr?OIl2&vv4$p5?m)=!rG8t!`{LVExuH_ zHu{@+JaAQHiQ1HQl(MNZSxtniu~w@|Y@V4nTil)NZMx4~KXLv@vQ#FqP%_q0i{wU7 z5Y^4HX<9s`MpDmc-*o&j>@8|V`-Q_nxvJ6|Roo+s&aqwB{;sno5j;CCD7%-Jdpf_a z_wT3M)2rVu{<7&VXWu73dHsU);q`f!Za3eqN9$<0*A@n3}w^xI>XtymXWz+C_{Sn<-tI&b~68 zDbL~`ks~Fw1rbF$Ylp5zsLZ8NnQCoCXA!(+h}*9E#aG0*U{!2x7dR+fXWcQ4MJXnMfEXDMgVjpXAXP<4 z3&9_bb?~P~i10&RDt^4^aN0lAgL+G(x%b&ZVzeLmXFVPhFHSiYro1e=1_lt)#=kY$F zqQ6aOYu{f>lFMC54t)!geq4%9mq{v(1JuX!bf!*;r`DcIc?ZkFQ(iYZfYoA-MNFm~ zTpFsn`Jy5nrVm@0_4gF&%gw~=bV!gGTdGR{ra)Q0FD^M|G)ZMvNi@+YV1H{Ye7e@1 z#(bKYLas>?#&1xWn~NaxR;n#pSi9vuQy6W{!H%mP2$oM|4M zBK9#;!f$u7;W(s#--neTU*ntwx9RvyISmI{^JA91#&O00=_dMCn$xIRruW64TU-~~ z!t;E5Mf?sQLMd_)%)@k_%ub`W9WFQK@B>|o470%XvQWBoo)g551;*FDOj4UG-)+Tj zV{fAWFiR_T?W^SZmg+3H_W@gCY_wh7`nxvj^%}lC3@4Q=g_Y@8g|0DUkx3?MGyAF1#&YF712o9_(4phRW}SQ*feDpDjkA}TQfExh7EQJBCV zd4d{*kLH64bP-C}PvV#gN(3YYTp%;WU_yi=L@OT_9#jZiIw%PXX#IXSW*{CG?UV!| zBvf2PA}mCqMj-(T`CSULL>MJl0SJsj3z#VMpC&cG9}oE+aOm&J2c-#QQYA41gw|kH zlt+(+NCXN2hy#nD2m~S&(85(Agg*K<_^1bc;uD|v1@C45jo^rX#R%g+{pbG>2tv;;~7PUR8|-UysUK@mWDFewd1SsSmi0^ z4{MT6Il2-{mMB7}scK^}Ezz1)>m%0TgJBJfSL&PKh;RW^SJfvG$|Pwe^)8Y~ue6j{ zizXRAa`Sidbj+(K%%|GEtZG&{8=7jfd51FDZ!o>H$pYv5tGu3hrBcRryP0o&db0T%x-0pXVh1~U zyymgL?$*2IHkAF!juYR|T=crH`wu<*hJ2s9=k9iyn`g&G@@tfLt$WKutvlhxZI{Yv zPH)-z`Mlxo(p>iSS#fg3^=A8x=@k&~pVObo3uN@~Pscqg)ihNv7vzkpZ7;&YqYIVLL1mq@94nIsHS02N^k+F}tQ zMs>x^5ZXOys&$eINlJ4CB0zzOkc<#ff@%`(V1g)Qks#1eit_Ob1q{*vM<@_TqQRhu z^1C!<6{g30$nU+%O~fIfh`N%bh7|B&Z1&xY~EFvnQVL*ha(JKJpe*q%mK_mqJ zP>SLoDZiPkr3klVwrXO}{_<9+? zg|Hv5Ful*>CYPyL%y&^*V~py4mH&JT4+OoUQwzPVWLlxg(^RuVOj@kX(9qNix*-$zu%hoGML1KL!;k*F(~s97fw` zJ4}M)7YVf#3Y~mXwZNFzu`Vqj7^BlTvQMxmN8=BN@lJ6}D{sfKH^6&uTx_USxZL_B zxAin6&wd@1k}vZhOh^4F@pLZV6!o}h?#9!o7J3#|PPfG}n%l&^q|=hZ(rs$KfcJ6; z-Cgo+TX>i*@2aQuTVLsDu}7iX!P4c3F()!2Ch8NUoOKfIA0b~DdQ+$J`J;2K9y0RXYojSMld+d zs^(Xa#AO0nyE>L!-)9Z7H<+`CFThzqI4J@*-sR~a5p%hJo93e(=8iA9ss8CFu7tj?!6X%@>L53M|2AouB0&*mZQfU%6!UYTp z1+KqOdVaq{3I3=;OO=OL*&Q5#yRr~BWdH|t5*Ii`j&K4uM0u!p5EnW_Cw}j=2;n2J zh|e1ECqD64jV(U$SK~8&@3D@O)|;fWBm!yc%boJPGM(hhR&Jxb=G7gsYvVHGi~jv3 z?t8x6>v`L?$0=<#X7qI*W!R`{1xxw5 zb-C)xx?FmDUi(?{%RHv~pyb^|N|IHzdEqKJFk7wapcFFrM!7Wh5^}_PXJe9Pu%d-7 zk|1n|x~5Ckb@p49jY>?CUDVBZZ=o)WwX9;cW6o$rd}3*YMMUER_z?zH03rgFP&V0C+si0?e&L~UiMvgzx#{nz|>Oj zi02LOR`%Wg67^-ZZWcTPXVGmdb+H%d!1U5aS?Zg}5b|c)h`v-@L`;%`JLt*8HD=*n za1==pAU#n|U;|dzzQR?Qy(0F4P%Ur(8;MX`Pyv0V6l0F6B5Mr*A;ndm9O_hti*y3e z9HokGAq5l-08ffg2`)iCo02Lh3VRYQ0!VeS9Dv$)qJsa(p8hd$@i1GTMSBT2kK#dG z=o)g=2;$06=m|aw?{Eh`=z!dSQNA-9`e?l%^lvzelK=U?{9BJC{FTr7mtE1{^7VO~ z+pqBx{ERLB9YRFvt*_`9vY_4;Xw;8s_C`+k0B~L=B439gr+ANd!|FDFtGV3g`n0L{ zTer zfpTOQt`JIw=D31=ijBVnBTKcN9P4mWv$5Q4u1;BB z{VINzSW7+3Xd++8O=ky>syt`gkf*3wWB^}WdZHokVK2%nAgJfyPV@*Bsb^>kT#LHI zQB6E1RWmz79DWB<#8GI@qp&bWngUk}gS`mU4oZ>*Nf}qD5qBU%K7s%*R3k5t&eF*v zkw*pLH=#lXNDe;>DoC|Qsp5Nt@kcd8As_vzckl{5zy{b6dZ%4PFVw5p2lPU@(FWKR zdZQg-SLnO(Du4$SGDIk0@?3Q%O~%qp z>LQ|baigSl_*uBc^@{zjy<~rd%cM<1noui4H!X_Ux|Gp%qg82LY8^>lT&~jYomVtJ z#4w{<#{}b&g{ZzT^4eyIHjU28Zjh;H2eX{46`q04aPifbGM2jD$n2>pCZa2eQd>z& zrnd}8S%te>RvX1vPl0RpO^UOxHhGHLZPU!U(r{=isV?jibBUzu)Uk7$qD|r~!j)Nh z;cjUb-@3uyy6j^{yM)W$a$rfVFyzT(oJ6`bXyj%+1e(p>l00?4Im~spW&_{0=##!rDTDJ|ez=edyZc2zjMl3@^kLx~IR3?!c4khH?#m z3%NpftOwDZ#7VHBT*)tzj=&4!2<*Xk)MvE|_(Hn?FQI2}3w>AYVRHmQsC*w0k$*k_ zhawag`Wd(j&cKbl3Qpu5IFY^JNS-y0@QdLLe>~HBTo@XvKZ$vWqT znlF=5%T=k6lOr?ELrOq@yLx)P->;;ebl95T`YGJL72SEg4G!Qu=yTNjoO3kqGQ!Gr z{7{j5f8b_!m`^LV!+05@+=e?tkISSoU;3P*ax8gO4wZ$Yd9Er;b54PRtLSdNAM4Je zKTDnCOyspJF22;slO!MKXthr0T9-xh#W5fe$tBd>8>V(>Gli#*4_RPT~R#!c=Qib1w@tptEMpvfLd}<_}_R zn3+)flQh75_psbknYk#KxsV8(eF~Swtc5Qpk)(Q!+TF@Tmpo)aJ&n?|jM*6F=1~cs zD2BP##ilf{fnITQMeWa4H^=2D+}x%bg8R8m;Ky8b_4Gd8O5(i|f=g5;oCZO`orsY8 zB+Kh7J@Vjv4}-Kvg?IMC}65>_SnLhh1^#uX~yqrPwvRMoSnOB_suej{9P2M9efpmK)*dW8n{3OI0v2XKUgIBTTP z6N6BM#~;uZ|C~>$0ziy+4n~9iA6_?3jBj>rTU){Z?#5X(2;?)q=<>g#}?xuE*qG0~bE+ zn#&OTQV*(xaPX8U8_lf!oL8+5CAuh!TDl=R)US%(bscil^;e(H&F0H8e_$J_&tX#2 z+>(y2wsWYVi*9Nyg|m{of)ChhGu>qUR&ez7Q=VqF!f8xx_Hm{_B1tvRkTzj)q}iR; zt!!3FKfo*Tiut42{V&#__Z&atbAe&a{vebs1G`+?~mw8F6mpVs@eXBj&XX=ma zHm(<2dkltM$gK&j!d9#rQ5#D`TGk~EIj{>mpnENGl5SVVdu}r)))hf%T9whd^%oxx zXtuK4At3>F9+Om1LAD}8)U|PDtb$8Y)XEUimQoSb5J81lunI^zSXEn!2Ti0R5JEs5 z1Xx2Mi833^V+Gt58Z>C9QUeYeWsBrel_JDW(hUfAQF)5ohZlsHzPX;mCqyD&&>mR{ zhv1F*AcX=6yhT1(ffH(oAitZB5D$-$Kl|qYFaL|+r}ie1Us-bXr}Yp1w06ax)|dR_ zSN==K`T1w~bCe$b@)iB*mHs7)5xc8&zztk#zoxH`T9RI(?CnDyxQlP_PYfaM} z5_wRgvL0L#@2Aj6_YX5kgGZZUW>YP^iYBdfZnT)FH8veB)jZDN(`D%y3wblx;r`xQ zIt_@GoGQ(m^9a^-n--W4QN)*FnHyqQKK5vD4i08$fvr1ZYG2y~u9(GB1k#SH3<|#LVDZ@jB3C=OO z(&ukM!E!CaFj9rHP?#wJSWg&qr20eE!9~p_oIW5NKrmB;s2~LynxIBhNI+Z^k2-{@ ze!l}tD2gxzD0)|D2vq~P(Tj*d19BE8WkI;`hUyGLoVcPEgo&nzgLp+`5kgK{@el+f zjwqlwAi$O7;cDuP-$w={F;uC+Hyaj%$hCXEOKI4pE0-4m6*89?| zI`0=jriM!iTwDLL;LiDp&i^3v`O%Ngq*bo}va_jZ_0Uquf-y*BwI z+^z6l^rbD=rFmsNd-|gKyW}tN+{edSHdi}6?e^F6VY~m*oNwJrIbVh^+Det}+K%w2 zl#JYE*Pu7zlRnu7%o#6HKkE98!&TO=xj)nmbfB!NkDeWn*;_tzsbgBK$(U<{A3SQ( z-Go!v3;Axl=Q@nhA>Ck`xhxt`jkyA#wRyTPwrQ=7Q|vTNS@TYpwD?n!v*U$!wat^< zhgg-mEVf3ObM~gl+^nikMYkw-&28f3d9|tv5bYUu|T*>T1%Gy=t*Jt;0uHx5ao5rVLisrn0Uj?hJ(hTuZI0 zIrPe|QI5%Dfk=i{JR~r`%X5lI3aLUNGG$R0f)a_SLImpL3oX!q05yqKf^dNb00_~E zED}%^kBo<@m^y<3qdY1UiihW_in~BvASw(33W*R!P*oEj7AG!d*<&F^M1Pt>6_J01 z%1-=2`Qwj5itnY9Un67jr+J9K+@a&=a~uC+g!cz|_RnD~{&c4Pr_bb1D`x(jEB5DJ zhhOSi{ka^{zit)3X5QkDizAjzg{ zrjl4tBtaxtfJo{JEvZO}awD@07i98w6H~@FH;d^*sAYC-$x#(cor>j(#TN;UVz#{B zz7a{wJ+0dFJSi#DMMA$#=Njc*L_{uQF!H!is$6FYuV+wz1AlC)UF+pJz4XVMgNN(+ z+$E*@5ff`Zg1Prz0+?X$( z*kBTp97odK`bE|p&L;G}uRCADiG*1caCei$#jR`5OD0(gp+5IX0J(|3$uK(^ea?BZ z5fw!y3oKr!5E+0qW6X;-kP0r2YpY$I@3N}RG$EXQ)x|k4Ep1sWx2X<&6Gl{L=wzOi zcVrkV0ifh=5fq}sat&G4B5IU^co>j5ScER5peS7sU=a#cpa=m)sGulRML_}7p;AFe zs2a715<<}h5Q;bzT2v_-429T3bW6Tlja8vIMJyBu3ja~Kq75<8h%h1|R23czAf~?$ z0{(T>0{?(i{bwHh%z-}fiNAf`;xFVSKk>(L8q>US9jR~;F@2l8NVnDL9P3?_3E6ZY zHrdDAlyMReYW+2qlcg`q@T0aH%thBN`;r)@-4aVx>SnfCyfG|72F<=yJCkl-Yv`+_Z`0xB z%WqES_bjaO zH{0|5`n1AObiLBsWE&}iqtlw*QY+^iq-f2Cq;??;P+b?DKNb9$_U)ru?MA=!sFSXIe zwX_zKq_$xx(O5Hkk)2~;T_-)2?anahdcO=~-nxy#)_jRZSHUT|DUYjZv$T_Wn{-wn z6GpqjHUc{&lk}SeZ4TOI8Vg1KY`wz<^_}OKVpYgh1Wdr-q&$fRl zj^x+AqCY(x%NUh0S=~(OlAD@qogho8a~7=#@%20(GPO(>Z(n_R z7bBKiQu=)qk&vh{W7Y;LeH(4)G%H+OwCa%uZ&6Qo)9G?A*<^VCCObO}AIb1OG<3Og zkzyZ{)f|V}Teg?$qD@?8EvepQbkt5 zqTK7r<>h0#7E6cutX=alUaH{RR9xBjeNj(CE>RZtKFMHUdMnHCHYLC69Cvgr4KAVXdF=)lYrs2M_n;tZh(>=DbT2&5Hr@S&4w zqV|(<$%EdAhZ^^v!(M!5H=p>#zenEU6MtD?0YIc_^sAH~HU3WIfackzTWq)JKe+BN zL7Jt`224hCa8-55H6>T(GHPm`>v&JA7cLj^mynBDDqg+KIy0>bC)V|XrM4+AOG+d; zHqeDr?q14pvGo^@-(vliZX>#FDF>K4!e~qmh4+HB=osh>qr$RF1;1*2&#!x6;HJd| z^V_;R-O5Yg+?qS3Rzt%`jiq9vRiBHkH?iDusdLJmtZuxdZd?2NX1%*iBiFIMuUAhT z#@I(asc)fY)2AHQ9_wux`uyDTJnOUiIbvU)PIlYl?dYeMwVZo--pI#T_nUm2_iqm?%U;6Q7waWed^(l@6|E`sB(wNdQ6_7P=s3)SgIH&5|QT zJp5r|ZqCPG=fePvu zB|y4L6H-8s6)=wpXh0Y5Xp=-H9I$W#5?T=u4L&^DAMpVnb0XhON`g@N1zRsgk;xC=Uysm-^)|c z^H8>99b_7l=CP3+n8$0zlP@<})nU3x6q`_NKKJ8j?I1;K zo@YV$#}v_}TWU^kEveX~r7IL6mY`+`U{U>xpad8Pjbjv*~%p zHtTizNbq~y_9Bxzso>O=^6Y!LV<3rKID05aq`-NxNM8Ye71r z7A*a}n#p;H#_Cw^VKKjggoyz- zARubg04~azu23Nk8Ua=T1&0*Q07RVc8W72$0iF?*ho%}%;)EDfp;zIftBM3okPLOw2!%rAd)EaiBBJ`Isf+(+q#%Frz(2M(eeQmr z_{1k~@gF0+AW4&FeLdmw-0jZG^xCNpx?k}$`&*}_+EXl(NQzVqUtQ{wEYGs2H_v%G zE!*d&KU92``mWYhU2JQ(hpi>1&Q?oI$yebsSBotPNqiyG>Iu`C-4AxZ=<0Qu--T~3 z7f3?YSW}=_hfXz`z>=ap9>k%(+Jl+tKSTjpB(7>$7vP!wm zWw%MUxo!f3yES>1rZF0qZl&Xa>nGd?+ki`;t2T8mQ}$Y;OFDVe$`tL^a4)i^FC^6} z+}@HO7Tt>eL+bv=W&DTNRsNW~S(g~)CG%D#bke9B-Q9iKZgCm;w#vt;KCQO5QJ-Xg zLD{c%cj-5)r`vRWYxDcOJa6-{Uv`u$>?xP`D!UH%KE15!Wx|)$%W+&@x_q3=3tmon ze9QZje>^w)>vY+*(`i|68XczP1vs;L+QfOnzFp2^)1|mNf57fc|I$2NczLlWPKWhY z&cpIG%M$H2*8}2dRkcs;c7Go)oxEs1?Xp~l*sTQvx2;|@UK^=%-ZbT0Wxt9#Ek6Vg zqWhqT?f^gnRbgMq5Dj&qJcX#L(?NaZqgLXizJ^FLsa23)9wiT{ZfRe=6I;TQ$ZKd- zR0hrVPBAlg0UopmgGD3&BOW?d-^FJsA`(CY4X_ERN+GJSrA`{{Pt_2Gh)zbK7-~BH+!(Zw;fASWe_;cWKAMVC(8t%_| zJ}L;<7 zV@j|^rs!ln)DUg_cFVHT{;thenco>TrX!=N=_qu&gHkzp5wDY^Op7e6X{sbkJ_YA8 zo*IAQ{U%|4KkJLd?L-OD7D|~b$lSN?l-^1l5}f4*U87Tc%UETlbKmUiwFht~Eo`NH3X8-&D^?w}7w=b=ohxEnfA0b7Fv){xxwZ4`yKKXZokm|{ZTYOf+w+IMzw9>8=i&Zh(~n|* zSM5XUo^_bI?P|V^{oY=J9%Ey5==EtO=X1Qa{J82fc%$r1PVO&a zclZ`|k=Rh2nh__7A7~fER-}in857V7Z=%nEllU|A4D5-ka!);j&yX{HE7FrM(6hzf z^#;DcK2V=juMy9d4=itCXT=_JL46K8iNDY;>QA&gV+TFx|IgmLCCQQ`$6{(`?h*N_ zcb#tFf&g|w?u7T3i{Rhg3;)TT@a}>l6wGv=%6G=g-OZFAdAczWU`BdoFks(7sYsQi zWMxEnRHV3@tC^|#EA2|(iS6MpVwdnI=vCu?3$Qv=s3b(=-<18nQ+*-7GoAc_oXEeL zd-Tt3M*Z*q^>h9B#3z20yu~Nc`7fi2`*cb2QjR_JG&a$8Y-!~>V6Iv(5KQgrYR>6w zzOlo2UQ^G*^;y3AvHa#LcGlAy%GVlI+ed|^I4mh>V@dA3E`rEMMluXxtBkouM(sRNsxQbPb|ByCVGm^;KlltgTt(XqYav?H z(3dG@3n`@u%ObL2lnGKHW-4ttL>KjPwe+|QztM1+S_rIKm;~ppT5A!(Qd%VC006b7 zB7*CW1qlBE{u;wkrT6*7mHV->2&R-=ec3C5at(cp z<-@@khkjRLQ8C4^Kp6{zt*==-q69YNBw;*{CwLfd9bXR1MQApi(=MN`hgD8q8pY0aNiQUi zgG2do)u5ctuGTIS!s0vzS8`fZd)|bB*gI6^25-QPVZj?PqFt3e zmzo}5afu)c1c_?ywkVqR)_dn2yV?4V7}TT(&8<{2^{=U1H@j_%Q@8#vbxF2*BC14B ziJlu5vCgdwX`4_gHxx$h%&A>|5RoMBZbPh|8ay^TW7ZYJEv>V}Vj{#GQr5nLs)R|B z2w`{2VY01NJ;`?wm((`lm#%+{R=d^vJap2z)6!-gjX7tcV>VStP8bRwk!vzO)7bQ> z@*>*@0J3^^Y21}z3CjsGxmcyes)>lKph2c2A}VjBD|Sep`e)P}dFKnP6E_Jj=#p-F zS>PE)I-V$q<-ruP2C8ry9iW}Z4cM4gVWLp6ioRMABp|7i1cjzMq!1B?s=P)LAVEUp z8A38ljC_%#Az9D_?@$p5ffCQOCSt*A`WjGbm{{N?bWvLeDEdTz+7t?T4{a% z5mTa>Jco=hl2x&VToBDbU=2BGxIn+@Tk)O=p$b%#pBs^1Fm?Pd|JR=&2=S*K_1E`* z__dAmm*2&&^L{^Li(emtwW^C&HbrJFDr-zFAr7-(37uY%*hz;YxoyU>@ zTxMA6&ST>$Uuv3_r^Yw-m$_@?*O;F=xl9)gKefY?zm4+`H7!RRHN}RE}2)KaL-=?at?Oa}}y7ZW9fbb=5*^@kKq&oeON)djWIkfN=F?%L>CS8Y@ili`P+tbPheo37Fp z_n`~RNJmLeknc8HEHh2EdktU6Kn!V1X*Z@!at(hOuCW=>)*0hi)yLMbU?PHrUXIh|eCY zB$cd;2tv7BqbcHA(}R_pNn=4;T$*?lP6g{iKh+TMD%@B)g=;O8PSeODO{KRj>>8d( zf~j$mxnp(}fe`?T0WD5aNxFjMUTOmur3Obvfp>uw$M z(jGJRi@YQ`yFWLZUEke4G#+(#RPOL-dU|+%zZ~`b`FlC(<5QTjyok6wZLN`2D@)T= z=)}|&qNqrd3Q1}$`qZVptlm`)zWz=}UDkar7DgDGYHCa=nV{%^yd+J*A+5xPOM0&+ zVo!#n_8VHu-L9duW$_4FqgubYPv-y=gR{K=0nC0ud^JRfrIYB0v`cViL|mh5f9IodZ?jKw)Hv z8Xy*o)m^q*&;qI|go#ulJ30nBs0s?ip^#8hRTnfB2Q|KVsXNd> z32OYaI{0Tg!Mov2F; zKN_XP*)>Hui3lcoK)lUBnp5h!HXn17j$+^;!kPNH59)RJQQ2LGi>%)+)5Vfpc}|ph z*pyDcPF2_AX;C1qbN)h{Yn{P!ZjTs_`U8;8r{go_cD{J%@$}orR~%2j4ddbBge=FA z@|)JB};0_G8V`x;;IE!+4n;*JbqhoAZ1K@^-tR$8BDWX6^{y<^suWX+pim znxlBM#ue%*fNdNRRmP#>nf0picd?uS#0crvGKZF6P0pyvScOCOm3T!H(=idibP;(- zcCPW5u0Jx8-4q;NsC(>k7X8fG+^ zO;+J^1{!V%ThEJkMpj44V_8zjG}pSCQ_R*Lnq0{@DHlQLFeqG?QAB-?4qYthCvpau zWjR+oSvmy~Qv(!LT!iPKfQ1S0*-b>I3R4S+hzXT2iVG76l?8M&0o>%CcjzfejC8pt zLDT|JLV-{;p;Coj6b-!VW;RHR03c)&IOrrr7hwSIq#%Gb(-bh63;I9^TnQirD&qd4 z2@-@r1ba%mtBHRT%hI1)MLzL~Py7#rPkoDD9h}i)G7$%9@_RfPai-Anp#&m zahtorUpD%6OlhTF;$_u-T)H<+M@f&Roco6dZfy^xn+r(RR8^P$Z zCKu^qTX608H0q(>ZDkCu9_n)KRxDGOH?*m=K2;B9RmWK-plo~dfqklr+6ow?rA-${;>G;fI(UuTNz5i2=P0QIiV7JLvIa{?LUSe& z-sQgqBoI_JxC55lT_A!W9G*ldVIhRyYl=ffg9+B?6fqJi77RuL3|8R=QN4?P!srko zsDeZ^(aM&gDIAanW`Vdgk*2OhqEulL3GzM@HKE-lG2$-F`7Ia1|HKj(zb}>k`*alk zusY}O`^>-o{O>#e@4Nb+uW9j1#`%|4y!a&!rN88f{PH{f<-VzZ>F55*Tm020M_5`3 z5iZa!`|>f1VLm5>4?kG;5=T$o%E`4v?PLp#J-phKMWW13Qc{bmZC(_?=_sak`Ka5q z&U?}4G@grO5mA?NcJrPy>e@aE6D7Qe+o5%;^O+6a#_8(5497#@WjLOMdb!+mG8?A|FP0C$}M#a>c-?x42Fcmt}-(&&!^a(_C$>`=t|)gKOXH7`2Lv zYdm!40n5W|a|C&7ivxX}o7=Vgw#gIgrKq&|D1F5g)(0nFMRNw(m4Ts|b75~j4^>gd z)KX|U!=v~O>1mkuJ*12`?VHcH+(liAN$4C-_1RU$mWIYMG@#Ro+B8WI(97A~W!kgb z$+d(ofOwKNmOz#w(l?)y>)3H}c@008WKoejK{3*Ws%weDCJv=gF^fiqLscT^f`y_1 z7luhh7-UheqIcVLl8I75p;Te0w0prrP*hw4f&h((AXEX(qD@@00kpf$H&FSFmgw)V zB`9j(WN-lm&EX1RNI-}XbdFV*l z-9A$P1%3)Vb#bXu6-v13DYUL+(mi`<6CZ7&zyZCTIt?Ij;i{`{EF zCu?&6?_wVU5mw~)&iA0Lk}kKW_4YcH7nOaiUZfq1K5t&GIKHf&e>;7=Z{CjM7iQ-#^|zL)1Pw7~RRyU^;;zF@Neohfh?F`H7u9fA%kHisf+p+( z4Qz%Ki2HmIQ3WpOLu&IT2p|WWz*ONhat5-gdQcF8m75@%iTby%c&50*gCP*&9^x#@ z6bSuRd+{&wAphy(i$A=R{(bxDKX&i_`xuKqeDy!}*k8^T{IQI}A66axk9;D(k1QUlVoZ1>z3zyY3-7vNg-*qmO-Lj>Uqtlq09&RagyDp9+zbW z`Ra8Y-DP4lzgYKPSLabe%6V~So+cI8NrUa_HVvKNy6l_2o`&CKU+t%_+{$Gd=$M9Z z!EIhu;^=Cf4FkK*u7lR3AE5<$vs2(<8m>Y;4p%91na*8Cnk;(UMzb0gMs3SnmD!hQ zU0er3r6A1tbv%OV^`O;;W%kH69i27RNo2vi1Q8WUs*kmbVLmjz6}ePKt72JM0?H_& zT79MkqBNoEtWAYY6QhYol%%SGkRZh%6okYA5wI%2)))$Jq6%+-5EWEn5iuaU3eX@` z;Se=2q~NZ|f>m_IKp-Ljt%3}54;1tk7Q2kqf>9;wEgsL#UnY;r~$j@!3 zf=}Y&6QB6xEk5z*#KI(~(Iqc)x7)^W)zxdXqc`n^RSC!{W1L;q9Y*-NttV}p@FIxT z)FeS0WtIEUO8{kLtm86UUZrJrkyVOPvolPe z9~kHUVOLLQ+rIL0#7h?!Gg(@TlylVPEA1l>D_=*AmA0wN8=Z%_->hY9p|hd$^+tx# zA0K79bp5LjhrE77T+tW?K{ceZ>7tA>Jb(r6_tNp@PE+dxojvSj|FC|) z%HeJGH;3i;w0S&D)8o3Ht8F^DE%N5mZwxPjG}-p4JKUDXO&?`>4!OV|;tllY?Zc@~ zyX^*X>AR;N#`DuoFye7-7$w9lbkj@BljqJBeeDv z=zIuAh@;D4l-x=6SO*q>uh5S*UurwchFF}vdVwVRAl#TtY@wnRJ=B#w<^@JE(FU&~ zB9uUgx_Ae5Xp=@LBScgpLXZOjYxJb1L8=41(0FbdgH47RD%$g)GNZI~gxYf{ske0Fx}Wv2Qb&#NUXz;+N1%&iP;QN3oz#KKRV-dobYm^dbp+PI(L3qo#wEn$0;W1# zuvy9VqLF0$5sAw@EGjj@STRdQ#LbzzW$*=sMKt3&sPSq|1Ag+0usiUO^~-)bR?WlW zT=R#fk$D^^WIK%27-u2LOErajovd|rsu7SAFsYAnl%~UYfxq0!F+3mY$8*ndx>=O- z5HE|=F}${qUf*he8oRGMIbN2>wOq#5LPn;Y>o|XSYWv$|XS>sFsHWpMez&&EO@P>s z9^&VvQS3C%x|Xlu4Eb8akx$;T5lp$c)VK;vmaZ#aRZUsP7a#YHV{^c2`LMB9Z( zfh%Bw6Eq7xfF?XE0PH2Y@BeZExu__P z;yKX5RnmuqkQS09ofUv~VH7l9O!jbV^xorasuSvt!1wN7dGEbS)SSG`@^_9>QIM-LhT9=&!@ zjkHQWhW65x4qa;#nG(Hvy{?a zw|1UV%PQ3$GlqhK$6miaY+f(^Ve2>C#?|iqcHQrG?L2p{9Zw71s9!Z6U4~S@C~pHd z>;5<`FYA0P@`dA3`_<}gXs4Im>lufa-P7^<^}E%7IZXSvjeJaaUt>S%mo9xJzu)YB z!1%YhJ2D;<4@I7=?p2?nyM(;&-jBn_`|9~RTwk{@*Wvb@ZD07d)&ttJ;W%~A>v=Es z7&<{7Hc$Jwyl?a{wdXz^miFH4Wbq0)M7-zJT6G3maB3?8FV?ku-V@OjZ+`|?IK~TRnw|p`fe{q)L zm-wUp$KT`c8|S|-EAwl3B7cc-{y7yPpS;CiPb%bRKM?@eY1|2Kx334)3$AZr$0fVw z(=>h2e!3AFr`e5uC{{RsEI_nF+Y4}=Z^-R7-;w8GJdaJp`m{b)Ib3$(6P&q;# zFn<-{Qm($LZJ3G0rYt_URuFR)0wDvD5@z%%-^R!kStz2{a%xCPN9XHhItjn{c&XdC z%!iWYI3`BiCN!%bQZnp3qck~|+&a4~EzliHk+3t$VtUhSm51SS3+QPW^E!W>4{ml` zBGE4UfV8jfLi{jK-C#ddZ^5BSf{ZO$r0KSAz>ni;w|%=#rv-i$dP=Kd)ULI36QB6RCvWlBoD&IqY1@O` z9@Q@4j}qtLYhO=AHhp)PpBkFrR9jD{l>PuVx>AC;@WBGWN zck;I{G^i?#SRU6|Z)ocU1u2UxQ65BZ40P*2VNQ^?%W=Bs^ENI=KE3Ms;?LW393!ch zmW2+Hop3RI5Zpq$o+UKJMC~F6OJkSeyVds#Puy+_58SDxy_)D;kzA^oMm1Ax9Jhq0h=b$hrHlEM+@w(whX>XmL3tv`x zow?cQdBTgx58`iYoR<33V_sw{dO*A&UD=+HE@+REF03z-Pf~YbSG2dtd(*~)$$6cs{KgrYG!;WC6Dybp}hywCII4$z~^b-Eqy!*dqFaBdP_rF9j z;+K!)&r#a=kE~Sq_f-V>_f_Ed~GE2ajaRHKO6;^ z221D%)3o>sf>%6twsx%w>9GTHX$SCGLXG*soiyFbjL(N(eZOuM}%*%0AAAGt} z#p}L44lSQ9THYG%F?9D$V91iP)LdkDK=JT#B$*7b(x2@o@}C_aY_!lJZ))jJxThL?0|^S-G8tLzCH;qs!ZMxZ09rSz7pQxdB1fusAK4(|j5FEI2JB{G2Z2 z!>xR%^v6k8*)9uR>|$XZk3)pSS9s@gozNghkphky8oZ!2;3Ca{D_bazr~wS(1vp3( z;D`p5gES>ZL{pqm6>@_EvPXsDh9)9sGyqpP1vfZ=Gg=P4L?v;B3vgvi#0_r5Q7Zx` zRt1iz(m05VU~iSq4{bg5jFua(>dQ~%7Qbsx_A?vtO~DqTFoFSoQ?n(700MFsACI3I z!Fz=94c|^HKYsxDEav#cC;kHQ?ypFP{D1yG|5JnxB0~P_oXF3#t!nyj|HpspmK-^x zMPx_S*R01f1DoWMx;DEo8j3!4cCG%JeZMS^+ueEm@iG2(#C0R90jF2mSJv;;KBV8V z+(vm?^VHf)&ZkQ}ba4v2YCc5!g09*mBB#Ug?cqDQo&1UEW_4H6InBzxndc&rge+6& zw&T=Roz}Ugt+mO>njjRA6b5Au-RkWu>qjno^xtdQdsFrW%`8|=0GJ0%QK8keZ!AhU zB$IR#V-vr?z9YX1zL)ujSU>o9U3Z)t)J$p>$rL!LK0}^#8M*G;IHy%7Q^hvTL+Ml% zs3=M1z*8EpSifS}bIVq1*L8J7C!B>8_H9L(IfrkPj$%DyE^U)^YU*2&DYAPp(O%_1 zK5#zS=H2IgUOmn8siS&WC$6f+Z@TPs<6g`*f#Za<*4tE4l>O|g zJPrnLCn(X*!_C$A%aXV~O=sP(&PT4hOWB8rS!S~_y3n+~)r2HVRtG!+5iK`@)+(Fe z#}o*jArCR^n^MY;ks-rqu*JnZp;gi#B`P_GYN~!?r<_WL%G{b#N8D|`!J5kAe3a`c zc28ybaY?`^QWb-RXcgwhJV%weRdSVel+e;jKhtc zmg$s3)RS3>8m$$U$5@wP@(6E3XflkNAeGTlmEngeX{kfnyy$##bO22n=hA^~9HqBX znrr062&*xebTdav$b8e3F_OB(0JWrJE%Y>}h~N#eLmF#KmY`KZ1w}Ja1tbEvD}kG6 zL?{t@e+LFQgLfxVmG+Z_mGK?{(+RmB4y3!ISTKh)5F#1?X`%^e5g%G8NG=*UOS^|4 zBn~X9de`4PS?dBOg5VkT=lqBMoAsOjLQqS^$q>ff@Kpf?Rm2E|M8GK#2vI^p6qEoV z|S#{kIC1Q7kk_>gu_-~i=eb;KuPjVkBRhB1zD7~^u8W<)KGaKhnhQ`W?u(dQi*kjK>ya-2jwmF ziq*Qm46=DHzPBxP449zezL2o4(L-{HF|9kBOVb@?km$*p!&?%Q22HhbtMjdUc$zOC zY0DVN9XR#QMhy|bwqXNiU9CBdZM~MZ5Ub*IXHr{@lwVAs`LW_7rAAIYwoSz7edM|N7HNX6*hberNfT$yA?=aYq^%__-T(^`zYTkF26XUb8o zKsmLe+w-9vTg+Oob&bn>$Tiz!P1DiEGe}Cpp^Q?-Jc_K%YwOm~p+&G;6f6OF2&O~j zmN8quTZUuaN*i*doJ$Nv$bh0jlOa?E}W!37ajKBT*_Z1tGU<1Xi;X zEf(jM-6FX*D_0R`JtTCzT}7KMp=(KlvRQMrEf7ssfLN$vG+iXQF(42F5m1#XRLCNs zL`2+)0jk_f7F_PDKJVp@caA|J0h;PPqYx?}HK2e5aj%q=ZzRIE>31%4Ph%yB5Uwy24VVZ8dJnzi-^eBcfC`|b1SmrLyNrJb{YT&*LjQsIN2Y%i`A6zM z0Dn*YN60@w{sH)V%C~n!|GtyIPa^!O%##B96zTfJCq8+Lzy5%aTZu_hpQDJVyUg0w zS&DbBv9LX)Zje}S+IW@Ci%y4-XR(`$wwAl3IxpC)F?n8DT`22qj7?RCjNKy0;@pWe z=+klvbrN!aJ-mc}8`)4V`YU^zdq{^D!BR7=f>VdcQPUa=nwN9`svV`QM zi?~?VB#frfc5j$}u>LisF&^GzJmk%DDF?UN@X(d6N=P4o>Z)Nf-DQlDbG5lKS#^p? za8^DDPj&UQ@uTmyc0K3a3&%s=bQ}b`;uCXPSDUSGwI)3Dew(`p9NuNq;9#Z(_rjq>2>hKENjXKWsP8YxtD0Bp&$abm1O zXSJQT8+1)|4OfMWzygav1x8RM5d>XC@1`Ci5|E#E8*+~og1o1l7#$?;QWGK$3Sp2& zDxd@bCE{B}1N2T;$TvIFBCww#4GJ|N-weqB+ygH7-CBgiU6LHsxJMG-x;{h%1SN=X zJ*7m8zf&qBfpgTX7N`lV4%NFuyVs^>BvaB>T~&jU4E%rEw`Nod`ecf1xahA~2*f;uNZhVgYIlnf&d}Ziz5zXm(Tr&!?Y1Qj3 zJb7D$Tb`F3)XUUJKMx(FTvw@CJrRV3^!%~dRpmC?b8ug7gk8Ab#doLWq-y8+^qAv3A2ixwUd(-*G$Va@Rn`RiUKY5qsC0p$+B#}s zQVlOE#TPX~r?1Q=`Luf{0Y1eQO5=^c$^kuK@ko69j;&+D|M<{D17dTb3kAax5mQYW|3KMrKtHfQ68o z;5Y9sc(?Cd=^j}a`f3NTLy}t7nzdd+CG-?i@N)|C=m}@MB z;~&t!reT_F+sCEK4;E)ntekr`6{^cR4@}Ed%#*GSGy0^ga0zlwnvCGfs;TGvx)Yvt zx6|XJyuA2vRt=(OQX-3<_*Wot``eKyTDvsn^v%KHu{i-=k({2&NIIneiM}CX#HBP&< zyZG^?dpqC1?zf+=kMp`O?{o9HZ%>c9Y0|y;y0dfjU2B(7UY7YZ>Asia)!$a_dB)!A zEypLt0bDQl_s8#diywS^Y?r&^aQVFaUDPixj7v&yC5P%Og;T_ zscc$GShOq?y9hC12Q5ISK0ccmA5IZM{^%MZKvA@iKp;?+DWKn`F^H!`0o5QL(C2&; zr1Hs3P^kE3Rwa}$zyXMY5DkVtpJj}X;{Yrq6d$ivm-z6p2rvbzsdxY%Dh~wh$(_i@ z>iFhSAqoLKqRKW2L2+hiD-3}+XG))>l8Kx`mPxBRDym5<0uTxVM4Vwz{<{4w{@f(e zKbKedkJKXkF)xQ-_IUWWxJ~@~o%zrG%>TXL$#>r3d;M|Z?!%qaWe5-T(HK?c!KLAN zm-e$vkGYwRS4Wqo_h3%ZTBJG(kubnoiCifOvnZriLfnvqjhxSc8s{ryxB7A;h4-89 zXMG%j%2Suv=d-r1eRNhDdK-e~p2~8LoPtubE!}(yF>!?kW4@V#<3-vX^Qo^ThA--N zm>+Iq0ztb>tSL#}1bE9L#4QhXt;Z>c@Hz`4-TghgT=kfBe;UqV-QSl3mi_g5lwR+T zPJ-`uH0Z7YH<@mgVy9ZxOTW%dtkP+cPv(cgBidocUg}BDGBQQP2h?U*03g9sj#jgVs?LsT4!}K6I4tMmM<9O-9jyN{eF6As5 z$I(-V>yQMk4W(K=W)~Fj*1F#21069^8(dv#P%1p)y`WjLBrU|XKqn(2low+0ZLObx zr=O*$o}o?H6IdKtjR2Bm#d{)1in)lu8ixT4FeE+{laOcQgC?X?BE+67hl+#YKFhb?ye_oBK0(yMdSOo;&lTuKD2P>C26@7gHLIG*4NJL=t!J$o%>$mv?=A z@zVispY(LiZ(E$tiQ%w5WWwwgYLc?$E+mDI$yOpm({}JMw0R;rG`SR0g?8E-^2Jv> zoet5ya=Oa8*w7L#b!k?rh%QaXA}J#GNCh*oPIAwHHFXi@A`-f3Wh}Anesj%H0`lN&`0wyAOs|(xvRyJdU!HINNOry@4D5o4bA4Ep~Ug ztkU*$t*@)?c^p=ocpu_zVYdfgRnCxQj|9zfIACHR_rbp@{4pR0_bFBGO+q~zrUDn$@KKF9SwrQc0ydb`a zyr39mzk2&ajQiD#k6+)GKYV>$e`r6Ka(=1uZoXe6p=_7+kma>Al=L^AzgpTfOZT#G z*O5nQ5i?)FXU~ESUxjfOtdJg9wZgG@XQ{{@DCz(Z*aHbK+J&$M)?t1~JDi2{LxPai7> zP3R%YM0k7<2o69b0wffGiv8GR`S866A}BAWvwI7hgiDpleW@}C7m%UiMQot=jG58_ zGuRQclt!Pu8%Nj^zsPSv%=!N>C-LX9FMo>r=U+?1@$YfwKbKGXWj^EA{I~ngTl_|N z3lD{}tn+ogh*-?;E#GuFXU<(bs(1|VQX!*6)HDO$*g$l&Q3joAwkqK^Gg4?H!R~r@ zs9gU6o6mka2`nz>Fs9?VVFOF%K9!t68<2@K`9iNU zUvjtUhO2ms@tkOB?3^L6Vl__{Q85OSR2OzxYo(yxMX&_IZE}8b4fwIthV!+kr{SV~ zANMP(`Rsx^Oiefv6XDlUAqhT5r{_6xnpvu}I2MDXk*Z7&8M4@8`g@k`<#Defx5qh_ zJlCto@R+0m6TueQ8Z4|ZPRYg^Bs*0 z(`~g*oX7e@ZLSqs^B2KZ@_D$@?Na92uWz$^FE=MdE;U)jeUxT}+Y}19 zkHMwgE}+(v62Wr_R8FD^yii>*I2z#%5c(IOGlQ9Kbt2PLd)n0a zs>;|v4Z6}=tIVpO=9D{mjeKUeY{rMTHRethH6CJ3a+y(}}B3_PDg`?mV2{`u-+$H ziE>4^A#bSLWpj1xH``N8pSsOqzP_wpZ;#tc-5i_8C*NGWko1bk8^ed*KCm zV_YF?@E!679%-+NTjdM%EZnmm1Y5x&(r5Ttxv6rI^a=GSz7xE={myt|Y(q}4SM>wx zUhvhMAJnfATgVBv7eArC3cj%ULF+YQC2|(sBc8|KX`ksAU_~B9-ykRP9sQ^Xh?3NJ zYC8cuvp*C<0s@6fKnQ(J0g!Zttc@B9ji87aP(_5mCIwu@f^KRE*KKi+HwM z{u5jxesM4Rr@Kx38aS1I3+wQ2-_8HW=Z5?#f7#zs{fc;t|KdA`A3MHO9`EO-c86(* zq?hp#k{>yzD(89>osJI&>U9{Y#HiZ&a9eg^bB5G78d7RWr(El42=n&v;AWK{lZ5!? zVjku2wKcfAgvVITjMF4+>og*WIn|z8`s7Uv=B(}quT|{b*kU@-)$@)0PI7k1k_A@N z)LMc^?YLxpNHGi?b@o|^BEw>&!<|JUbT$Z%01)Tk=Q5up9?S!}#vWIVCgvdEBWJ5B zbWwR&s)pQwDsv;zaf|}d>;SZeh)@oS4mtDw^|9nCfLXIqWn(lJ>Ttqc+4RytVwt+sV zro15SgjWeioHTZ54nU$lsGm{4f`xJiH}o^aA$Qle6i3$@HYm4<3q?ZCE^n!xLNh*& z-zcCQLq1zQP-d|S*oI#ykaVHGSzMs5cnEjo87{Pc4!N1OYOX z0k4f?0Wru%DXyK8p$j2v^biFXpoA?f5VnNVvGj-uq>%b!sEB?yxcFY*>$l5W5Z^s) z0O}9x&H3@ZUcTPO$BymMtY$hn3h&DtPz_Ur5DR>7}3L&0@k-| zk=kW9UTe3*bhh;3C8FQjcmR@vp+wB7@90$*X6#c-=4hKzE5uu|*&!WKxq{p$YZrd^ zuCJxaa;f(V)~U>%dNf0mo5?a%%p&O`hXs@^WnQ`s)IO!Tx>}0x26TcO*Mlr~;twu9 z@%Ap=?{q%YrQ5xWCUY`T20K_ax7?}DweL!ujf-SMF!eg!GtAsO(>YAJYjAdXm3nMe zuN+Q!xlQBAd$UKNH|EG_8`jh=YeIoYy=1O8mfRZ6nrWzo(>lulc=N!Bm{tLj3l~HsK1DS(E|uwn2^zn3fX}| z^x_iQsizh#o{r|rpxU_8d5VMT<&; z&(Zk+euX?9xof%Js-hzLHVJi z<4i!$ldrmRpCxLXsxMTJwTj>p+9|HrJ0m?#XCubb5vu3YyY5~dm#Z?ar|4WSXQ#Pp zYEX`k;-VNROFzyJQRB$Yy_Q*tfCtpmA*Xqsu6;-;mxf;FyEQL5-#d?7FGgTGxL7q( zX#IGgSRLvMS z0EiiekSjDnPJ{qQRl-jcK+jNmeMh+9ECBor6|q4LtOucpoCS(FKmeW8$a)Y_#0??J z1H>tVG!;AwMf7YG=jpR z*c}<4G@Q-8%NPVp$Y|SMIl8YMcT1i^0cr{QdwJp}L zWXa|Wh_{W#t=giqDcFG%tktQY6_;WVheaRzqP5^vN^VxWm@lb&@%f0wD&|3(MVfN0 zNp*6X!D>_aK&)#sG*~QZ9vAJ>=aKB4d0y01JL{V|6=`2}IMn41<0+P(@Hi>n6lbT?YcfVLqS0WkoHQ6mT@OLWfyArq7UMU)@T-&FuY=qCCc1+{P3|2ZJ~oE;58f>c2w z9FPd8{1`Q25DEiojH;48l2u3*6LG+Pd6_;_cI87GK|s2vKNlE~fT%uAzZ53zGkZiy zs5~!pjeJ;g(CHM2IBD|h_T~KfG{MjJ)_=N|;@9b;@N@3umw%(b%-`a#d*;8EV&gwj z`SD+sw?K&0Z$`ihXz)XBMLg4qKFA1>nx1gsV;=(q{hK>*h$k3=;8XlX5dNQp_7o8$ zyNwUmdMoIs_MV~e^IfpS^%2!%m{X+YePpC`Nh&V4)8IDWkB=SF@p|x=?d^Wvxje2H zqMYuwu{2z%wVtfL2`@Jh;dN}ajnioK_A=LodV92jda~s3^L!7T@A(Su?(nuGLgYC~gz`7wv8-k9N>igm86PBU-ZK)E@f!`-;Cd@KA^$BQ(x_yvl1 z5Kt?rB75{yky5CE0_z*hBCW?vRf{=<@Q`X@7&DM@3`yqu|{ATiUyI(zLsT#cx-#taXMV44s#r30EIJt4sa zPY`2-22>J6AVo-!WPAg=fsd?^pJLx1A3i-I_>C}(578xsYzWs-AXJPH!s5dV{OO39 z?Zd(IS>}8`toreTer`DN;S%~y@BIAAgeM_^C77}-Lwd)O(dOuaJ!C;N&OW3A7mVc5 zA-WG|Pau7B<@&zQ{9fPduX->06@jDw;s0=`z@PC*3j3zNi06#^hYt^wgh&7&R6h1j z0YE~b_}D#(Q2y~He*tgtu|xV7>fc0xJR_2R3GRvfFaP`hs$)W*F-xQtd9uE(^JIPF zL*)Y9U2EGid?#@dSyYU6_~iSm-S%94x!iZJFOS1zzxC6_7s(#PXSYL=m+&)XBXOOu z>Gd+&%Q8+=*)-|ybzkBl?Gn66`$_64_+li^mD}4i?7J=J3wytgy6Z!yEV8UZdL+rk zOm0jIt+k>}X*70vLtQR09kp3vxXW^n@h%qh$PHUpFfotp zQZ49`m5jDebsSWZkm}8pa)P5;+oX}v(ma3Fb|2$ex)+&lWxZ)1_tM<0E&-C-pw2V3 zYglq>Q=L-k=(C7Ojbd8h)&e;hq{2<;C=YLzm~Kh;&ZEkk_{BBSKwk*6SeGR)nMN-S zg()DW$Y4U5PP%+)Zr4!Ej81`3>r(U~+IyPPEL9<*p?3`pnYzFnkOV2hMl>Q!G{XTX z)SlrS;76DUK~wi98zIjqR3`zL$d7mZXV#Y^^dq(OnP(;dgzkbA0F+Qs0&vn8RW&{B zIG^#OL=}IoWsRUhiT{ls*yTCr@r`-pb9n%8hKM9J{$EeNLX@CQ=bniqp-(41;<@Lk z@=ZqZOivO*_;e8tpn^($0*i0pga5px%AeFvkNmtt@vpWpe*FHQo#D^LF+Wyo3HQjo z*I7w1cc^%F(riqm>DcDR$&oCN_lHC(kCWl^>2`II-`_^v`EWY9@_cKnrrBE&`GXV+ z&O;K~aaLW(c_b94TYY1iW|OdUv|I32u5HYReAoh~;Y#xSxV?6Ap1%g={*ax>b*fqV zuaSj(WGRuZLuwbzc@%iMjph-Tv9jsEmfVV6{!S3d4wac2D>L6rK!+Kq>m9L!3l}kRjg! zN(3QrQ72o%MJ*U$1uH(jv3OpIg!<$if5PGY)1K)wvK4@dDntnFDLtfP^t6WTDIL+f z_OzvQ*hQnVrn zBo`=+2FLDntDb6l+1jOHBoQf` z8t6kDz)srA@GX6aeOqEMTWYC~{m#y)8wn@2O&yx7ZQK5x3W^UVEn_deHs z;1bv*p0#eJyV2jo?opQ==h?O$-%D)Pc7v`L`EJ-qTuT1II#+DjUi8?n*ERmI?bcsP zw_j}2SXWEEdib(+aBZ#D6iC(Dx3=S$(?aZl*H-5|biL}McwZaW)IS9{Yh@eqN$`k% zpC6aD-RE)2i!~&(+lNLk6hjq4DNDoT+Vygav`8^R7Ym&uH(}PW4GJapt(V3=P3H6F zO~+Haddqr^_O(T_^cn5K1sWBa)QK)i&S=wmY}hn1W?MxJ=DjEBqnB{gE+IUYfv~b# z#8|ceos@&HH_Tc$^qI?~F1}=N($(M;TNEIRDPa&JDXZPcbJy*3jc2C#^vP@xuK5h|dNr`F&J;#GAPs%pX$a_F~Z`H|=$-vUVE zp8#SZg&}x`us@eE^~WXBdEz&^(HPHONhqqSQiT*)`q`kE{J3BVLC7~sNF(`u{Vv2|a1ReNmWR4l@)%5}b|BT#jj*YiTcq>xEu(dFk|Y!%K%R z!L9K|+f`hB_>}fTEj#R;=~K7A4XjVo;Pt233tbgZ2M7PD5=0#hrvRQ@n;xArvO?xQk1vI<#oM4u% zB+QD2;$0hUv1SQmG~2~^YZkqmB%+0+uE>nsc{^2KRCgLPHE9BZ>jfOOZIn@;DWm~v zpfKX2pNJ>rzz7q4b~;)yMfk@|I1=P(U8$;o@|$e)+pu^5ksu}#q7<#)(!Y#I5QPXn zCP63wm5>Ax5f_*|l@a<}!@t1#JxPn7pbcp^EmwhU(v@&0T!353I%Eg$s=S0QgD(+% zm6yP>>I`s@k~qlh#(+Eo}o?r7#q=q(13$@!ik8AZ{iFQ`}X-z4xn`nGkI`It%d@&(XVK~pgG4@!LnC%7SJc%ZUqQVN7hT$IxSHViT3q8KuvYIu ziSC}WhOH!!*N7q9f!_ScY+qJr(rO`;c?W3+=q+6@52rJbdR&^KzW$(JRO9| zMoKHg*l3z_6Y7{8BV1J%#V++BZYbw@u{}N>fd;}N`iEJf6`SatJn~1Q!BDR?idY_D zB8MD8RVvjgqzEmTAPGXzr){=rqxgUq6^tj~5GeH9Kc{LiG!y~AA)>0}Q}5{-6%@c2 z01_ys@$p&#P$QoB;Dg$DZW0I~oIwdOP1KeN0@Cr#ed5Q(^CNI4pdiU?#d=6W^n{AG z6sjZvAd5smI)x@}jZdq1!Z%S-pPL1cq47OTRuzk2SzEas0acDk^onj%162ZkclL)fNB6Jlm;L~B-#(;M&*Y~vErKzAORrBzeM~#iI0|6 z!$ZX=q-UtUY|`PZ`xjg;Y5B_Q5&Km+KlG*HMcb|6kRo}7cc>Y#X7;(`0%2~FD(DhrMj%mG-`wgp?$Vc&pX=>}L*npNm<2`Ipv@6sp z`c@v&E|_N^WvM*`4RTnY+;Z27-%|V1_#fRN0`GXnv5tJLmkl`*h5wZMQ$&PcMs4*XjLru|GXd zzl-*3)X!Q@%5A5Qi2YLE=jl^#rz!7xy^h>0({1Ly;VHO9J|Jy#93_3~nnQeDxBD|M zpW59iUpM{cGF%pY9EbD?e!${ys((>#+XClH+nloO7g2}Y_vu=i^`hb)>r~FwcW6ZH zQ}^;UkFU$O81inhI1Ja9)z*en@4JVeH`ZAAwpE#>EW86eu@CtOaCxlJn5{Xic;wlKZq7TV}2B=`;Qo(@ITq7xh$_Oe= zr8=$f^Orj-0FQnh>%lGi9$JuvDKz zcM_y5BeLky5J6GO?oRwqnFjpZTsVLJ{GaD@{;@OsF@K0Z*KOiYIm4gp zDEjaBoWJgG{ih%Q<#+O@oaZ}l@$EwtB~%3BO8t;)K?FggJ;h$CU5LO)X^S=jBUBnC zp)62_3Qa?LNdgmyFjT@6gi1~Fgd`&2NdXr%m;w-MLrnsdUaZkbDLe&84-nF-nn+71 zSVSUJAPdp-wDO^%riGYV{MfYVQV# zzs&a|T=H-rSuPi=f~(A!vo+Z2exU=31apz?NjSTSR!iq$+$o zU_OqwSbyf@O9ErOg}KZp77MYaTFzOyz?eimCa`0vH))B7!=^HZMrgUKYT#5vrupCz zX+EW9UFTC)$8d^1Fr76NV-los@}k<%BH}u#HyJ|$+sB-sjTXX((>z1v6m!wIJ2ZUc z0>E+nDm_l~S83mlerhCL=J!xLJch9NV%1dN3nJn=i!07|_D!57vXOgEfpQy*JMURV zn+uUx&VEBv&g0iL_jx=w(A#v~^|;J8lH=IyjAuz^!xzbWjq_cPs<#|(O}&gpS-!iz zL>@-dc5!uo)#f~vpd4p^Z5QX^D|-8qZ~Nu`G+&|ZsK?G;&r{7(u9I_7Z({+w)8tHY zo2->`HqMfcvxM_DT_fTTaka(ENp2yyDgZlFiq_kVMtI8MTEBE?$my=ixXcjh4QU4N za2E_74ta~LBf zMHu4x3wtH8YQSoOxnO2M`3|e19F1px99HM_ok9*r~G5+3{Kh*iyb#FeOt!UAE1DhqZ zt%1N&ai^{t9f~bi%>$#rT&SUh@YQ2V@fOOa+X`=N-kSXG{jSM(Xq32N_2_}EmLXo-_Px4jZMl~a6@7rGCr(d zUWUipa(#SUcKz~DPx~tO+HJHPgP&G%9AmN6uMhrz?7dl*B+HR3CZc9OL`2S6Rls`- z;1bB4kiR@!1y{j+kUJr_z%TNg$A?>$8FTn#rYijKtc#1rzzd+e+2}6)%8HB#AF|wC zO;m=*dtJ`>%|?e}4{17h^N{@-{&KfH*X42R7w5z7^>+0y{g>lZp6vb|$EW&o)!|cn z|Hk^#KcD^msc(+6p4)tz^vUAC!d?~Un(sG$s_jE}e?#}$Jf3gYm+jMjI6evI6c5{U z8tUDS$E%(?juW0*`)YdL#D2l&E*+M5Y~oPkIqV>Rq@Cf|&8ouMb?chy(VZ>YaT`ic5nafCmyA@D%|hImPBs`XiT4}W2H z;EDAl+=~ry7kUeQv6RB@fIa**jIiGXezN*%*;XHm^}6PVJI$X&_$;S%pUNUUL>{d^ zCM;fGlMRTcii!4w7%e}rR;K6hDe_aUOXNp$tqn_%2+@9j8~L}(jli#S=lt7Nw7-5$ z{A*tMYq#~6kL%BU>My_N*X-55=28FsXXXdr;&0y>S`NV&lv9*uNOU1($Lc-Yg(|{{ zKr8_=f}~07zyd(};CulvC?kvzLqrP7U6Q~EW&O;?04RsiR9B-dF$jc=sWDZ^X@=E& z(5NIBT>zz8X>giW19FB!WLjOle7!%y2v9~QNwyx!A7B-~*TJRYII;iiYp z;qqR&==e3%osO5*k~;usQi_zPyjYDn895FM?xQXu+O){6j#Hgc-8%T>(;3KVe0ST% zaLY*M=jawKgS6rNo~+jSC$V}eN~USb+Hf`)aVuok}O@5ykcuweID!YOpVRgF!FjSL@0OO_)t<^(wKU5`=JJ-xfvQAiYHgCRl+zH7!6J9IF3h? zSTEkx+qkc~+BA9l;B&2w-lsECKKgs|_NwgEo12-v4}ncw2c;%oFC^kT7!q$~1LH}l zVspCU?O^4f?Ht{~ayV+2u*1x>l$xQDYa%exFnQ$C(-ImK#XV!0++uENL%63&F z({a8Q-Y&Om*=!Ewl)?^~8|paL)bl#%X_t?4Oii4GSK&A$3j5jbTfQw~;B0uXd?122 zfsbsDsIB3G{K#|#MO=}dW&4Q6bV7NQPFgZ?fgZpkA{)+z&uo4&r5x|XgEeQBgOwxj z8O^0GKUti?S2hRv8TB0Y$b6Ij3+ov6h0Ry!jb%W*rsj;;r+Q%h68T1V{*qUrKU#tO zVuQ11VT|!73fQb*uA?@4~nmcnDdkZPwGi;r-xFr0{2met&>PP*%#4Re2 z5#TDkUqu`Sxk&hIq`ZLH*x+aBytqQ9G)hSp2}Nkg_@HfA0UJ{5QyxGfz*ON`3L&rB zI+~PL!92oXqEaO?YOFkrHC_R+I&q~XL?$N5S9M2@0;2?2MqO4dtuvDBBhiGA65t?K z?!}+0fS&I^<8*j@e!86BH_!LY>Gb;0$EoJJ5E>A;rlv@e}DqEuh6QkiVH?J5@zIn9i)a_pD_>JvznohYZP&0ScM$Rd9 zLX8?ZFWa1^8oi>J?&w2wedE`X?;5`?+mu~y*vyRR9y;ZDx3&3t>mIw|r2ey}z4uQX zkL||X6&p>1?2b|}`NYWgk><8`qs?1wN*=AYR%VsVB!|tlY}vmM)osTxZlBX|&}M7% zskZm+Jga+BIYcGkkqggA!6l;x4O8+i%u|UdrO%=_yT>{y_s`3; zr0uiM@7w0-TEF)EZLMD)n)_p&xf#opKJS+ItF%crq^G8wk~>7dezyKAXY_rVx|hEH zy6lsl+>Q@?z39EoHcMaH=BKf6%RJjd#6Z4m{8Z9Ir@h&Kl72G3cb-^3DgTt>!FWcw zvwWj(HqHT~MEu-=prxBkC)fBXlS1RX@Y_1+T&z%O^H(C{NNi zlowi!c!2g%KO=u*c@g)juc>|WvoA8UNA<%CBd2 z{B7Uxw`HUJIi%p$Jzz{GR8AxKoC}bccM3_@q<{2R+TbDQC zh-&g!F%JTvh>+9gd(aUM5GUP=bxVqozG`ea{!7!b-y}Y z`oj5KBJ$h*A}g1RSLk3&f&Eyk={UM~alN*V`F$wKa2~7?4^z#o$I$^Z&yH@GkCI|O zwauqXIk$6PhI6vexXdUvFIFoT3O1`C6^+(WoFlr-!NOE0_e9>JSGGtN(Z(UsTzk^f z{BHh&@#Gt?_3UH}KV=8!lfisOQP|ax+s3O{)1qck8cNebW5zOJj6NLG?ADYq$+}B< zD+pm=P~)w_Fz0!?rIv5rn~nP%zRav1Ja89tcg^n7m{Ls|8ZdIXE9q8eTToe= zmNK`H1#UDU?Oj>^E--FyvDw8mL}xY+v0*Ixti;$M98=B_&Dol4wiMr#c^bWG^O$m= zHk7Fg3N@Exu82sHe2Th(#vySV%UfISE_k;{OMO#{<9JTG#3;1*dJAUygk;M}7CtBJ zT0XuFYGTt&UG4B~+FSQ*akKPv);@>L1tfo(!#dO%2pyy=<2Dc-4q$S9qeD2+lkm>y z9D`iaO&*~EGTiX1gc%PE0`DjkE?^6sCY5ir) zv}`d6St5<}s+17Eb_t3w%Oc?c1eih~oDh%&qu@kZosDV0nyU6Ey1JiNPY?I^?}zu7 zhv(DfdROLKZlBWb=;amID?P9d#6z2|gSI;xZnoR`aoj$&Q(kZve#-U`R$x1MScXl~ z+}mS2jOhRKvi$C;-PIV==DCjFl8sb~3gj#+TG%$NM_8Y4%iL@fBT~P~^Wcp` zYC|ee(o3);KC|ZBWlekAKHGTqe81?_b)RZIMW~os&lX~1bD5eZdj!+cl$5MwKcY~+A(L9%?mBwBag|ND??Gmrf`>xFUl%G{kSU%Ns zZuk`V7TuFCC)+&6bj%$!v)OtK$*e)jxm0h|bPL!oJ@Q!d-Tg8ivHRrXKH8isZ;R*oeOE5ze0t!MxA`GfTiW&w3 zJrt0er3iXL5+ahYrh51qmr68&!jFWK)q$o-3`aI|1w&zkP>F0G8rL4Eh72&7epail z8HC1x;sz1U_{z6x=-bT--cT3Ijcn*}SnJf0wY=)m^ zev%*OsDa}$-}h;}VQJ!g%bzq1g@u~T9;>GDLSde6Nw}P^eeDnPvF!T)y8J%|zRx$O zkGE5%T`HO4wrs58dTT7D<2YyV+fs7V4>KFUmjbqzdC3@STQhK&Zl!^hbE>A{9I4d# zi2fzU(*osk>CNi2PeK?P$qiy%eCpHIhiXpM&D;fNWwgs!jr1iX2N_Cjq`?404%oC$ zk$oDQ-DWOtt{Qd9bC;&Gn`+96*)zm2HHqrxQA@-;X|vVD)YLqr1;DHvG^A{B9pxHv z>e2?ci(|C;6y051FJa_-E%ycEK9z_RjWu!g3UB8-Skf>Dt9zQ;jH$SLGlXa{O_Es0 z);SfKm}ce;>v-}lb-See;L}yOQ$83Tm+8%-!F);0;^Xg{E@C25E|XGfP{+!$v^BI; z+bmQbPN{3h>6*IU$8QD;TyjNwh4C(;>>FvkAR>eq6VsD zRb|aXmo)@}t4WH41Pj1qD|*;zb;bmRr0^9ch#->_W|{?yw5m)egstr5fP_FQ4jOC3 z>NhUQC6mn_tB;+3B$z-RE7dZ-$NF)d76J$g2Cd<01g?k~I1`Jo7q8$IT0$rAB%DKo za0VynAYFwsaWf6Dbr7eT_dD+9`^YM73DZ4$SypNLGRAImE@lEw}*L@xJxwqq} z$2+?W^=_;4)ZUfYQ;&H#*!E%ja8bE$pWiq?Z(qOG!*BEMZ9ISJn!^&0eZEZolw$Ap zoQcZKMtj&(tEhFmNyi&MwaJ%q*Y=v{*q{#nh^Eq1b7p<%c1zs6>5YeEugh|1`iB^g z*uFHEZ{^{|u3v4}&QmlkVzLF^pley@nA=UO>(uTx({M|hgn?<>cH=ydmgF@zxh_$6 zGDQoH(SPFfmiqf;zOs1=9njt9dK*);ZdTo!Y$Zf9L#kjAD2cfaYAho?q!_Bw%vAnna=gChg@6zoO+h-fkvDtFESRbBksl_ejP8wk?uv9gP zHKjf@$Wnt^sJ7-}9eprt28~VHKIZ9E+j~xjo}b0{8c#LvQ}<-^4c+51jeWaYX2-qy zTXpM%nJLq~VOLSW#iIEAyq=ZTm7_=w2iuuP6rdr zluXb6%S zt3QmYB7st*WJR%J5*c)$fdP?{g<^Hn!U{?LQ{xH4?TwYcBq|*a`m<$MS3T_SdZD|Midh z*JynHTE@ozzkj2DINSpK@bk70N50kQN`Tg|sSiIs5M~BCDItt-#;Sm?uvin7*5<>A z5Y=RXOpt69yROj($s{KUu72SJ1ZBdg00OEo1B@wvs2{PB>z)L{syRIeQ^1L^pAE%X zR|+Tp`ZH|s^ZA-mLpC+Veq2%_2DYp}4K;FmmnhNcH4T0Il0b5Abh?x zUF8Kqujjn4z!ArW{dL?6^*DWNayrZh7!S9Dr+mD=1@10aw9Kc;l6)CcLOBoCS}Ftl zu74X(0P2!F>pV`AZF-qG&t(`F%P+VnvX<>`Q{c4l9(n?(L{nJ z+~y@k>8i!1@ygI*i(3fGWR(;WlcXAHv99I`!@1T-)0zE!9jKq0gx0$#u}qb8fvr6c^aZ?H67JF=4q7fM}7-EBfoW( z4Q{0yF&>+~TWUe;7}F%?fFc#AS$217t6P(-O}Fg3r7rs#%swMCbXZtyMqV(?Xa&w< zg#oQ2jh3@HWT=iBNi#vkdU50k=_7OegUX-}AAA?q=eBE230dO+QGr5Gs^23xL=*}U z3n0=FtBp6oBCQ_}s89qQS_w}%0D*!a|4uwwyNU>z*~b_qz9)?64?6GfI9~=p+=Me? zrZRL8_rMhZ_%*l(M~K0<;9eXB1&`1TxNyA_AU<4of7FlqQUA;j^nW`o&wu;BejlE&F&`4%8L_k5A5)y_tzk9-XM)#V>gLe-s7x-YQQ&kr4T(M@42QpRXtM!kzoIGz?2X7zJJgRG1PRyUPX6Df4UPIaU@#wlLZ&STgJd)>>qg;?T<_nsJ zK1)h6Gt90gSyU*iCZrm$;@)&N9khM2@l@?D$5q;;dC=C=CF9P@P-;qHb+@1_M5pFL zPn64r46R1Am7W4_pe*Ub54V1>lbApF(SR%%CJV0Dj|ig)K~HKNg&$97TN?{$O=e&F z6%huQP(J=|BauaFNSA&dzU1#7`0E?~myo;vY>@R&b9@l|=m{F?|0J%!j#{;0C-Q~z zfQd)LJz#=MH-7wFz#FlJ{Wt3-{@iu?|6Tvb|M27a@uPm!KLBn4e6SP1w0caz2>Vb} z5EcNeS;L+`?tX;XIsyK0j7aM;%iTg$0-^yWIZU=vwt}dzwaEzljIe@Wq!le$d7|sB zPLTK!^elr+Fvwu|NELyRNnH1wWP%C+q5Ah_)?ZtVl+l)#AYgAQ`mVtg5`3(xp9~-DeAYrk^FXz($vco>blEJ zLpn^QnfmuwDs-GCS94vCrh4G1QFECOiskEkez2$OaGp(PUAtyJj%O-eZs`?yKP%BL zF>j%xveewl{D7E-OpDW09`Dm(lDr(lmhjW48gVgCm~YEuY7V!HRBzL0@YDFt-RIMA zG2$}4DdW1F89K8U{5WU94}(iO7J~6Mt4aN3swVtyo{BHW3VE&crj3h`6eZ;ff1j=` zEatOyo745^D5@7jT&7d2G0o!=)cCvHl;!42L!WXO+&#AmOHDb-wTw2}5-!++NTFfQ z;B*DxODQc|j;wu++fXun+MB;XHz2T#j%3Tyz<9B??|g2c?8^dVOKFupnBT{2Wp$bH z^|OTdF_&3+ogY`#pMVqM`$qEjfUz4C!hyU2CqmM@cqY%LK%5arY61q}NbC(KXeKTn z_nW`DvG`Fx>PP*f^cGr`9Ura4FTx28@qyjO$D{xIUE#ao)S6=U`)sg}-&tMLQ%NQS zzeBH379b+NxlNMmZ$Mj( zOQu8Zw#Q)XsOq+v7b%vsE zfdx^L^_7ueeX_AwpJSSqEfV$6=Ov3Sqn>IeUD(m`3ttLl?WbPLm(AnB-(I?VyTwy; zKP~xrQyqNR`8(SCzg?XH&ne-^TR1@zX7yI^1%5*TjJIY^cgxHQ%h= zZ13L{FWbjk>bosZ<+kfzPxJAq+nt^F{gZp$&`(yM6J_0fKc9@RT|?x%wz0^n+m*{26gYFaX3yMDs`EusVFN!`xL+`n_KfBoGM<3RE$Wzz4b@ zto_5v=tx?}A|FN+Ty?3E2~x8%_z@OWip#MTRXF&QJDCic3TQO1d5*;)@)!fE7)MgvYac0{sUmd~WP(rs%XY!ieRma%?UtEIb!gkAB zk)`de*0AH`o&Gm-p*%sw@5qjeRh@QRPYGBEpEw=%W~KDhl%DqkLe}ZVe%5o2^|6#?5pt@J-mIN z-V*X{ys^7`Ti#+ycwVF7jyW?BI23!x#%0`9#c-Y*L3f2Nzi` z^2P?PqYMCO*PIT0%u3<|ro$TCSMgz3pBw<%@7!eC@CNV>UV|y8lFU=7;9)Hdwy){ zgC!3${Q=WL4F2^w1&LCZr)5!-(#RytS#z4R5im7Y1F0t4JmlM<$(@ziy4P;lWAo6? zSM;xq)oJr25^yE$s-o7mFSaQ44J9@$=1|{asr9}g>UeKVV5Ckmj$s>Lo)~K5w<*1& z{bHB5Y&%=dx_@e~Xg4pzQmx6=%C>E%#K_hQ8HFC`g4{m0Tmar1hW>6>IC|N175TYE zwXFv$(_OBVS^qc>`-ko4uha46?hB^(&F1wyo*ug=E4uGr4&-Cg5W|x+ggrOBB)nL6 zR{PTDx2vAF`7+OU+vbe&)aocaL|l#ch8tpshb!tM${EY2-ENO%*S#Ea^V)tnmh`y2 zKVJPedVII?fX73myHv+yebU+ev5)I*bH9n}R9+sqcYE1jv3O{BMLg0x5PUtNzq)iHJL#K8^bV=180+3~` z!VU-#ObM2mNGKQ||CPmI|7mMKQWnvbgHSovvfz}m4QdNi$SyrNkF(6T4Yh2Iw z5Ri|HQ?`yj0G9w1DVw={@8v&QAN|6Y^Segi(1R43mJL?~{^uPc2|F~uqKYELQCE(&?B=Gy6@nJLd-Q@chu2Vn% z^SfY;B=Rrc`JFTI2Va$be{cH!n?I1c8Gk-A1U|m-`+IF=J~j>=$}IYEiDqlpn`frOa3wc~CE)FQV;MYh zoJ=DdiHCYCsl8l=q~aPCwSf9`1g~WM0 zK5ujxFR7`Ac}{IQ&R(+4vV!)8YL?HMVLU7~JRfJ4ZhoIm*2by6F~6Mb2#>4iDV^(i zPPk4?Ee@r6@WNbEJ&D}bw=mztVL}%eXcMt#a^l@!z|kUzcLaqaVZa-L)FB)Rdnf~E z6UhURfdeGs9nl7_z(T!|9kB<^>>wI=21UGul5j=}=tw1`6XD_kBGZA0h_6^PRFPrF z1ot=+2@SO(D)vuCiu`$?W8YKPc|k0|m0F-1wLm9E5{^^>_5_FvRmcmY1<#Nem+#Gl zt+UP_xW$k9QUAP^f1HAUbM2nxuIqdp$y^%Qyi9C18+t9;TB?TTLFJ8^`;M#DTp+l(n{ zuQb6y7;B^~HO;*Q+iVe0x36j5=k7OUIW&1&Fd)68<*OMz5Xo3QI+&UDh}v3Ta4U6d zHW9siY@WBxajcCwIKD;d&FQ`c-`+~3_lzIkfP&7bnxZ*PVZ;E zY|~+ApEgg|0nh!*VIE#~+x@t|i-)VOkKNrthsXZqG98{;KTr8#gSSziH{J1u=RV%+ zbgz8M<)x;B@oD2j;v@W2n*09#+mc@TyF)oV+QS~_Cp{c+{IbEfG2L(MeOw;zn)6i; zUf*dC&}qUK=Q;DS_rsFzQk8ir{Fi>H`IH?Jz>HN<2~@4XwJLvZ^Wwz*dxVOAG*%%g%R-Iv-G@0Tdp0eZWoU@``UZKPuK}-qiRJXTLspHMJFQ;+})weiF+S@ec=9B=&*ClGww_#lFc%HpBaVksh z^4HspzS-ZLvbWii;$2KE`>`@N-)@$--DSBz^LcsKE`1ySuf%>o462XgcoUcXd_Y=; z=~f!M)~>dGo}h%|QcA*c38I})Ski@1!9`6}KP=}U=5fheUgn#Yr`azrdOel1g?%0I z)M=QO1m3RY6Y9x8v+Jn49*69Ua433ccvXtve=$7LF7yN)VK1<^P(J13t#CU}7Sq=V<_)On}uGn*Visner^rrU7aHRIs8~I|*0eYv7$X{e<;K1b=@gly# z-VF!%C+VzQ(42u6=boaMH|n$J)t_!1p72lHvwlVqA)d_J&>J)ZXG15Rs7CfplK4gh zFcJYfP#t(iB=G`3k?`kZeSXxB`cXe{i+^Xh1(Hw^7RrP+cMNa(7q=!r#w|5%Sx!y! zyy(5n&r2B_PKeZ4S-=h!#nrV|CiGEmvpsD5GBoWbX7`a#c`5pq`C`L?+fQEhY8GnC{5X&2O`3}Y)@r$_X)zOns$;J_E1Fzu<)*{3;Es97 zh6<$U@^)Glun9M&LVEwy+%9GNtmSvsK65_j?v=xVn}?+wntY$?=*!X}(X0udTQ-H! z&%+IIm)jA|Ce8EIb_oNm$Fwwwo;17VWIoILXfstZ3HbEZ_AkqFNcT^;UHZ*kozA{_ zvT|VBDPJ~afN@Sus>qx0t92VM=c@aZZxOv|tj&(RQ9EJsTs#`&U}cl~5WwDC9_@WU zX=;9Bx6*BXvkZrq-TisEY12o{U;0mPx|XiVrS^Tlj7!s^l-Mb-~H`U_Pc0OGhJIpnI&gFMg`b>R``nwP4D{X2inR{ruUf7w;@*B};u|33Vqr<44^ zE&c;lD8h0E596>T_;3cL@d}l8K1QQ5Pi+m&r$mqC0vjh36PB@dZkUSahS$PqVyby- z`BIP?EmXD`=SXr3w#ds*7CGT3L$mms!(+ZEc0QfW%%`h1jOjpUEXjO`dd|72fU(H~ z&CKU%Bf}hIYD%CI!PIm;H21ELP@d{Itv_&Qqk8r-~$cMKT>( zJmqGgCmwHRP7Sr~(|LGv^LjlvpKrX#cE&)=?<3d;X6#VUBesEW5e6P*EZ z{AMu`Cm{m^un1R0Yq*FNIs;JLB+w5E5(jan9pDg0gOUyok$b8nonaydHO6Ki1mge! zcn7obAg18E4B!C);{j-y4nV-piX^;?#dr$~U2rpg;+;pE%8(dZk7& zxlGMtAY>1y%I#~6H{WfW;llRWr+0KuTE5vP>crH+2U-c`IU&)xY}k5P9{Q<-b*WBD zeV?Y{n=OZB+o8_2NlPqJQ%f{}GN8yA7H!6O_TAQQ7u!CR>6A9l%W~}cr)B(_w*MK! zThE|!;o|0b(-swO`>Zkgq_MZfG)sSdLnfi^2b9+8m&ETP6WcN&&W^Kw}h z-+uA=r*`*LhC{x4Ez=uzpW5pIkyB0;OQ%rVx|isiG`R0P57~Fo+~}Lu%A|Hz=E-6e zP$GQccB7EC4SeP%*%h%71}wYRc0NY?VB;ml%VPViyTpUKPZ+Mg-Q?@wU6nC+j?q%n zj4^itlpv3gTFa4qYly}AUgygDhdLPV9`bN->`X(&W23orz|81#y4AY1WsJ7X_5Wq> z-F9S2k|Z%vH8a0NL`L4usctL?@Gtlm1o*a(eB^f#;0u3+zrn?B*EyMSaSy+lnX2$1 zvZ`x#dTMH>yNBJqDM%y|j(~@SN4mPIn5sy&Xf-ziV=YWT0D`I;oG`#ulhgSz?C~Kl zE8-LvDt}VNhdY==%|CQr04GGuDXQX5f>a4YF_Cyz$|9;rAf13X?^EUCcJHDP$+RGF zsyeGOh*o!jxLR;f?{;RuT?<_9au@PXlK=-ITwLD4XJ8aL5?8^K;a&X)*EhurF(@94 zH$`;0D4&QG=pl}uw0(b)()k-LwEp9rKK>k8h~K{2-}0K@a^$c5Nq>C}e>*-bpS;B< z{?-3w{J4&49G9Cl{W>kiI&5R6jPuQ{TqX?&%R(qe7J*$h-(tn$6?)lR4RLYn&Bufr0WLq4D$tbX8qr~EcDd;qdc(dLN3EQ=(YaY-)rfH_At=C|#>p2*5e(SvV z_-)qlUToPSTQmFPO{&SSk>0*;)^GP1a?tDm$B8 zg;+9UD{GORhV891uK6N%#CBFbmH6KT&z|Q^#n%gVj_IO3Lm?a2IhvaJ3Jpc7vrvi$ z*sY=j&_sf7kUe}B**mvk<0IolJIHn+5SO;Lj5F7$T%5|hxddHQB{M9V(A1*ds#6^Z z_lLF7Kt;SO(BJ(vB7|^t;3w&P6&Hxf-F2N50X5zw@aaw!-YEq)AlwZ^gm*pfin~H* zgeZx?pdN&TdlIE<1Q;~ARu|C_Ro#UGbyY|CP^A<5>Av7EH$?6npI!l_d;{S4PKVSJ4NY1@6NOFD8*Oj%|&Eu;y4 z@%T)cz5Rjf9~%9AF5l|zi*7?{yOdYyb6lFbuVL#n6|GK1qSn2Jo7ElTO75~u(KM)H$&-6~6w%T@L@u>IaJxwJwB@ncJz_nveevxAh(?Je<-};EfT|u$ z*+*Y9x+sJstz_aGX?yhs;iS-g$mYUM$Fg~TA9Ym{0QQp97|O3^j!TQ$}; z+N`?YVWzgP&6=!x5D&mpmN$gog*-6zF(dEWSf|jx*5#f37hle)O`#Z5>{crw7|@_` zitg?LEt1`_Uv1Q|OI%B}moA*#o<+{JJGu(Ao!n?i4hyJ|_0vYb&F{FwZ34uT< zA7<>JD9lA7#J~VE)!^V=41)nyi%N6~b)hv9j81_o;(a(&{&Y{oUG7T=$^afA2KETw z1z*4@;*?pq12$#v@~->}WI#kOj*fqv6Zt!-IR1W`ycpv!GKd7n-^H#NR5rzpB?xgy)L18}>+ ztW+jZJgxgjaEve=PM~? zIWa<(b8yY&TDu?W`iOV)P;H0@SyAFlGg2AyUv$$h~jzM z;H4TAa1Ek`1`$PZ)-+1PhD!*YmhF;F5l`+1=cNQ-8>1hwo^{{YXxeL84GfhKk=0!K ziUPV+xT=Pgt5`#g01*o8uJ?V90;Y(R=&nY&%VmBD)82`V59d+<2Hv%7DX8Li5q=@> z{(=hr(PQm&c*4@s3oa;;1oNnvmE?#pzwwSiydn&swyX&1)oUwZX|bPmiqf(yh`ZJ1AbsE*^l{;}LD)!Dg_>)VHW;8{ z72Sc`x6plMf$qMR?UeeXPN&%YezW%!Uklz+6?&}t~GC697YpPea0vw)aATaeZzY0NAR5mDQ@38b;Dwq3i=t0aHfq$u{WPHdhOXW2dE z=@yRavo|~8#HNF1sA{EYlH!DFnwVO(jTxRG0#n{)wn5VLZW!uG^dm8FMiJhh^F$B< zP|5I<3~k^?lfs?ga6lmxC{YyZq=>5|gNRfxIUH!91dLQsBcn)xM~bT?hyA4JkAFUY zD*wDFa#6v{>TNMu2xo`pvMKqYR%XMjMZtbz_q0LTEp?{0xG`5UgXev2B!UuxI? z&p*#^S?yor%KZ97%wO+{{YQS@Pu}7ae-@l_S&Rcd`lEx|8Q&CA&(nGV|s z$T*+cbQq_hLf)3Eq^EHmoN9I0kk@V4kQp(a!ShE@rzPKr)gcd)XAz1IAes$se&v^9Jq z9*L8i)_f7)b6Zt4%;&Wu^Q~Hosi;J_YZdp}NZVNe)F7rVnL?Be!Wh>WvM*~u?**q2 zg77AKtlQZDo5!Z7-`u<@i`?5UBLh@|Ko&GW%FYh!CJ-h_5OGi?6}Up`hju*yR66e) zAA$;+zy$=0qR=5yK~p$rpo6Yb<%7@gAQg1FIPXM;I)6Ti-*W-|5Yi_J6(d#E1ax=( z$vE|H{=+}vBl1to5j630Bn=__Z~w>tDjLw!68mu( z9}hN6&9U?I>IY3z;X|?;q^GWmr)n4V19Zq~pQb!F{e!$u_N8yn>+}WP;B^Ke4m zc|m9sHeiP`=Y4ADnu8)1m%l z_SU|$Jh#3vOujU}`xtVJYhWK$e^CdJ=>2StW+!``dB#;E% zRf2$<*2o9*YyQ;c7Rf6NreBA$)lZNajB8id%qLCt1 z*hnZ=MI=J*sRjTgA$m7Qy=OW9CuwxypOnt}=Hpn!63x51@oi>Tzrth+Q9HzHKAC{%DJguV= zmpSHu%SMZwST)$N)?gT|91AX+TS&Lf4#~%@n-iu%eh4zG8&%H8Pa$5WGHUp?`CjzA zT?F-!})e0>R2u<>v_34c#U~WZKHG_LpU8`ls)CVD~tdg~86l6r?*( zgX^Yx4W*g43jkSKwmQxwQQ{IT*7LRr+I~xP47s>5)J705RmDAMcE@E?IJu?JHeLoz z!fe_ku8VoIt5>ld!!64Zab);?TrNc<9*X1e*Izt)jc7Q6Yy0|Z!parZJVbll~ z5eu8mO^neuhR(6vz_#d3_$W9-sr8f{US{o_8KI%hBBB%*XY%N@v@mEjQ@FZS>)bbW z5qGQnkf)-+RVqHLN2wpZ686)Rx4LT#?hfFdM!35P)QO+~sxUZQK(Y!*dQ=mv;^H)c z(G7$dRs7C~&|M&e{lwR8aE085PX7g^Z~vexQ19tD^<8)ooQ0#{q+C?q6lcXvFp2yq z97KK;%qr*mkH}Tzia#2)|I)Gj6QB6RUk0E37JoBU!q5E{rV-IS#}t_1o%Ac}gWKZq z5IB<$9mgVF<0WslMQIJSiZ%WWFh~-bx5u(vdE3m(0Aj@PA*=4 z*x_t`*gp=p({cAa&bR08=~T`ShnLg*{?dOL)_K=AZ)JLgzf)e=o*}QTzpFg9HVA(w zhx4{Q?qr<8Q)ic~uZb7OBlUaqCvUD?UZg#PzX#0a^+CT?e^sUVm-at>ugjPHlgzd2 z+i%nPwR`eBzr_8yoL?S(ztlhcVBsQ7FGW2*CEH34JM%fb?xM{e`oNn$?_jH=cPZg9 zvv!qhOZr2EQq4t$$3{Y?cNw!wyZ2JHX>?=gp0R8N&o$LHKQ{4F@Eq(9-u@oTcj;e> z4Coul#-_*?HHBCtimot-c`d4@5`)PK1o0?4>#NPs{TAjvv|n=Z#$T4OHhwVPkbY48 zhVaOFVE0m%_ojE-7!K+;-^3Wm80_ZUBV7;@dm`E#zr|7epVpEuRt;GJFTmem3?(KO6f+-(t+z1gEX46{<-p)4{&f7VGQmBykd2tcnY7q{T zi-<3^>@jcA+juS#_hDFQ9>;9Va@pLYzH5n6$N9e%%|pIA;(47Y?L3}*e;Ahcy4zp2 zS-Xc}`7TKB<-bIlqv%tn_f*w$~2VNph$s!x6l07NA$*6Wh@< zC0=}akUFdyC4RrwC$(XHgW9mZKP8=~A6@WY*V9vfylf|vX(;nE^}3vb+4mTpYPn6K ztnZGd(P8TPR{n6))Zh>E7LvT@5*X)|%;8<&C0$Du#m(WGheeF_R>RV4=OuF2j9b!_ z#&z1_`kuel$cw&7jRUR>kIQt@m`>{-LfgGBmu9I`E=E7!q7dh;R+l$NK)PBRgRG?^ z>pP(Es}--??IyCvFt(f8`oO`yC?!BfaR(~Bg^r+u z>NLRschKFF=vCao=n%?4cZd-Q;VLwUQXCjTKq@f1Z9pYA`6d z^c`}AT!DeO5EsfDF%ScBBW}b%c_VI=H{wKO(g1*;G4KsloeaBT0=_u8vShb5qd4{j^)~Pk7YfF{cE1jv3-&G8h1#! zP7erkQm(=VF^W@~paj}vaOpc)vi1QBx285*jE&aSq=_tETEs zpX+hQag{@Z%W6-q?_9oy_M7ud(sSAN+D%~J@GN-T$D8|e`rX@le(L*SY4+X2EswA5 zmuVYb+T&YZkL~`NZ+p}M-Pij3O~3uH3n#Z90?x#1U*0x<-uq?6*L}Q9{-xgyx$M}D zaeV@ABF8R_j$`n1ZJv8xOM6UyD*X@b`o1<#eH~XncDw7QU%b1xKgE|zI6s9iQh$8f zJ-<)$WB&v2tByf|36jR|B@5wzm*C2OX*L1_MLq47JrwC#Ybluh4U6&J?H3< z8c6sWMZ`Q**+MxpzWX}}iqHxtGBmZhw9bOz=*H4pPL z5>fI{ioe-NkJzpnaLYehkQzJ|AJ>hZ-g05$yjHig=FO6ii%am^hN>8sAk?!n#oZYq zny*<@<1HIqm$ej>aXmTt&TpZ4xRgnDzO1YC`=P!SlxrQM*=;$Mz|j!s=jB_2=KGut z?H_Vu0q3Q8XeG}vdp%9@2)nEss*Q#xq}h@=Zx#=6yR1P&xvlybY{=3^doS&CpAo+s1G#EKP%foeg%c=Xmj1R}%b^9+xWL(z$UT)=$uG6}`7%p2Sc~SrB93esL zBH$^y}aC@=i&6cbf>-<1W^VNErX2hLe7Qkg-41&So z zMFxO^o1oA$5P>rtih-nH013~~BzmR+xPkz0Kn6BJgj~fJ*sM_CN>@bO(*(IIe)8wh zk)Pt#y&uG(0$hLzxBxfE6}SLzz!h>84vMpI5{$q_a0X7m0NwfS7PY2*@Y|q$SGO^w$921QyN6na z*!Z@lus6HSN%k&z=bic1YFGmkV{zJnm^Aj$7p7*jZI2G^!m<~xK^iohOYOH%6$}Vg zB`As2V7mWLHhm9=SI*bayu|5}cZXaqzJJhV3cCl}N@Q=GM4!PS)tF=MJ#Il#%yn|z zH-(g#e9oZ@wxVfu%^E*u2`rHkl3Vqt!4qRugqWm?)m?0*DV>MyR@!IZuBmz9dV1&| zhTEj!09p3sFk)nQZtD9%e}9sb9z*f<>!Cl@oLX|R$KKyJ4}Im@ye7YvxEH%fc-fU< zw!UrV%EwR#OD~PRvw7@!GdnhNUE|ZP4pVsCABL$u>>uCe@PnqI4hI}Abv*8#r}6D; z^X0Uio|^w@n!g_o{dHKMx-@Ft9m4r)FYHdTJ~54uUC8gIuV^k-o_6uV`owlL9y=RX zKSuk}!z&L1FAw2(H9m2Fw&}6$hs~b4<7K*h>0aLF^YMV|?2o;_Z~82C5qao2tnsPm zw<<3?pDiBgqwv-30=$Y|fCG6^JVVd$SIXIW6kMFokQ3wyGE$C;H}@xCggz_I?vDsp zsShG&`cu%W$xD#4*(1Ug^`LV0kK@mZ6YW{T8|_)*iS=3JN_!N&fJfCcaCBbi&yr4B zNC<%sl}~)kRjK^b`$a$nGo*q&vxhbp@y)o4%&JXs<8wiw~-NBs=+L;K~T#g+G?IGy2`Za-s=`3ByY7*arrwv`LT<9sWqX&$}V#cg1EVm?{><(x;+oXVQvQ<_AA+L$E`%P8W_Xc2O| zq0xY~^*#(s73r`biVitLIZ-s#+e8!0IkeRIrl#qXw}y6|H78tWXdkZ2I~AvufQ|Dt zkt5zJ9I7HF#r#EZw(8&jdqSA$3b~rU2(L5)2A5Zn6{f(I`hqx+6b{B$%0!6b#`0uAfhu&p zYlBKvd~C4X_db4c!w7Cb7P$chT!9sG0}L_&D=-2Dn85|OfmLJ$Cdd`IcUtJ@gvcj8 z@yT2KNl5xfU#TSds&KGle3b<}~N%30n3Oj56CGuSMKXCqUzI((xNPkT8xIOfo z1{G%wzl-&am5ecl)>(kuDp(&R++>rs3s)QtFN2&k{0{!( zL;v``%ptNdJU8um-l}S%9od|i%OSKg_Y61Cg8JgGJ>OS05OaA7<;+AD5q)u-gLH06 zJSn~jpA4hm2ns8iopP2ads`}T@^IIzHf9nLtUvJ*zukE?|%hmom zYx3)#^w+QdCvWkIzb<3(3l&0-5Tba#M#*&Jg29F2X)J}PszTPXl>k|2qG7A?mU#l_#< zo8sy6ti}2xgc~k&PhwO%{!;Bs8S?oK@KGZhiEi{E8t|-$d*}} zLMv4w!}ud4+j^s`&2LaicCP&5>$UnJluMK^ak)4e+5U^}BbT#Fh~=^pak!~Cp^Eg5 z1X80~U6-4Aj1p8;=aoXm4Bz=Mv$Bax4a8+$6NAV#$iu0e>5rTLXcETt8id6R3Fmop z4S`0Nx0oTJn_MDp@anGa+TpTkOM$phCyyinq04t6TsEqqd2^2z2Y^gh5{MHecswb@ zbd+GOS8IT}P^IcSsV+B(&TwWDu|-rYoPgkQ6akyFCjc`fSn~!dFoPnTLmRUPWAozq4e{uB_x5#N-h({B7Ee#YLAbh_qpL`n?}V6mvTn`{xzU6XEN z`(Ri?6xkZ>a@mshuR3e!T3bndQu$u>hlDrw&&2o8yj1>Z`{y`s)_sk`g}WboJ&PVC zjg^cZs?sDkNL3>VViz7)t3}|H#9a+z%!k@eP1$+cw%P`inz(l~ZcmD-O?%@dHG5q* zb`My`*dMtr+-X>{?m}73A;GM)U{Ur6OEel3>`@ojq;<0>fQw4N-Q85XPoff@;u zlK3HbNpW!js)MFznT!x9K>-vr*w4p*CKm*?(kUfEK2m6LWe~8!1rms=s(1SmKtcfR zuAp^4hv2>^B0r@9{zsngqZ#vlB>aMbxJz&n2!Ig^7?1#m)Q>;@u1c(bU*-KD1#y^*g_IiY@4da61??L^LviP^1B~|^LrGn>(-~& zGM{7fbzZJ)jya#^fRINtZuMItX;mtUA%}{MI5c$$cAyktyUHX+soSg;w3G};?Us<- zwyc^h2KBhapq(zkcDXJtXk^=Ym+La9J4@c8hhUA|y(2Ga{sj^`uR6voXWPt>k)mT=5|c z8X+{t-BqPkizg|EP4u zM+_w?cuL)6U5e=DpLHli$25m z){Jf17hf9gDrRLTRy6iWCsgUkHA0G(hyYfgm^HFDR%##Umit3m-&3>4Hk9tDWzgM$ z(-01iI$XTl!_SfvBg90dSRWWHmfA$|HgPNLp)0tjEs93NR;_0o-5wg5GbB_PLujkk zunCi>)rzK}6lh3B3EdZ;jr~g+H1EG)K1us@E8pA0k+)mB|0>f|?Fs3U^yp;@2usk$ z9>G(a;G2fVtyXDj99wkMCCMH-Y3z16FS*86!LY@WG%9LFikq9Z+;VODY?8Ed-)e2O zUwi9=Z$t{A)Gh6UJL+q6c=ILt#oJeto9>=%zT)r_=kMM>*ZCYC9%R})88cEF*K9=? zEt;sH3PGrbs5I_8t!qBCv1;nXXV*QsBItt$4SZ(wDn05L!p>}Hx`!>_Qh(5KX%2DP zETuiSNfl0E1YEQs7S|S;Xbp3=rW0m?y8}X@8Yv2)cm={;!qP>=3q`;HHHhCG&IkpZ zRiPCU;Etf8j;au64N!vyF;`UtvZ}(Y2%r)HCq-20l0+R8LR7^WL;%_Drtc8Ciz6KydLi0QgsK$b=!RaY8ovoe){Z6{Ve=MwE27ms9Ls!g>?UoXoF)~ohvw~b1T8Ak8(Xg_@#!uHrl9%HUYRu!pT|l2G6Qia?4IMMRvU6oNz%Is__46rm6Vj4lE0 zE}{;GAcP2T3GiwS_b=SDB(kBgsL~4`T>RzNZquAbDL zq4%;j>N}{Yw#hd~q71cvN_NriU^23O;r5=oBbP~<-j^kG?hCj#&7ez?RKehIKvgq} zkoBM{UYjjQ%f0xxgk9(JrVqh~+VpK^Rz6V)-v`vLd8~Sl?H60$xO?V$(cOcsH|^Y( z5O=giN>gIdHu(lLeW*8yQkkKhY%9|tl$$B4BD#F|g+)_`2&DOV#JfTuBD`-Q1e)O| zHsJoyzx$2RoKO)ExQlvMkYuvEy{Z!mXTUvm0h|V!K;A9Ec4G^6;$Uyf<` z^YtzMQs?-AJt8l42e9|<)_D+(B-wcY%{A2XX{1NcT+bon>HarR_o~c{2=}D{cC&v{B1MsH zGVu@}V1-0hTGb${GFC3XNiNr7R@2W}q}j#$y~KU)u6W)TzDE2u3#(tI*sSdK3~zd! z+Aj1tOL4uzcF8}cIZ3<2-%#%NBSX#)9%Or7E(QI4J{^WQ&mYq1eZG2snCDp{|7;gQ zTpxF{dYUc@dCVtBcQGa9zsyLG)9f-tPeBlseL%KpHGZ*U*3&|<*LK}H2tO8Y}}`Ca_2&Nsvh%Dbwz+?hJD_+{m@JC!1y7$ewjRXC#RW(NOLan%9f^PL}sP{;=s}gK>F)j2Ttk zp8+5jMP|LJnY3pNYjq;2Ei?0|e0csPXG2K)EEWMp97-Zc2SNZwPziC+0Ydqi_2BMQ z!DrM#pa4miPbYzi$f6K&p->5jSVQ11m7gwR{InG`z)vmn`T75A%@n_`3SWHj@AGc? z|3}j4zx`kSLkI{FA^)j>2mn?6AO7e6tV?WqyDuWp#o8ap6FnSaX-{0$cRt?B{F?P$ zu@|{cZ9CX`#I>~O%YMLZ`PlbAPUZU2 z52xw28xGI;W7U0o`te}jj_q{te3Y`2!=2|>*R#sbT-_FxX|DUu>yq+Xj(6RxYrZG0 zmdCSSuT1Ak$R%Q<(p4@UCgIL=u~=0t>LIQ)fT#tz1MG8HT3&U_<0hLfO*iQdUd|G) zk$y|*&7b$^wkgg9yPj9qFc`^zAlyrzQ;8bUCtE0E)MupiAk!p$_DNjBoJ^t7)$krs zv>1{~*HDJKDpo{Wdukeuv&K-nr`9#~rY$WU`>8$HY>CX?SRKc zHm_~^==#K&(Y>+$DKsN!gt3KY1%IUgP(n%{V^J5vmy*WBIgrgt^PZRz46T*kta+H3 z`(3eNx}v>GyD*P3KguSpu65pQy6L_MNc2DxScuP>S5cl+6*ys#MY*Qmn9xC+gcw*M z5Eoh~B}9}60Rm!z1|*&F*geEAmt4q_so zKqZ$1iF0*fbm2Yy{4lsl9i6<*?#!2EMxTE!NraafGNk(x8h)%QHDBhYtzH25xSZp%syNkaG{2rQ| zvhP3UE3KT$Z)t^}(?{;?^1NFIe9ZUA^IWeEpXPH@IZayKFHfNIGB@qZ zM>w>brig(b4`xXp^HMOJrsAjzT|~}IV0|W5P4k@S8jk?G_j;$AJj6y^mNUKClA7T$ z-y#K3!tgPDsIlToJ3PykX_Fq;*sM>tduZRLldW)`jw!6o;a(r*T_Ytojd~JsNtFx` z_Yjc^H+Hoy43D{_zLOhjC$dEIU`>K5A(1q$H%Yd{O>u~J4Sz+sM()ubi!vUlYv4J% zz~pnO))XiUZ9pwDh_*0Q^~Ko1?p=m8DNa_627v@sYKuzmM&)3-G#j$dRaf37=Rg*T4Uy;rMT-#>-6PXfn+Lhp z>H_w!Hr>|!TI!9%HcjW%Zr9zkA zV>|Nh`n`L(J|8dX?R`1Fc85!SzNELG^}HT8lh^O%4|mCLQg5`uwio)L$0gdgky+Dz zw0pI~m~GKR8ZNDkE4Qh8-Q*+M_r6z_J;#UGT9m+B=vnLlLhG(ek4kRbSa$2qm*9}~ z(Y=hT+G%ui)Y#~{F(uic;C1`~|C7XMc&u07^7N>yZQ=3z_#MlXh9Aou`(Ynw=>NF% zx*`qg>n4)l8U<=nqH3(AG7PdHqSKgxQR`e|6*>!hiuFh$6d%xs#rDmL_qq?O>^3$Z z(GM(7ANDzH>^J_9G`LIh-1kUExJW-J)!FN!>;^hQdN)Do7Xlej!1x)dpo$2oqRybe zC;&o0(tZUpewAvFY`~`{fnSCOGeJrSK4nM%15_Xyo+O!2XrQa4PxGJ#{nuLv026J$ zuQugZMC6MvzO=;`|7KP3xgCH`zC^Rx#l@OHk*0X8s!q*B^{F`xQC$h0ykjp9mlk%9 zh-9-=bfAUGu}$9O>6##y<*BZ+oaXg-@rQJ4Oc#uY+wCfvyxau+dA=g+PhMzoo3BM; z(x_hNBEs@9qiEdjVV;kVnug)Jj7`eNr6lXllP_U6fuNq2zPVnYo_I{=G2a4UYWF~v z%aT&5m*vj-JWuDtn6Kqj)KBf_e%L&xi^<{Wzir}h;(rn1T#kFeyPpcD56wH|$9$oz z&d;=mot_bm?~AX;_OpWU+uU9e$E8*+mwQ`VI^UMW;iSt7^)hK7z1QcK>Ga6C_Ln7X zdb>SplHu}zbmdYV$=~O0h`5zoXWg+qvexT#kz_ajjp@g8zBvz<>1P=>_3@G5KbPO6 z)9dq3BI*8^Aa0lh|O7r^$eG>%*sO zBQ@WaQxo3kHObHC*+s9@L)UK~^?|_cdFFb2pDx+#xKN`#mRh8p01=*_DJYM-=ET!P z2CkyfaG8Nl&rl&QDiMCA1pFu<;{+o3E42gN;G}fWDKs2~4Rq0}Iti0#g9zmWHq}h|v@3pn9lo54FTVKF7GL}u10tUr z6zG^NG%_utCXt0T$7)%}h_;kiwOA%5QW3+dNi|g$Ei^T^W}-!i9G&Kr79Db5$*v%cWcI zrGC71KOXkj$E%3E#QvxCueQ(9y>k6z+jlk1WxZMM6L#x#X?W@REb(gHDX{B#68i&p zC&jnHCihjwMcNKBqu;LOV)L8rF7|jGmXG=zH}z6%zv@38aaj3d;yR}zd9ZqP-Q)Ya zv=`l9VB2B$p&wiQcJ%wW-aqD#{pxU>&g1aoIgh*5__6qI{7-jc-LFp4Hii$fU+o?- z|55S>+aCIx$pQHy*i<>P9Y)@nUk1aZucIDkj%&uVyyS9`v>k)w2D_`I14z-getn1T zSDV7iVccIJyMCwl`(eF5vu#%|fb76K(+bfP+f_Zyd|8#c$kyW4$w3fF-+K8db=!#x z_AY0`9uU|Ia8ta{L}age1oosL?NpD#EmY`x$PvCL1$Iz5sqe&yGzvd}Jp!$JVVpss zQ}Dv{fM-#0?5Gd%6v&h<^Oc^V0{Oa(C@JC&}Xo_yVWngZeT2Zvpot|?+!mYV+? zPVY<_(?x^*?Yblu16Aw%XQIlpfsG3g;m<`zi5Xza#ZxEoD9uEdHubzdT8@S}C#lyt z=$%#taH584rZmMzJ9c&SLbH47=+|3i&&RAw$gLEi+{#ShQReLUQzaxlT&wUhNeJ&x zwMeJ(B**&`Hs;$yWZ-EMtjqD4Agg1WGS8n?poyMOSF2ndh-x z=J?Ucxir!4W0E(O+f``o3cPqZ)~7W&r?N)5Ja59)?S9^kFURFd#rbjCjs4?!QQ|=y zqCGTsrMpc0w!-nLFkU4c7M`9V8pj0(#i`M#H}Mxw7f^r?Vjt-O7wVbu2D=E9xJJAo z-4KLcz*pEwA;1YdgxwIzxRP%o7m*(A3~U7-LQs!rYvdD~t38C<$VVlpS7}?MD;>~x zDLv9VVlp#PAwHXGeDTE>U)th}f2(@|fOH$IObx5Si|c6VnWbYA)nT2y1x!Mm2n&fG zY7qg14VuMsXN-pYk}vO;_cE*I4a!}{?>gOdwFz7Lyi4r^SSve`eMINlX=C3I5vk8G z(XsQC$AsD>_g$S;2f;Ejr_!qR9h8xDXxSwZNknJKG9NyonBW7tlnpIC26dVXcTNQ@O-$SXY%3v6t?sg#_P7KkZY!Ek0thtXKj zR+=f>p@xaZ2w;moU^yJ_Tg?#a5Q?_yJd`#hEgV;!2e?vBZMSlFk%5uQ_uNU6O>BoM zufF|A?Umn~Jodx(l4Cn=Tz>9{-LV|E!zw0u%iqqW?Yf-{Ub@v4vaM1TFGGKqc#+&e zQ?v`@&8dtHyA!zW(KqcgZ<5wMSZ&|idyThVu3Gkko||kWPM&ryC(8%hoI88<-8J%I z*j>tX*MGm4^ILkmh`bKpZqMbgj*GKXo>gBOKCEn3I0CC(+(PypkE-8#oEpCMatplV zxGL6=J7b&j2mK9pH10)?7H^Ty!WHC<*uy@=UuYMJ9rdK#hMegyp%>$hdJ;cS&w>r) zL%4&U1uwLd#w+t3ZI66K-7{UKy+(SMvS&J4+acY>zp*=;zcE+$0HJ@e^1k@ui+_*H z*;ftf|JTmMKT(5i6>3{z}{&zVg_l9Kdp>WkyEOHZx>?RtOPhgwk=`w`P7aLxd z`NCm?a!tcGzrUy5e~QcBMSfMj)89ldpKoDMuy1w}tSk^y=*sJox@=w>JKhsM@i537+$n2l5^B6@;56N zbT2-=tGqbh6)&3S@JYACy*?BVKp>B|^i3~4cB3I$+uG4p^Z zg-(SbtSw@Ky5E}>~1!At3SEz~}0NLO7fIigVvfQE>;YFAJaM~P=F8mjVS%>&>aMk zb*jD+Eu?j^6w8L@Ep@90=docCFjUn&^mAlceD=ru)s&N;-8&f&1t_0CA`$Vq@YB>I zj8KS(RD=>D5`rKz#TESg;1Qv}hL}0h+uzc&r6KEZqAn8{Qe=U zeLeps+ZWVF8(vl3!GoApeQOelOUNihlfX(^WEx9bLtBSc zP;$*+rNR?BH55saQVW#gu?>+h7w z+Q!XH2gSv>2UYxgX!E29Lk^YBM@JP5*0qETYS&gsq^~N;=sSf&36&VoY3-oSAbXXX zS2=v8e(f;i?Qj7v1$68WNY$V)?37f4ZCL7 zSpC7hu{+3p?zVr3=4sd=R+Y#a!e)}-s!U-X>^-0%U?qC(ql=_2Mcl_K&y@RyYGuXz z)Ym~OB?JoFjFd1AyBzY``t0!44%78z*h;%d-bCihu;zS@^_YUYey0*OLfCBu$bprl zz%Hqznl_;8VWrti?W2RfgVa5YR0(|j({BtDSe^m)x%{dtkp zY`!Us@=>Ft>7o{o#GdpCrr@kuC1xEe?9My}ivaLRydlkE$UX@ZVrB>!$P$dSq|Y|b zvpz#aoP{VpUDS&&PXsZYBF&f|80hr^I_I+v2P}VPBs}l5eVg8sEN<_eN5d(!c|1cD z<=x?Mc&eC^pm|Nwn?4JYd9BvBSRg{Z4|T*BJaAXcUjsdb?a z(MqX9k|sJjOAKyNTSU_7T8%BAg%H0n?qYMaRjy~^AoHIE-7W6Uy z#3sq(4sn<>M4^FZiR!`-V*ruS;Tg^rl8aDgH>ypBF1M;J2TQY_?+aBLJVJ1v&s64? ztKjNCi#f|9RxiitD)Hjwmb#bA{pQMUDusG4S8vErNV~x3F3;?SW1~%iM;5Ig=g`Ql z_%!>mR)%x2o^n?WqXj5_p)|DYOo$U283v`wnNBc4jJTi%2tbLGQ~+5d16PSa2t|Pt zN&{0MiT=bQ06bi9LZRtrzwjqpAD?YBct!oCvcEziUwrYUEx!0$`4*qo(?F*Zu30KO zDOs3ujbhzu6xB9%EO%MG)J0>n)#p3AHER+5rntN9rJboe(X3b_*rbtS`~h0-LR*3? z4Pt%o6ER4uMaSebhF+EjIEZ;~T}w2=Ltrb@a3zc>Nn64M-KvFXHSc4Eh$bSDk;XkI zl{`c-j$=F%S3AsE`jy*_s~sLE_OFmf?hoWgifw4FcuCCSYhei2l!&3FXYNwA+ND8b zPGZ_hWtOxg3=)f^K3s>kY1UM$Qn08A7|K$N5a3UPshBqIpnuL-I&QA&V}P!U2z8Y7DappamQ z2qTmz%0wxG_N$A=Kb91~FVFtsi!Z*k#TWk?-{SL6%tf90ERoQrQzc6~YgW0Q$i>S| zhCQdNvgmCFw^nbcOXVrK+I$pa<+TYpPs|mbm{VI$U3hB%)TZ7+DR&MQb8$C)MBA{o z6zX}>VzU+vfs0aF-0v-sP|93H#L&bSQ-7ezqEC$IWxm0y*VKkc^@-IS{uuIr`@5%t z`99cpdETMoD2pR6?HRI8`Uq*FO$!>QXo`4B z*NQz-OG2tilnFU)JPH);Ifu5GQBaO3j>^Iia28*o%}226HP6G;m+KIuo;20= zJVq+5U6ng|eoziAuCjS8<*czTkCTjVEKdz-^C@{(mk&tb-lzrhPmQc-YC+dz209~J zofMp z;bl5%zsG!~K$jaCV&^2Gs6t!jFj1XpTht;XOLn6dSM7wgL6|8MkwgTl@PdGvf+omB zV+h3wC*yNGISA3JVKgP=v%4lmL4{Un67rc@1QJ3r48Kw|0yKb(L;;WjP_e&sw)pJR z^?xh4zxd*dFKzL~zn%m}C(YG*0UL%tNV%)+@Q`W0Mrpa*w8x3VmY8+BZgQ@}uH_+j zo2m`+BO(SpG>8n5O6oyWTGptF9&HX8Aoqlo*$nMf3HHz_G!am2kYi|y6jhxfV%Ugh zL$lBh&Bc=}*}=7?(sON-&M|z;&yIcR=Du}?=Zx;{(f*Xim#BA)uhoAu?wB-V1%%>{ z&PS4OB_wYug2}dMLv%M*jZv^LMwf?T?e$r>ZKlF3B+bN{Vp9re%7z7|Y}Sq8aaYMT zYFZ8Ti8O!`xzBB$F?O|pgNdoE$fsIp zCmZ&yKCn61^yidz&`$bqnXY*QbUN+?y+xvmM;7fwQ}x1}8^pSm$1Ij5I?DwMTQ3ZY zfv&7$sZn8h#0;)^f7w8a;HBgaC7 zKs3>Nxmv$E&L>Ug%R7hdt=ys2o)2xC)BK=99~YO+@o`JcIzQMZoSs5y{Ge!k+!BIw zG!@PW6)#Klfr$ik3f)9|sD^9JZpLbi#H9*N?%{&)rAlJb2sD|=iUo|sIrVP7%xL@y2+Nw&OC2uglTR5j{!j|{5gRpBw@wpMj?a!utTQ0eGd8UAiKMjjc zmO7Vbw4gjyyT-d(NGuXy@lc2t5d$9sOseKix_B_G;R#`C6-)_F3|;rEu$KH0sqbp7 z8Wnd+oEFhqZ$NPQ zttIhtX=%cAa!_OmO410gLWnTtd2k813hV5!+UA@G#zLeRAA|PdO;QAJp`bn?f`~k4 z^XU`{mZOEToC9ca(Y%iGXN1{&)4ap;CL;NHcZ|AR%_*82DNxZC3pq%C)23k$Hp@06 z5v7JYVip_J=I8<%Hj67w;7UL~%SB*-@UwV?LMqYdBo&|I#Hf%6ZxWSGG6621Q_~3` zi3pMSD}{ojijW*dKPyTAguwAR$PFQ&{2GY*FL5mRfB7!`gU7#)RQ=ud?`=YSs-`c# z_~J`j{8xQCI`S~?+vD;we)IcDhaWOuU(-wAs@)Fyz8g2{v~iPo+1hSSb1oaPE>;x^ zH?iii3Cy+iSr&`Yxl~=}G=-ua9jyk28?T6=OML3GzRTod zpd@sOX4L8$jOZeAzZ*j_9-x`StCs7aTSF(~A@qW7EA^bmZw%->KE>oWMo7hl@q zKiU~7h|7Fd8_&}<=eOl~bnxguP4*x2t!Tc@7ejjYiR4^vU93OmvuNBN9fH$6kZ`-f zy6(PIR9t6Br#~N31sAW238qn%*68m~T4YKz7;ccJ%ZY(uEXp>A&VdeBLV){HqFPxoY zmmVv~%vrQY+?kPMs!hYEYLZ&DB+YAOXs=15SxGr)O@`LBs0g;g%C<}`xuH77xh<)w z=$$bN7tb6xLp#dU6+k}kke5G+h~P|>Za%qUvA=6WACF^_KP=PL{0DAVDx#dU8??N; zyO#I5F<*|N&8en<9|@f?sti>tNkN1xU{Y0!>XE$762!d3C^~f(h!PmcB?pVw=BVbq zw5L$3nW1;lraG(JbZIQQoTj0Vc3qS*UvxNlxiF;EBZrqdUz0HVqnSgW5{O_5wyL1& z1FaV>4NQP0BC4cL(~~}+l$u1yB*BUnCPZWr5&2y5^XEr12p|QNh+wE#PzVW%$mjmg zGLY;@6#2{Xzrq|M7F1OYhCqS_L_~nUaza%aeuYK;O?u+*AO8uH5WmwxUwrY!m$vv1 za!PE|9WH)(8^;qr`hK`0Z@TT(@847&!d;BNnZGXeqQ2^gxbC;ORoxF&Ten%K>mwcZ z8gqN=?Zf7`KFjQX967-^eU~ME&{|NWXluKmrM3vQwL?gj6ww%R=oc8!3)!!B1X{L4 zlySZHWoet0J`nw;EhnsA_`F1SK-`A>-Q%3PZFsT#7WuqjE#5MB%8`jw`onewj))B^dVRH?QFAHM#fIvana+S>44uJ5tgi~KouTRcVjJ?g^r zqe+$FkGMzf(=0=;gZq*iU10*2$Tl>o4PzH3x-7v^$)sqfQZZPXAYH0O&?OdVmqYv9 zcdE(xlsu@jt0*#2+(IDg36W0)AR?c2rvyItf96Rv z@N>Td1PDSU1XKx7f)uo02e1i35Rec!(DauSFsSgaX%=6}7GHevr7gbrH{NUgbwm@y zZuR(L@;*Nhb}P>};yTYvajU+fpBLna56Xe*`e@YtKBv)^OF{GUm}0fz^;(JHI8Wb^ zk5f?cM=2xhG6%yxv_j;>&X6By?)_C-==O^0luL~f{=NVqm+2=UkLRhH9@{&Zuk)qv zR_DiAQunMkmGyP`lg9R=Tnzi)=8LMH;^Hw~=8LrMiVL*+SY`qrZ9(vQ4@l0lq4#5n zi0~yd@lu=7z0^hY{$LAneTv9=wy>sB9XzTSLuys%d9E&kDI(%DM+ALYy58EdcmpTR zW10&^J(lM1wA>ub@gUi76{yA;Nn&~!*M9$5eYEA)ILQ24Z1(B-p~>vJV71ZtBJn`| zvoXi?cdo%X_1;`AIr=6kMTjoZ(cKd)M=4ZgC#hl4qVx)=`5duIF)uQLwTXlC0}d|L z0>%iUCR4VKc~OsY(mKm@B9zM&t+n!${-Doi#TN4w!$ziS5OzDO!0tz(SeqCyzY8E& z)0L-(r3_xBGO(xx+Tx6bPtnY>c=V|j)nFD`(qGnoLMS0ZqN#wwfD0VxAfHJJRR};n z$FB=AK09T}{Ofr%MFY~W4q8%$D)Lz#0*b0AKeIZtMf{`rWd9O*A%FS(XDo_;@b6#j z3X*@xwD`)m_~MH%ZSh|_k?OwNUmPzQl(yH^JC_|BX={@wupcH$Y;#=DcB5YAwi&oQ z^JZ*Mv0>o+h|M~kWm&KD8L?7+7Nt)UEL1a?s_Bw#&o4`3v#jb8G1i?oHmA z_5-g`hyCmQQP->2`6;{Z+w*zf_p7<;>+p8wdDp!)JYMP_CQPsWR(O7k^@V(~9nf!6 z`^d|SY?XTGr+cX{W7a43Yn*47uE{d&`!TfkSyRb}9yM}|n!FtpEkSbEq`d;xLXeW! zUV@?`B5b?P5==8F_>nEmBK^Rd=W%42btUyF!vMsX#!iBwD->zn7Aje{P@8o-a8BL6 z$uX}EBDd%_I$ehD!0EiKzsI9+$lY@Gycg`)e^;0cZ>HpW3^j4Dx`c5t4NnP9ETD$f zfw{??n#kBBDmtz1dC2+RFnWGwrUHj=64b2k7NTepXQ~V}7j`8$ej_qgFBXN}LE@SE z?NU#5SesmB_$Kou#+^ZZ_e!VeUKA~QF##kZxN`wxh^Ww=8C8d<6!rodda@}pY<7%6 z(VESwfI_f?5;UR;Gyi^em>8sj9i@^+{j5$FK#3;IL=~n$e1=OX3JIX67BGi0AfgB= zAOR&L=)cnezmHb@%iW9r2YK-iUgygZ`QnQ&ZSfyWT1b`0bb~DC5Jq==Uc}OMVMKc@ z$vxjEBKpT7!p(7>)4Dr70P*8&;^|UQ5Ytpixj)4b3+#K7`xMFZRdgHq%r4;f)*r-A z_XXW>pXyrea=$?A-h6NE@*pkU?&tlE_xo*tU6!BYYImKVUHE;u?Xka>pP4*%=bV@0 zas_bb^~Lh#c}^zxo4rN8Op{xAmSNrDcJH9}ewV|#e_t$neUwMX-N*D4@%LF$_UY~_ zat|iA>l_;W6@b`jmIgknwbafN8FFr|y5CAD@m|`pip$f*^ka^?S$#B^#Bpl0<@C5n z@)J#TJm1a?J;qI2H_w;5;M|VtyUXLQ`t4)+@Xg!LWy%BQ`^{HBPRqPFukF|v=jB+e z9_Pnc?esWz6tOO8J~bbLuBCatmO+3PXcCX5571$%-EA&e`?j=sjq4*Xp25awQLgwDcSrU3Ayy zB-jNEX@DOk4p165#7XN*%*kwv`OLgu18rKb>>j!uHZjGvv!6A;j%xGsWxYM!ZNKdw zJiT>?^Yi^B_9waR({Pr{E)FNbYu#R%Ur^r@R%v&Py6g4Q;$^!#O5LS&yjwq(``8>Wj)5^9npl-4AvT*&46SUPc^C zzaQ+`+BWBpxgLhpFWj!>q;1^6waIVZl0%Y zcM!eo$Mx<0epr2v$9cCpoaQ*J$9_5=y78*A%ipwHUPGUd-LQIQ-6wko8xN`CyT)0v z04BQa5}~RpnAe>ehJY($H|BUW8@(&KTA3|L`=lB#X(V7PBQS)pqg4;+VOGx&u8{hcm7Jqt>|0v!Xmg+^u*JLT=IZLDGR{22y;Ot06b5?%8R;rZgq_E#60f=O(A(UQyuOS{pRb!qea<7hxR+a7 zaUWiuSC8k&h#%93$MHJdCF7Frq+IIgw6i=?@N*uT>i3~d-rUAPL@o1xl@ zstm7W#Q7DQO@2OAH9uauCLY6RQtq$m4SpLfR30uztM{iN(lzwJG)xO)dmmm0`eSfM zTn)!=d5$4D?!|ZObXg{PGY)yfcurEIek|N``dlu7?rEbKj#vdA7cW`eGIPSV`L;B=aH>`6j#rWr6A(-1(q@xi|IY7$NZ31 zv<%bB!*0{dIzGoo?RlH7w%%UmYxd)9JWF@D4VS|B9M1%Y@fOiu>y?@==~Zoch{QUc zgXkL9S)lduipsb>YEfK^Gw`>Xo0gZMYUn^_!-u2NKS?6)^oY1n1b)|45w{3~UlsZZBM}Hd-~k8%-+qg4eB+y2{F(7}eIyxu zhibJG45@BA&ZX(aCt3Q&hGJ_}iRh~{ShQevb{+*)lC#n*AzKF20Sz$HBr~jYN^Ej^ zNpuWM(R&O$tfGz<{ZZz}p^oUDv)9bMu`Tce0;p zs~nzW*Kc5x_8vpLHMPus>}Z4S+x|7@P2=!5_3{|8t*#I}?scVPg>mGNeQy0%6?^=0` z_^p-mD63X3=5KBvDt)gP#!mb*$*QS$=oT>mo8Z%$b$1*Y+x~DK({8i5O~bC+J;(bm z`S7WiO?NoI?r+`U3Y=EmfYhh{7So3N3;DKS08W0qK~JsS#wBRA^M!W$MdZb(#2L_N%9#_O0K;{#8Lp=dN zdJB9o-U5W|12^ClaHKbj5844P$UBiw@nb-tTgnfVD)?$OqXj_-@ioTq_=8-8iIefy zkiQ203+LYj{vG9C)Bh{uUt#~H$6q7nLAu z_$BkVeL6To+rV^nALje+;o* z$9vA@lJ*p$ZvD}GixT7A4E2?ocT>tph4xPjY{`*b1gRZ3_2m~LqkSZN zzW*4L=J4X#TZkv{}g z^jhT2aIT=l=Ym7vS$$!BMLr;IR0l8NTk?z=h|$v#xBw13!}pQT2xGa1yhF}HM!AIV zJ^#+|SbhZFAs0;%yu%hAplXi3RDlFTQ$fw%lF*#AvZ~oSXK!~M zQuw@?$7*j$FfA#Ijohp?4~`yKWY;UEYMqn?o1V!X_1Hr#4Xck}djb$@nyG@WB$9l-MTJOs)(lW+3 z-gyFdludu6Gb&?QK8mM5z%z!uL%x2-DAB=aE`K#@su2WlBk$jCs(LpVH)w*`y0hqe z68QZvv4r0Jv4LhMFxZ2=wh!+KG(F!#1cyn%e^bAt@2|~{HBdOcq)dYJ@~ORHqkl*S z1z(aNZ*V8oBZCb8ViXC#s?z>DC10&qCbzxsC|`Q7I-eU~r-G4vuw#B}rI>(<*WTCb z&vQBIhZ}fG@PS7Cd}2Bod7ZqrfC%bUxd33InO6dB3bf6DL&u@5U@Ud3Y?4;f_2s!? zrjcAP>qp>Wps!wu)-~S!*qwxZelAq(7^iftUNg#=qG@XL@SpX5%w+9z2#Wyj*~%!) z?7=$yt-Hf@>2!XwUlOxR;^qi^+N{mNtjUxeH=5McSw-|m+2gcyOC_W8rP>kfG(V+| znJKR^brOz4Rm+VC5>1YV_^Czv&EUib_x`b_bEsj<(T&Vr@#oH&>b8RU>8`o>t9A}f zPp4E%VAn4u_A0ZH)k1~X@75=PK#^<`3~(n)GF3id{?1N{nImu{as`Z9n)2P1z$5Fq zh$zfTiIOqgAu-o1noMIBs(-Fju-O5578XEhF{#IIKzWHY1`mA8jbm=vOlz*0jbC3G zGL47Mm6=E*-#nHWX3qEz``jzMxkT zWglZBR#+ovZW^`8C^}DsSh1V8_?yfs)^=$1Xw?QE+tN>06zu8E=4ZHg@Scx`b0z}x z)g5Z#o8zREK4q1a$*4LM;uPHma~at#`NR=Qm@2XiBp0;nburXUY>KnI)rp!!P9&PY zQwl=YOPe{MEucNoo#$X^g)f&BenpNmPXg}~FxN}Mm(^fL1|G1z9lFN{7Vc^oTGefo z#^wrrJ>qXHHFR>~mI;b*V+9x)tP9$JCCF-VF`aLJ zC@QCw)k+d;mo)shC)9uL@DKxI;OwI4aDP?EWLC)On@G>slwDF9#i(7jo>El0j_g@? zvhi(q_GH%_?6w2dz^pEeB|+;r5}*5*Zt$+CA61M2t?%{^y#&PAA?z25blSp zyGe>+;GotlDJ60y`A!SL)egA?g2yMPsB>`GrOb$jtN8ugCo%!ZZ;+q~uAr$$P;Ki^ z?g`=2PYOOEqag*8?g(2hLzNCBXci*}mv#^4?tvKcGqv>opn?MTTW66@uu={%k}9?% zrgUIaiX>PBiGn2X(-3{(ZGQhW$5ZDfRi_44Y)Um4qi*c@9!*GkfIY z8zh@4su0WB8Wwp^WYU)wxI*NAvlm8>1SPzB7tQ{gT}PE~t>D!VSW@`x_-l!ENU0OC zvT#?TRl{s;ptN31%Ksa6)ry$p|4H(oiA3d6DD zW|l&W%0kB6gds50JH9Bomb`2UdJHKq8Pzxxlhh$+RTKKpqi$_JZPZ?+x8Ah*NwZ<| z-?VFl77M-sj@s#bm9IbY`nWt-4o%5(6K#o&Aq6^I4 zLmWs703QW2F7lsyj~M;##&po1K(6&3bzda)BYXFKOuWZ93N8|)k4gv#e5;hi@PdW< zgh^lG5DERFz%H``Q{3^F(OMvAaYKrSvwUZE_~uQel)1#qHa^l1HX~sPgz@7wAgj3*sW(Iu+oxRUvGNcw!9g-)wJby z=e)+rf!GkB{p&edEm72j{Xxit2(zS8RZQ8Aq2e7h*gcG%(|!YzUYjeTkQXZw{)u1h1NxybnCm zp$qu;8)o*29KU3V<6-lVjLEQBNdS)*+rXR*!qGWRpV4xCVdI~OX~dTOV!q9auiYtO zE==yJw-uj>3Uv});YLy?##4bXL>2%zQWS?%B&bvjGjkUM<^hD0eta*4#Ytu-LF;0Q z(11*n0C}juMv)*QCeDO78v;o1_>B|4m}34n6D%YkviSOfr$8ceY6S~O#1HN=$lWVq z58t8s@6WPDyjqO9ba?EmPA5y3f}avUVhCupFSurnjN=a2Lm;R5@85@=%jx=Lf0AO5td6XA=H-2_WNh%SwjVp^0N1>`{yFKE}` z!lbi_XJ11a%78)E0H@9Dn-wXx<~Ceo@5N~wd&xnlZ+&Ea-7VzG;brMR#ct?+MAdr`3-?`UyBo#aD@mbMoNkg zSJ>F^+W@QB>&%}I^7fG@{(hn+g++{dR2Ga-5P-@+VhIr9P^p9d77jXyi1QET?Z+ht zy?4ENcpq#1H}GeC7@$x?7tkn*tt%BRq`$X#b;)d)6iT@z1k4c~wU_v+rPBU2%BZN` z=0RK(t#-R^1+rSWDfTWca>CpI-CbVQWMnSHWv9pUOP&}>LVTub$5t8@Di^t7W~^#| zT6WN}I9#?U;?2b^7Qpi~D@vGYo`MRtm8tSU?%$;{jGajp5!s;d7S+NjT+~A)uY76m zp66`#UG(0%V^*?a(`@5+Qg+E~S^`NunxoV>U|}*%1&u^_xFSZh#H13Km|QJ!Fu;Mx z?&AUQ!F6SaH4dz|LaN5H!{$721qhj`o2WP{P$xF4O=XzHVp{aFkZp?s#sN~s>eU(% zR5daQbk)wy)tAgjagW17EgL+g47vJqH8F&-T|A&nx6Hvl&JANXJ2%B7+jDlg*rj-% zRFURuQwBL+&!7|u3m(*2hE5h$44G(uE#w=D_0E~2>hZzF2yRkz)PO`9MIoA1A^iLR zIi-jXz<5GW#m{iY%UuLqDIp5t1Zpt++aV0x--W&*?le6aD*0dJJokd52cMpIu}*_v zgZ23r0IFy94=j7E7F9t~SG3-CI~?8@#slP*!b=0KaGlX*GE(1l@sL{|ocI4W90&v4 zCv$^05>nJt981Z@yKU>}a=9l%)mXZ$6-3c_C5 z6g-nCQ<&SsYb@(aYSC;Z%k+#i}X@^&TGL zV%cG@yPmaF-z2%|4u-e48A7Rsj*SPUA^t!k@>0zauDkjA5mUUyB zw#9w&{?fi5d5Csfb~d)Lx{m2QfcWe@}Z$Ce?HKmq( zL;Q+{mWt1fFxWOCazvM0x)TPq(uL*+tweYWZ;_cgS$ink5svm;-ZCCej!^+gqM?+k zelv5}0VrPnE)t9D)#a}nF&ssZAS{laj0Bo=-j^@{QA$7Vg@yx?)*x7%oGCyA<_p{J z9f1f@2;sCcT+cAs(zv#BTD%K$}Edu~yrva~eE};I zGxrUtbnZXH%<125_w?l$~ae}yty)YCQ06hqpT_NEg)c${at$m1lpkiws!u`Ri zalK~yv-}4$NCU}#+(aLVxV52qNe|n*N4UGO;L8JE?}B~N|0htin;^pesVM#2%DHWM zo7g#fwdsyHbqadc>dtvXI&6=(4ur(JbKL6sMun>t1*f_%9n?S=L%Gv#pg-P|#C&{B*H*-Zk&0zp2tdE2x3r49whH_UJTrvV-bt z5FVd!tUH+A+H7LC}@=acqF|4)zQk`#et`jGxufKqJEV} zdFha%FF=kLoyH%IPp)4Mo-H-bM`ad{>>OM~3f>_5a1xib?fI**dBogfD-#U}ge=^3 z4dn#Ls&5ogTP&0WE?OL4em9jvl}pGF~*Q04IxW zo{Og&*68lZr%3j;i-IEZwla27mb8+!cDEY~c`LR=m*b1Z8R#i5%T1wL7#?Ee#RTRp zb&TSLI%n*!mGGoQS|CXJRAH`<$aaDoS9e z14T%YB6}kNH@9Akb^kv8Gb749EU*NCc`1ey$`Ly#4L92Pl*9aXM^3H z(4MeXAP@A#7Tu0>9336PeNg>Zat@HZr zoPP3kB|T!WV{IOxk>vWiGX89byu}Se{qiAHF8a&X*0K*O_*9pX_nv0o%$23-)`Kw` zKVD}^{+l#;WQAk(;*z1hfIdc{qe~IU*kc+y(WUat8!4eZ60m5HA>Ct1KIoGM;h0`& zu5I@G_>SN0y4}_FlvN6GZphqx^l90z2n%=A2n-xT0CLN*f`?NA1Ro@8#`#p^o!Uw1 zR-}3C4n=+c~j2xxH0Q0VfHzwiW!)FhKwRsf$bv~h~LniJE9;-yE-^=O*F6@ z!9*$J(Q)i8ZmWsWZ|N8# zJ%G_+Fs;TiR11VR0YgqG7ZJ+}4rPlch7fiylrELRD7ToCk|UlO(SW<{KDNlxPIg2v(2x`9xdK=&uj>Fs>5H^apAp{5Sdm##yvc;oxSTgH3ZnThn3Z;tU+ z$wpV(pZX?qk6gv|mn@dz?nznnM}xJ68||9VhtALr?0QAMA13F3_V7waFRzue2K%& zh?eDh%S?m={siY@r#J5W+E7c?FZ4x}!w?1A5|-}iCZ}givyStr41c(!UFx`WYGsAX zI^Z`XiQ|#bL~M=4QQschf@#r2uI>((ud69uedFxT&VQ`YG(0 z*OXd`sHUy8n~9$RJ`T#=B9Q&dT18*aHt1OFPuE!Vz(g)UTqP&{j!anF}L5!r!ecf?`#ezD%mZevy!~D%!I1C%Ucx}s9)rUrUtudt>RyVE>3m|dBUtEOqVK-FKW3qMIY=~k0sh?!9~oROWF4;X0WTR|5Lc7g8#s#q^m z6~Tqc>=A!h7=p>m`-XTyl;&D!ng;$9hXmNsJdZBO!1oR{L#TDHGYP*Rgf8)PnGXD3}#=j=+~Q!r`C`xBi(kWo(QhNH zTbB&r=4BI9yNhUZozP12{4%*KNURlawVCYN++^ez+W80p}9s`T;BBKzp{ zgKF4gF6rPiNwoBtx?;ns-q)tJoNMoij(6k{1HM~@SG?objD?faM9BajW4U%AREY^k zvnQkW_vccX(b&E_9-Y)!@k1l(^5+d_V0pT!)WpOK$-{`ZsHV@fS;>}_yYYqIfKT{S z7DL7k<30b-5vAJP7CTI{_kCk9$^!o&%qD?jIw81+eEHl<+O_u{x3oiUNj{W7WY{YK zX;LKDkX@n{QLJ#)^9DBekPQTHH+@b(-)|{Sp)yY8{9I$aQ&u_B8WQ1N2#9V^uoq)E z`+S;xH}C-2V0Uj~#3yk03!*_NzQT-~pkJTr^smxwuZ`7+f==|lzL4akxNp=qk^52_ z;9h@D+#&Ja06i}F>ML^DF9D7$yb{&~RuBN&5b(0!U+7bV|0z=cGXisvHTyP9b=pbJ z*kF=mvZ7G~s0`+QLUN945~+DwYc_HqpvJGYPnWnLa-Lb`aG^sDPI)-tlHZWl)!&A4- zAoK=lpUOx!iI)Y!3eV|X(tnh5+a2K`D6FhARBQ+UW{kaLnnmK;TIjZXzo+4`8~+{Qy$psIuFoY$}>y7;kj(+r?OaXO`=3AOEW>b zmiVl;k@&1V-(p4EMo89xkNBns0(&sL@KL82z5zXA)`My%;umlZC*Pi6UYC@9)W(Gk z;)s->f57`bf*BK~?bZ@4&+O=+eum*Pe>Q0h&Xh4g&5}ro2$(9T;ZqV0f>p3mFsZEl zv!{)Oh|(1>1qw~QoEWAm?C$*oU43bW$9|pmetk_?{Tr4T@k9E$CH}h7nHNO5O8k22 z{isbif;VEH>kEru_iBoTFT0T|C&2~C*ro7xNT#{htiiGdG2Ddj76se=LKjUGU%+5i ztmfP@q@dE5&*<`~E1g!NT)|)_<{(I=5R6Ziu%>WE)plsFSO^MiuRp|gyx;5^PwiDdxVgzzj!40wby^O1-OvGbDwbR!C9^OQWc8?LQc*wr%Lw8KW$){m zT^Vn^8L8bGY~NW1T^>6UcNzZHYs*CBq@ACyb~EC+t}Q* z`HLObK#ghj&Q{Af?`F(O??qNQn$Vr74#Z`-F8)@!N_Q<9kH@5Hfoaw`*$Mjvq zm)GbSlGYpR)b(y5y7hY}O{`HCqa)5l>U_6Pc_-1E*aglEnY>%ibxDsrFJeQn-AjgfVlpHV9-VS6fuyVvefZZl1{ zODEqfoM;d$@)}J~+cqw-Dbk72u@t{s2NL#=L{=c@8}|XJ7tu;S2gj3f8Bu!NctNCNx&TB5mR3nb4A?(f8tXulu(Mk+m(99%6HW$gtQ*?3wU zUN(E#tn+n0%^Vv%FSUHFhN?{p>XxciUC-rew!hE&9lq7JppOTzQz@Q2X4d^q?|p0-2c&g+qXrHEU#6Z&z8grT zrOu4|47aWqCAHnYP>xXBR({Sa*!5e0GAGpjFyfZh=n|JArvhVet_j1U&X=djuBAo< zdMYpewzc)*?)YPsxD9@LnmUB?Xd|f7C1xCy%Yoz^#F}$^ncGP2z+#9}JLca*Sb%g8 z^MREgjDEs+`}etcQ@{8A0)=W&Q0M+DO=P!ENJ3>85PU*g9EvX<=*gs1lGq^J8@IMM zG)Un(OJaxu0JsNxpx*pB?)ndzrd;d2-37t)qxI{v*H)89G*3ecje|G?)PV+9+-gX| z?E<3DLTD%I#{!gB9wV;}Q`p_odC1w^vzcnGy8O{RFUm?pyjT61i>g)OGe>`nyu6Bi zBL&&^5THB+RZ)XXHp#EVxP~GgQja5h)FbZT+`iq!YZH6D+O6+X!aQ=ePB4B)I8d*( zv*A8}7;a#;5n*tW;wIZ4+OaX#2$rC}nk&=Z7pz(IRa|Wc0VD~LjhKw1tQ6?X4X$^P$SjnkAks@mz|X|p&^t~85knGe%9#~KrPj~d&#kqLPU^*r^b4=q z_`!U7+~-q3Hm%R*>b~*8AMUxhl7ZJk82a$W3A5 znhiMDZOD>$QTgIZ%Am;YQ@bR6U?>l$WP^`KOTL*ieXGWW5A`TOZLtXicr2vQBCLMn zRLJ14B1O9jpYMm1$|E8DDF;C66M|QPV`n49hautfUo0|#EyFEiL*fy+xorZh03{R}fQx}!_Z6yK zI7UQQaPTi*{9_56VfqQAJa1%yI;@&{!g=vKFV;v;s7+qg>!`1aKyliT5p?_mSYmd7 zax8Vtg$(-P`sZk$olI!hdWy5v{r06X)@wmBmh zmxU)HB-x!*m`fGWJ)9Y&bnHz47a*SmgyBxzuZ{9rCqa1+X4xBMn;#Y!=e(FR-0gok zjIHTOMWT%)wntBZ3VT3a6}u~{2LtJns6XG(3g%Bs4*8J5){QL>S4B1JZ_6^TT$fa( zz9MbztlSu4e?OQY_@2tU!iEVi`koHYs@|=6a6juoJqH#}upo6_H18Jmi}F4_mA%u@ zyShsgmJ5C#Ob1%{pJ*m z(yThoyWtWhK=W6o1O!?u+A-qe1C*h?zulTkpx;CyfE(b6_ONhQ&0}*#pu*vn*D8Y3 zcPZKwL!N`6ZdJv`*~_+P|D}haV<7qiz`p^{M3`>InZUFuMk%R^ zk{J01+re$k!FSNPgi++tME-U2;%tCgFz3Rp`5knNRfBbk(lE)4teq3{^M>T-k$2^M zIT(+4=K$KG1yTY&2C9pL4ElkoqI=WgVu*YyYLOgR4|XUN=L0E{Zq{0+mSZ-;2(w}` z0U;8sngSj^MF(9ywC8wWLy!RJqq6LN&Hmm6Sqs=yab(vikC=*D1T^V)^RqpfQ$#Hm zHWD%tODsClRcxa~oK@M$-LW-C=bDM+&J}kyWbn-DT&Bt^Cm-L5fp9!;rWDvq_LqO1 z;g)2sdB3)-WVL~!TkB8K(+unDHcosoBFniS{easjxBwA(7CoEbN-8M34qyi73PV*0 z$}H^p^nD_8J4OQui5$C)>M*%eBwc%6bU^fI)Rbdw@o$(!jComWnz=v#Nl_wo0XY22 zNY@X+BT?}8;bgyOW+;e*>pAtWF^@m7ooHXYdxh!;WY32|23#V0t*Z#TlFQ2|&+l^+ zxb}g_WJz^Gl(=zOKmk)dGVaXx$q7$DTIY*hc-9x=+xWk&{>MQ4+4{nG4kQ^UW*37G zeE@70Lvp zwy8YPv^FlSn?`S$PM5Z)kZe3TaHDebg+$CCE)RN{yDLGrd<&8moMyc5X(eB#2g*kpj1t@7M)Za zv2T`-DT6s5bA~{g5V&e!;QNGKV6=I>P&W&EV<*O%I;ofOrB+geJBx6M4O9d6sN2bcVQ;bj7btzVhl6xB)Z+ZL6|%WeS8`4Z|m- zW#*PD0_z|J9eVSr0@X2GE!%p{fW|zPrn+i4^~y|VV0NAUvV=R5i-w%sZd`>f-_IuJ zsA2IRNayMn9nuZuQ(76Q6PXXxwaU&ZmjHk@*3%5*4$HN>C$*ukzvUs>rO-j1uGTiWGZ;Pz7B;FKge> z250u&P8oa^szANmpHc#!p{eh#XUD7@VnNKP&RDVL49Fc5k~8Ju{RaNRq?=`V#Qiui zaFH+FPZv&V2mc`>V}8MdpqpbbYqs%}bJ++ptt8Ttbc7qTx-$pDw&BwXRT8`Dv)$fhY%vLBN^!f z2N3qk7XbHBA3b)Q*KWED4qUBIIiV}n-lMT6OD|$#WN5jmf`Xft z)5E2l=Pi<#=HP%j48MGs5;(*gqH5x-`K`RpPR-<9@gDC!IFN#0fxjVl>vA_}i{3AO zLJNcQOVNP2ftUh!QI(9EP<(F_mAsd${Qk8CHuv-(`;AN7qxZTcwV0!nE88<_+7EB0 zv{htHkClE_P^+GH*;FPEc2xNH2$7C!HoB$}*;i2JuQ|3tz0k+iZ$a7|4v}cv$vE~v z#izKnTAvpeK^{xOryhn4Z7=tFW_Wj!U2Ztq@uVDAbds%bib{D+e60KfxQsu-Iy_wF z6x<&dzgD(tMN}sv;Mi>SOWa+l7w{#xkm|5Vw~$oDUB#p&M&>^cx_*JX0x+d|XmHLl z`cu}i<;BtBtI4f4&F5wy5#5bg>7}#5?8?IXeL4Pc*8D`>S7O+j*-6J)dG?>!SorOvJ9zXg1L+i20f+ICR-JgU^tORItMm`*D@4emo#7Xq z?m=1%*Oah~ZgsOYDIH}i7F3rG&-hB$Eb7)+MAU~{RQDJjOj^0r=5QDxcK%Y*?awx> zvM}h*%9YR&sW9w2d2Q zm?wa^iIm}k!9dkIN1_l>ObrTB{HAPh(?5)a${X50$E1jmv{5kh7x|{;E8|gV6yXtj zM5|dfviq0hiGQv%rV67}8&^Gl#}CIpColVMzdvpxb=y5R@t$7hpBA&G5w^bNycsE$ z>G5wz|Lu=n2!Gj5?k3Ccj&Mc;-y&udGt5MQ$g1F3>|5v70(m}?BOw$xo_)KI!aX=> z{B3cR^ERylQ0DU%`QFMPf!7^WHR+?dVsgS$Wh)x7)+7rSsyk;{3y=F(cu{4kQA$z; z!jxc+nV5k@ULoBWDjIQSKAHn*kGcp&DhibM1PONPDAsK8Pe6>l1J$JVWFU^XnBvmH z8}miFZ7~h6duJ#!9V)3tJ1BG+t*0k%66~gO$j?_Bh9wc^#0HKi%GXKCB@WSVWlquuqbCJZJK1@ZdykiU-y)fLG23~c>KPLh#1RZ)hXxZB zkc#xaNDp=wDknO|$ro{l19$7+m8aoD{jyMBF17zpG36L3cpC}_2D6@pttpdo^eT_F z2Sa8E=xCwPvfZ3)u#PVWJreX&V6dmYN}{XjIsp$~ZR-&_i$XQ8Lqs=hMWvc5TasF2 zXJ6eR##XEpQLo!y8$Q^9y^D|VxM#d?`BQEHi*v)%9~)kf>&sBbd^_1!k5l{KFU#e% zzGT#PYGUdKL<a|HSo~Fy^y}@Sv7|nB?VjyG@}5v>G|T#%dW0dvnXJ*m zazw@&jI1?vy@z0S=?z6}Y^rO^Sa+*zZPmi$PB+0P&jwB22OzMU(X?pW2E&58Z2J=eLMJa7eZy8RC9cO-H6UYYY(y z3?!i;@)AQDW~jtPk|i{Y?*S69T20YTlZi+V@YkW5Ru`(arkxG+(1jAj9idZFsuuH9 zp%Q5pOiIDzB=k%8eVAG-r|r2vGyrl&W^?!z{qcpRMc^VTP&ZwIjbZayDQwiB zdg!yx=Fjx~X5$X~OnIiFCgRBSkn+<>U1o-kHH=+}b71(z7HbIky(sJu`a02 zjQ7;wAVGNSUbEj&b5O!us2H|&dvNv5)D#u8Alz_ij$njV;zdpcDfK9rQCMEb1dxK` z|00;V5`;3Mv4DZQ+|r5d81dcmJlmL7En>|ZA%7Q7WaSQ+cw$7oC-5asI=_zzmWqQ~ z#Tg{gO`I`8AKKR%=1zD-F)W?yG|k`AiTHDCn*uGj5y)7t4ViRki)~yEbka&i*4uX& zJ2`hnNNLc9DZKGv-3nFg3I~ z+pY@vaP|JAf*-dCj0WAMyfzPd1@h()^4lw|n<^Yr3lhZzzs9%^c^YwOq+*L4X*nBA z%%d*n%*o}JXtvuD{Oqi$F`R&WXwk4uXfZRkO6C#5O74?!_}%0UrH>p3XZLUZx|Zer<#k}_6b|WR&ZHp4ycv;EWi|39@9kX+Af=h0$-WY$$< z*@>`eu|LYMczGuE#Hsgd*Xu4u5F<}_26_IvD=N5f4&BZAjvQ|Nb)rqBJ7yDX7aVbH zw9U?~~0;x$H@Ki2PmM{! zcstk@UnmMq_VI0ttl(Vt&9l7IC(prc^M-PPn%RL%YWA;B#qYW>)U8O`_0JGwvHP+M zKP6btZzY?TDQGr|Hc7@dkZz{3quBa1K5Sw#I3*VXrd&YL)4n(uC@AC#Fp)D!+BZq` z)fo?!U>Mp0K+GS>O-utsFkHd=hEG>?D6njQCJ6h0MEetTcdpSN09IqpdQ5}5 zU(pon_=v-y=Agju&QOBhc_L!oHNpQ)>@$ z;NDs{*2LHKCV>`SxrO^cVY`hWG;Icay`9{dS^iY+$6nnO*@@au_n%jcm&@25JwHlK zd|4xHJ4Ih8igyW6q4XVqKXh?TX$m9&8ojsex#0(6UHIIuS{aZDhSCA(@* zcoERyZoe@B@5;!k@<^$Nj5n9GMtWf^5J;^BijP!#agVmOpEPEnAB?kx#_(9iPE3Jo zdVq36gBCfPR|ICZRa;m90=O&K+C)ih&;Z$C&ErxJ^d!RSW@UwuC2}pER@*Z=33bsS zeP&Y1d=Qk{BN>ZYRsrhm1O)=yLruR%M^zb^o719w7$>wENt1hG)vxnfFDVDqQ!Am? z@Ap0wH>Q${x9G!{++wF%c}?slfQ9#OLI)~WNo4jKmwAUcFa2%tjAK_J-K#0gwub-! zTH~(yIel=$*5K@stU}czjC#4?I82L2kX5GE?{OU=Jw~OUsHA8wS0st~1s*z`0(W$C zB$jtRFF!>@u!6nTi369k@{an!qToEif<^bqocSj)u9*74%lyI5U|ocWlk|neK)I8m zLg6<%;t{?3hwtiD--(RMpaRMWh0_B-KW@&{>ENiv947O3>zRM)BV!#_X%n0))a=)x z?2CI}h5s+^dsrcI-1MxJaXe*x3H6NHmv$9*d*yo_yN_-6p1cW$n{-UvmR}vOd~G(i zTnncsxGuUT1-i~YzP#6nI(hzb6(0)blweicrRiqwOyBm$ab)*jn`Mb`;Gsxl+rv<= zYwT*E4F0(!lEMfM3%(@R6k6;&^0KVK1n_%bkYNYbO&%E(a2MeO-f(;evDqk*yRv4C z$p4J={Igz#3>0(ZsM3`PRh3g}RYBIdkI-2XUkhI{UsI~S5X+QQb!iT-S5F@9=O9OK zgGSpN`sO=}_Rn*qg~={l#=$NL>KTlkS2Tk%GDXrdg+pEVqzE^@1kOp&SQgN3ntJlr z7X36F$HT2|$)||1Z+EgfQu>U;iPJa2@2Ds21MUh$l>gV*E#Bx*G!zh5*L_0pQO{(^mHGbw zn?Pj0V9;YSrj(t?>6`)Ny@y118Y7bI9HvC%*5lP3WC(rLg9KvGptQbL21ny2K2bev z6at#*;K(E*8=c4*U?c%UNQZ&|A*}ovI}s7)2u7F~BS3zr4){p+j*oqbh;T&!_`L-6 zpW*jV3IbscLL$k-fsiZ35k(=e_b@^hWxy{XftW24@vT9kZ6U6KASqDB$1DECCqD7X zE&k-(A}!nZ&g6Z43ibQ^J&*g__KhlkS>KU&-H_;Yyx@x+@r-mFP)*K$oP zcm9gb8N#!Tf^uIi z!K=}b@5wV#VPV$QnUa_Qzz?yIlp-_qM{$G);cVBL5@BWme?B8g?@v#rmzkoB*~I-) zpY#$X+9==sHf7i%?0tPaFnWGkDBkBwo`(9IZPMHNJv0eguY476u_ic-EXnsYURer2`HoY^ydfXf7ype}^-U2Ey2hUa? z$&~ZE#<`ShhHUNLVr->|rPywq66dQ<;?Ey-uU@X1aDt7iUR)SK7h$;p(5*0lq9TGd zkjU9ENL_=y*XrK0dWeUJ5G_E!9RUxC52Yfq|4hpIXagZW3>U7!h;Ro!Dr&(50+5d` zb^B?{_&dZR5MlDs#)EJQRU**dTTuNXPS_P%8!nnieGeDMjY_dw1QkDs-1)n!(eFkP zIN%>;WoY~qEabn*!}%Wf-R`9RIOSj8qdN>c`s3W6?=8-|O!x~H0vZEjg775qGqQ-h%RgA?9M|q zAY8q5rxEV0?O96MjU^Vffx5}p)LG3rQJ3Xac1t5h)zVMcj^WnMBZgM775)Q$a~+P!oBN9l&$Mdwbq#S_N;9@;^DG8`_0ps z7OLjGXkxNt8a!$scM>A(UIJtv(n3050SCbrd7y7#7F`|QoDt>?VHEqg{m(M)_z2kqe#UM_1cb_rr&EIOB7rCjIxb=W zp6UpHg9fn}6#Q05L7z)Z0m4emrk4`>*fw_mKLy zUk0Z{iigGfLfPy4iq@q^^~QFq;v=rx+O_(q(#-C~ z0^NPxhn2UbLgcotJ>xkOtlt(Fj!!c!`Y?6aeM{cz6`s)lnBAq`OlW>9JzD$DV6xkW ziuz32x!h(r)3pS+{dg95yVjmbF4ctXtpq%lXG)zu=fS3O-?WbMqo$PO-7ec8_tmw- zZT(Tz9?KkAzt8s#_0}%2_qTE}%Eg`${kQch3D@mX<@~li==H7MEsk&HCNW&tT;_N0aGN8$y|33M^Q>2WKLkh>xRchyX}y9whBP^O!PghY_gcZrY9mVtaj7>&poaX|##Aqjj> zfCm8cxFsa8I{4xG{F&qV`$F|$iICrCMn3Eo*gPuv1l&>7x4*$a9^(HVfPlx zu|Hq^@deYn47+anYnpdBz6oFS@K*WS4Razi~yxwiFJ$rqNR<4yE9?yf%Xu)iW7l3#Gxsl0_Ac7CaLcD-WVP5C`|uDG!s z^7O6Rap#MtUxxm9<7r6mVTU2TSvw{?N_!djc;Gy?`=ifw^C|f~x)(`+ zoQM97e6VVW^AOkYscSX(%Y^sBX(;0Hb+4CAUI$QRzguoK?T0GQ{L+{EGhPR_&CmTb zZ#eczHhIbCo7Z!9@U?uM4x8tFcjg^mcE8-|r@W8Am+ml^Htr6|=U@8MMW3(vkl^R; z^aGc#!z<&yli@9WKaB^$^{buk{(c;f7MByJr{51et6UG$?xJ==pZ{<6-ZV$HEK1fg zTYI0*dylx8Rs2Fsf}w!K1egG`U;s=3h62MtNW99tH@rXH-fI~@;$~L!sve>!p+{DH z(a05wkh|m&$1QBFZRWRKv-=)6^;^Su7>-lLe)rba$G&U+&$XVq{pN|cW2p$@h#g2_@<*7@u zIJBvl?OG4TY135j8zsixL6dTTes<6hh$5UxB0)t+2SBh!0&w!rLJ}G6Sk3=T;0DA$ zCLwlFh6?t7?qf>)y}5hR?A*qSoaC9 zX%4Gp)>-jb3lOi7N9J)Bm7Y`V6E8kS;&Uu-4eq0kvfC8Hransj2EAr(8cnkfZTc9O zJ#}B&1IuG9r$#?kp40r>JfF#XiBs{*n2+K2g=ggNUN_+%i=6`Zq78Ui;{-m0KH|Er zC&d$a@bVa&$m>U&_a4u(vf_tpZ@5j9!mdl*D;{H5(sjZa{#^S;_`4V1x0qg*qx~_K zQ&%6ar$>4{^RDA{efZ$FmAehT&kvHfr9HIveYyd-Wdy z1YYMm;FtBWHBHYk*#Evhj_SX!Pl=ED_KV)X*B{{f4f9E-=Xeb}{T;5~bh=OXq|>9$ zZ+5)k!Z_aie(>XEnOC!i#=qtEHs1oc*?pIAoh}j|%X041b-7KuxQ^q#!!(X7?fLrQ z#C^JDrH}DRVSK%4>ur6wVP0;<{E?DFzvsIf9&50}V~OZ&T!1F-i`c}alCo=&BHt(P zL-(1Ua2dT3F9CVnNlLFu2ks<=7a8ymO7I)Ngd0iugDBtwpzH=vaW{lGiGf6d`eRb^ zMnY79ZXNww@zU^=l%&l_MOxAu3J+Mee3YO=|lG3HYVE(kIMb7sdMyw ze5AVXm}VS0d~n@tvrWym=VkO$-`R-MCOzhM*O!lV-Vb^f3~l)+JU4ty+;nzd{kMEbSa{y@CLE?wT6-;=#5{l@Yw*@Jd6{UA?fFXEf~71}eNxqWZ! zN;_CAkxqT{@VxbUw{Bf*A-FZ}8U zM@;9<;U+)#`+Gd!hTWCvFziQN51Z{>ufNf8jK_X^{IHL+|8l|QTX+7U+riFvf1d6A zcV73l{mt$}-v03G8_ys0cFM=6Zs)wc5kt=Z0gqp{yQ|^k$19sdJ6z4)y5lqatvfy{ zcbnaP#(uMVp}uveJJM-5-N@sx9id(3P1x4MBW%;zRI#hO5%#UdQ(ZrtF0l8uc}5KV z;d_d=9bYStJumcAA0vI&@i)_Plc&HL@tgS(xC1-r3hs$fc7(3dUc4L6fjhV(uI?x4 zPTNTj#!>d5PL@BQQ*fkqup6uXkQDrk4gdQbPXk1OAw>uV%bFykEld?y00UO#0xWu@s|K;C@bofu5rk6aY-2GR_ zoi~m}tf>2ximQZKQyGmrh7=2cg4EEIRxWMrtGOuon%K*ngk#PaLI}e~(}Lc$B({h} z+L)%wKG`VWq&!!4sgB@2ahkn1OzOI>EDM@ewWL-tr_`sij!oOIYi&DfN@-x3+b`3q%UU{XtM+YvJ!;v7O=;K1I8WB? zYtbp;N4>E-V#Y5`x|Dkb6NW=?kLBt(LFF){=j#r>Mfy1T=^i)PyV8%7PWQNb-sSSx z^KiwB)}k)Ew0owjw1t*4HW%HgZ;p>|I$Uu@I)^TMyxsWGYws~1w7aC6uyuQVi{Z)z z>YDW0mBSCevq@cW%kypdJq=c<_qw~(N3@m}?7ID9zIn$~wC#t-bILs+$2q(-xEHVO`w^g`X<|}S|nso z|DDxZDZu`kdH*Kwl1s(zFSV!P^~?x zuMI_kWd~UylA-v)#7Mbux$l`m$U_^nEiCftLsYe=&YZ?xX~g@ciwJGi&D!=_Q)pN7 zJq|sv*mi?5mqXvA66d~&*$!LQvb?t&o71^ZrE))LnSH-WdCqT1ZhY?>E#)1S4adO) z^>0N0XL(`l&6%2=u_nA(g?yHUOnEr z1Lk<^^ik@e9}L@LXV0*W13|Ug+Q2 zebwu<+dde_`j%omb(^d5iHE@VUW z5Pk5qFK<^HPx(Oi*7^&7KlbMpv5)gDy$;<;(!Sq4<8khHwDgvLc|NA&<{0yOZVnIQ zp?PP@@A>>8oZ4eFILGmhbPhOivg3#Rol1(cP9KT8KFoN?=NJ9Zv7|U|-mXb+*)+${ z;bk~9g4wRAFZdy&M0z(ofj8>A`=0!6+9_^k-@qHWHN9Ya;2|CmSKtKxuyV9|A+{Dj zRDX?lL3`q^vX_4tkHjVUr}XAOnNOeLSv+1h4QIyE=)(T54-eZC@Sw;w4)fF;{M+sV#kNW?MJfjOJa% zLiV9?z;=nHq^9$E;&!Xia`)6y%66Mxu+GYSOKHcTZ%nVd@hw^PzQ*`WaYTN3x5aqR zI67{5*q7yH-sL>1JNtAq9mHor+jFft2Y)2%tt`p|{0W>~cjZm4Po#I(CHcwvV@(ViI`eA*PXG*(sJb$D?WkMd_ zG=IbfX##{uIY)#iTGYm^fP@9YNM%E*K{k|VY{J*iO@#mrsta=4DkR( zz>YRIXlgBiCbLv!iwN(UW-b)nWI`h=3!$IVxd}pN%6K zC=#<(j~)!r6dzd`R`e4kdl%3nZxnrTYT}wmv8acl6XHS%Ae&6kz8vEH7UDWUIFv1HCPiC zSk@L{spc9@q#nyOH@z&i+BB>{UnFAhT(V{Qtkj#_QWs?`>~fxsU9_XckTfp2H@?_( zmhW{uma^2`D!K34D62p>Hs{W3Ngh}czcft>IB8mUZSU?~1>xtg3Y(3!nZKz|G|AO+ zrxrjlSd@KM$we76bxeoC$u@G?A%+@WHmOjjL5wanE6G#8q?AfK*1Q}y0RWvFdDvkX zt8Wbp4}-9zIE|4Z|nqeDZ=Z2V>y8}_T<~CEq5tdGU zZ&PiCEr3(M^R=+sC)@73<2nU~V?>;~U5dQ+T@$~*=OdMfBja`Kc406MP0Z)vyhcH6 z#QS;Jho&a)iv2im58^Au!F)W`4f9LUiI2T+!XD9Yh-s(J+Ob+|>2cJM;-j>CIZnGH z>UVC=mG-th)cS$VyN&pzc`r!lyOnX+?E-4jDH0BTT2d}NuBmLeWe_nW_-+9cERF>_ zawoU<)}*!Tj?6eUl<;fQYPN01Sg_r!UgFrAJWiR}b?R(0mE!;r=LQsx9ZBwb*2H6y z0WCqqkxbxO%;4Vg%k&Oo=tx@_M<|PDY6ibqGS@G_ES&zR7eR=j&pLH{hJ52QnA3?w zCL#nX%qD_?5sZY zkTlEZU-z*Q*07Y^sa#QWlXF?0XcO1mbXHeHQ?#UZ$m?8kOD{kRo0K-qI<9SJWijSL z54FLmvDCWeG&FVf{PX`>hvx(eQ4`G-fY>xzJatrcAPa&ssMb^!L<%C+K$A+SOYWX4 z!rl6|Dq5k=D~41pn%ZGqW5~@|$4%Q#VI5)tJI7RQGw6YOP&=18G`>Vn)`IBQv^LQa zh0%%&yhk20H*=ZNrnB`m$Dz|?O)Jd|6tJ`2V|g$-SntDVugcUX=ei-Mk-oL&xwf{Q zW5uE3qZtmGU&5CDK>Nn!g1o0cog2eLJS9D9Y{h4?4KY=9Db3DJTb^U{*2YD3@4Um_ zd;TbTBQM08`-SO1T;b=yql;l}AE|W3im?}F*{;>((G#!WkhMr1)5>~U*Y6k@OEldt zT7O5EG^y>5%Y4n9)o^PPUrK#U&eWJKZe5O-bD!c_t$_j#B+tphT}+4&tx;4;rJ@}y zrf4$O0vnJQTboD@CDaAELte~#X@(74=4dt@URAx@LfbZYl?r6BQjif2G_Yy`C$dly z%#~r)KynS=z=}cy_2(OcN}qLMP$L%V)7TIQX%t-%pL?7D5CI18XTsGVe<_UrF(FGH zG$=PL)uoi|=U-R^0h#?#cFKrIv{2;#fnF-fFW=&eFTQ+>e;>%#4bsYJQmsH{nyhK% z^UAJaZBhfDgSl{J-k6Uy^(d>@rG8=zT<4|hQ>nq;v=T#WYZr-(1>01X%2m#!mQYiu zS*pnZScqt7s~bYK!V(xzutmEsqLba(i92x2|dvmT9c}-Pa-E?cJ^%!lf7=X%@yad(CYAzdaCa)@Pg9C~|s z%2lP-Az;iy@o7oJmT1^Tni6(9xuLZ*3B!)LMA|oVKMftJt~p@|Z?`!jZO)-uH~Zw` z&_*JL6XLe+wqezp4fHJAZAuBT%eBVl(0T>7O3!Y)?|CWOnr^v0(l2Hw@Mi6qx+Wf` zZbUiMR5EudW?)EqID7G>bj+G7wrl%x4YU2;4kx49W*hoo^R3D;9DKfVvt8WHjvP(H zTV1Se_G$Lid|MY_yXW1td-n=-hg6Y=bB(a!fKcpqp<+CmrKV3RQMzBfL25yzwc95d zGRlgkwOF7Yv4|VRq^?66R}6uL=(N06^a2!p0LYCEQZ%pHG5>aQQ5?DQ0MNt+bRd zL9=2Bm|->6;9`cv8CIax2*RX9{Huk<7hinwr7iydGa1q6Y5QglHPoVpRZG}lK0+B$ zU}o+NGCW(;#uPH>g~VjzSco)JNNbTfwNx}_BM?Y|HD`@Tku0a|(JZNAvE&UQRiP|> zQmTLjbMmQCAVS8ZaKvk=ZfZoUt)6R5FtfZeo?vyzk~g)ktjSg<+BDa^I-iMXIpqXm zV(X2Tlv2hN*%MxCNo|>Oq{XYH91+e2e2hI=ihFK2&Qz?^lzQdymFV`Y8rrhnq1Gl^ z!!q7mb}>~S5Ys&?aV%+LSRY_ux+yaJs%UJQBI@c_cyIGm8O!{U19=*qR+q^lbABLw z!*uVhcYngp-T0J8@U?QN(}RFClACpWRzJc6wdtGaf{2X)Mr*f;C67vuC2Trf# znAh(HVZI~f^!kyQG(KQf=ihUJueWF{Ub6v6cQNQvvc%t_BFrRDx*zA1agHFwTi^e+ThzP`ufzL8B;(>6& z2?|#zNiK+dvVLk2Cj2ZSA^*Hg{ey_B&$2VK52dT|{|H`bm*AcJJJ(gb$u8t?p{w*^ zx&^*_+{8P16@RA!hM%Ds{0jX3;)^eB@$cd3sBMZ#)|;=!&M*bH;HoBB^=NWPfzB~k z1`2^qv{lYF=-y(e4HdNFy{D>xXi>8nBLxlgtTof+IT|2~NXRLSRfd|YwOVQ#N`jg& zMCYPU6{rrpyV?VD`P!#iN)UiwJemSUqf*RAe$!9tu;T%+5wzOv4)3;R>JIk&{5 zZV#@;z1clC2gH)?WVpe1!ku_)@nN=$c%}NQ$Lh_d*!Nh^R>!cN={wj%DTbrf3z}_8 zr4C2o65T15$lWf#uG}4(N$7f8Zr<;jg0kImn$+(#8{0GIn-9m5(DZLfp>8i04X1#o z?LiFBCmCUx6>V$@M1&%t*|(u42s6gc*A$+x!1^NtrInr@!7&!c#bCtf062j# zEQE|JByo`(HVZ&Z0l<_#r3LJ(1@((BzO==^gJ*;S76su?DW_U1a*Df0r@E>wnr8$N zuTYkPy^~X#Qvd`~D!!y*PQ=iT=4qvc6CBrwD7H$$2L{>7nbxGc$)Z9QiL*P+>$)i0 zS{kSBji<6Mr47^UfZ5t8tS&)IbwSYAsc{2dRauxUsqwJ^ghxScF+S)yEvCI;xfN1l z<<|23%4pJJX>g7owV^$?)}=Du<~(YtzOi9kXu#yk z`35%j8fl90Cbd3}VdOfy=dzB9r1^qKv0RKoc`@zFG{O3cE4jn#D%*svVTV{A%)45z z$~zmcmLlQ-ZZzFmwNdZx-`4ViZL2P_4fQe{glnw_w@0Kf{BAgKS~^Ef5N#TG7l4t!f%`jl6s~73(bl8b1JF zo+>PjSCZ>|qci)XR#*+UD9ugEoh-7WP7_fpOo8>0yHIV+66Py&(n{+S$4k?uS|$oM z*2+i|5|I}*p7R2PxKxsu3q4e7b~QAF{uB-R2cQ2%m+n6j8UHu3BR~?yKMqwTDC-8V z)ICGgcdIMnVu0y}C=qu=m|fuubVDfN2ck$f!UWl$k22t2m!2=a_|g`CWr8w>nNm|3 z(I~C%)+M=-T(cUnjZEgCv0*?mO3I>13c^rRt%|S=Whem&nfyY>m2CVtgOCs zdZr;PVMAMjEwhX%X%_ZoHRqgtuGla_bpY4ZHZ)Z~#5$E@=NKK^#-=`P8_mJ4+9n8jwGBprMXOS?OU2YG7TC6_ zCDfy=XuD%9p0`|APfZf(ZIuu`Duf{~tm;}R*k%CMHJ`t+4oD$D_}TyQX$0_}4l@3q zjtKwJPsV3YS$x*4o8+(>h<23RajzuP2!rqpG14Oup@#!ZlNrgoV9HYiy25_m0YBG4 z($|&ei!Z*k#b5dV9HKLL~DpATSP>qXtQ~mL^l$zlEZyoj9p!V#iK%jG&R-) zmlpBrt$gSV$Gwg zOJ%xIXg)0Oy4P~IA=$M0W-YG^jCDcT=Ca;Wn9WUWlU`4-;WFFM)pDb?HaB!xGEG1Ds|RMZMQ}pu8m4@_@;nw-jw%9tj0_G4^~t0;TG~IIqhUh~Ukz z^ZI1u(7n;lm(hGseI*^1B==fh9%OwQcNKpZ+R6S-Y3FxW?nUBEX?ch)yxEUCBGO_}+1HSBCDW_g}JTI_uu1;cce4_bayFg z{4^W>P!fOM_|tv(S5H6wVevaPsxyA`YAj;i!W{QSM@ERNQ|6P%jC*TiwgUg11Ys;@*%9YR6_AG znA6(6Gn?}!5JSt7Lv;XNB{~x-cg0>UhNgvSo0`dD6C7(h7}nN?h7U^{G)s=Q)yrc$ z=ecs5VhrzhEP-xaCvW?=Y3a1foD9v`=Hz=|jOY)(vb4an_TAByhA!#3sNV)08;_^b zc1Hx-CZ^JK2g=ZIS*>YLij3`1FmBH7SL^m6q1mE+#%2=@FdPz!x;+uh`{32Oy=Nu# zBQCi)MHSP*`LxX*Dy_X&Jh(Y{D(Sig)QH+fi5G zK+S;x8WHaqPt~pTO4>_LQ*Snxc4N9jXK`Y?b-M=!@ptum>Wb*#PaBR5l+8ZyjD8mp z-EfL{H0_B1hnPR>;TKE}BP}>M#4zqw7g4;u-a;I6e?b+$p(+TU}RikMd5o;ePj7|$88X;2cy(-uP z4m2UE&uwLNIR%u2^&k2X_MaMM{98pwd`>V3fS*TGgQ<#c7gI297LK@AB5X7f_y7Pt z0#WT65uv-3#0Ol>bTgNy_U141&7{{IR_fH0u4wtl zIp=$)#_IH=O|n<(J+(fvS$#$BJsuY|;`&?-HeLgzUmsQ@k7G({nQ!7)rfW)j8=t|@ z^lX#)_*hZ3Tn+T;CDuAU#C4r+ji~kI@k+0|VW)COB(5XNUh|`^8S`UNhv@<~C}YH7 zE;puxNeTlRBZZZ)>S56^FF+yh6XXV?yFr60t* z$5HDjo|?Fa9n<=Pw!xdyG0jg}&sb8Z zV4s&saEySuY35*MdZ|Mm$7$#JIccj{FQv~mJ(40`_k_mB5z6vQB+{>9 zz#k;T?iHxKE3?KuB2cg97Rz&`P4jGkuav~s6+l^2pBucMVycT}m(SN)SxU)^d;-EW zvqkD^61<&}l{AA$T99aUEfj056a#EwG^0qG3;f3t;?H8Sf5S-w|1?7Mnd+J3h8qCz zDa!2uy6Hj`*foL13#_EL0oJ4|Q#D-8WcZ**!Oyd-#2+VJzxd*dFKzLc6&5WJL-N>;LW_7ub5q_V z=FrCDgSP82fG5Ny)xF^kJ6XOGC&ov{&he4c8F8a+Lvw4}71xwbfswW?y1?Fvmux3p zfPJ=y>0t3xZo_V{j`;(2K-_Axp|0r8VUJ{;>*AZEG}UaS+_2e&JT^Px6~j5;+zf^n zH%EC`_qNWzrTuwbR`hRN#^^Sj&}@gYG|}uiio<~~a&z`&P2Hd+sn6Y{DeW;T*RxHE z?j+=-ZRAqZCZR^VN#2;BML_QrnRrh|x@R}T)*3}4m{QsS(RVo*IiHxo7Ma$y`?{{a zskSH&iUrmxh1jA(O^*t%gT(OcM5ESLI0k{iwy6=YpOvIA8c-CUfmHwGwg1mK$Ul2! z(f^AR83GW3^hb!T{|qm`i-o$ILU_U`JRy&`J48L5Fx?7_@vI2dhf6Z%KZY;*XGbt! zeDTGXw)l%6eR{KDlWddg_^532YmLFVd_Y-Ciq3pJRm&AX;2E_| z<7I)Rn2CeRlbnQ$Vh4W(B#mp@!lyz9o@={{_9h$Jcv#s7uWm=#U2%-*64)!AVf!-Q z8lJ#gvJ=KRVK9C7yl-9;(3`znCzU6Wqdt(|Yv~nkm@cV-O?UNX)62S4=7)OE%LBc|eD?-=ek3(4 zFUn(GBG|{xlN^^!OXU@e+B~dSqx)*sx)-ihSE7mXBe80_u*%jp;9l?Uo~lW5e`YY| zHC04?L>N~V*Sb8+QX0*N@G+uq))KX6U11$q16hh!6iN~?bslsE(k zp)kOh2$u|!WPtd2HK719I}xD&y`D$`Pzm^qT1xgTnu zO28xm2{8Of=E6go#hbAvTv#W^Lsl49X$G#wHS5B7NjI1icOv}rJ_P%+EWY^SOI!R^ zRfYa^Wmj13gpt}G5{vb%&1&)~MTuUhwEiHu53<5GpCWk-^hxSZYQ)xjVr))d~P=1T&u8;c(lVYp-DVSBR6MS@p@=C7)f}?E?lu0||ojh1P!Or4F-*@GOIH&pyY(3u@dyfy|oczK54ZKnBj9YF`t{dZw zxLAM6nu#~~lfw=eU0dm9%}yw(J4XFryK%GFoFlGf*r(Neb84Pf>(Ww@`d_@DG zrPQ!JD2v@Dm+YH2s>IOb2(0It!l7*D-n9Tsm5zL*41>cE{YGM&Q6rUZPc*f&VwQ$C zRivTTHBxsKYvc!`MXVn*J?B>{tJjM;@8d1GtXw1TnlCBV zX1c=s{=CW5&Mz?@uNzE!JxmzKtI2G7D0rK%B~@R>F#CP|?wC|=Va;oLCLz*?(*C*p z09WT#>2RMf5vy#14Y%=of^xi4I{jELCDC~g+dN)Z7hreTX?o3yMlm7nU(?;fY0nwk z$MO(kwHN&GSZ)ZK#vG^n^J13GUS4-kjmh4cGN-(~;o;h8`hoO*o$fO8<&pFG_Pm%` zoj**cTlryTUal#fuIq;<*808L{#ri_sV;Zm+cMq~Qp|V5_BuT?73(XjzrU_cLV7)r z-XF_VJ4>(Cy6wk$vjHggwLjdKCt5~%=I(Hte$Ql@MD|KIA;VGOA?Y`VV zPm_`9v5bJ$k}~2s-aM7Ocy|9WKcX{?)-?8`KG1*@Q-^DP#U|Mr%5ht7>Jnb?0oUc` zK%S6TIe(^(Mj{Sg%Xlo=rTX?PGY8m<-}(2cl3H`X7Dt8}5R(gnI8uG9^2 zrC&lfi!1fRaBq~78%0C}`IXH1#TWn9FKS<1W&dAW6#sHp*{6Y#tvUS7KE1Mbqc29a zhMRWVct{fM$##QfBU^>LwMWecuAwpaJC*EG_E+8Z7?s#kx4PM-NmO?GV1MRBF1P6?N)ooTLZ-{eQ-WA&ccWBzd-#DvK=wX+K4%E z@9|VR(C@H8nhaaoQ`m>!KQ}Fe!Ivp@TP}}i-&sB^pK86g&33I142L@1y5?s?o7XX>Y91NaEj$>?cZqmJ%(*eWA1jbTw^$K zxut%G<>A~nG1j(^<(0b~)~Dx_%C*~^>v#$LU+leWuw_YFp7*|A=2~mt_dch(r>AG8 zhq=IT5s2F`Tr4IONJs(+1eVdD5Vj*M|F9zb!y!4sgb7Oy`-dgVfouh`ge-?_BO{o= zSOQBzLfni;0|U$r5X}AB)7{fm=j{7st(D*FA8Vger+d0uRb5qm%_mM&?X%C`xpL=P zYv-G9e);9tuxdS6Nc0)g95E!xY?SJC8AR#8aT&L4QVRx~y5@-%sD_iWUF-u21p2gX z&Z^4NUg}N4e%bcK9YXnl*Vo$~iakPg&FeFjV$Bd{b$f1=v1MCLv|W@H+e1Qs=DWG| z3+@{BgYOdhL3>!0us0NBlTb!AElZ#wOZkB)6k+N}Wg&dTb>O{l;m!5D;^ z3XWt5V1l|?2MVnrf(Z&ZroeKrkXoQ1lhEy7wiHsEU?kbihB#y*h|3@kiVR^Fy=qMW zyUg86-A?SJjzOBoKoAOU=nk7;h+ee5qXNtw5*Y4upq2M3%Ve@|@lu%?0pRBIdf`QL zwr`li9A}B+^Ys$ImK*Zgvfeq;IxUeV^Zg2z*1jn?JFi!8ZG7(Rt+yM%=3Gdl^jRAMy6H0CI|EF%82=PEsWRuZL)T;TLum1hq=JBdSzj< z*lmckXf{PVo!4gp;e7viQx4|!s=ef5`z6WMZUyTvcN;+KX50A5;;;%KEVk$3 zY;o8Wl`jq}ucnJM4-sc+UibPp>SbxzZdXM$IX}z|anr28Vs$u|#~X+1*0ziG48S}+ z*#_U%YXGZyj+EBBtwZPg^F*BQ9#6FOei;qt%{+u+yI#^Y-_)tbYO`+Jw3_ctc0OM# zVYS?lym8~4jH~^Y;-+>>wyNhO7JEeaYP+{m=ZDxjw#}w?oi#zh&i3;<*y`XWZMG|h zxH~W;Ee>Zzg|mG`#Z|p%ds^>9QR2M5Zbh*<6sYV*Jr5-oExgk8_MAOi)lf{U{W;+0 zbt19cZ7guPZvw({2QyplQZy{~77U9<$uO^5DlBTsH9rJOi`wXz?~+>I9$HJjJV=2$ zSS8y42MM608&q%rAvhP32^1yF1EM2(DBb3e699vBW+2f@4lN@L6rjLke5C}JIgTm1 zNs^tVVP<6;E>crSNF+fA{32zAciX6Tb17iwg+wTNw=F6l(G)`dCd_2Aws<)yi7))s z9K*qsZ5*<<;9|^KqvZ^ztGFIvZR3p&eda>^!VT zKBksqPR`=l#Ao1Ufc~!C%{Ym6KCMPeVOm{lwK%O#m$FeY#(q8Roi17*^r}#=#=Y@w zuTg)@!**IdusNiY;S8I_q(5D4G%cn|^GTeX?b57w8rPd^z0>sulm67U>uGegprViresV8CgA!oYDa8GJUJ0yZ8r*4RlkhNnqLB*#@>^htqMc2bSohQmSPPQ(6? zEysnGJHOVOaPN~c6g!{xC)}4OJ*Is-EvIZb?!~ynbWpO^EFc^>E{C4NNe|w(Csmnh z&C0ZIH7ZNioK?oXkIK+CI4xMvW(6ReRA36@9-82zL6ZD|exa1cLx=06r`CAdL!h7Z zLSr~7Jq0`I(SS+Cg6sih2ou0vMC^?Y$iTC zug?VR)`!zHSRa-taJ65KHD1@dmSMSBjWJj?=ZV_vmm>`3&7y_P+p}pqUNt+xmi2nj zj8}&n3ibJJHMVMVSi`yA%x7(XeONidVzZuVxZIw(`_i{Vj^@oerL?FQCwy>TUpM2~ z;l_-kb+cAw^LjZ8gY98sX7hS^GN_h^bz5OkU+*O>)~mL_qF#)N&HlV~F4OANY_++O zgd6o@hPbMi0CwB;B-v_z4%2dVeQa1C76~}toy~yN_Ij{1-<_Q@o^PIPq3vNkf|lFQ z8#F&GXToazq=mFxE>9Cy`z;gZ^=jH`x!+M?zB@Z{t+$)xVYT0mg68{kDD7Qh*f!@@ z_zkN~TCq++>ttm}3(cF9Rv?KR%{oCV0V)>TWinrGf#_$=8WtAL+PT_qVR2p~7C76_ z4Yt~nCDw!@h-| zA54a2y)kKCBRJOkCJ4(z5V5S=(lI}vq2_IA!Ojm7+SLxFV|gekT;B-@t33!VYgy9S z9+I8aUc%06WAgdIMXqZ#;vRNLX+bmE5)|XwNO3`kwuV}1Avw5^T7bx)~KtVe0X>xN@G#6!rQ)ZBn<^mlO zWF%e3++VsNe>G(?SzEk(bjD4D5vHry3?}`>VLKjOTkg`NoNo53S*+H3ANNM{Wo4|c)|<}vo8fT0tiv?U z*1Pqz8gCnns%wkwlas-8xy7_RS?rdhs=wa)NikWp+fo1IywyqXfwRqi+8eKTIt?c~ zi~W9o({fs#EQC>SxGs1Sr)Tct!Re~BNjo|>jWAlt2R2&;3^iGiCmgO)9B{NxHjXD- zRs)+X6vmp&T@#zEqegbJ6^CKA1SdS1yT)O5E{uxlIWWLrLrgJS1rBVwjDC%?l{5|~ zD@&)CE`d=oS?$XqrmH>1hVxxGO|$a@hnCg{A8L5EMbEG~XsqF8ZzsXmHD;PE>SkJm z^*)>oP8U0#R+?|yac{Oir11cYZ9DCa7h4VnSS6oSgY|*43ah;){mHzsS*7_Q4tm2I zsU4T~YG2L*HoGt_HLsfotXMSdq`>@uS%rl->}j2JGVoQ3lQJw(ob+uGFe!9{m=2n) z%1Om@hH1|>u4&PpC!hA(6>Qe$xolQ83yb4^yP!{FykQzv#hw_Gb4$bIOV^2fLmLtc zX$&sSXYMP8snXIhp?01ou9ay7tesU&Zupq|Z+HH!o zLpceWg9FDF$)QW-O@ZJ=s6{6ix+en}92d3(K(nVf7SHtk)=i4ElCkLi%AAN)*Mso0B;}*BWedvEG^X&U>*R$z_GY6XDYyED$EPB#@#TLZOmJMj%mWY zu%h**;MlcNFu8d-vcrm&lB|i-3g=DBDAdKI@TCjES%*>OtJ+W{960USe3w`S9x(1{ zQ7iP!x0>{P*%(Xs&ZZTXwbXZP!^r^iU5kp^hgp#pEz}Fx>!i=~tz#%`!mOVbd!T~$ zVK~%glj1O>jrs%ZcHX^rI`rknF@(0|N#EzYHWX}K;{v-K+X1=rX^G{5=u>+i_qpD} zOIpow#nmCH2OMnH3-evAA>#()GR&J+RR{-;inMG@W#XY2m%gf#%7`{i`&wqGXt*uns$yUIEO~C zHGFK?criw^L@{E!hoV9QZ&Gr|%({dcF~-&#cDuN{WUW+$5M8&wf2m!$<3fd|QR>pk zKqeeha@~Qj$g!N6O!h5a=tg!Cpo0LMXm4&pEl?0Ik|Qe$_~!5Yfwz6z_rCoP|AqJc z@=p&n-nAU3q19o=*|~VlXHdAN$uenbBcwRRbU{wjiHK_$Z=?~%s5QlO9_%E|D4uA# z6sJB_@-e4#-Cmqvp6=h(V$!d(?MYgC)IR*@X3HUq-ix?HkkB~c4=A-7JEzz_q|Vh!$p&36|mQE zG(O+9lL|OsGMp@0OiOa#o)+Wt9Zq`UM%SwGd|%B9;h@vrXtTAG6743PR@2=!P9u2G zNi|$-?X@eVSx<0Hqc4G3pC*p0v@oAmk>t4VbHnK{5SaC_bj~VL7!K0XG%d}cNe^4u zq(=ZJ1D{)(3`qBB4|Dmn0;G{)A&t;bHZ(5C69bF`@LX*_K*B6>!88Wv(23%T966T8 zk+?QZ7?;Etc1}#-Yha47pl0GK_^D$fOqDj^iP8!>O*n_H0UKfhtPN9YL5z>01PfvU zY>6o_H%!S5d`hfoGc*g>gzbvx3k}=>N4MYug1U`Aq7|3Bpb@k#=hm}*gV|GmE|tEU z!7WPkZfjL0URa0u+bWaEzQs!fLA<#e0*#)!eU}=s;Bk4K69y$Q1dE|SLQ;*;<8S=R z&%E{R-=o@9${Oznqn3TZFvn;*m|)d+)-b}3Yr_KtyJFGisT z`{sKO75yNbeMLZAOR)Cf?(P!Y-QC@S1-IZ%a2p(gOOW6Y0>Rzg-Q5Ov4X*QZ?|pCe zcK>1)XZlEYbyf9ORozwhtxKOPB#P=KKk5PEDE#VRqiVuMbRx>vsddyEX*>w&H#9-0 z!h(h2K`8^$lp3!MYAQ6;$(|JGWGnnP_>V?Nd?UB8SKeMn`U#7GA5!q!u1omKW?9Ul)dCqrz`9#)MKz+MiRKuYh-Rqe4$0*h2@3xk%90M64Ksuda-!QWFHNb=L{Bqh5Coz@=Pct-2N z?WKH2W}&`#j6Y4-*`$gZ+eBJz5(C>NhdZTdlKrFcH1w|UGb+uS3Cc6kEN8;HqPN%& zUC^P6LN&?npA&umnbo@>ZyI@ z*0>n+B`wgFpXBMeVSKK#b2Y^toCKip7Ofq>7_nSU9dA4d+JnZPpd2;mR65@6Z{byw448+};{f(>6z1tXal zLkzz;86t(BW&;tGN`sbbbU#*{6R)*Gp@L*2bXB=PHBNz{XEp)QfhC6ZIT{-87)rgQ zDD@Vj{B-GK;hQ+K4P>R4q2w3mYco;mMG%#7+{_AVCzLSNe32(f4=8)vgV@$XT%2qnxR7uH*H;m90J@}Q$#WqEn0SPEUm@W2#7 zLALz>s#5(con~$|HqIg{J^~<>bVwFCrWnakZg!7YRCOw%#)$^wY+(W*y=NaZ=UJs$SOHmMaK;3 zqnApKM#mKIY4t!|Jm(@Ms%Ik%o>u@srI(-&K=VrpXz@YVDa{Caf`aMgakm_n2xW^1 zkVo3Ikn?)WK85B2{Xtf|Le&( zD)eVp4z&Q{b;V|9c!2?ofkDi#619_D!4N9aO}M1psqjZigpJvWZtAcD(7YkYw($-D zGkhS6`caH!X%Rf zYzaAfL(*H2nTZ96@*lN}L=TEfwSTBUY^n2A>hhCbvh!GDZ;>kIB(#PP-kX^hP;e8I zSCBedd_Y1e2O@D$1SK>9kYmT4l~AW0rW5j@xy*oAk-(6YCTL*zM;wZ(Jre+kM-^Ch zNHa{pCgjW8vz5Q(iA!QJQ%C`tnB*BEzq~$vQgg(G>7nPs3#^Uyn+)Ge#bnNC3!)@; z4}w3X)Qs6c#TGY7GgqgYKq7Ck)k|^bypA;cmS9iEUJuLL(w5)MH7*Z`N+GrY63PnD zc&CVc8fT*6^_i)rMo23>ml;>%C{7^kPIR<|txsL1PUb;pp&dCg^aIXki!hKB`XLlI z9EhbL5AHSV8h$xyhOk-sCZ8mpfT{0}2)sa@M%h4)h1w`U3}KXjF+j9HFTb;(|AwhN zB8an-7fdzU%>vX2PC7!-6BvMomW7mRk&-octcG?+j4@erKy}Tk1!5h0MTsM@`FJi6 z;EU50b>l{%vXfi_GoNbYNY|>}w3BRU4J(p@SJ>5IoNt*~0vwH;bR4_Ka#hAWqgU;>U6kN*Ml3F}(lfW767dYl*3Wn8!gsggHy$?=zkTgqm?m$CyBOIa z0^R!u=QZ9;NGq^l7Hr)P26Z3FVP|FgW~Unr=26!0=oZ9ecO*h%N1}XWpUd;2Kx$>C zK_JzV!8?V006MnO10Zf$vUi|(w%YOmLioRm*(wde3jGu_45ANajxlSJwBj8Pg-#H6*r^$^N}|LjVQ$SjZO*`G ztrJS$8**{yje&viftpPe6Q5+!4X<<@LLv~X69cSH3Vb(-hNYsKC3kac&YYVGR!Kmt zw17v2`DR$=UPlfKF~p*r9V>PTi6G|Y1Z6H!CiW;@9ah9*+z{f3n&8Mc_`4fq#gH)(njo%*29`$9vLl6<0|=Ye*CwniNWVy3lu&2~j6S6L zMBa)$(+K;ZLMbuA>hsrJP{d)5jT376xGry2S#w10qpCRiQBzLymDM+liQu-(4Br%5 z!i81{%C@I8mMrQB56gcH?#E*3ERM?S%TJrLE~llW>$I)$%k<+Ph68^Aay^Vop_(o!|+6i=E(HBF@8<8)>Jmc}21j~mmeM_a%Rd$FDdhfvl%vD6ZDBm)#$F$bSUVw$`Woy)?M3da`adx(e?VJB6i2h7aLAHN9 zqp=kpLL~K0LfvqpdR(+1y&?zJlX< zL*I)YhdN$^Eb?~V{`3&7cdgN$02pT}MV2yB$aKWr)$QzISu1URG)!VM6KeNo(t|i^DvUu3iJ$AlU_qy4_Q+}vtIkL10T;XR&7xQHC z@u_I z8~v%8$?<4d-iJZC@-n^oixTQylg}IPQRiRZl)WXU+=*j^hYQ>92J@=d#rKlrOq zM20&>Rx|uvw*!mhgatO?_cFqL4bydnISY=Aa%PT< zamCEC?B}mlmqXKAnVpdFW9B)EPpY3W(^w+gjDK)E8CZPC$H%>CP&!z;hNF>+tlOo3 zy&O3|rJZbRkM44$3*<&C(b#?bZd|blbZ*Q8Oe@C^Et&oDt-bUzHZ)S!-!qgJaWj+- z_6N0)wo5Zy2$-+4tXNn;cVSL}9yh$kcOtcVrUa$GRVFzL>IF#;xrGdtX$^S!GuP2*mT()642^e)Cg3>t-(oSyzIy{LX zoXCPN+h9imrUAN`EIl^x4kQ1fBFzjIZ}Gp$`OwkGD^NHl(_xu;fNw8+Q5 ze;t)a?)oX9U5UYwOW{$`K}bV|n?K=6NMUB^i~PqL?Lx;JXOHIq$8H>Fau2a!+g}y4 z`N}UTwiMhUUH;Nq>$l7_5#Si?0*cgnn=J&skUOGvw%b@N*Ay#>e;J}-fDwqznib{U zYd$#zpX)aM@<=Pj*ci#ZOHmMK4ItZEozol`0E(>=t@!mxtEPg*Acwt`daAki=;;>!qSe| z9F#dG5_1G03=n%6A8K&U=fA4R~18iTtWuFi=~<7e2- zVX=E4;ZgZCfYU|m)({Y9DyL)Sh+&||Nvo%_fVU~5&fmU%f<+sXjJf*4m$D&vjwS2LO1I< zh}N%mRPA44_o>Fna#=L6!Py7RE!NsmM4%f3A8{t9m8Q z{C&9`a8`wg7P=GdTUfP!yrd6CxhkbTLXqIBJC;`xeUlg?_!Xhq`3oPY3XJuc@WBza*JciaT&Z#z-=k_LWiD>A}0ZsfWeg0+Co7%wjUJW>m1|&p_v{$G{n1 zeeho9&f{1&Bmh24Sb~yLjqXe1e~PDJ04XFt>E6K>@qQb2ZDaZM@oq!Z|6I~ag8A(8 zDu3{oDhqX-?HSrl_vckn=G@AkOKBadgp@x8QU*H8X6El=_vjyNy1-ns5FUL$N*{5S zja4VySCT_E3#~=#~@Dm+3>P8Cdww4boR(@U1QtmJ2 zJ1>TR>!w&hlj;}WQlBrZhAumm9S^ZK;(5AsxZ5-TUcMWwzyG*-&8os%YwC$UXIg)I z%lufuF%}~8;V6rSaNCTRk98z2AB=^+@aG^$N|j5cLcsHXWgK3aNBb zqjplbrUKXmP`7dZ{4dF(^AJXr{dFrB$;$gg8ELw>>Sz^(pxaFY;gRY47ZW0>)R-`K zj~n(6uB@wmPQZd#+Obe1YiyiRbU~kLgpvIkoOOlogKXX`>KHnjXdCO6VrJmok&j9& zOtG~1g6WJ{uuqVvf42}x03f96a6+Drv}0~i>F6_R>o$PO1XB9y)u)|juB2Mo8jSWU zR`nrE{btGaF{6@j@tb~yKrf+b#i9v@3+9n^fav-o_97U5fUV=WID|Vvav=zP+Kn8- z&|+A+q}W$6X-`pDe#vd_v561@^cJ~&p&0O9c-mF%=(&p8l>2q>N%Rxz-RYB~nX%*D zW}B#S6Zq#poOnL}6u|fMLu*-F$|I8t(s<=Tp%R)pFqmWl(L3Niq$a?l@FO3RyXv~l zQ;?RHga9M|{f@hsjrdePbrd0&ya_a7cMpjPhOrW4+Z1jGtTNZ_G>wxdvg%7#_BTiy zasaP5i!7pje(TeoF$&tdd<@8gJkl@>v0lcZ=W*VQYGnLx0QJA{VRZ-BOCD<4V=*M| z3*&@@4LHgd|GVx5=Hg2>)27p_MB zKX5E(qYVH^(R3MClW+R{^oRxSw!HMaS{(|-JWf=nyFcEA4-sViNw-Dh2QcUvn$-!; zZT&pAa|C3=N7X<`L5NjL4}_6KyQ8&#P)BZu(JH1y+#j&=2{+U0CYJz=L+6RxveUIN zkG>WA-(bxaF9e_!8^^=XwzXi(|LT&smuM5vZeAF@JLLJ@5=af2On>(%z{;6|4?omS zUL#o8U?A&0FNbY?!A}!(n0nFa6MVz=tQ#AAbBx8iIrK5TjKn*6?}%tee!;;@;zdo1 zd$Hp?WEOc|p#`_;bX}zVE>yKgExj7hN-sa<*WGMkEr6_H$W@wz?xjo82LkSqmQ?FjpGZ{ZTEh78LJAQ#i65jv7`8x^Uj<8DB->|5@dm0 zpZ7q2$X@uXAzGQIq((QYa5Mh_x8uU-TC9}kH;uXNysHH;9BMBfhnMeltH%-B9wDq zV}!$Cs&<^ua+w|4J4GGfJ#GLQ|L~^-9H?+4v|GKzw|^k@-=iP>)!}261oa|Y&fr79 zWy<7k{CZ$MF{ZC42xm_cBrSUubZ)mPaPu8ng^BH#1CfbjS4#@Wvz2tZebEu~Xj#`9 z!eObRUF`HG9#MzWF2b>0p;;<2zy20$hMj?I0>scf+z6Flam2z^)D+B#v%5Q~d(d72 zN1S{3mUyPkhI;$U{bLeb(6b(UMa$3;qTkKx^C;#kz){{+b{Ml&3=8 zW0qu3=_cydNe_%^H3~KCU0e9HA-bRIZ_-Y{i}rL#=)3T{{I%MvgkjQgao>`Owg5S4 z9@k^)M`*3b4=#fnI%919}V6i$WL^0o+-njOx znQUG8eo#k`k%V>lrIBZ^C|&UGhjaG2KhN?W&w^K7+@eP@5HPD*{R=YM<}g40-c^f{YTIW-Kr!;Mg` z+FWz>_rG1m?{dZ5Y(}vjgB7vC+XjY4v!NO#IK}@12-|D@kYusZy{-u?vh5N=gR!=p zOGIsc-bT*>-OLtiU7@>w!Q*gXM05|jTSd!m98zRq>Qi3KwW;o)k&B!i+cCd#zyP`d&h3VnU)aF*ehCV<=Zzon5jf8yUQnQLUY8 z#bV1)V7{C#pPBaQc9eR}dB#`zN1*bQJbWP42S*atyE3|uu*usiN*$Q-=Qz6_0 za_?xb4--bwQ;d*!p6TPG^+#I!2q(Ysw1>t2+|Z{ug(QhXVT8)FLb$SkhOB_yv(95h z@Z*H{f8X%07&i<6a$9e#vGg2$PK^+2{l*%nnzUgsa;?+U=dnb(XiA5SaLS!5I?NjY zzFp1z!@d`*8t^Rp{+tXR15t^3R~N1&eU2aZ*RqcZ%ywn z$?rs+Z>R4&=tUl)=-kssPSjK;PS(iOz0mYODTnoy_0~%ZnQate;i^jTNy{?Pw5}P0 z{e{_6dA7FGcat`LpEen6XXF98_42H@rJp&L?Ru2k-a87`dqPr%Lt!B?P^ZqQg~kwP zN93!NyD>K@aZg9|U)@1}BES~Mg@3UwbHH`r^VPzx2)9OXJHhKU*m^*eEdaw8r>V~8 z9Z9xziW$6nKELhZ@wxr2a9!o?@|L@a6V~6c!oO;;?ctuz^QZFmJtxchn%#j)d_XZZ zs7wH)lF^i_e0Oi`#(VAzo-{xBQN+CbwKbfaM#3I-nc4Yc10TT_y4LyckiA|>@&;g4`8J${U%o$i{3sO#>j3A*9uAx*{}mC; zhA;@{9%Mjt_0av?#Ly~6DC`+1y0-*%Jy>d_Y<>kdz)6Pn_Mp_+b+PPy=#o`06;4v#% zLE!1U@YtkMO4#}aYDp#{2Zb=Jvt!oW_MfO(dw$%xcM@wU4wsD45nW9_S8EBdZ$qLY zU|I0ZxAz$yCUY?1Y3S4j{2N-p`(eOikkbnzMJRflthf69d}}uTi&fx((ZC5v#j<-% zbhKjAw`>M{?ssxn+;G_$dgzg|W;3!jvg{>T)$(F@u)@i(S%&<>)9v@gpse40s<&fR z%jfv>C;s@1!)5WVP=BP)X}2RiD2-%3x1ND_Z(Yt_TQ#(!4=lq&o~NGvI}w4q=As_m z@Yj0vPRG|}!KAQ0!3Zo>hMrkv`bIw;6f>docDTY+xolVfSrU@9&9}IKtHoYvISoi+ z2UEHptOh>g0IFLEBm`=SGd}|CnHiCauCAxYKN?#c_huZWYS=Xo(|g-Z4`lDCk0I~Z zsv_4&;KMWC_uL(=AY<^?RkCVo(x;uffV-=zx4G8J&k8!!iah_CGdH7unJ26H_VFhm z99jsjibbwHMVp_F4;ZVmD~reOcBXyJ9;c__o^|5i9{Cpo_Y~jP?M|HFuN6ICXjiZH zsuiFv?3%vEOT7@QwMd-Gr&5NXV+Pm1NH_t+9OCi#n9YD_sLxA%J7^fuR8ns`vHo`3 zBjs=t{jyOMet(MqW-i8iG>VVpcC^T~$Sqv=Zyf+6L*DmQhnFM4HAQNd znQJ5}8G7bb^zekHHurKLIu-YiBV~+UZ=O@dARUoMVQRDX>zOz3LlbELxT_m4zBhkR zR&N-cc*2iKvTz2i^X?h#jobIpmj)$8O;h)pO$>|8XWMRz2XPv;En^)+8Sd+WPq{1Flj z)l%KwX?>&e*5*dpRq5W#P>x?N#1(n_VvRUQ9xOv1z^Mf_Y!M!#5sGPN8&mjkSK9g& zSEz6r0*E8QG=o;+qph?>J^Ov&@VZsh#VGLZY+Dr93_1S&J=XJG^=&Gkog~YkL96mT zlT;`Qymv@5hE^knkzioXEBV7I>0c?<@QWV(6zYL4530R z*UcuGMZhgoZ))1QqHmO9Q@ zNS8q~>3K&jIaKo-zpZ{kdxx>g3q(2dw^mq&#RtGNL*{tHxz(!woOYzTh3uRUt@qPm zLN#u#-IGufnnMl?6=q}c-1OPdj4i9|aE>V**aLOk{}kP{)u`ajed>BY_!Qp(_u`N) zRDJ*pAWd5)9wV>L%Y8p+Tbi>Axdbc1FO~nnh>h3@)53eOJ@3no+sx7D_iT0Ddx}Z* z648HgG^4oydHXqD6Hguby>_&cUKsa}D~1s(Ci+LW<-y|XK#1OLb_I&O-{$M-eaWOe zLr0c6E-f$F;d{34A~Ozrh|PUUBYQVqg4WFzy3MU53zTJ23A4+04)0j|*nS{4vT!c% zI#z}&Z7LmIgw)+&?H>60#Yv<`4H_(C1|ZOd@-GR^q0Vpqgs{%*g>nm9zswxS-Ctoy z9Xdv45EbNA>ORv=srO@fsE6YAyT@aZ*McXi(T#9J2g5}p+4~ImIdMRCTXid6#Pf9Q zE4dCB20fERMfdfYwKDM1xUtc)M5te95ccd}bFg!uCK*I(e??@~b+5k^=NWIANqUnO}9s`(ss3=kr8jAo?T6GKwrEDZH z9}gx9bBVNt>s94J-cQz)rr2dSZ^_^t+TKr9yN{Vck<06&p56h?IyY6GqGzgMNi9AFUPFF<^Rk4(ytvz!~a{C*(_ z0`rnOs7IUxC4;xB-&0$?6|+f8F|hO`A=gRLUgAVT)~5LqLNS^2jWN$8Zx&c-=C|yE zL_^bo0CXWC#qZIfq%9Dz5Flv4+SK3y@&$bnOSi;@YXr1sGsrd*?GBM{TdnXosLD>Y zv*qE6tZv8s`nik$hH94Axk=W@VHoCucoDm3Sctv5w z6OYAVuSGE_imak2P)W~VODFEAoQV}KIr&~{Ep*%rEs=|3bRWxjLT&85WQ#~ay|!*v z=xe=wWHmQr(LP!?3u+_@e2%&ae9grUs?^wJ``lmI_I zRt4^57-zM6w+a>yB6%h7);YFVH;5-;K$?mXr&mylBaZb|V;38kJKcqV-db~)9gNsq zs?xw3M}0G!PET3lkQR|aB^It}0dP8T$wAgw*3duA;dl04?fDzux*B#o9$BsLlOd^< zI4tuqzS$hAgNTdP?U}KC|sRE35K5Gj8g9K29lP^PbL4g*~hhU1N=wDt1=)uZXsp ztCo$!(!(!FBN1j6y_PkaY<-UWXC`H0b@D-!1trfcQ}Uq*YA9#n-JquveO5jkmQim2 zB@)Y?IQmR96@_vMNOr$vE}vLZFn3+xe$%PtP8RhCZ=Z=AS=IO@ZgW?VBPG_KO+l_) z9Mck0$zVE+a3X{TY0IDzDhbRU$U?T#`82Xshk%%^w)b4Uhd&1QJ+#iMfQhjy0cm@f z8z{+F3&HTufsdcsib+Xgnh2w1xMiev)3YG3f20*iSvI@o(PTw3mi&RPY^AWtL3DkP zc+9yc3KW7dzi&C|diDD|Zq<*Dk;nlUrkj}u!po$7R+RZINd=xiSnhb|@5lGeoW*(Sm*LZ_Ee zWV2tm+4&-L2d@Do1r(FfVh#yX-&BYt)woLwpr z)-BY_iv6la1=!!6PNLOQ-`W>q!bjCw!!48N^#z{po>T+(W_sEj!9;D3Tkjo#-uF^j ztDKvKQ(9LL=7?Xl)OoV_maRwWit@98(CX6SdS4Kc`-Z1z!Sq}D745?LzKl0o@oq|j z$W01D0VCc;e2@YbcX_tN#J+6Tth#}Oi+kqu-j4sInC5vW?eB6%reeJ zsp6tc@5Yy+_y(tr&&*MJK@R2M| zvA6EH|9uLUxZx^vmhF!kd<(_bF_<_kemhqQN@um`{qW1*k;R#_ zv>j{BYlMgv1ahoP6-<5cm}xlWLCLqN>3&N)5_N6PwrQfLOeP4FC6FdrHmx$aeKsK@ zgVQzR!Fbf5N-WQt$;%vGthGtvcv;b(qOU`-^Pg7WprKU}Fgp6HHQj&3spGka_eAQV zYs#q8_x}176gy)AKV!Ol#wz?&q`LmTF*msnRc$U7;)c8Q{Y!a!#P-5nb#v=?NS%wF z=2W`xKVohaMwT2K%7tIRdM994LS6ZR$cC}%`{MG(?<1?Z4HykvJjL~8hWoLp9@JLe z)S_*v*LIFBHrAR}auBs&q_@XZ!A-j%vdWu{0WC$%l$|lU)iHhqtgbOLWPPPvN0NH&a z38oK52avr#lQY0=RMul!a76`xD+9#dqYBn#eZWQSg}^PrcO2Sm8{TBc0CB2!u*L*-#O?(`*TYTBxphc$#kJGn0ic7xyL|O!*!bL~C=O(Xe)7>PUHsyT`rL zfjZb|I$D&$=&|1lkm*8GFJ87%%}>`mhT?pJ4_ zVaLYWotzNKpn=1i@OBWn)_-^#MeBT%bsCKO{o;n57QPRK@en0^YLXHL2&NID7l5C~ z=7N{a^3R;{l(DPz!K=TehZ5XHQ9o;-A?37(DKA8ia7f1!bFPXW?c9_ zr#%TU3^m0Oa)UbxF&l3jnO|GKc%W#}3NLyZulj2IB+*((F_e4w*4F#y@VxPS+ppRi zf-tHaB*hLWLD$TSNmBq4#VJXXt%r}86BDW=4unM0;$47WAGe$ICJTKOzoWyX@=k1i zQFI(wxclN&BwS-8OkOFpYHP=8L^NT4`&s+6t+jT)>Hde_h+7rY`RIO2D?x=eryVHc zv?!xNu`N~A$k#^4wQ@-$V1k(iDm)MRFNeu*KHpBSTDR#5Jaef-9FV4p0*mQy<3|xY zO1qMjuQNn)T98a95t^1GQuHC{Xt&R%wr59&UFyGm-w%|r1PSl`dD0#ENFCBJsGzk~ zRl1E4Omnp#EM6VcHlXa$LIb2AfiSw7mLZ`%G1#j{_l514IVgQP!{KL@ zOl=eH_htt-0ly>T#zr&bkTk&L)%WFW5b2Jd zeycwnZxn!YA1f>0X%??*f`Fn!0@>DrgyZBQU=AdBt>ET9I)WB>~z5j_zi5&ER~+cz(gfy`uFhX#4m%|c;*1*jflyH+zvr+W3$dRW@Y`G=)nzDT%06| zv)L=()r$+2U43Fy2?$K28joKi(5%wwkN_fsf3lcdw_(f8rKM6q*Q9{V?>Bb^>Ymq! zs&9>f5i=RAcn8Vno|*ol756NN-TvUeSC?R-Ge-&4A`@inBNMvefvuEoNdgHfXc&YL zWQ&v##4rxQ1j>>~HcmSPnjHJGScx|-m}h?tf{Vtc@!NRtjMMo`x9D(55Fas@Ut#qy z&lu_#?=GN@Cu;Ar45z)cL8bYy?Zb#(PHpG2LCaf*M+R?Q{gP#qeP}&VHeRDmU0I_< z4m@D5I-OZHgUYLBA@93D_t=<@!K;oI47+$(r0h)emSR9oB&Hy4!E-5_#R`EF>{Qmv z=z4FIzmwNmC5`7GsMX6csQ9HPprc0?`b$!m;6N;@FF8645roVP>FJN;KmG70g{{BX zf2`lKjO&=1e$;AH>%4rieh!h_)Eosoyd9o(z9d`%J!F$1fOuyFK1N0*%@ zvR61VuQgGT6k>wR_nU#F30e`?=d+QHInnI&%pe3QZIX_LFtJnJGt+*I$YsXmp4JfS zfINH9@Q5zYwPcOI6;&u)fU3w_ZtVrr;7|BBB=t;Wx|CFcaE?C`Hr~Vg$3yBb^g}Fnz=~3(y?UBCWOSgC)J~bp!;5jLiOWSie3mVv2ga&K;8Z zom6eU-0)IASj>6e`YddcG*J+1wy3FP^=xh3s zp*WBik(8uyf6lcWf1bGv+=&n81+D$@_{0lOZLjBc^Dok@p>Ba;{5Ti!R;;R{I+Jdy zFj|dW5T$$n5O09X>QAbsMPZ2p!TzTdG4Xr*Yz9Q$3?`2TEaAN$hJTE-D~j2OJh zof3I3J6PXMU&m+0uKuvTi7E@`e;>W2#7%!0OtoO>W=)3BThh>gq!TA#P;-*Y3WqKd$n7PPM{Yt_ z9Ix!D+nq3Y{F(er<5`#38LXM=I7Msc83zCjM zjOa51iaup2!U5rMxY?`z#e`|U1@-gLY`cREUk6y<<}(gBk=Q3PHNIJ8iSFHSvUbw2 zE+0ahq`*i>{gRLhPrVe8>K#bg8Q4M|nn3T6V+%L*2_GoC&t+}M$zcwx(VZ+_*e6#KV@&#m4s9&_R`i$wsz*X=D-S7h zm^PnAnzxi)CUycSW;tKM9&Oz;f3Fa8Utgc`vJXU$WcV~L+a9M$~BYPVCWRTe&WtV(c zZ6w-2&465~?wi(E=DtXj-%(Le_CrdcLuS#xY&pkoET4DN^(b=aRmtTnZ0C`=ZmL5~ zNUaoEQcH}HW(1S_*H+_{ltNTkf9LS@DubId%A5`cMii-fn@?u>HsNPpfqdwyXfvPHJ}!zNd=(A zXufXz1wK3w@$li`M?fdioN-cE$^S)Qi~ zgy$DGEvN4rMq0ewPpbzNZW|jI295X?_ZJNDyiQ%Bi-4kn9<`&H-kY{CzPlDywc8w= zGKO44Yxn0qhk_m*E%7Z+&i8v~HFcb{@uLw1wisqOX3|iT91b)zPBGLIYEPwzeG-}@ z8jiE6ipt1H$XJ@NotKz9=sUriwQqO4T%bKR8Audh^w{OY>3D4kAp!>QS5PMC419(l zXVa=fANpp1h`<%~C^x_?K7tP@f+t$vWF^{OerrpE783vc1o~T`RFpVhib* zvYqj0X~|`*P{(C+L?=BuX0!}C>*5YLo4xSiHn5+qw}Jf;Xee+o`U_X}5pg7!VvX3+ zhhq>OzFU)L#$0Cl-T1yrq4EDwRXVM7MoH z{i-SZ^~%n_?*_hMQ9ne#cBstlPadzn8J)IioN_^ctGv zZYE7bQ{!1J^hlAwCsgSt!CIIRh#(VKR2{Ka6)3rDh>iq+)pgXYMCXV(b!RU?q?|+# z0?t2|403IpUj8BVznT5~pt>fV0>$K!h<}T9E00E)8~5_fctPaszWtB)9?EhJnoXRE zWH6%K*7*unGnm3}=f>*_V^~Q$rGl$z_wyC=x%NuZh<&&}E~ldI*#Mh0Ma02~73mae zCzK;2w(Nh_5OnNa;liNx7{jrT+u!nECX&q^8xE`O z1B(T7V#N+<=b-C2zPNyoUA6%SVHsBOQ^LNTLJNInUlF%UxsY}WLu_JW(c0czR@@&F zJ!5x)9M1W6f+0~UQE8b0hOBg%TifXPU$|%}Exx#pWg`uBipbyCyN~>Sy3m(s4Kb|q zF|X@5O<41`>$`wI!X8E_7vs^bM-!a`??^_YiF^b~mo6qOXUEj?HxxRGO@WuWI9{*qxo^xSsoC+8OtJ9Dk{rU)T?@-S6=Iu&Wo&Z%)4sIrY0Wm8kA$^)mChdDjuZ zc5V}%%Y4!X_Tt&JiHajTV}FL$XDU$SAuP)!R+wv}F@tmQy|{14Br(fWECwIM_2qAL zu6KOH9g1fbei~n)onp>1vJI?RtH4Z)X&O4PnzTv*HNK(VVq~I60%gBa#&AUDEnSlE zKYwCC50;d{^*?X2IqRO7wbuIil|JlSvHz*>2FgQThwuR3veZ|NWjc@hvsb9V=QByP z&HFo66UXJOia|sqd_IOcnIGJ1Zu~Z=L<5>L_xvH83N_VzQn5kSt2zB@;+Ei=8=)*| zBdhQKx$u=InW2Lq;HmVu)wH8Cdht@^FbJbQSKtOGP$2HbpnfL6*{5olPKBUQi?LAR zj?ut)K+e^@eaJqhwfHLpZWJ!ml}jd`)MU=Znxt-d()WidVeeRjl(9W9?;yTbU?|jaVzuk2`aRp&*D3$)mC0) z^lG+T<2Y_tsxY25%akqiMy%JU!r9D^)0-2EW}Xk}kE11`1uw+b8Ifpdp9Zz@81nEu z#~5r>c6PRq>JR7Ew7lZNMi$zx7s~94?Y~jq2N{j{qRZk`XQaq66In%}WIgSDil<$4 zul1|_5zk9#?!8#c7Q@v1#=BVu>TKygD;Q_WCi^9azF0PX5N@7eDF}MuIjK)YrzxpD zbJ>}1Q6+KD4WNT|I!sH-xQTsFqJWUq?Xgw-mW~06E=Q)LuNUn;c3W=iIym^povv

^B8 zc+oJkK%3XY#X00<<1{Aj4<(+V>iIEaulMCk|8MWtLp0QJQ}?TflOfpb||IGa}UyiP&-{1Hu!&(vC^+`>=Oyd7tl_= zkBGh&KV<1H2R^R{ob*6+h_9P}NrBWvCV;Ynuqz}o^e86|>bIq0AFKF6ZP|xOJGBr| z{GYqf$B}{@%D<+#I0z6@YT;Nl>eMr;1VAsq42{&}9%K{dh6PGd!;(>IcAF$zkmP0~ zy@?IvO()mQ?Y=mKFs2~PR%|x`^n3;399QNk#4 zD}e$H9^8w&1#6Mw?(Qx{3j}v5?(Qzd9SX&vxD_p>h2riX{r%r>CNr7L+qKh>_&AtE@7*W;YIxbDAhtG8owA1bAVNDBybLClCt2Bb z98sYZ&ymJd@Rc5q3o`e$^FR9OWt7=d9-Wt959Am0LNRc6DYnkzgzx5Va7@RC9|7=i zN$R2BSsn3o94@ZYAfit8m!6;A9DlQv=leAy%A6;4Q1i~}D=MJMNUOMq=C>buc&oS8 zN3MtkSyUw3{p3e9qG&J$&HXN12mBvgZy!GF1`eNOEed@S_;7@;V7f_Rx<@h^~Eu)^g_pyYg!p2jXQYufI^iY#A-&O_Ff<`q43sA1%^8yvzW9i+?@KN@Wv z*Q0*lnq_r1vJueQfY?*O)Hw*^YDfXPq_!MG0LcPCuncB8I817BzV!b%%-z8lT7=0# zL@|U*LdA_11Qnnptzcw)L8jz7duiYKmjNr-&?qJI?IOZEuO*X~)YK7ZRBVl~0N=GJ z3q*gU8A3k9$={ex@gTsaacSgq&CphfGWtgI5xWy9Q>;xp#!+t&vW2pFs}=>-fN7BJz=0J%M`KT3k}l@Y->oKy>ICY&qXtgEk^ zNIP$*4!OtM%9LwOCs+)ISh`1=oa$+Oz546hbI2=fqVa)*3zfv%cQ)2|1(ZN#5SVfa zworRHGWJNo`q=c}-_I?{u8F52GN;|1eKqkla0Hs0swGF5F}YyC;T59NjB(&XPYXJ9 zgGK(%R;0V_iQ$h;leKI3=Pu3nD~|7Y#SP~52!Nq}eriT>nQX}ilD3y$DGLo5%y98FIRu3}0 zTMLwA`+dny4=#wT(_Vbg{`|Q{jH|r>*y#E(L;8Q1BDx<2@Xwgx;lj!;B>}64epTTH z5Wx8ftP;asp}bv)Qd-ZRMh4 zek6tg`Y)_hC4>U=p5X@l?iYww$xD*(v<9a|tEUhD4T(5a4yuLi|H6THG4e+(V0;uR zP?ahwHvJd#{sgyg=j7`!PQN4Xc120#C6G7;8rY!+v?N{m&>+=#g`4!lf!9+Xm9h0(gpZj{6jk59kC z6EbbIF=<<#oqPjXsKg$8=c!#&WBXgyTe`+9t7+(m>Bn)Q;S;MWG^(5^8$N8Z%0_z3;-%_#5I#62xiU15jXIzcKkhbZM z*(njoTidw0CgCZ9a70tp*Dp|%Iz1OUj<&>jmidml$ye*a{G5JPFke!E4QAo2Ok@KHZMr3~y?<+z!*zU+={pKc+uJ?jHLdI_Ei> zKD?7;B=4$ZccEj5m?=HKJn5B;gw!Sq#Mf_L@32eQNe%1fbB-^S__aCs!e^r(l zr`tIW(d40qm44@vD^{j|`w7QNQlyvljzxNR@c16^Iw0@shdNA?vFIZ;9+G!x^-{F8 zW%{FA?)RbPuX2U|lnm873T`5H44YJ43#3j@vYgg1%0#}#klENpUGZ9QYTj~pTy66q z7r7%?J>EG`#D4zEetYLSqWUetlv9fYI z%kNQ7Vbia;F!aw7`3O|?A@-r&u~)sBBtE@E!wj$^{_&Bm>mVCV_9DewQMl=*|8$#I zq{}}4@AzBF3lqUSobkLxq1C}7`&g(961x`Tj8#`g8jAp+;xn`gz&}eScSX{AW%qa& z+i1W$ap2SAWA3%^_Wa#}Kg&u-);E(bAztGAmN9cieWAknr@uNH{!IrR22))Eq&17$ z9X#`V3kA<(!oC<@tUNd^o($pQsn3(ab2RUakYoXQU;efj4bns5(r8eSL^`M!DM*qp zGJ>6AB*wm&r|BrRC^gmt{2D2wG~VbHAIku$&n=zE^+~;f6^YlF(8k>z++%WwCFW4N z1=QxG&914^!eMPqo>_n01K)T=H~aIO*n_<7;8O6YZE!8VAd&L;%X(|+CCWVZgVUSc zQ`IRA|FhEUxDCYl_7M3^RHE?#I- zSyY%+FjbnV}&aS7B`2qeXkIlf~;XXY$}`Ytzk9PzM17 zuV&P>u2&MiS=tQvc8F*w-{tWmCo7jbs5lr|0R}GI7aMX0eXsZ&yY`9has62=b-d7P z6Lnug{XdA=*>0Z^8JALbz`O0rxXR6$6cr&D&*N{7LbWvq4J;7Af`iQdiQRhR`C>Ut zVKVn+q1R|Bt_Tp%TBzSQVz)CVvuWi~DwFY3)PvbY&K-1nm1W zi{ALC7BkThhW^=;BQex@7&qlq)$aC@{Q*AqB<;TZ_++$7HPWDQ*W7j3;^(vbE5U&G zY5DaJRv`%4lg{}?Tj#2TvXw!2KSxl$tP-Mv@Jx}2@c%-Z#CsN}>oC^asnSW2=g(~> z?k=nO3Kg6fuHD%lLwYzUvkRDJYnu0I?t&jO`BDIru$12Pj4NCxjnk&to6*GcsVt%E zoApdq`C8epND1PQlls?Yioe`>Piq}tvW8vqs)45fIj$MxIK$GPb09)^<}?t`bU3D| zYu|QC_^F-T>nF$DUyh^?>dFMPc&gEvr86ClR%<>;ywQD7q9mB46*QxG{p7o2mgkMF z;L}NBEJHfKV|&z+G5eb_!>6!69o_gsk3^@sb~%wTO%A-7S2fI276u2l%VHjdm3iG6 zexh@JQMO@2u|Zwws&iQx)n=4i8eh}C1><#~a+*$DnJp-6X`x9Xl&R(LuExIcydaKJ z%*qg*U6h)TT|L>kf2--JN>~x@JeMvJmMp3oyq<8{!aLFrRKh_-Av{^SoRt8y+^bvB z#u#%CCvKV4W;Z?5J~Gsex+%TKvp=E{RSj9*dHSCCBTgs}>wZai*5?)Z(sMg;ePhgA z!QolUhVQ@+#|F{cuHWi6YZ5o(sTKqZYI~v1HtmBuiI;!=FH4@JOAVNqv|sJgO(7^@ z8NyxJaQ10T=>tkrsBgMOuwj3?)wNQbUWhVrryQXf>ih`8-XcXMl_J9@ZEmOtg`~H5LHYvkUw9lL< z>`fs}1L2KiC)Xmr1S9+#cccA$SPp4cKYV6?>N;`@5+ibr5+oe2%zoPNY0mFG-@81C zq5j13gLiResN!_xG<8nUM$V(EOxZQ6>6WEpy(?z>1Tqs*?;PpCKdEX_#|tbKjulxD zy!$y~itP63z3DcVrO#+ebO>SBgHS<_%7C*1_@xq2YJ^>oN~R_fThvz|d*yNx4ML+r z0Zaqe>b~K84St~|BJ!~FAI|BHM^M6S&?q>QHz^q^#$xj#fyFvg~ z-<0PkQ!;Fp&GI({xuTqfF&ege6T|gtn`Y!bnbbJu<=u?bp0?8?v&?dq6=Q53$T-qC zrt#8sD6wKU6}G--H%6)UYgxQFh-!274fzK}DA=v=g56IiSls8L*QnKr=&SEYYHUa} zjpux6v@Sms;Nn*Zqz2CXhDLt0O+3wa?6{RAE?ON_ zb-w-SZC+nXll!#aPGpe%rM60`O($J*mD`Q;w>wSq<>+N|3Tcyz7terBZEc)oQ$w|s zW2W(j!$po>2Q_y~E71jwqk9Ja&KAw5UlDDlKaO0by!-zu#VV_MY)U5>mnZf8aX6X@ zdcFK7eX~j)SGFdcU!8@FwMyWnY=Z*ip^V9ao+|h5%nWFhymbo-#Uez;pQ<^m8hFVHV$w^#yuM2{8 zT?9OOiJ-Rcrb5omS(#Ymt-`qu$#n!DndbhNV&-lOk#803Jr?r(B}&GWTGA{Zr`Wo2h`Hj7KJhS0ss9*S1E?_ikVu6Jo;ifejt&gsidTJVJXz zAi2k%w?wt1qUdM4`rIg2@Hh|f&QOH-`SH!ShQqWt>kP4|`T8e~D3hd$IS2!a6#x$% zeJr4|EV^2jTwI!&_?MktuvW}3Qo+wV(+1+h;vw?07QQs@olxRfFy7vX2sRb!b+Kr<0QPG5#rAiyo((-&&dUuf;+r~Bo7Q>?~ zOgYR0VTFe{{vGcTdA(a->OdsQ_X8Z8fL^2PuSSlF=7BD9%h}Un`?jy{WM9v%GR3ky zkTIwiCB>K;>KQ)bvO!F}F8I;NJv}BR6fcwJlF6PogKL?~UQn(iCSLpfe_1Ia?q=GS z@ZS*CZev~VJo;Sz<5Ui=)z$2#$1(tPcnJu%LGF#s$bWm!5T1t~1N&6ZdCyyD=(K7yN$v%Nms#!440M!#>2ac&xKK)?86REdwaq zFB{7U2Y-)853QF5fw67hV%p8puzX2A^m~?n$ixm>3P~wRN1{9)@u3XmmK_=>V=vdU z=*Kg_3QY#`<&hgW9f^hf^QR zS-;Pi*3R|dtx3L*L&nj7f>B&mebJUcWi|CzWb0h~m+hHE0GD@FQwsl!dK>&OU^w!t zo9hFI_dNocvB#j~v%BKJ23Ag6nqqn#YfN~&vRJb5)XZj2Pt$q8p(KJO$}Q`|+57J2 zqw9?e``bE*h4yG@K~ktH!px9*!xsn@3$MTa5l${19GSXTk_*D30Xg*}E%Z#R9GIOj0B?DI@nRS`~saA)Im)@125+MY)_xpQB$Fly+I`JbT^Mw!wB-Js~jI!q;tm z-c<_^9OTH&*Om*1^9(QjkM)rEU&~rj<`V@!?mRuN_8X?rqI0;4`#sT#xcyC>uYJxe zB)^@eqIHv=0n0j3K!Eh<`j_3Br22tu=VK%gbhb#OJ$da4lirc=l_vh!JL{>^@fK(I4MSk#iZT=BVM^gXyf}3>JdU8TRuA5 z|Cfu34U=1wjqB@-zcr-QtXY3nMnY?HH%pSQ@4|5sv>n9?Oe<6;pKc}|MHl}#CH0X! zZ#-}Bxa`9!GbdQ_dajRi1fC#%H{aGdeDjbm;97T-V;b2R0__O5v^fZ{Grn--0R>xB zx}QuvXAv(ot`VzN-?Bnedf$FnOL7t zT2t{2Tn`7Ve zqsbFJ#1hZ}kDs{`XqeI#iZc9=zM8Nd<}iRNxSszT*J_X(hmnY!DC-j zK)epQaP(>ZtJeRWR%(8AW)C(aoj;GdR^7EFSG%3yq}K4S~WK)3EJ>?+8T(9CQl3{R8_7O8DYMgzpIkdBI(=<9TN5T zXOG21P%lfMR_=B)7_Y3y-v7v#!c@1{eD~=6q6rKJm(c68(77$3R=v$z8!oeRdvOGv zEI#~Et=>O*w8pwiB^W&HdHtVKvX66M3)fK-_5PPTJxwQ;iRr>hO@Y-Gzfc;34rjBa zB56K}dMwMwkqG;2d^c>ptohls@i_F-+*?rN`l;24?u-(F=v7#$Vy@p!soyvJm`+g< z`zwLT)c!h`WczPz>uZu}LJMTXR}X$I`Z<12R4?!BZCu?ykCiKYykT9RFI$Oveu@fu znS--12kkCfs4gbTcm75}DgnG?=W9BrcmMiMKc(@1c#L)rve_oTbDer{wF1TS@)Y?! z$JG@|maaXYNzfo}a=$>`<{+;2h0B1>6cwSw@Fo>W02}mQ&^X*&xYl$~3 zC=Sils;uW_dhs?9-q{VvgKP)OJ}Wej-b>@utY79Ja`nt|Bj4sTA{NnW2()9qSTynNIxrt7;%np1->>Y~-`QeL$|i)H<72nm zojJ%P&=8`0f3x1CE9DWvnM0h_bN=J_tJZ5IbsE5AJ-c&u*1P|L)}8sVYUPc7qT%1! zkT0J%4}DGvS37zVI{T9A2g=$c`aywCx9x9)F~(-_8rZ*%MraPy;S*rJQhDfo`?zU9 z{abzIEX^Gc78cZGjicp3{%d3<;gqE*x6fk3qF>GfQP!>;AY=rVcj z%ggyR4+Nh9#|Qu!1zdMT|J@qmL!%N=-lZlV%muGwmP+#=C%U-$iS zwqCYZU7E=FAX*a%`A&iah-n>;DX!@_w8CF0q0CN47x_NTT6P?6soI3H`n-r; z9k3)$T|hWqnDO18j_Rg$(S^Ef0H;SNe^Aoi{Z`@L-^QsG+uE+FzZ>2Qs^P`rQbi`w z0sAVgOTE44y+%UmC#$Qy?5kD%y{;Cp6%`U{aF7d_bR{OQ4hBXNdu7rQQji3DuLjBo zqwo~Ee$yaL9qFobaBX*Y;du{8d+C_y-bjyY$vj*RlV-F3128rMOY5992>4xr!lQsU z5xPCk*VhIzgbe~;l8|G;;-DA6jv|;*NtU5pE$q6O$4Js58k|u=E}SC<2w1AGX3tjG z;|!?~J-ye7Mi_|js@pEnxKZadnUT}B5wOWnW zY1m@p=KCG?Q>y5%o6}Rp(#*GxGEWwCs$$~`za9?qxY@mFu^m6vLwVRm*lc$FcOVi=J|jUN1UpZ1$(S+F(eU1U0t zT&;;+y+U9Q0s*SXB0>-VGy%#`fUL2O0+JZ`r%|&mfNlm^7KD(i3{B!dq2$XrpPGXB zFRl=nU_*JpvSJZ6Gj9QKOsECtHv~#3?&B zj=FaJ2nNto1Sg&t{9Zo-Fc=fjxhIMyOj`dtZ}_A%<~WtX zC4_94ZddxaAo?<@$FCfH8-OW}mV#`oIYx=}VHQu409d;ao9)6pPRbH)ixUNqUiw&p z2SZqXc~eAq#6!C#Evj1=!<(aa#nnggSC#Na>2aZQjr(0f?w1zM8{f?QtLyN~U6JF% z?1fcZO#{K@r)d3^=e?!G%1{zDcMRlUS0##k7~wfWEjOW-+QN@2fDZnzenGqvIW#-mj)Ys-h_zOY&qEAZp61#B>#A% zr$Wk#LZ(!aT0J zGBV&*@M}P&DFz~7BVrzJYeNBYkv&h`M`X)|C{GmPR^|@>jMN7O1?NL3Hl6c7_`^8y zN!(>KWXnPZvYAkTo(8mU{kxp*->)*MrO*&!L+!Sj)yC~;f>8maIw4?j>-YQeI2Ph% zZZiyoTw{7h;_|&Aj@+c8yxQ>r_(ZHMzMgp5DcqiKFPPxXLCwYV@klU$5Qenrf zKEzyP_Rhgh0bib%OhJpo{ang6j)<-Kqoq&g);Gr1&mM#^+!(lvUfk~1MC}K+Z`Ft| z`>qmsWW+Pa`T+jJVTQhK+w19U>kOZak*YZc6&Hr9MRvPC4+jbMhQT-n(I^7UdIQoq zKxp7FCXmj`fh=N;2)8DdnylO_q}Kp=!YjhjXvB-0KO>#__sbvr$3?x@Enb+aD|_+E zo$IQ4{qI)e(As18;Zz0q-g4Z>=bCIK1u*{8d3gC{n0Hb{0-`C(K#v0H>X$8hjwC!J z*xMumB}BecmOt7m+CV$Q(?CPEs%+eu@g5_s-8bKy`ov|bH&~yJC&=xr?d@M`q5|e> z@PolL9j`3ZlT;X`AfXhN7L+)=AEa7&{bqjiap!SMFUg2QiswH1e!m1Uy@0Sm3Ejs& zQvQ3ZSR^;$r>2N+bug;u@&HQANdiDUpIpW538}cMwN}pcce9P5H2&PIoXtqiK~1#6 zTh3^q*wCaeA5wAf(MRp#f;S^jK65H&q}#o_<3<*hPua>8$R7*R6e2j zv43m(!^iXa1A`bEeQ^;$R)86a{6Iq-04EGp`FgFtb*sk?phr54XIxmoV#Dt|-zL`6 z&Q}^oqJcS=W^%HL?`nNuAILjhe5${TCbayTfM1VOo+5AGGz69)(ymn^lpAJysetdwdR~;I#T<|#dzOFVF zZga$-eOgJJqxY;<6EDwcv#in2!;;+>sIr;$S{wpK31-Yg7w9P&k5`GD=7rEiCUu&~ ze2IbNLM35t(PU#8=R6g$4h$)o0CdI&=%R)t zrhyYMI%ZEDghGK1;XQdD2n7X_ymiMI5aP3aPhR2+uTF({p)8gj+TS;ns>K zK;V#KGfT7B(#GF<^S)Q9kyLF^@#!%}GdE_Luu|Zi3)$;#^uBYwHvmjB)1NyD#6Bx5 zFlPpuVvclaSODtG&Z*HA!+Qp$P4Uyms%l0!C*@k&h@5fiptJ-EX3~i)1^qrz!Fm{T zFHGQ!({#h@Z?T^1g3Q8_h8_Z1up@3`i`0basP9XUC_r$62$j)o8KBA z2C<$(ODaex`U$}FaxSvziW$l{8|v)F(3QVbR#Z;mX#R=@eJ7U|ex9Fj~2yC@DWF0hkX92NvX=+sc5*^lWxv;+pHO+VzU?XMe-QJ2AqZQ(P+NH8ycWz&}p7kR>9+{HW9cyNk?_eChd`FDbhzFlo=!{4`HYiy=a< z^K-3uYuM9s0p}_r$e0lu|76v{>!{1WHD=Y+Pl&%RL2T?7d>)P-a05d^MDC3+17tDy z*Sbf+!FhTiAxVRQRbnI^e4dB){$26uTUUm)t4@nP#%bV^J$g-<1WsnAHSSBzFO502 zdsVV+(d{$QW6VxE;S;XEo*wKZPMUZ{47`gXhGiEm)CfG4xahLcS`?dPwv4lj8&Q3;c6$0}krU7uJ z;dpctr?E@K)%}=1z=J%>7h$*}`Rb05n?a4lkc^$cgh;}K)arf3hFn9a8bR$rB2BpU zn{WI>zZyC+*~8J|^W%`JfrM#8`wST(R?+3af~0sTBdDJZGZ|N9l>tMVDWp?ld&dgCRvuRRGA_!hqI1P>6LU3OcY`iTp#K7IAn2*8s}fy|>;dE`6d3VjB6)oVY*6Ki{$LI{_`gDK9Gx7T|=! zg=GYM*wMQ8oXNoNRvJvK%8n}4X=D;IIx9EC%k^&+$z{^e;6t{as~l}+!@=gGp$U>v z;CoH*IR$}0`cJY85*(l;PEUaZlPRxEaVDe+7*i9$q3dmkiE(@yV`w~tYm3-9{Af#T z=BM2qwZ6dGE>dZGYa166@7_&K!4DlzO{jMYTT4g8w?hEiOrLT#>+-XG?e#2xgxbN( z#WdvtUU{e>tHtX-!$D9L9ArGTZ=w~yW1Uos<5jJy(XD*)=Np*6YqUN2*e-~)WCY2^ zHHP~7uUB%)F^SONYEHbJ3t<3A>%y#YF@dy@H7@yc09Vt5cV6z=;C=0`M2F-3!uiqE z?@i&2vz+f+{I9&pN$P`${C;Pv^oO!e5vq8yq_Tc7VDs=q26!&yl4&(PY#pYj^!}_@ zq1f?ZB#7d7A3w)XD}9YRZHtj@hp!)E5zK+7a{7U%D0GCB=cx?G2d8wsd{Cq$ROBiV?0!MY2 zJ+vYyzGI!$zuE56RsK)^XJ>z$1QY6DZa$!YpM*WA*?7?jtHBBx<*1Xqb+$$jM)!tcsKXsTC6rs3CO`9F7k z5o3kUms0BT8S$C$BeOCcYUWmr>62w6agUZF(*vlX5eRchMKfe8o%LP2)ht!~Z(h%+ zK@osKn{f#LJnjyuHyNo~!2!55;^7$aEWz@buBNYNF&r?I0kVITW`1voE;D-1h$Ksb zXnGqGuw2e>3g0utv#Zqa#CYpKMbsma^Dp=yd1aJYZuMXKAyg_16qo~N#ep!Ioe>-L z)4nlBMGb*@A&dDRi$RF@ZR0r$4~y=We;lAvP4Q=MhDAaC&x4q+~_!3 zGmRzu*S5Y=UGs3L0x$bS<|W6_}+ClVM0ch_0@lTN+G zxSOC9ACF5D4ArmXMgQQ5fLhRs>G@lB}taYAgC8!ELXxDo2L}@%vsiH{MREECvbegTsxLAJ|_k*~=P#pC!>3%8F4O z(J$0;-X;kgA=PJ38LnZ3P8xkNC+#+mu{?&)E3al5*b7sB)l?HyiSvT;7)d@ zdaw%a(1d`?u4qo`hh6mBeQ2=3D2AvSM?6pCc5I-UfzWSkG^A?EZ`hHP{h!`;~qAK3{gUYISXPad%JRGZS_c zH`7&J*5V;;Smm+X9(KQ@+2(jHVfd1v?T|V)nxPU`Mo3l&ynj0lq+XfoFQ}z)%SrCZ4W;W8wFtFX*$B>9|(*NwY&t39i2uraX zCR0qx7eZY$7J{k3k30l%4GPT5$Ke&9XV42WeK^HGV(fr1!N<#t1mG#zF{K{r8 zo`yE3F~@>0+rVZw^n0iFM!X<}o_WSGkUv6NTal@**hUFS>5UsRC2Shrj(x zA8gBQR=z7fxbHM`W4)?wre|Q6VEn@#1Xt>(5*G;llO|K~w>L4+yf}qa1p$DJ4oeEu zc@cJ*nJ>h=s&v`N^58i(B3)%n!jYyHT7+;pkjyCf!z)RAn!f~dEBUxUZD`V6j#C+; zc$rDzd zeGFf+`zG!J3O6F-Imfkua}YO;*LdL6LY7cFgmthtqDBzW$qmgToV=pN)9b+G!i;BO zHT|OdvPaZg*C12gUrXa|C-TMP+^wEkPIUe2m?<_jRjlV>>c5ITEfTO|^1PKCT_`GS zr=|X5z@w+Z&pb#|nxL!KB;|ydaVE(07mk=rO5~t;zNGcL7qhy*vq4Oue1*Z#Yoy08 zrP?NwXffCaFL57Z>$@JCQCws>((4EqNK%RzC8TQZ>PV-5DPENqH>tf3W7tpCo2A2_ z1c*z2$3QOBP%oHq6}9s18Kj>ZC*;07Z)A!U1d_1z4P=u2(`5F_WOh-ApAk!Yc^yQK z(#>_B&Put9-;G_446i5)?t3&7tW?aXPM2nag*RS|kILi()xSj3ni zYPM}N{yWRO!c#j%LdIEZKbLt$?vw`Sdqk{wA$bG*KTvz!y%9j|+-NOUsgDM767KTa| zvde$MW-G?`fRh3xH%QW!9mwXPraIO9+{RZ>sFBZEkh-oNCxV!l9)XFoE|)j4MyH_P zx*I#q(YFR8HMN`inwj8|5VopTlZZ~@luvOu8%jBNQaqNu?4=Vj*&JjE@ud{VbsD}%Im_D9%~j24}cSVCsCU5>^)o6^RU%~AJ@{%<;cSIItp95R7D)L^#+Sf zwvA~-y;N^gwpOkrwyza3$Y=v%oH@t| z>^`6USs%+53`%eqO5_(+kWY*#*qPPoFtM5_I@lA>lF&nE#8g6uA+YU0&({w{?t19D z@r0*#r_Vni3jf*bo`}5b>@hkh_Xj`YqC5T)7nalqq(UyA~_VFT2rL>gLbw<1*;u z(&g>9cky_%((;M(zA;SNaPKT^svLJ8DIiZ0q|`p6-7CBI$@fgXWm4#Bj!Qm8mg2HY zs~&u3Y|A`PR6G-@7BYk_$)0P9LN40aj|~v9!6YiuWlXBf6=Qd*LSS*^5hr7Yuc*?R z%Zw!H(>CiY>TNKdX^gjcb$lVJJ{=m4icP;r^zy^PPRuK#mQBaC+r)&bc}`Ki==;Zq zUSas$+PLVo<2|l8p)3gEp$6hWnoY<Gk>gB~*u%QE#wVM`eg5E3Cr>DQ$)$9bC;x_dJzH$e+<&>hBGul%);z{WENV4Dj z8O1?V!CrxMcJt`uIWDVhO|CXkp5P^7K}(CPMcy=HW(ZjgE$2pN za}Y8@C6dc!W$B|}!q{`a$fvri|Recg;&)ZMpTF?!TlXUPl zP&L^+ytF|Cn75S8;7RxU7oJtpO+uE_#d2prmTl5Bg{-W_&j8@{xnxg==>rCSx4V|P zU5ol6f~l`nhDa=pK+{LfGW#^DzcwG>-1T&{pBSb_oQp`;y^6qlLWM-lZ3MObwQc;mLBDL~-Cy*0MswYF~)S^Trl4fWfD*9M;~^dG}kKk(PJ7l@;jvyr!RxS5QY#tFqr z05keRizrNtDKOji+3dhFAcT1DdCGWpanSUu`>?fS6gAvM)qIsedNfH~0BU&{&rvI{;nLau*>!W*BLc(MZHt26DDUUg zPhz3@8hhjemX;Y_12r8s&X9kcGW zWSG(|!T~6Czb<((ztI!OrPRrb1p_GXlt+!CaZBv+B&=Yd{G@%$o}?9*{4`YtNib3X zSWF9qEM1HQz>8264+7`6Zq)g%LfEnPmvC}3*(_iU?q^m$LrT(ta%N~QJTMk;wb})R zk)}|TpRdvBQrJmhG6296HWdT6wcP9qdvW?!w!x&pr&Fj^EohPf5Uw&_$8ZAfMWivR z6ZYwAUB(!?UG0Sc$yg#1)@Irn$Y_~nlMyaaG8h=)Au#;iBvt4O8f)G-eSinZbK?p( zV3NUiec1yn!VC(cOW92;X-jv|oTF5uj*UmoVY!*8pM$H`@^iYu0Z0JxP!zcQHk1Y_ zP=`wecg5Qo`V#ivu&sW6s>JJ;I36e@?>)hjhVO*+@|JG}gUeD~#%BqX=qk7y6qA+i+_^N6 z2`v~1FVdsyr;H3-(}(^}aO45WGa#SB8UsRIMxq1|=zzmREW^WFwyF4g$sQNw}pU?Or&;Ge(NGC&zn zeikSmy$C=fh6av+5nyp*Q@@%!@tv&`1LF0`15r28Vek}z$Uwkg-}K|ggxl%JwC_6h>+e z-h_ma zG_OPhp~FuB+hFKDHYvpQJCc^)t0ER9^tXDiHtvs~1lACVx1!&R(HkLkyW;)37-WO- zb9$B_TTdY+2k06KK=5=%{q#s4k1^_%NARZmTqlMKmqKG!6=C*mxm{nJA^8 zm+ivWtv9&=&*vx)i|;%e2`4>~$oNQYwta1$%UPVpY@7z-TG)WtIjPLN+GdV|Qr4foCbnn6oC#%35(UOmzTjny>(0758+U=OwYh*wo{*~)3#Ep)5CN8Lcw52(?mSamgq((w>){K z1Sr51weR_n%VO|f2LFY8WNzv*_Gf^bGd|A~-=(yG7{Z&!RVCw~q)nM{XD~V+GH^3A zo~sYPgKofy@8Li>ev_@3DAWkY>py!iY}{{3e)Pj3zipqs)r~`_3E%G&FqVzzGF47oZd%1;hRv2XuJ*^_}c0Khn$USE|i<6f0+nH*l~K zaXb&j!9X6wZc^NyWDOij!){3Ta&`z~0ZHe?{~Z1JAo6j?fPVq=%NhOC`7fp)3UZ-8 zaX}pS0{b-*ya)cXsGz{4pwecz(#cnXmlEjzu2Ff_Kn8%*IZ^+&b*MCdnhq9#jWUGl zZnt29izSFqk97~lg+7O*s)4QdPk@R5+b!tFJI z;!7iEa-292XNi!65s1CQs>^vHTh|_wVqXa+rdzLZ9XEDTZ!mhRCuNq>;z7whBujIBd0WkVJq)8IA4Pl#&Ob)__Gf#*v0^np$!?PIS{hR+C)(tz`!s+&d^GT3P ztMR%o#~cle@unr2uN~x47v%h+{VsCyBVylN_n^LfkN_YOoG{h>&A^CzTvZzmEj}EA z+CZK+p8^ctfLxSSV_KuB(I16pXg_=}B;0AQP528z9Mn05$&NJ!hE*FuB*Mbv8TYoA1X5Ru;N7ZAJ``lfdX69Ay%gZ#GnE7px?UH9(JtZkhdY;XS$U#rOB*F(mwFFJH+j`=vgR&|vW6 z%_7mu^H-Y*O=ZhJ(3-9`TW1uj$c%kv!?a&r5TGTC+dKD~Y%_)g$7?aCOuWo7ny;4X zr^J-lxaQw8hS=Z~c9?0WfPnxv$Cpt)RdMrZ_5|m;}YPn z3NAw2xE^4O9yGaY!vNGA*ie1#4M0TL3_vB*syBH_ikl8adz}uxi5lECjT6?;*Z2Kf z|IOk5(e&N%Tz~KT5)rbpl1=ss*?SY>W$%zZ%go**J1Z-Dhh&8iLdf1bNw$)e{kvc9 z&-d4(f6~kA`Fx&p?)$#(>$=W)E_~bvx796_`G$g9^=>1qQudhAio)4L>jx+aiVVs{ zua_h;2a6FbMaijxDC*2ab$N6t%5vGGl;bV7?mq| zg5c?4Oj2SXJfp8vJhcCG_Fvvg@c;MFg|p~T^ob$O;kbds*XutK670s!bu~5nGZyiW za8^@vO`TDW%@-!!TxB31nwyHP6?pu)r^vH2>T5O(oYN@QMQoApD zh?kST{NxQKkhG)${-pl~$G~eS!-sOxn`2Xo|vnQ$el>Szw_uDc&2k zC-DyYd>|_Q)zG=s9Uf}&#Q?|h)p29}zmYiHxK))L@yn`~y$rZ!e89!(!H`J2>yQu} zM%GxYmOZz$WTLM>J2z*bt?m9R-P$0vD6G4|alVtA;-Puy@AD|x{HCT^zCpNCJ^_K@ zbY2V;D({WFv$L~m2 zP0fNZIZaJXc9QXJN)eA8vWMnqv|kQBLGZDxy*KNEF<>ovS5`LKLni$dK>YyO<03=| z23}7^JQUFqJ3%zeG1@vIrqB&Ctf3~`33Q!ueV*(U?&k; zU+sx)`O}Hr=s0hsual>qfQX|Kn>!G7)EK!zF0kx-aCx#^oeU@a`NT`WOF8_HDy(z- zjyuD!-9PogH@fdNt($mn7U7Bi`wpRXJfZod(y$SJ_;!3R3q@T+qsIU8Y%o*6K44hl zYCj%U`2*T#yL0uVroQ_^>shXU`vat8&>M+>tF6h3{Vkw! zprrK2<0C@?levh4gCnMf`sz=F>Gj!k`=2nbPZxg&Hr<4i`rZ_miSc%FXYp7n^Jh-( zB|+j$8@Ly4Hs4^WA8v*s`S>w5ZDC%X*=W98h-F`)y-=0V46}6{K5+xCI#g( z4_Pwqr-AsvuKt%t)5BRp2M0OV7q!FUnQA3BB$dkwgbWM}3X`D}_~;;%-Dm8`!ZmEd zR|N;sxTuBQHa1IgOf)qQpe)I?hzrI+$WMPUuh|?gA;k)F6RvbsV<5bJY25mBzp?#t zH{JSex2QA(7t>OP@{^B+dxdFJPYa>ug3pSPmE%8k+j-)<;lz+iZ;F2|J-n z;TIa^wxb8TCG!C#tESFkDnH#LDZ3eAWOEDN0U8Cn= z2-dlDM0tp`duF$JO=YFy)I0q|jZoJivRX&Oh#1zQy4+EIJPv(9Wa%V{zPvhVe^N4j zus$rYRa#(PGsDri@V7SSatDg@)ICAB7<<+f))eKr*-q}5sVSZ8yb3*bc;6g1j{BeT z+Pvh_XU@q1&_& z2}3=x_bbZFIh%gIF3IsXSYPw~5ygZR)(Oe7JVd#!!vX>|li$(%bS=%)Yb8vDv7k$w z>B-xLi3zu1u@jWfzXPt%i;IhokB{Lyb1sviZrNt+dwnV^>vK0|u}qFTIG%T2uCqzp{_B@j zwu`j#=J}NpQ+D06zSqQTIvbXeYXfQ6v?N?6zQ?oH_Hy~P7TUVH?f-s6m%R1fI$h6c zf7%g@%l?ea>jf{(aA#nTLL7Zo>z4 zxF65=TAW*U8)j>*tMzMt0YD)W@pzZ~L7kZ)NvTpX6hGC{vUYZ*D*~Gq-q9{6*S&mw zb)uBSScE7;UgTuabNLl98w9P})oqj!Y?5yC=TPM772^0*c`;Fq7eZazAmMs0y=2N( z3)pkb85jDPj1ckMb8v9T5`3P|?}HM!^x|itLPJA?j;`)qX}CK!Hnt-rOP+hnCz@r+ zxRD$r(T0sq?i5TZ%Gx?Qo&5*@A;JHU!19T^xoQ_TT)n}083^3}79!+47K@mNsl)4z z^Zt;qez%@1u~mIE?}@p0CR7<6zv1V$Rj$Wg%oYXH2DOacy<8ErWa7h9lM_Jm?WaS6Dg3>H-cfzYBRZKsc ztB%Pguc+Uy>pPo->QevLUm~pB_Sji0%e0h>5K{QQE7+!Qc&+1x)+>`-?E_Sdb-!`P zKzL}e7UitXxuT-vF9OEnC)^;n;QI6@*fyh=%Ev(qtv)X=ueewq8yh9E!Cpaa@d4mJ z1S##fei!|nm2-b~5>4f`7ec$En}TtBZ;m-8TgOnP?Gw#w$l`lLXhzKHVjglR_ggm7 z)KYEy(!89O|2>}6E-JR{x_tIh^5W=R*5^nmRwjVjbNCqV8=F5SvbP!wiW7W<)5VRm zd{X`K2UgEazFf4MLy4vS*~53bVs2U1a&mHqZ>Gb(xbZtlM=o^=P2;A7n`Qa_?dvul zZP@*Kp{}WU7cB_){-{uAePmR(d9!S!4vGOCS@=qGm8HHx|Hfk7tjzl9R41JCH&}>cq~?=tRae|A-!;8ZZ<6n4eLOaMC?}CR-<>LjYVDU(AB+3 z*LZ{R%P8-n#_j8^IJrktBA)fXlRb-P0%}#V+NqpYN78tA`^h6bH3Xh!VZNBjOS^R# zqBDKxb{9ShqAYp+HXFpr7Jw7FRYpw?v+xq2XFN4}?0a7@NV1H;Vn>PWr5n-XvtYJ3+(k*(N zR%P0LH5_nt0J{RoESee9akoDc$o!8mxxNXQJq5WSQA(?;+jkr0AvoW@{BAdR`ZTRP6U>E~up zf-9xWg2G&cLX$DIt&-fFZSy2slSjEH*K=HCgVY-%+mcrJ)ev2ggtoG+X9Tm5_Hqq7 z+tyaBz|ud{>mySDn1XKo7NhCR7HBVM=0$PQoTD!9YK|NfBzq=%pD$kh5!sC2-x+9v zg_^K8k{UqCnfE~MP;zqEU)h#HBozN_e284ydAh08J!7l%WB8Rh1CN{d&R74ZWZ@(v z!jI~mbnG(}gEw$e)(A=7vt2V59roOJHfna;6gyeqwfaWpm*K?=j|W6kzg4rkvK&GZ zbLG5kRdDpcB>E-?DLl80&CJK=tI(fqZEqi(eyTDV1;jRavxtw7Bs5`bYl~JUTm|y% z!pG++DJgEG&EHei)GXoZcZFpMFr%2yj4+6_52IL zTnnmm$jykMgU+hI_-+!l3)dWJ_Ks~n*s@5Yjq9cz#o1nkMiqOin9%rATu+<6lQ_#T z@dU}&j#&R!+X_DLyv18KLKf>o*=#!Ryf%x{mf#A2T<^oIq*^{8jM8FFQDNj5Am@1& z=_LC&3ECyr6yN0lNZQaTF(!?2!ugu<;=kTlFsi(PcUJZ?5#FvObGv*p&PUlhIzZjb zGJN315zo_Ozu>v-hbMM8a&dY<4c(0czacY`%D8L+dN7URY%zu&262?pJ>O;MKpx;t zyWg{5)2%`R8f32k4FW=W8%Aqui%~TrS&;#792+Yuq)-c$78_XuSs4!kVsuAG$I9yJ z-4cM> zo0b1Tv;0J4w=R)hVT&PU?nIM?INS_+18?a7Qk1#@^j+C9EgseJhTS}wh&VXxVQ-=7 z?K*LEceaRU+wq(|vM+%GXsD^_y70U=`{l26fJsyJo%K_r@mk*1SgVrKYM)SYeuNFb#9Huh6$$l11ysJ1@1PueP5A%-?L5 zm}1I2CE(RKKAAZ_x%B4E640h$3sj!{`;b=s2F({UFvDG2X}=0CqC0wJ?6%9S}t65S1$Yx#LE z<*Dygel+JU2fHP(>}&WGb7vT4zo#- zQZPtWen2Zrmk5FBb+%bT%4H(z_2>J`gI}r{d}SX#@L;00R^?Hz&$-eOVzoS|*>n9d@R?nietWr0)fI>oVSda=;X{1~^Cpqj>dD%_lXA<=Wqs>s(j z9T^^;quWKxq;lijvuJ+9gd!MsH=K4|6v=VjjRJxNNvKeIF4R~Kq+)Y^%q=dqf@R^2 zartGELpC*P=yTOilI-QZQ&i!#&okSe^!1~snu{-&Plg3oV>F+L9U;ky%El$gU}Mo- zZLpmJy}^+*TCVpwPFEz_cyB;C=4d)!QF1Bq|A>#Z@ge2sLkFk6Kr86H(qkv7lw}}{ za^IffFsMVevgrcOO91MqvFuYmdh{J`Ij1x zYuDYmPA=-096gq=Ur<(X?VdoVVy40D{KWgMYps#kti-PG2aBZ>6{`O-d<70Prpjf0 z5MKGmdcIp_CBTn0JI*)sB{7-8(9OLyoA)Tw8Y`=D6L;8+lWcw};FuRCZCx@XcJh1Y z`|K%OOS+_R$<1l`G+*&yA&K*yT3DyZ*3<}E*?q4IXhyRn0wBXfnIk49Hc)aI3d5fh zm0_7I2^6^bUprGsL7H*^F*;}k*L4)Zk?G=o)%`=DZ9kUjt(Q3j6p#{CYHBIUML<|U zB0D+|EMJgfnN$)|n%>ct*|BPj{KV%mPBJR~zHyB(Tl_JLWk#`@lN3v3d3iJ72H-i6 zI0G4~kRsuvpZm}_x%I0pnmIa2Y40n|eLG(Dc70-Hm3=-wKNBm~=;nN|(O1(a(5RDjwXGB|Q`MZambM)uK5M|g=)8Hl*hVecvfpvx`3d{*wUj7TNis>a+|WxI znJy&lVBY;|fUDgXmO8BdEJ%3@+S)0c^!eLS8!u?*to}$~MJqlW2`E0m&6Zen9dM$y zt?RP-c~!>2Y(@s()5Y!7yjlFIrZTH#O# zs*|crudu#n%SUq#?;4T+;LO`lWp3D`Y!opnK;2Ev16Z&t*uhYIQ0AZ`9FX@Ge5~nvh#q9J8Xf zkE&fu*p+$mJM(J!$X3pm8(GU5gSBGg)^g{csoJu#*A(jxByPJF)vZV9h|cBa^ColY z!L@_Yk^CKW)B!**;Zln)ocPT*gwSx~$dufGcH=;lBXgwpK)v2%N9dZ(+3MTd-baU* zdS1I{7A8w49%nD`d=VVKXRrJRC|-F)hs=w2kc|&epOwu%*I+Sj@z|9kHLmkM+NvEA z2HJv^HMIt>jKgz4Yjp6qYV7G}swmEy&cc9pu6{hMx80kr4xv?mt#sy zo3Urx2GuBmoL%9Ks_kRi4ARbxCtuR?*YzpS#Vxj*0zS7{LJj<_xV(OHUcv+b-AHWn zw}$Gifs`>lV@$=RhQoP%cjJFArWYK0O8rwX4{orxiQKd7I+k;Fpk>q8pz?Z1# zW|=fIjyrm}+P*%2VkE!0^WBy#T&;aj*o^Gf?!)FsLoXSVPEUse+FVvTD}Xo|6j;Uy zmJ~_+Ts6itS4toH*}DYB<5GO^vv4U!cHzdmTZgOTCw{HsFXkN^IrKlsPIDcJak3Gw3@3x#;9%5i>!^>$w^$VDh5x0no;|L$`_>6<%P40dhnt zmdzRc+cCJCZq0h^SHN<%{&>X(q`NSjX%3;WrEGk5Z((Zc7qYMQIjUZ$*j{tWu&BNw zY5iNY(Ss*b9b)5_-0#$ou=v4!m&H68Axv1<+Pc`V%c5Cq;Oo^mo?GI~nNNDBVDrd!6S;pZ{SqyPX)lVDEUU!Ljw$ z#MBf?d@mQ!M!-$3rRaie;{nv*d$y}o*SI4MP&A?cq%;r4N3fHu?tfInD>@JWrZx7y zfC;dlNsOw1XA53+n`@zKMU-seXf^ZLCC}w$eja^WYhAVHB->+RpWn0keBgr{ien)m zohO#@oE9WQrw7?#VI*|T9n6bi$%qn)J6(SOW0hA_wCpu+10OCy7ETb|?Ixl}O$@wh zdq;aM21aNC4d&ra7XA;UrZ^Hd0YI;si7={6v*g#o%StBUbJ0$TmVo1;n_@MFJCj$V zDUqWj(TWJ!8~JmCyavosA2fIB%N84C5h^V1bG9Y-!k;g_T;C*rud(^)Q}%y2gklen z#n<~93i5(+78$>w{rhM&m;t=r29I&NiaaK*$f#jhjiJ?^Q=RmaP{otf_@TLcSKWeK z;_PtPc|%#=oS7+SeLW%;7RIk(1ch^Zs!wv_3ziRE=!N{$C@Mq9Bq`&-N#Q<4{_)IDltWErt` zY0dOg9p{@v&rFWKnA~+?DFRM30_WaeILh%w-|PRDZZaYw_B&-5^o(;qTuKh;$3MIS zDN~UFDM|oee+oJeM2;gI8(Lm&=i~(VA#*U?jI1wuaY~`tE&x29K23V+SZvETmajX?ul=;a`rG;t$~Hb4u#cC0PyAnus|r*aH6hYSJ4CQx&P=6D zheA+Tiqxu=SU8BVl*@MK8w1uwmhbV|jDQ%M-S^CQ+0$5;sUT*{W&^_`StfrStN1>f z+)0MTaD<;g#0VSFTz$SzAK}q5-yjX<@i#cP5w&V#8_4;`!$3XHjg5Wq+W!G{7Ihl% zDzc*)pxILUF$Py?A&QDN`wJl)XICcQ+B9QL-m;XfqbEco7kigr>?#}h@mRuAWwu_ z>PM4uO%V?qZoN*W84ZBIPiPCdBEh(!p##GYQCS6$o*MKb5Q@e(YY)e@B=nDNYWJ4y zWq#c3|1**@v2mf{j7KgP27pLgd7RqVZB&ja$M*%uF5^!mj7?2VnTY_PZj2UK55E-y z+8%22w7dJ~O0`sCG$}2yLOu2^mF&51<4a3U`Z_W)GM1KWKsUBsU;d7#5ZqH}pN!B*L}Y&nMhJua1#EVNS?O>QjPPXn_3)B=uYz{bE^lkvx57j;=|J@q zI?PwhrSD5=NQ~6Ah~&hLCoD(1wMo;uZ{=(lxTFvhYv{fTj3l~YEG1h`)2etIA61EQ z+a(XpmkuaGHGbnya%dQOjm!W+nqID_1Jy zRdn=?_k854%tU{^TR||d)aiw7#oT0AVefP*mVe{j3IXXJKNOPllF#qfYABHKO9qWj zcU101cRwW?0OFrYRIuS+WmxikN%7RaX9krZn1ghC4$Xt-!t;pn%|aveXpYaogGi4-mbe@3eU^CH;pAm?@Ymtiu`Z;Tp5G^JWWd~d%Ilc zy9eKAf))Jq&@wvd6C;(2K=7Ub#sy$q(Ws4`o!w`|2m*80m&pCORWK{UEKKVS4NLgf z&};nNyT1eNJK{fLF=r^y5oD_|r47(d--!p_aH?1}6Djqs_Qp&2{`&(oQKQev9@JgA zA_NSR`|qVz%@VcK(^H*_NeBMk)#s2Bf2pPoSn_AyrUg$32!XyJb09q>7Z<(;Vk=Zu z$ezBVrW+lNXQT^S7p!bGT_YnS4GlA%^iL*Sj`M}%BpY>#lijn-g<%_mS_RDMe<;}X z@>)svy%tvYb1zXZQ}(^Gj(s{g(4WZzov9E@{vfFjNMp4ealfqzmWZwzND?45ZEe{} z;mLr67}T7SI!6rDLb+LBs+r(hcnUZOOnGn7M~!~ zjvRKX_%4>Wuk6hj(ArVIN)mTj)#|gSdhE`vXe~OOwE3MM|6Xo~i=v>U)s3 zapb)vK3q^k7N=EVZJRCb_e7HMyzi3_P0~T)9&eH(j~UOFXD|5`$HYnr1DBCDcL#xA&n7aIm5qF6^)Cr!1Ne5jPm=X(Mlm>;cvKff52&DfMjju;c#7)087_Zl3zf~8yr{RmgWla|LAarC1&j?#ibmVRU zH#14}N;xqWy5FDwn}1iG$$vhqn9}SdHw8bNuDA#~@T7lF405HI~9LV&eM0^N8Vzooe5T*)Sf?Y?ciUAfX-bo`o;X=4oUl%4d09sJ4sjO8`;2& zU=_x$bd*^b?WZ&uBD{EK1X)yp(FT=QSLeS^_7)Abv_coc%fOZr)r^w)b#^vEYcnUy z@U5cdQli$^$Dy<%Px{O4=vFicgWE`??(Sec!u^EuE7u{Es2abAIz{=Vvb|)!{9REL z6fB@totE4D_{iN`79(&e=V0N1S3*li#{LE|ka&cAX=6-(4{k;O?ZP(C# zBu_uh@~&5I?#xs`Zjc8*$i!$+undH4gnSA_VA4QEvE>WT~5OmIGhTlz;M zP9U0eDuQZjs71%P+lkR`1aGK*L{Zc*9rmRhZsRhJFZRy11MyNN;85$lY}@+&$x^X| z)2hGe=1I)_A0$=|QYuR@>wRkO(Eh_`yh!F{DL{_^F^(==26&6D#8@O5QpQ{qNw z(Dw!t+63a~k8l1dnOZ-%7c1Y1$!_ieiw>1JOU%auSYMv>o#ITV{=q@Hxj){mLPA1L zjq~O;G+p;_ZrPX;O23!3M=C?J6UfND%Cq zG>NLih9O|MJh|alyClOW9zf_ zd)de3LE3X!QUOF}*cySnpXmuO(Ofz~A*h~)sci|kzWO>Ca5kQUOC|ab5~CluEpQ`b zO2&=B2P3fPrU^*GO_(x}euJ*wK=q(;cwLh2 zMn5v?yb-Wk?0B3*M`y>A9>{;_eF??e`*4FGRvw~&`o&5|2u3f->m+rg!~lx?ZCWHx z3DlQEOF7BB~2UK2GT;zg71=2+2PMit4D zPO#0K128at-c1a8iHVX@A2_kzW67Ep8gXJ!=8xJ03fa)jLis%Z-7ev|XVkvz%uNQb zB~eAaf@KLyF=yDi-awyV`&-BhUPY@KJ(WtGw3HM-5Y3BU1H?; z=FVtAFC=K|8a+rk8CRF**K1q>LSSTonygc4@CZgmmhL(9pC-V6-n@mw%*qN1(Dxom zQOV8iFHv_t;y*h9RR%zEq{lz&x zW{LY5aHcII{XR=RTnP`~qf@yTA)0`HUuyM{IiVaZ0sLi9Yo*%gv>2%N=Vum%U)(`c zr~SKoq2bk6+x>Sg5V~`|`KLyShxZ-40&wDE#`IkUZv(=4x^i&31j_@dlR+n?UvD@0 zPQNxBm(u&scc}|X-HOS&?i=n{(vg#PstkmjX^$%==~jagw}y9I1c5F%I~;$)W!M1K z(z0>k#lXM))p~Ab*N(tnPBW=3>^GfTV8rPeVNqe(anCMG7H-eAQE zbA9Fnq7KCXw#$daL+~c5wl7b)Bg;u#0*BY3iYXU;0J{TEIvAW@ZWO7PX#tY>w3ccJ zWSGytKPy0t5tEVvT0ie+s|IoAJ5qRs;yO7ok=uR4g@kHfaciUM^Cx?5GKSEfYiqmU zs{&Rp=b?o>_z;0NB#e@U=g3l9|Jj!WoS8+v!JVNVyZRp#DvX*8gfF4*Wm0(q@h0K0 z+(yZsVZ?b2zE>o%02r-eatr)CRR;B2pdfs{#x`QPcI^S6J7jnv@ZrM;U-6udr+V%F zmjE>PKCa@1bl}IzSGOL|^->3b8?k5m2q5eqa1*^ASnCSE(*i!41*a2iu20-h>cEk- zoW%4*-2dWV1hxOhz88?9%@FXC1*3x5YAuUZTC4;8{mRP9C^X3ZfWg72d?pl-8)mI@ z7VGV&9UB+UjF^;$WDR)5wOKjI;gXMYbA->|YRb z;Q?D=sF9#^eA5>m-1^&_=O8x$N$&&>ETAosxk@31%&c~HOXIo+l}PlJL8V8_A^;-} z7M6|-!y-hG;J$Y&YYJAkkAZ5gD~Xb;=wnuf-LV9IGloZ+s=}{czrr*-64*SAG+-NJ zdtQ|Hgt^rGn%EI9o^YxU2j?z%1Vjf+W*UbfKL8^=_Hc1kK4H*VK+JdFopXm;4?!^p zsRKM>y)pNYrn+xgLYftm`BY3veM4D7wLp+c;kyYhf(Gd;Zc3Nv1)YFDjAf(E=nt^u z@sr^+H8nLZdLS)YFtaZ3fZ$zu2TSIO#HAaUh9HzXB%p8Dm+SpD=7?ub<<)`WAnuQ=P<9eoUe zsX`@-hj;F1Y&o!3m9iX{<)e+Kp1oZOka37$o#EYLXqZK2afalBCOHPdz z9VgI>Uhg^w)XqB0&dz2Fy8|6ZXz<7X-%13mCNF5#p%hR+XLr0ikE|p}Ou(1~+d7Yd z^99{>61ql4z za4~FBPTxNr7~2Pt(`u4WZ$HL_m#R-gWoh>OjoYqS`0OdYhRlPq7Hz$;8UzcQB*P_% z!^ur_EC=dv%!Lbw_ON7Zr6Yo4W~FnEnfRxrXr-tsc>MTiH)45UtpP4a4nuoD04#&g zbP01N8e4bf0n>c?^hxCRr&_T1;$s4E%*Y@Ic{ED4&Y-euigT8vsbGPg7M zm-q3G5VR<=bPp~2lR<<1`s@P`Tga${YFcSfZve>;47tVQn;`S*=;$yI3b}2lvy)_k zMN>&h3A%om5)7R`u_ zN4KjpKdaIE!z2zlr(hJ!DdEz2poBw*0SyWqw2=tq)YQY{7`}WOC_{8?610$x#THLC zqE|2%Q!6ew)Z!E}04nXiy?NLbfd_`fap3sD4cy%wO&S2dZC8KR0QNs1r`KMb_NTBx z7X)3n1OI%LaSPxLcor*eGNhUTH4Q35IzAz8g!N!XJ-C$m`};==6xN}yrWCG&<=^qt zW#+vZxZf5)pF&yzz=+&vJN^q21v@8a@jsdal(IMig?fYCeXrx4Sx|scKIM-xV?Csb zR}f~P+j39fAz`?=`>Jq5_Kc+=ASv#Z;tJ*ioP_uKHgt3Gk)IVqIKDPrl5DQaN?w1) zR7LXx7lgZey-9WBtOGhaxYeq6O&3=%wpa;T4gVvrtsc%F(WFH@j%|q>}GF>A4i0pu~?f#!_mifE1ivbp5H)D@NvvMx~D0X%TL?q=J6B#$V zmA!i>TR@RP0`4?$AL@|B%9kpKO4GWD0?*;LUZzzJk*HTW1r}V0vG>4+LA(E8FnJzG z3^2F=o`c;O$T>n|VXJ9DRW+k>lxY)EW2S8g+2>}n}7M|!INl0Vx=(lhwB4S{O1n7~dh~=kq88m^W51-4=C9HF_WQ(QLce0tV{hRU z>Mi>_vwNh0D2RC_nR%N(1`04J;h~|9+GtfwVg-*>=yEmA$0~SUUtN}3 zOWnnZ!7LUVsoxPOsM^pTX|qm3v~;-mLVhGQc1!Gdic$nk&wQQj z-B@|}*dz>*-6ZRV8O&a+yekk(0J4v_r>miC1ad)g{!18&k*pvZo=U;Aec%IkiXq}l*N!*GOJ((X~4IADH*iep#b42BRcuHjt|O{)jwv5*sg z&;#ETC$dK-;eXK%1Sq6Y8@>!l8g*miN_A$Szb^bG3p`E83b|!g8jAJuk;a5O zhspG^)!6XzAHU)s21COy`7f6EFXrysXBKayC=JI=91RIf-5n_K&wKM%GR^dg9m~1v zr?rmGRM1U~R4&st2yH-szF?q*7jiX&a$#6!qoJ>V3RUU%OwH=NbGsk>pCkV~>^Fd2 zBH@6z4cSv6dce#c55K(Fk3YqO1do zsJ6fJxJ;{Ob$=*Z1c;R+erq6BJ$L7{D|L`n1qS+CfB#&2z%^`JXmQ^r!HsZk-ee5v z7^yauI83s#wm#XNpI+TZ)(e1#p$QdL&E>$iiRb)AV%DYB7U?`PKAQv;#%=Iy15lCj zI)p2K{OAR-?9tK+(c@B7-AJ@^t#Dd$QB52FizFbYHLcBwr0kW#uVks(K!?_K&9K{V zqC~QXTm%=I-R)Zz<5;e}ME!q$g`!|xJDWdRI_n_rzNMw1L12Ino$6?wE!ZVIM2?2h zl6LmJ;;1F6)R}=4{$|DdOP4k;Qd)+a3}C_6edQv;(_E z#Lvcpiv*Sp*!NO#dcSVKvmYEB$mHRt7hmo&S58lQjXDf&}N zp}T>YZugHVu~cWKclg~J$4sN1-sxsTpyRUaCWbeDR(;Gmm9BN`rsw@-Tn1C<--Tco z3*_smqrUE>@GY`eq+T)TQX|_(z46pJJeK{?kuQQK0pJj<9>2ikL{FrSNiXq)tjsxQ z7`X`n54PTbW%4a-_&Gb;2G`fS>1`?9TyMhYZWxz=H3Tz}d_f{d*@Q$xj6-KTPrF7+ zSF?$(rhQQ1+`(znb?kO`M$}s?&05^{iId3*!?!t?0@421%)a!un-=!~M**aSwKQ&3 zE0nFab*W)sVAnYBE}Y%*6!TUHRCeGYU(yK(=vGeyoanLPJM$Hfq|d`dr5>dc^ELuS z2JrcJ6yRYGtKS#(JwqjztTkaLv0rWzqm?ZguXmg$S@WovEZ3<>ya`Q!023dXb80g^5l5av4`Kd{}P}8zk|T zR`+*6Y^WOM$OzlVltPdQQAuD0C*J*GdTHhKU^)34nf)Q^;IGDeT z+Cz~*@m`Nz@0-*cX5bO%)4q-fXX6gIaew|tkP}vcIpq7tO@&VIbD-)yy;S7|)f&P#8-NI4 z0x+*4x3wT0S^!D^2o-!7lr&H-`U@B}{|~dO^n=$ukvZBk&l(ez^%)$?X(X6tqfW6s z4rQLH^^mB}kYi=*6YrBCY^$~YL^_hH&Y7{!z5VdWD;0cTeb45gZo+;bM9DfyntbdY z_ot9kN`%PeA#6&6<=SIadqcQD3qu{d8U2+DY*r0kgc|~LIHBbS7eN)w8^_&!tNS-m zd+^yyMSQaqT;s6ws+QgQE7MgN_Dd?tP78%EUOM0kbnkkEba@JAlZAcG@oy#%IVVl^ zR%9r}gp&m8o^81a2ZDp);QaEv7&aQJ-V95M@&uQ<$Y;~tr|`yM@7uJX80~s=WuyJ{ zU?!5rxY?txK@ez8T&$?Baw9zVDm{=31#5ziIO=YFl$YodR2Hc1U|im(26qRLZf(!{ z2-!VsSunz`0i)kMQG6Mx^->p&Qnq^hP>yl2lE|=-Ndi%Wy?GkL$ID39Aam%C;ABu$ zC@Gb@xyny=&BDwKG=`*cj^RX1pgE)+K~~^jChZDFZD{a;|GPD83LaK;9fHjUXC82{=pT_<1A8LNUO~((-n2U<#eD z`sOX3^l#=hfM9_zHD<0MEE);rVJ=N9a7TGNC#b}LlH4rV$bGIouc( zSn^th@-2RSaR9dMcF>D}Jc)2drv+JbXTA|HO=Ub>>y9QC`s?5rKGY?4ZApYk2!53A zJrTm!{ZF*Lb=Ya8%5YhnXZ-yjA1$Gc#6%ZkK&!X^)0xS%Yt^#5rT_Al=b_4RR#StOW|q>bxZL^yi|Ub^mV^UO>NDK=0!;4gZwDSqO z`a+MT8z^B;l6JjP&vCs5m06+}7!wI*@4Tlb5V=E`xtEG7$(qs+vIqP@+UbtACSjlO z)_mh{`gqa50ARWnx44Xhe5^Ve$VS=u%=Htdox1Vk zDQTQX=p-cXEr~`4^B!Tco)2yXJT6f~+-uWhe_gjguf1k|LjjS8`>#P#<^ff_=jNCt znBLab)<8^A7wd4|r&FCH5lhWyx{H@t8Y68_edxW}^(5d~j{Gr8{_hb@^J@{LN`|q{ z!MPyGS=f2&R)`rWsz^g46o4GBA4qSkYB~=(+jrnLs;4WoSZU{0UNjL#h4wuoM7v>J zWm_6th?xDkpcY-IoIS>fllY;el$wsXgC<`X@toC`F4jJ(lsZW*AQDBERRe!iTw}Mq ztc-=7{aFox*_RJq7wpRkw@*g&di#H7hkHHa+jB44!n5(>l9s9BOY+ff*MFljQ+(*z zLaR`{-KgK*;_d%7D_qpr-BKLkr-~7?mRhCQU-+zg*agY_JrK=1ttd1(|Ai0;(z+@?y%a}jC zop+HfZyTauQ8|5oTQ63-RXk@prO!VjpEai`T*|^&!nknH8uAn1@eiw)R?Lg9Z?L$36}HC2`ohA9eO zSO=jiiBV^231%(fzi`?NT_hyK{2a%PTUDszAL6O}y7?r_x{Y4XEmLPr@DcUExZK_yb?^7D)d&Z+buD5J! zobwQZFX*W|kBvT>d0Z$yrlZt9>`wrPe;iH5g~u~5t)TMS7CTjX!=Z{x(tJPVx1A4Uv_d$Zp7V7 zw%x%m=j_#8xUT~R@=>c3Y#`EI40?^t&-KOwsSallc!=hQG!}G;fKNfiwsTNlDKxi9 zKr31j*ukZZ>7e6pcE$AuO?dR8py^-kUuV>pxMFXy!jJ}br0pDR+T67fcO+xwM2YA(hZ<7;Yr6J>0)!&Qf2 zMsYfC>UKIpUV_&Sq6{()QVe61W$(kjQl~s%MQM1iDoQ0lhJz#lG&Dwm=j>7rt+}Ev zdqeB}mz{|rsn4dJqoOTrn&`ub2Z`IfKEypjYWj)c!R-O-ABo#Yg$+^4}5VPo>g^@Hc-{)u1NkwbT1Q+fd)3Ep={HNGbxEIL|R}&S%+_oj%JH}ztu6X2UX3@S}J-yA6 z4ZfdJ9MLjLY+!YCDzpow!>8Sh6bnW_A5dc1<_`*5Ai0@14zCFe!;u9XJe8l)b>^^K zbA~aPoW93^og}Fbja1;BcL!hOPMiN!%j3BimKSHalXuU=7gf;E3q{ddK9FjVGIVDt zGJZloH!LIZLRexaTChIm2V9}ZfLd+*ip-xsQPbE3XU9vF>dkuIMc$-ckkEVR3U28= zlOjt@j`-89p{^K3Eq(`SP(t1eZ|P*%tV1}R;0qy>&y$p&QLAj3hr2doo4xMi+$ytV zmb;}zAI(j&&i;^w{64{rXe~uL;)Vo%ZH7xN#F%s_H{#BFMYFruG=+}{UVp>kCy(7a z@=lQC8!XAW8GPR<{Z z-+AQQK`IqQd7abwe|HwtEXf&k{-7H_Q85(jxw%rM7VFI*l#f_$*kg}46VMCxm)pry#7wnxcnDz00gO;F#*I zBkD|*(<{!N>^2|NkdxmcQhA?!H~%?dB0_LJhdG!f)YhFSw*13&@rTz;eOaoCTSAG2 zlhm*C-3T$!{vI4WAKo;=Q8Eli>5#O$RD1GP6olGm=_>qidEjHXU`q}p5okI=b#?%* z0UI0pp-+rhNOEW3?IEcm#7e~lfT=sTZoWs~GM~YbylYHp8_4p%LeoKiy8#ZYfgX7G z4w_kM^t$KkH{zm z3jbS5VdDL}KaDi7h|rlQgW{h)f6}Y3|LD03!#i@~vTRGsS={neDTe&-w!SNyavCmy zcw)uoEYzz98O6xNRhKt-RrPGypybbiM|c6=~l}*d+D@<_V}UBvTa8 zwE_%BYFgT=;agB3U~@7@+Q4IFWoS*`gX#vxF4#kV1zTbkpq+&OZ3P|=P7?ry0j$S7{zy{n z5&0mfPa`pKAj+asBMoN9Q*L}rl(4{glx2LdmyFr~xm==>4t}B07Y}{^xxf+y$qBlj z%)wtk(TKvXDyPPCfb>Xp6}EUH{fHpgA~|26VZGsy2c*+tKR!SO1UxvKfvfd!47n=< zw#9%1=K%XOJX-u<8zu7Rz~KRp4d_C|q6epC5iG_z5=Rr7Fw6(ut+40B8w4T{h$DTZ zvHAYz3Pi_y=p6K=YGY}GrvF__7`6;kwBI%~2?x%tVliYzK| zoiz-H*$kn*=l^5uz2mWN-!S0Y%-$sXmc5d_H`yy8Bb0<>r|i8mqe58`vO=;6$tc-7 z6`7gY+k1X{e((C{{k%S(r{{S}?)$#J*L7a!d7Q^_oD51uM!2Dh#{RK??15V7wT1bD zB{lmQ9+ANZRGw0;*zJlJoxc@@?O4e0urXmts0d~lpNy+GV!6MB9spp-BL>AIKJbE1 zyLSME1jbgJ8rG|;UGdyh1kl+wEQ0(E{#u$gya+%&MsI=42<(>a!xYVYzKLEFC zL9Y!Ix)wlFVZkI{eFOP$%Cl!@>yKIp!<7iaF_#0O%ZYevsJ*`V&RP+c^QCTqQcf-K zgaPCRq#aOP<(z(?$^f1|9GswlCaGaC*^uc7^PN!wlHh?EvL)fU<}@(DfuOyiYjPQsj+e~6(6BU3H<)%GtK;T!0;gW0s*Jo&=6bkSm5cb zWL96KmM|?5Sm1y$gA$O5)*H9KVPN6ztc-yxOD}Nv;aJkey{WI4x^jh7{O8IpK%{4g zhFaK8TT!t!UG#p5@qH1x(xM^*;untkB9W=YF$$r-y)DM z<gU)7) z*q`VosSoKaQ_xaxvH^6&o)!`Yomh3Y!K!AnF2< z;yT!IfkFxg6QgRv!pw{=S_K-S&~5=QpL2hx^8*jsnSc0g_aa^}(D;Wt<`ppQU;#nV z57#lo<^^aILEH&fx(aF|V6B7f#dp1~ps;W$SxdlxiwfET$X)kP?hf%ke&z3rw|G3t zEA7JhO|yTw*F_LrQWDL($pcN6Al&S9v-irB`1FK62T#Bt@D(=jD2-SYBtr$SqglsW zo0Z3Q-j6D6o|G8SO=#8d5J_h_%@BTi3bzu zc$0ja94j`8FaDCzXt2GaRAdUjb`gy&$eyZ*E8VF1LW9zaDD|Z@X`5Igg`E)1g}e88 zHMEr2($;Z`w-sg8FDI!>FvNHM1~3MOXE=Mf4bm&@w27x8JjC3qt&QJ)Jtho68OPgsKuFJ#0Kr{@y`-*D$) zAS+bVB9ZnqpRrd%D28Q?pPBk7QKbzNSYLOiFIN7F3CXfk=%CzQG_FE@K*u+S=2q~I z%9idV`FkauU}A?uiV}|lLi3)JO{f6fhfipVXzUM)bk?LXw$UE#Xegv8yr7LK7@^=H zpBk$+t0)`NJ3CI=L-Qi;lmAEQT7vJkK2r`>m<>G_yH(#^rZ-Lh&4UtMGD!Z`Kw-BO zdODcz?C41m#&6Sap&Ms3u3?mHwr9>3p@@|j5)ukq4|)L4ei*);QViDh;VT?kE+plz zC4dMiLQ9k#t}hP_j3t&F`u;-_ZLHQ~s%w#tzMhw(99xO+zIaEuO2*k8j6ez!A0#*v zNs@9m3xE%x9^V7RT9t^yIIH2(E!oFZ1KaqN!v?rWAG)BYj3$pZCxZK5@Z7(oqp( zk=XPovr@H66E3Q*l^{I*cp!^yc4bHW7W~E%228Nnju7o9GCD&%jbcE*_&|gkYC3c$ z7|#Ne>-C7E0Y||fJyV7|^dHGlgpa-Se_$4Ce`E>5Z47!wMTXC!Do7jVh^Zy0|29iN zTM=LX6u-_w&iZ2zs9u>ku?nDNqR`2^L~v`8RpHdgVK*2@fz^h$r{+37K@kmSl88dr zH{u>rjZV`%Mp}(hselibEJbNi?@5wB>xIqRZF(M_o&g(j8B}w#!Y`4G<8MYAjllE! z;II*_z(PgfEt83)c_}GWG&HP>bru4}BQb&aXrHEl-gHrjP9ZEn*tr% zcqEvWj;(a`{ID0f-K2ZT4!G+=Y5L#boLX-|RpCPpW{SsP>qe3$nxyVDU06!u3J zDKfALg}ez|Va$Ll2!?7S3X$)Jb2hPDG>ifZY=8iG6PV5w1+8IO^V9 z4#*H8MLzFWCiMsM6x^{Hpq~WZZX@v7Y%KuO|NFSanDv%#VKsL)w@<(Khei zbhRW`NaXR@yc;1)VvsPW(KxY4%o1iy zU5`9XYNlyQ>&{VCW?n5wW@3#ymEtgHfkc$!mBJ_mY5TQN*kSwrLL`rjXY_A!LA->l6M1>U+*4@W4Q22N@Ov65CwN+$If>ief z$482n{5DZ1(uFQ~QDj8iQJ*y@XvmTR#fuMnDRAFgw3+!vJtlPc%kH3~;#s>htSals zs$ruq!!)+Co1~rxjaP8MfM$zz=|L72Q?I10Kh4Shxspde$*%)j4^>u28c=^o$m!~*ucVsP^I+B$G2T z8bI?6JT!Uef!D2+H8_cZ9bt}e-0*b*g8l-%tg^yF=v=|3fGxny%L}@CXcu7A0ptz8 zclJ9$9&`>EcQB!EYRTy7h=uO09kjhtxoF3)oqza3r^b`h={WrT;^m@RoaqElt)qa5 zgc#3HDQvufQDVB9mO$8pj1C<8vSCr=&EpYrJSkI)kW+A3J(QmCXT6Ktsn4Hh$#`#p zFkQ-ht_vwl0bYf)6Sy5vKRkpG0+ru6tQqJD?*40vcm9TrX%%poubB^8xoPmfgnu=G zDhbG_?;(8#R+t44hY?mefN> zzyTrPA=VlLB<`?>;jDr3=m@wi5IZ4p4*N2{b?Db`RcTfVU3&OJKHz9+#;x)zTwJh; zA!uiTvl>uaplt2;im4~9P9$S@I^vL))w01z>arQQrORWMYTL>-gHGO}0f-YXd$>HQ zs^T3^e0V@CY=lM#OSRZUyPGX|#1?v4+jm8OSBri-9IHHfp83E-?tEwQ*5EkuMQX}*3uVlO{ zVJ(3}l%rn=_X=#pV3cq@U(p>=#!3ZUrTJN)>cMB=X(6m!L3`Fy>_8b3jRqdn_q(^&EI<&9$}1J^1}`lV@X_kX4I7Na^=nE^aR^@Qk7_{ zJkPWMdDf+;Z!(qA@Il^&hb>sE#j64hT*qqXAB_{YD~K4SQm_aS8u|h8ZNfC|1y3o2 zGzEk+=5540;udHJbi}7^1!+O$X;{R^$EPB2O&Htk3SehGqM-Wgzdr%31W?*R-a>Wh z6h1ZNo@8M_M+XepRf081-ObHSS63HknN-yv5*b?l+Se!VeAd7l(YF*2KoSlbT5>HJt=ymx`vGS2W*8-!SewjFB1|F{Q9ftQKepKMhAHU z@L&<1d~9qi2>;by0|=Nm;;4F#J@u{ISWP9Bgy$>!JimQLxH{K$k+(dvJb9OS3~#tB zU)1^b;DdVoO*#wKdM(2XCVReV{zHWJ)W@Ec50|Fp4x0TY9Z zy}iC3y4`kFhagVIqQ44)URX0YvE`-}vxuc=qj!}yhcwWa*eDPimaY46*u6G>lnyOJ z10*>$br*WuWgs1dsx2`Iz?8fXhJbUW!}lT<)j-(byK=>($OziDK#)g>(9j+5fXxk_ zuXt0^uXGbSFF*g{hL-4$2Jb5Hp=-|KQ>(yB6`7hKt;p`nr6=~vD!D*SyBNntk+;x=hQSP;cGbOCboKQhb!ZV=aT+qn24 z_*`xPJOR{?vid)7A-w738v-$V8JLH}xS_DCAmIZo`|@;y_y=xoZf|hDaCdhHhub>v z&w+w-5$>aU;P>F6;d|jeUWdp7ss->-dV+9pz&pDRx@>^#!1_%eflw>AF-oj3xQ5Ag7S3QbK#g%Cj@1nJ=$A~4gQR<51%~?xmgGB5tP>D zx0=AFyAB+P;nHi1WhkYv|EyhIs~6J*?@tZxE6@$WW0PcvhfY4I$R{azX8D)C;_*_e z?yE7jsF%Lwp65^2eU?1grcd{pEGb=;=a15R4C$#hLyn^L=)&F*wryhcqEBk@ZAE|3 z5TZOF5dkpE5vZqXuMOV5Z+(q_NmJvV+Cs7NGaL>1t4U{Et1k3yCARMTX)iT6Oi~VC zX`BbN_epO1CU-aKJRBiD44@Av7m_TFuwd+@S-b+IaA1H(%l{2lP~dnfyZI5TFoB3k zrWWqr{>YDp$H4PO_{e6Z2*)wB)f%u~#D2AD*ZA!T|I=zEX~B!!#rSf1wxqi)G%cfuDx(F;%JYF<78@!zDvj5tIs79ysZB!TO0g ze?I^)mzF339-)BgyCAZyxu<&R+HZhR`sx*;iG@W3=Woc(fPdTaGhsnrLQCW6rP1$2 zeCYnW-oW<^rGqXT*@dUhpGU883FN#%zvm3yHSN5q!7Idi-FWoU4cmdtVU`qsorW9Z zZ3L=N8&02@g3?Cv5W~PwMppmlsu)OejaAaDN2B$5R8wv(*d7&OUjYh zt}5-@b?i_@AS+gwx+X)f43JM5CP0R(%^+zx1H#b4{QQHT&8N|vnT0?^?CwT~eKg|( ziVvHN*_DCF;9{(joaVXr$7ug1t0E)FL=nToAGL0GAXNNn>UMOxUPpLzC6-jB>`uu= z{2S9`)GR0!`wY&huV^7n>WNA%c8UDkGkgZ<2aDRF{K;*xMt-lj$%Hr?DZeN6qivM({+z-gAjqE*?{jEF4r#bpvc2z(vYM3UG)d_7yF&n*Sf!>}X znSxRxjD)lgpi}~W@UsGx0CcGrx1oIghwlo~QxJr+Cj#-6C3XWI8j?x|k_*sN+HiA_V67A_i*mHIZTlSAZUcXFckuLhA0&cs znz1o4pgc5Ocq4g31wZOXf$qwtMvj1Uwn#Q7?gMv_9p56G{Mz~<#PFjcH*xaqevziQ zs6uJB5m_5vX$OUfC^F!yM2JcpLS9j8Q3ihS*z$&BQUY%O<$&By!FR8Ym;`;{a+3SV zoZV-YZ-{|y9fh@oAwX|eb%{lx)cAUqFyH>bPX#olCOtG}7@w=XCLdycj3I(GWH4uz z_(wtmMP=IzDnnW594m;WgaQ4&27v2U$#)K!J|T&d9Jpfh1&)i@{phgoosf9+ZY*^Gjat-)J_hr= zw@=vkSNa!>?cI(}&mf@u0Z}Gg-6A{vAJGGDOiaBp0lvH~J0`=f&)A<<*LwC&%d~WU zEzhl}WjISYtFORWk&M4s!pbIyZK0-7>WjA~rf`fYrzQW5!(ozNF);SD-y&C^%N4Jl z*h^QM{qN;d2`_a{-J4T}KKj|Leu)pBWZ(Ou7U4Kl$e;rWCCEcT5WhBDbR9sWa3$Bf zSkBb&x$)JmwGLRJp>XsyHI5Ui#^+eSnHl5xSdz_2HK3#iq+^sC@ID zdH3gEaDJx2Dgcvn2Kp-(5A!xJJo&G0QR_NEC=v(~0I&?=v#8yKOVq33)t&)C2w7~0 zy$9~f?VcEosFO}^f}FQ7+*`p4CmWXq{vkfB!%$ZYWc!NJGJHUkoO^|hxJ`Y;vXv?R z^Z^SQTodkfGPFeNKU;pTdBMFoSl*Z; z^Ge*qZ*lqK=({*{>lT;StTf6olViqaGT(y>1P$hp01z>Jh=kHgpaKB_@naYjQP#Mn zIXQfZBvOZiQi6zexmpDi*FJkQM4hM!C1+p7IWMTvdi3O%sBZGSAlJJ@uNQBgMg{yH1PCcXlvq(6Uo0ErSSYVm?o6{*gh0b%B=Y4MEG4A zA59wt4qxWtPUHRXTpAl?%Ew|2F1GyCip*TPzcE}i6oNx2h|QMD_*?PAlezsMcp@)rY7){30KQ}7{wlH7^(0oLk$3gj+04)ncL!g3JR#n-|seQYVLLurB<1Tq$G34S- zZOJ6}gFPsYtVM-B(d1fJ;1qo!;vwWPWmD9dQEhI~^=D8icM8K1++iJ|3sa?iO!qu>LCMf34`wF6fPJ7lZP*{K>AwuXECfg(`JIy8^%rY- zbAEbUrgD@% zRj5*`avTw)*<;z34JFoHzN;KRLgj;7wD;^s^!u`7hXcokfV0bKRt7xP(MOED+Yi3= zorf6xRQHg+7tb&7u4VXAmj)0^pj8%F4eeN{C!~=x1d?n3>>o;5vL+#;E-}T)N{&@u zB|C{?H(eZ#3Jbp&R&g1nMY&ZGjvh)O= z-wCmURv(0+7a*clQ_|;Dnr34l*_I?nsvDsEVa6Dp0+xaEvhUZ-`u5?l<1T=g&46q| z&k2!@iHkoc!`#D!mu*Q|u=|B?Xl|9Q5Iir~RmVtc=;k~y7yiC8L=|h$Azq}KVqhhY z3g)IjCg@!AW!@bCSkOMhH6;(s0GMb2eiuZza53Ov_9%aUTC&XgR5EI3!?yBsZ=-O_ zOlQx@tE1`S2#NSN^2HGi1*01z;&W%i8^@0I+Ya?Eb0=9q=9D>$WgGX*jA*}z-3sES zY3Evh(ECbyEP%Z9q$}w%RES3846zS)l>0034p1K&Lh>)Xla(9`VWXb4scz3dQ89Ev zZ)xhxe-)rOxGnyAo*snlM7a29WT%3z2yXM43e3-#&l8Gh+K6`kH9mol5pawK_EsJy zMV^R0CA`{`R%^(2A!xwsaXekN0?ZkjiJ*A~JqkQEL0T31%Q}N|-!pM}bQHJC3X87$ zWU^in@#EdqZ3N7yfi2=q`;I)AR2qIWBH7ztQ{#R9nKM^mw@$TR} zQlW@Vy9Fm)VwHU;aJexW&oIwmDbjxCqanl%1%VOA)0uj*eodeYGGPDjT*tLv(06|MRxW!dekYnLevIsPu0oij zh0+pTH!xbDZyDJRuF+7WftU-3Et_{hy9UOxfQ=ve1>vlE;^wm^3+!JH(9mR;X)lzc z4$!V{0y2Xq%uEBCZ?sCAa}9l*n!Sy|4ISJ2W_;GI&!Qx9QX;yfQUym6MU+e({6;h? z&G0{lDdm8Cfr=T-JfOE`Uz_M`YHSoa(LZ51PS30bUu0#uh-EC}fJv0pr&hCys`a8;)*hR@G<|mN z_oDgMN>ZUb6caEB2y*)xOg@tEyU^?V3CM1Y+G_~8|IrOrfL0Cw4Glqf_8)SBa6ykR zRdPt~0r#H~MmUyI6zGGl%7F!wr_^K!iu}G()X$B5pC$k{kvEP=2G^z@LG290$b`

DD22!9@Xw#^E!(>6l;^W(-08>f*cnbF#7-k0K1~N z7@9pn?K6pXI$k<@-(JPARX-rh<;E?kQysNnOG_6$OWkvt_3yc1tG;_37boOrkf^|O zaCZj>Akfu`(191S=anEq6_%h|v7W0>1y!GZ?x3NHQPiO93R1OR$|x!FMPEQbBt&i4nd{gN;L-dS68{u!iZjWv%uh1wi;1Pzf+u z2n4x1JOnoWcZ7y%7YO(Y%cwMR4ET8{^9YP9c$8}sjY@<^?m2AW-Munyd_CVV?H}ZJ zzrwUX7%fXlqa4LWK%SMB z5c=7{3PBfD{xF!UZTTWC!*Axp=za=3tA2P$r1B@5z;%@MJ9wvC0E0|)vJ9USi%G}y zFlf{f8?Q&tqsKin-j^$VqKx{cXhxusH967CwUz^RJ(Zx4vQHC9(*|~hR4@7ujs{Pz z>L87Ma(!X!V?y#wYtgo%3Z9-DAuM1+01s(&c$iEHsG+iUUtfT0B4W#uq>fD#XqE^3Z-mQ2+ax?H73qv?`ULQql}W+u;+dSc%Z z5olbUN@KeBOQxvqB8v&=`+<8KaxYyy^r0a+b=oG4#)Bd5>QI|!Dy*O#0j5#_Sk4V= z+AnGX!2p?u0C?xq;rL5;7F2Wevw1!p^M50C88DSy>&=O5aXa45P#W6y%M3h?9~|D_ zG|BR$UtSm8miACQ`P)GuMn%AH)$9j;kzr&Y*gC=wR}#sp5XB_8l6Ytu?$l;)nds50 zwA{JucTJY{hBtfBrK7&}NL<2D0JR~mz?UE`XnJ6FmAy!=Jk}8i(UZS@d58O!3PXK@ zki0KAVW^Hi$pEiZxx%H#R;bh%q9l$Y+<83UwpCb|-N88C2@-~CGfS8-06TITrWP#i zuvKrpp8LLT@-Me&tx)|Psaw!q*ai182nM&HwR_>dg)R4o2F!UZz7_D_y!$3EEhFIB zNS3u|Rlbpm$9-W066Q%YD;~>uQ&HgV-;C(b z>EaFtk|#d^^=26u6rFHO{{Lg(8?~# z^w;B{3efp>X&MbbME`jho_Qg{a)Q7}h6#{wGTIS)5wNNw!-E-!MmKJ}lJtlWbaDloY_>ZXN$`pT69H%ZMrA0x=n&^N7O!?`L|%P=!W*wM#q75HunsFXU`3V_W7RCh4uB6OCj)c#{ZoTCfi z6`=Q81*%jXz}Y_g>v8_>fr)n1%f1|c3S<)x>59>lxJBP&Qt1?rUW?Dw>`rks*{NOs zp8vH;BjYIM&(Vp%ziy|-hFB3ft zEEgC#x^Wb6XF6DWUHOhbVOn|JQ27h`0M&>79Q5vbd5hP_I2$Y0Zz*Tqi07vhwr6G? zU1FIV_@ePbpbah}?K^`vb(Dbe1H+zoC5wguc>X{sf;M?nQq&u%-=pPCHHd zhtS?A<*jc1K;sdPGFzQuG28oaOrkgzyf8BB@F!<3V_V`o3jn3JwY7mg*SOOM=xG3a zj<69RU;|G7PC8oc_3&Ic1v>jGiZ7%!8~O=cR9sPbAs@f6{(B_evD?kL$=xtDjEDnZ z6Oc~s-R2k9D5b_L+Wj4+_Ih*bYj1CFcQ>djpIdren!YE&08&Jf$oAiVPoTLi@VpqF zXMKG=Ow@y4D=R6Yu$x~SsT6zfnfJTt`$SL?C)z6g8Z0vSg9U;(ur;4>`e0THTw7=# z!%P#qs)@Bd=x@_dQH?u^y&mXFuj`i%J#9aTBPpuv@3a>o2tn0&;?*dRhMbE#)8I8@ zyrN7iSG>+xQPjjn_vJiy=BxhpMBkNT8Id`2dq@AI?eoUFXA|3EaSt&>$A=s+QG|11 zV!{TyIQ7Ofcdfi!9IK_Ik*^FgcbNK9DVcnf0f|fb7~x4UIj~1&c71RZC7?V)=T%lF zBTh5WJeOgD+Y>m0MZ=5RGcSQPX1POYCx=70Z)A#IzE49*W>DPq8zKC6&D7uKR%?Yd?l@wCsTIv{rK}n=n5WeFT$}8J zk~aU{1m3YfM`PA3QnekQCZ(p{tuQ4ZBs55B#U)EtI*SJjA$w1F!T{vJlTp2onO6)4 zFkJ~-5%nz!I$io)WOyIPEmJZk$ZSaJ({7bt`hn+J~!RgI4gUb#p_@v(+h;-beAJpjo&bnu!{hg@fkQtY zAsd|Zqjk}aa}_zxLBERdlsRh;o>x-AxK#CIf-aSJI!PL)Z<(5^cd!YbEfW@_iq^KckH59_gX*CxW1UyGfav$D)`j=rs@@6JJ_@TlF%b$ zx1VEM{1X#XmL&STpe0|fqC|vK%YC!<jA6Gc|~%N6v)ngww{lhVIjg z7@sS0I5HEr+#v?AQcrbg`l%5B5!3f;w>rI-6lVf==r5SRBTf+6Fl^#>cgDKl$eQ=z z@c@`P5z~vYJiorieT2EqF`NKl^nFb#cgXI=)cTKiYCKs@UJv}b7DKdjf0JuCHHH63 zU%gfAM!)c!#Xps!MZd#e%)g4Vda=TvrBW?xpL&S0_2)!ijf6pp(D;MMhY&T$4wBas zE%&w7zCA^$MQEwlD-UExMwzJpS^U=dNU69>FhEpCb!tstJ zc`{g}^SYIO`Xtz&q7zcdeaTls zPLB3VLC7vZnfztIY=rJ@T}^>Ovm{gee1C1+z(i>q8goQ+BI@<`mJjZ~`WA_EAvY6r z;;R>+DZf-p#b41Mc0;XT?2Avkd)6Q!TR;=#rIX2wzK5dIOJ#y%;`tb@6oVPvL|{gF>zyGg3Nr%fB5NB@pl`?3()=c$-&Hc8tp zp>(AKN<vVxqVPY=g2~DC$L#Y~8WfG|UpPf}RdwLb z1UA!jU^vZ25zN9dPUxHl+^TCwnqJBr%lVTjyV9B*OsTul#>$?w!je;;4HeZNrhH8c z{ak-uv^TU_u;h0XvH7b}wW@KsT8P?{322Ht8=&xwrUZ@L>w#=;ib>ktrJ8>!3Zm6_ zv%$${#a0xgB|=d`0Vo658I(&6p3A^$T7JgbqR%9g4m}-PapS%gPx&<82g5FXnOd&Oy$;YNCZ14Mj=#}z z-zi4ep|(Zi)Q3vwo@T(rMp9o8ES(Z*KgDnu(Vfc!_U{V|x#Z=UiD^n|)Y&LnabaE* zMf5M6H|m?1Ygwz$!pNYjd-}NP7IFth0Q8Q{$Rv?wEvSyy>Wq)x^yow{6>PGCj6@;N zIPx<16N}U;(EO{`el>PnVSs6$pg??p8(0owF3!NL5keto6cPsP^Hw{~s~>&1n#^#I zaieZ(s>!nDW#=NTUrdVavrpp$*diPpS0iMrI4O7uFq{PvX;lPQCyl9GDJtkYB$ydC z1^i-E-6wJIpW<;(U|c4O!4_X^`oywAlAwN@GfG98jUaw){QG$4;g5}Hq|=sFW7U?& zo+c0V_QB^0eQAHkXv}=$hU~ddW`SW5WY+F}ekXuQP*PF?bLj6~DtWJi!|laXv@O(@ z0dJC#nQK3fMr&Y_YmqegMS_!?H1xh98wY(`9nj8z4;B|64@kghf}^OM-1>z~7+dWVPi3xgU6IKh(yy z{?a7G^O)S{#|YP)eEOC!v-|xE9uy6#-9Ha^AIkf6jE|3lza?VU1@_cGfCjh`u-|Zg zRDOQ+C~*G~GKzJ>f5W%AX$HKO5LO<@b^WJ5)rf$I?GEs!njL1qp3TzSd|QX^Ed5vD z*(`P;Tawo zelck|8iCJ&w0nUt?F${SA3JZv*M2k|OaDCl26k70F#ilbz)yr1IXA}^DjnwZ{jft- zsLMHlSJM2~-dto{*~VLrE%NTk`d@EV-Kx6m{MhHQ?#Bkt0_*S2ojaHReVre-oF5~z z&A~s<-F>jH_b)Ok0|aeE*F%m`M~x<*7Lmd=TRv#DFs=0@V3i>xPf?c7%#J zS4znZ7U1vSzsQt!0QeCKHKZfwb9!fUFe>GAhBWXoJQ1st4l88T+`{4_5MFmdw|D~m zXK;u+0Or-{ozye$ui}j}#OGUA&Ig@q;6WzH!GLpQQy?3vqM`yA767RLffh>OY4E0E zU}ir5(sJ?zJirgH!@LyW<+THo4d#v(O|1csH4gC`@%^!#nRT|D6#&T*Gfa;`&KDei z=>8y!43wk8{pS59nD>2B5O`Fu-?%6X=Zzlu&Vhk}N6p)>p75bi?>;P%o{{SY5+ffJ zT<70_8~Yk;@uQ<72!l{8o0ymc&Rh(b0a@kwCr-J)$hbq;vo8KC=HQqndiAP7`534= zmzI{~Puk^?5uZQ7iWq5YY=GC4d{7<47wC|jA%`41em7xQ<6poX&T9gXYmjgc54s%K zJqyx4g+ok;_pL5%SsZvdOf7Wk8InX)U# z+3^VpKn4dYygXP<9Pe)g!W^a}@xX0yAj}NGy+L~uLga%1JwK}_@X5g}bXhOZ2dZs& zg8m?UgL!b#R%i28Kfv$}Iix_3-2=vPGaRq6(NWz@z=r0!5f(Ty2ON0Fk73*flbqjU z#7P&nSHMZF)tjvBxxbyvE+TXgqaGmuolD^H6cPoIpap!zmr@UV9`RpdWJJcRAj<%k zNjaRVpAA2D%c`rZO|1MMIytQpyF6}3EN{U7;bb}M%%OM$q4|N~9Kth4ZXh2J%bp!( z!J`e>*yrHj_}tlv*q|eG+FPIj2(hyq$Ou^_r6|;|_M6}2eqET|d2MG|>uLi(eH!jD zLZI3pr*1YhwXxuyxO0{XBdH-hdDj^a8e?f)AYh;ZymW zrN%9r;a8CHNyy%XcYm7Ea+m?4+*d9p2ZPU>k*V_9Iyz0r5H4h_H-sT%NUuDw!V^x% z6Alxa;gdn%Vln$WEN6VM@T4w?Fv1e?caBSE$#z{;`i_0XOyQ>;6wtD5nN}; zghpr~*xK6OzTLJ@i~x)f5D-l*9GfB|#7-NI24buZ!a_Pm#@%)YxcYrF_kjos2mA)~ zbJLki88q?lNn!+5s}|K!&H8#lg#7$SZC9p`lw;;T4HSbrE_(@@kQ^M6*LHsXd=j{I z>cX2E!z}Fw`PG?0KV-T`#l$TNR$PCX*UII2Qe&*L&pqUi3R+nIn#jPrzTVn+O-=2j z{Di`9pQeQ@P}U7*JZ>-cCB(%g-HfZoK`Gdb(x6Z%pqC6_!@vLi&&Nqj_)k-F_yuAJ z^6UTOw}ap>{r~xGR0%(P-~aQwHye{ehJSYz`HDBmiHcSqo?eSjSvk|pXWfW{2VGh7 zC}<-qU80Mb1ckyH|G)n-RVsNs3*p}SG<}_ruC;5v3AEnSe(}=7}ZC!H>ctN~6*Gc<*BWf()!Q7DDKtv8IRT1#503 zW~V!mT|-u170spl9q*m(&}-@~3CfOysjH%`ELf-)3Y5qXf1V=L9PXU>ypZjP`i3q@ z*8N7DFZ_u>3q0E*FfddvmzznQ*lYfE^VYA?Bz1OjO|;}xkvdL6vpLkMBhGkjH>l!%azaAGtAS= zA~dp`hp=w$*tnJ4RDFRKe0M*#wic7#VfeNz-?Qh7H79k7;s$ksV$rdwP2E=BMN6|? zO0sXeM5J}jL$?_48!c@e)um>XHxBJMKeUSzGooYYD`qswXH7r-2wY_elz{DRj!MBd z@xAZSUB7$#-LY_yPFE>$_nKcY~DEb(HoW}>2Iq$2en(h z`%WjLPLDK>_)PfYc!kS8xHb5BNG3C>o3)oL2AwWFzaP=nhpuycn0YS~-Wq(tPVO4x zu=#Og?>bXIq4 z%9L)_PlIj#%Zl~h?;7uC{#w01M9Or9$5n(9hh;2@tC&vn?in9RD-XuqX>n|uY`eNE z`09}`ky(Dm5g}_hEvxhL{!*a@IvI5n*D)t7XE3mkNmw0?Yl#o9(}1IJSVEP&vYbm; zdnC3jAlY|sV(0B?&2r}ahlP$yNv#>^n=Oy9uojW{_L04#+WdgzGWZs!YId~bija5o zc>W^0T72msl`7XW*h^Y)xA^6Pr1hnXyxeYX?>*gABz+1KZ#PMGYN6kn6s!beX%$aD zd2;bes_Zf=b%;(mO^ctMLtrOs9}V$G3!a`yRtE-5F1kH8?l)o<+)50wmR{U9w(?`PMeFQ?9{p10%fM}Ayr5vyT39BE$2J6FWNpvlmEo!u=SofQ>Nac#If zKxA6^Z*A^)I-R(`(c5{jqhBTPEVGbIv{50Y)|0Y_s}z_}%k|c{EtLRXY0>EZP6Q z!54l`xpk87=F6cWcEP*(TE;5=f~?P3b?R8J1!cFhsC0%(eSo?Y>-?+yt*Cr4|KxRD zjfPcW+B-Gyvr(%wD1A1D8u-ukN?YfwAm3`zN#ZN9+_ar)+mpL9W%@&Ga26-<9w@{;iviKWqL)U)Xf0xw}p8>M}d< zBl7myrb8F3^i1B?oyuxllUX6RdB#y%HiA>HP`#M4kZrrvUe_bEDDZ=~mPPln z5k4F7k9Q>CzG$ukEng%z2beE#s|j{F>R z1yzLSgCr3W45~O!H|}J)Tr#&S@&7iL=DQE?*pjG(fbaifn8bxd!1OKK(a?~$sV!&t z*OAv3QZG(jV7-wl(=_dXGi~UCJr%G2MLo}gA3mOMEurz<`j9Rig>zIeC&B?HRY^?{9=a*x6ErFphejrs!Hv6?NWr=7O% z9g>R4Q+B-TO$*EHbVp_N|Gc0q;TKRoq*_`>uQ_wNtFncEx?YY`|8d7NJLszbr@lr? zLyQtPi4=C;mQ49H$>;lZ6FXKD{#CJC+ylPdV`IzO|I(me?3IQu?x2_UUzEQPzFb>c zdZ_umW|@y`3wh~eCaveHtd_BJ^95D1<6qggC7;ah1ocLdqF?@RYodGiR-f%Vfw9m! zx`$m+1AVKS>8z3IaCdcfl&2f_c8wKoU0#c+)Dx~&rTqo{c&469Dp!Z>AD4FMv>5Vq z-_>Qm%1#;~k-V-Q^eH#d(r!3Uv|{4Rq>QSeo!imqjo2P7Hy@TP@_P?vUTFVjRj?YD z@T%+Jj+Wtyt-W}i@(DlcyQa$siNv9E8BertoY3=p}jo(G%pFVdLTwuXDK!VT5{waR8 zb+qhkexeuWsH}?R3uhJTL}OuBbA6hly0qmk*;l%oPr5toKf8u%<_dPP$22c-5DrDM zniz3hRE|jUY^G<_ITX@~eq5LLL=68}dV6?vXynsck#CKj+f+@NW2S0L-5<&tejYPY z>-LZTk}2ek=HVrsRThS_F=ICTjR@_fJ zIO0`TPdiDrB4J_RVD3;>o#W6D24O%`7(Vr{46!{Wk)V;dmZ zFGRwRQ^%=Ipk7VhM4^e!!P4AVtTx`%&o|`aR+$!F!@<<-C3IfL=i2>Mtae}{nWAN< zbb%(+g5tZwDlhxbC9WA|@8_nPXdf6@(U3Oq{e=}t=3TtLd|shlAJKKY!K8or1+9X` zTHRAM!6#L$>Rb1BcyHMzd6Z!Sj3MmihJ$ii(EC$Mm&EMM2hsQp7sb^+$x2>-zui;T znBXQ+equqp$oD39k%xDxB0DtEuZ;EM><*&R>e4{l-dnY;_^C8aO{C;mveL7K+?cO1 zG@-?e%b|8(wj1Y17mgd#mdBf3C2LuDhv!{3*dwzf7#O3$<(``~UkK&6-}Kh`gLZ_F zHPzv2NS(9TO*IS_(f?}`qj2dxzDCquCtr5YO7G*X^%?z4F?-vDZFj@Ga|I^^+9GW5(U=K3wmb)zQmJcFS%R6dGs((Li{X4LBcJ5ja9$z?qTS`;l>OnG9m=~uMdy04@ z+x6)9EpY>`I+aJX=yVz=fuKgjDY*D|c3ja13-u9|jt6hB);4ODCRhC?UGfW)ri|(! zOCEGoE)xfF5%(+BohPPFI2=a>7expI`NeS5dSm5ovlGpTHqaU=b94XoR()weEps`^ zH!4{vJ*P@r3g?r;9jU@9Lk$xCk;4@fDv<JX`a6( zxg2+t?1yKRU1~NikZNYBK^vVMF~<@P#TX4Fzwq_14AwWo{i&x?L|?sJnol;bb-Rl< zhnI!mDOK+6Tg%Q=IfmJJiIdvdK_ZKxRJ30%d{AGT(NgR+9@=jF>`9iY<$wnyOF7{J zv!xGN#YGnSp8I6%;a^4QW+K_z8NC)({XD*8r20l-r+)T#3~8Z9p*a3a`0pcUmy2h9 zRK=%Gl4kHR*^+xV2NSbd9$%<%)NM-cyjuz75y?s+#$TE7EX2&#KDPb*!Lwak9?f9t zkIw<$JQIOBDOC=QSd?3a8SUF!ejnZNbAq$%)J$t-qnSA!~3D<^VsQk>q%&fkA}>K7Xz4f!|C zQ3@nR&TIS=V+l;z$|&o^F&fB;iEydNe%^MQIFa#6grLRMI@uP~YgF8?1j=MSscq*GHZGlQ^adyjM{ z_x)}jxn7s}f~5V*b~PwkJBzi_UiOvI*w*#FJTY{QSRU&>s((AQ?!#unyl*BjyG}@b zdN$^bcl?dh`c0l;dOQ-PVoxcOH@KaYc_C&Ydw{@_e$vLeI6`QZGZ~x+JruD>!_F8- z1kt|tBzbzZ1)Oj9S(%z{X3%+A>Ss-Kym>lMv4a%qva2`porm8i58*RYq)6oE`f{iE zM!8$S+QJc0j%=oMZ}>k7`|h|Vx~*#jm4~886$Jqy0qIRZkWi#1NEHYj1OWj7>C&6@ z9zyTE2kFw4qI4t_303JWpj7Ga2tMC^?stFpee>u1lFZDRefC~^tu^Ol#3OB6%;)RO z8Wqsy^_CWTNp>|6lb*@FF}pq<6zGV6e&EhxrnL%a>|6;DRgad^D=PSICX(E~=v0gz zZBVh94FN*!DLaf-l^!?=h&b+6hZTNX5Sg61Gch=F1x~zctl?VoW7XH9`FR2^Fo%a> zw^urwLdEpko+QRK4Ttm)7U!*%=p<@&d?9F{$ZiaxepypHu#BRJc2tuW5(}L;vA6QE zCPPdk`XjXsTI!#6rvZHvthx4UTmSEl7BMn>GUeE44n4oP)X6CMhKi~>Xs)}66%l?% zCj_*4Z8xB+WAc%B0rLtSj*mS6br^=YzU05nt&QD~KPoL9oB@1l;FI^>{0m2oV-wV4Eq(C5GDu|EUnDn(o#z` zVs4MNdnP5!aQC*Af4ltF49}eA>#AAd?q~KuF?32>yAeW>O>5$ak%Hc5(+#52RIs=M z>F%y+$XZfPz1L=h0s;njF6}RyyWGCUneTowPLFo6qLH6a*shRY8&x~0s1;v~o)#wH z@yWPIqPb6{SsAWRB;$pB{b|N^4&yd= zauQIgq9%)wsxuh3LLapVS{y<(u#d#OQp_=RBO8kIY<}`%7+uh*3IEu(=6F<%Cx<$G zNL|1A8q}Q*069R0BmnwrsQ_Uw3AAS-=uEo7Hg@ycjz_#=`Gj}MruHfJIb6BBidlQ) zU81daNFhZY#P%GWpU_`x1CD$EM3V|zcUAy{-Qn)jOczI~+3Wh`7Ipqx>XCaBZK>y3 zq-@vu_2V)@;c1I7+SM#J&FMK{GxwjOgO95hTn*%grQ_Rr)`zP1`|%MlZb^^ekL=R- zL+<7~k~{pxY4}r(srbe1I3ZI{Pe{>zO!w*MnZXA93vjkjscq+m>pecO)7#A1Q#0Ie zOdBRFbe)4G_{Jq*Pe4xEWCh9a6@reJ{52L}LOcsXOSoGhCV` zx-Hk?I;yZO0?a}=;fGd(tBhAbSRp9r`Z1mJV?xACRT8IbJj0-m$$%tV5fohrT1`jK zx?o1BD{AHY0KfJ^C~OF&f}*$d$Zvmj59?ft@v`9J!5&DzCC|zM5_g^d} z%j={qKj?BF%)e>&3Kp$(b3r-gz<=`b&aS1Mz_6BX2f}Fz_W?J}XOXXusO+2)jerg* zaMI?x?ugK7opd*N9TF6ST3=qjCVTpL>9&8z3K6^CaA$(TeS<=O+HKLn9i24Pva7=0 zmsnGgH)9Jm1i8^kKj6JZZtc;E9p7yEwp<;WB2%!~?Geqz<;nhImP0zB3^C+JB3ACB zM%V`S;bGsE6k!h6P>+p;0ueL>oN>@=y_w$Pe=%!-icNi2FU>c4!*&~U+SRPS(Aqzt z#2~H^Q)9~5h_L>YXQ<4Zq5f{myzYz%(_f97-fm?5-Iazc>;aNH#MoXinsO}OuL%S( z3~_6!XuT(Y753|kc48PD?_nz?1Rh-O59<6znVow}!A{zI=7((An;G99{>s$$C29n@ z?9&9m%mpg9L^9;r)WD~>vt;%4nxFI0pSk)(_I+|kT_c}Nk%MEzH`a~lpQ))mp^9PO z!zAvx-@ntCyBE{6k=2-x{;)@1B^26d$ z?MS`VB2W)ednSTfKF{Zufar+!e%sYWD|X3fwnY}Tdgrlol^gS(8d8cK(GclT*$}2s zN4AjqRQX6JaHM+M9l+11Lrg#7fASrW0aPdya}CeUBtjCClY1O)kh-7q{sukFU!-vY z{d&sS17L%R`ktX<=;tM^o;1DVcLJ( z5(727nGQx$qZKDjKp%+fv}^e$Yk}95;dPhFTyVB#m>KS>Bc5{nsv83_tDQ7~OapFq z3C%F>os|#9>}r)y4#p^l;Qp@g_!enz0&dObrqBT^GpS5w{ENr_b-hFFMZk}6F=w| zFtI*Z+oon=u@JTrQ#pdKPs{rkuVvG9Wm;%L_Y*QwNp8vXf+1RmwidC~37d>^fz5_o z85?)zX>0Vvse%u{T{Dhz4I zs?JcPW5oGYgUl(4%VDJ=(aqp~*08it-<_FFuuzm4sjZ9gB96XG$gbXbU#6uT*Cv7#+2V64A-9ui;gf4-{2&h@%Aeh!wNUsTR7Z)bo^3&Z_aJ;Sm1$dK*XriNFx7EIe7O7=w%^8ik96QS z8x8P?7Ij*Nh6mOol$^n1M_FG?nbe_zF$zc+8NI(kmil!t;{#49SBDWRUrB@8av)q- z6(tR82`_}HJgdNhk&v>!H(FH_Y7*X|(gULAF>BAtnSfsY#)e{OmeTfZ!j#koZC$xaYM0{!8L`HH(d70l<}+zs zPMTHTO(nxPu4A9$K5OTnD{Gg+qUq96bY?EXqDk<%xqn)H2}1;Sh8L9f<6Q`aDP5Te2uwyB?*`#WJc0-|e`ee4tnl9z#rfbf z&Ny7uAX>vMumv8za(z`LTDM9ke?7I^PYEE};hQ|!h)z)Qt825g^6yk@s!&k| zC8ej#+q=E;6Fa}c7x?p`{QeSzKYoixKF@1_^hOv;RW)esMeatY7eO>Hpl219KCRnJ ziPj^|RuWjs=FM;D=aG)HCm|^35S*{_rNOlhU<)CW6VVZdjqpehs};IawNYS!qE1>& zI$V?KOT%A0`Gug9bo#k89PD;4eSs7frvlKH;W`)2`@V^xa z-qr08V6Dl$*)?AF*!T=oo}lIpSKCBgG=Z->S3;Pr;q*v{9^b_ef~YVi z*wT2k2M8(L#t!?Xm7-~vVcbbd$y$;x9bZdx@548qY;^)~q9T9s)ro>x>OP1k=rgv5T|tDB z%@gC>%f2>fZ2r2re|zvIi?LBXWr>e`178}m@oVa#J()e&j=jz!rIf?22;d}LxrG*k~P^Byl?MH2B?8ct=mMMC- ztdh0@HX``}HmQAQfA?TxdtS{JRc(1`p`a1B?lBqP6c5kb6lwaHTthh8pX+esUW7g5 z_|W9m*S=U_b!Jl4?$s;Z5IZ}jg#z-*$!nhu@8(Xj_T&gqR4rqzw5nYbl@$?Vf;M`3 zGFn$IhyflCfUST2$$)5ZQ#s1V5E@D z=#gv-*6;1Dm1C=K(uG{>b6`SoJMseI`5Hvx)zv=wAs!NM`&`qPT`fiKR-(9HwrU(s zF=fj#?<|iWAGTk?F~Yf!LoSqd3DxgSou)toaR_s4@HNWX4G373I>QGCJaAK!uOmMW z=aKB5LhJpIB-#N>hA<)A$wHWhYwEk=l2%{-RlcaH4s+P#LB9~e#X*u^-2We9gfKby z8NjjHP`@lGwvVy0n9>k*1xNP1^0`w_Rv!}yUQvJgSpF!MaK$n%S~{Aj`&d`D-% z#VPM25)bFW-+)!7LU)%vQfBH@MU4?Jt@9))IWy%7P7lD%akC9eiyYkQY*FcbR866^ z+lb9fUF4A%d-|pd6wwG{LKotc3l6Ke)E!*Hy@6}hv+o3i5LhyEyj9vqzZtAE6@aZaw;(K-{C6@b0UBUMGWw_pyt zC}lV)?&i;zWD%y?UK5r>GLtRykaYvQoHvj;PGqr!NfK%&g;CxWdA=0u*-Ox*ezRYi zC{>SGH~EDl5)RZA%_Tnr(Rbs<`?xq1SN}O~Jt|g=Z0=o06R_7soR_@_6h9dS-EFk7uj?`x{dj>x(@2Y9@cYREXR& z3B=XS?VAtVyY#=u)u=c_L6Iw70su^z3%>g-P5#IU2Z#Dc%{4m?1}m;G)#v=)k@s@> z|E19ds*_J5RB9qR!b6Umm7)AlRjv(Z+d5}i$A0W2q=X96zEhEI>Fgc*nJJT)3W7& z+1m|`D|5m3y&E=}s_s|F^B{-!M9PJ5Z~)$_%fF|rIdAc32+#2^oUJrn080YI5*Uc6 z+%S{pe<4BNxZI*z}o!@m2f*Q>H=Ksea>~y}szH zLu!CNDf|(a@!{ORTcP?7k-(zwIwLi9ma9Zy)IxtsD ziPoh-OdhJ7Af6W<)dPTl^2E}VYwUJr&b3y{0M!zm??Mr8;JCmxsY7kgo#xstS(DSQ zQk|`?N~jIVyuCp}aw5Ik!3glK**Sy;TA`YK-{{aKvc=C4(qzx5fy5C+ns-Lc=U<9K z*_G+W1sk*;vD|&bA=dS&zX_w*Aftxr6qj==Xf{mNe$wJPAyzzPSR`8F&a0 z+vIN?k0l<6$WSk;URljaqd1-c zgNH}uyUj}Idx=dR4oQf|!;s$5JEX_tEM`$Su7=>UtW%VZ{q;^!!d0{2jvz*T`lUmT z?iBVdU&orxKgtWAEEyapFcm0KDeox;HpQKJ&TD&JP6WZAnzRLrg6@f#Z^b8GScZAa zL~~C)i2k{TGr!>aS%vpju)GvsSD3JD7Ad`5Y_Kz2VSX&lYPD8o1gw1B-rXwkx=kCzrJ=qtF9mZo{C<-`lpn-9mfDjsmu z2@Ga0I4+w^rH?;2d$YJ>Q(61kKQt?sENrACAKq$5R&vnvZS~0)WKj z92Raz8H95^D#9eqiZ<-M$Wvq`&G4a>M9gQdbK=`aN)32hPnE}erXNPySSC5~iF%-A=V*-XKw$+rJ%tXWbw zR|}g2!59_rszKar)6|5{FCGR}3Fh1SH5@uwp=Y(sq#Tx6QGFg(ADGP(4#+RS){7@o z>65f35;i0}8HZ6-^a~j#!*M9vVaCHWdxfV{lnx!TA)Rd+QT6@H1b8Hu{OBz#6&uQj zFlcWV?RT<_{W6qeP-5y>1r9Xt=jJ}97x9|{yG8TtvByapS4g>6B+mXY!3e>Q z*)N2mXdkq@DZqIeh74I3?<=s$-%`X+oJZCjtr9HSwmGb`?Y;`(IU(CZJaGv0YRGvB`5~&Qtf`mT+yo5tum1e zQ!B$n3b2%PV_5Owm``=^`9z&OWLn`0~p}xzrhXI zHx{yqy=Kiq=3PB-b;=C_S&$9ID9{GDC`?JkC)_r%%7@JS*wyi%as>)*tl?{Fft}QL#VekhU=syls2~}1UGhgF4?T;29ntrLW7}zB~U4lrJvfwT~5*Kjh4F9bH~zb?SZKV7RAVep@3)=R7D ze|TK~-?s#ux6X06m$?%`+JMs&+&^G6kLuJ&I$iU9 zUrRirMIxmTgjL-5+V@;`@zNyl8XAJ7GVABCDQozt>$%5f=-;a$>#7Kt<__k7m2A0K zR)Z6DS-eAY&!Af|T72j|XY{NYgv#!eVT(NEQ>v5g_~6N0t08nSwL4>RP2Cq*UiF~L z6S7m0^WGYsF7WveCaBcA-!nJ|^MLlX!s=4&Oef!cZfQnY($qChX!)J6+OY>2aMH+-{4&TnB-{B$Hu-6z9dmE!;ok+mJYj z{c*R*v{`^wb?hhLqP@2n8Yl8~J5#n?1T3|Y-<&zNQK&{GhH5n?d%KWZMqeA$n;b^L^RLYk!K=w8Jkjqxl> z^zTcYcP{q8zobgWW(lYnJf11%I1J2cFo>&z*7PRbXXI6TOibn)w?N!y<;}4jL+#7&%MC?F4p{bSN>p`*L5=qr3S~1Vghn6 z_`h~AYZjH%Hg3-gu!i7npOz54bWiMAU&<0e{iur`lHh@wNJgN%9FOAsBd*I&2=Bn#`5h{DCM!U zq;bpEo4rBb(Wr(P+Zp@!I8;nzxOHbUAId#;5=aOYSE#t~=@Ms5GZHyXZ4^Xrvf7Dp zn;BAfb7^myatQE|L?iQ!}qFUyz)_f+TIGXneszpl1*(2j! zQYFU(x(k{G=h;_zkKL#^1x?3txCgmXre`0*E3~VQ9~1r|c?_**;2CkUyY+-T*h> zum7xJ+XXZgt*P4KUYK(?^Pg}XoBEI{@Z^D(^I`Gdg#T@KKgKQ4LhX$d`vp$>xaap* zA=`$S1KISPXVtVVSAo&auNrrFZy zP!z~unp+XV4CPt5LVXA02Z1l>xkK_9>J3s`amd1CsO%h%_6}ft89Pb9HjQzYgBg(j zq~&^DJl+w|wzQs0-TdIY;{)(vOey;=)Z?GN0!O%LMZJZ+FJV&=Rw)-x1v z2UeiYQ8}d7{kACL;1D-V1G}_tP?nT5%eT65433w!3yNb(ZlHq?4is6p+{7nxhp8_|{qxa>!Wr8apQix2O)p{X0M3A5DfAiRK67${=2)Vrpdg zlo4aX7TqYF``)uyWrFdj;8V!93rvh=CuC;b`OI91eq-5V$c+2%(ruga)}wBXEk_RB z2GynvtOlC!z)WPyR4&E%`!_! z0d*P#M+|)8I19~BIoED2K)DT`ZMBw67++8$57MWfWD}VsgfN9sx;1DX^fDu5A{F5U zEyJvs!#;d~Nnyd07u&L@TIQ^Lqn?V{++UShX)ZlKbGT?`Gsm6792+gG z%HImR%re9%%@5i@Hpf00<#bLYWbD>2xq}7~sW@FW%*(DijE%fb0*wpSP#5L&oOtu{ zPyLf8v%{jxq8FLV=XD?v$cp3sZ;J_H0B^)KE4_K*S~7X<89$ZuA=tL zT2w29N7Uiby8f9Fz?jYat5#4B2EG)}MNYc9n1JZ)010(^_X#)BIy0fI@eO`s&@@J2 zAF0i-g?|cPGN`F59UsxNm*MNNgD_YGl?u{WM!$vKDyc}cl}tCF-d}tnWV_?R z6e>t_nO;9IOmHp`*7b`_$3@!gKN_@2F!OSo8qnP|VYJXkw>SyVg9MOrZ;=V~aHWR* zWOuQQI)?Q(1gMF`!A6cdpXXXhe_N<=a59nVTBATA@{#&SH}}7`4*#V`_>aT?e1Y%3 qyw~?%4*y3hbot-^IP4?2czz{>-!R(zsgeHgCjgaEl14o-^7|i;i+_9o literal 0 HcmV?d00001 diff --git a/doc/art_tut/pallette1390508302777.jpg b/doc/art_tut/pallette1390508302777.jpg new file mode 100755 index 0000000000000000000000000000000000000000..25977577d426cf61cdf7fd171fa03f0a06d06e63 GIT binary patch literal 86800 zcmeFa2Rzl^|2Y1-_u3hm*?UAb*Ua8~ha_=b+r8XtrBF&lku5VL*+n6QLPW?WGbE$X zFpA{=y0qTk&-#AfegD6IkN>a3J+J3E=Xsvz`8?}&Ugvqn?#S*mUB5$o30LkZb0Kj+f+f&66?SN!Ac0v1~u`XyYb~Q6NyQM425$%r!#6`s<p|5i|r4*jHSFpXGqC{*VL0`?DNS$Tu1g zVd!_-v>E&40B!II=E(kYcVu@NAl;L|PR#&-?5+UhdoIB791d@H47do85)ly*6Oj@V zlTscaIY3EEK}t$NOHWNrOHEBrNxEmgKK32|)rOEAI6y{Dc95L>APqS=IStMtr`eA} z`QIV1`w*Zc0&W0jU=U6KN(q5cLUt!WA&GWdAW9&Y_>esVGK@1Y00PCsCmJV51M=ld13bw zTLxaP-K=~(IJbVx$?sB9epTzxs|{%_OK1P^@XBNckXV zzO(SJIpF)j!tN+Q3foJQ5>NsrcY%z0ip`aeTfzprfP5|SfQ>h+VpN@5(e#>8iIvrA zYc3aQKO$;!3^v8{sIo4#A-ZE1_%M^QsAQ#l?n_3MKf#-`FeYiqz!06~FIfK%w|96l z*SbmV>ObxR3rEUq<9C7XFXz7`O>MhQO(uU{UN{k$?B2Fud;1Y&f~oY7*(tOEMPU_u zJ7u%tnOXn%ys;~p)0CF~XU}BoFO+<1w@YHmYA1rpM^Cg>;D@rbi zBMZG|c2?WAX)$lIzWDp_a}CsLarOGdMCg6-U!%`DHo}~xF*SKn&Ur}cx@U%LKIiea zP;O5jg_5b&&+CM1s0TZ_{)X;0r|R4p5D=_2QZT9f>K183SM(RZ#@;}`;7j-Jiv@4a z?gBT8=AM`1a)&~(w7g|Yc)mFyd}t+9|9~f7bD#g_4e31JjXR?9`OA0*`)!_Flj@!_ z+6<9c;BXAGdwrUwHd+2wMYRWTY>d%nFl4#mXLH&$gS30K(~y45G!{?(Ag6#eXw-Qj;O!9r~TC(sWzpp)m@-0B-D9+eJjca#S62u zk1X~(g-AD|wY)Dsa3@%S>|hxGg}mwWBpSAxmN ztA=aGPTCY_?gI0I!42~YOeBN$AvRLOG9y<@C&yG8Y^~`Us;wwKI_;$OgyfdTY#S{o z6&{d~>pu|lTy)HadC~X!`G$w{@aQe_kgOOc+Z5$S#dy%q*jN-3?L+CkQfo)n~urOw5y`9lv-kj`4RmCfL|)V^K= z`>Y|GQ-^vl7$BQ+f(M4_>L*_<*xD5=M>{X=0=YZ8z(=^egj| zM!~bnv@Ni%&_CDiqtRN{LafcYO>UK5pv9?cDjYF!#N(^oA58AvShG1&mUhRjJVA0; zkCk}s`N|G0W);Cyzvz-rGrz9o7-)%bs4Ve16j?EbEG5rKfXtZo;TAdOW;(hjy?~DaXh8zQRZIny#_R76#T7q_5{@ z$OWXuE|8M$b256YRnMysrFPQO^WnjF_PYQPTiqg0PHfdKP$KMJeac~AIYvmyRb(?_ z&FYnhbJeq)&A`T7OBdgjn`KW*D^EBFdH8Kqn^g&ZUUeFjyk;7w!=11T?Dj63>L>}^ zdapa-!MjjGvo;g3U7L*i{vi7XAsYK>^ydJ)nUF{HAg|xJP-B=A+ zE0?%$b?ySK6t}>3D!yYdR!@r7RM5`SnyBvI*byq$E7%2Qk=v||1|x zwswKd<)`)AL2ydD(bu;}=g%+QBP)>?3h5iGs$;2mHdjb~qe)HEhWIE1t0RZnsJy*- z#%xwDN!DW9Q7?SW*)CUUsYvlUv9Y9IQuYV7ZP-ps{O4!O6uPTwTbj$;b{n2`^=Z{_ zY+o^L6$b_r=2}Qgyh*7GyX}jfSg0N%_iucZ7L+xu^kVSC;JXVaKV+TkcrmhSohR+z zGePlrxiBL+qPOM~&AAzZS%mD_n)sDnfVi?lzhnwu9Z&zFs^<}q$~VwLV> zxZUCznSN>NRV%@A+1+~4>V=h?pj6M6+R4|4r#zEACM@T70jUkkEz7DRj8rS1>Rm2` zLD)t(uyHl1I>y)I`QmokE>Pgd*0*IFbLLIU&DA?}HQlH9Tv;<8ZC@Qx5+n67j66ci zOv2n+?OcEUWpvQ$-7|0eR{aZ|7Y|mNX{ItxT&bhT9lXM__(1&mhSh6yc}|FCTj0H| z%*4!Fg}(mad(1c|D)p6kLsqX%^5&Z_bt_YTS4dWZBa!cGu3Zb!DT5 z7QVb_Js8Der?n_eAmK@Shfd}kOse`c(i7~;ZI0hriT9bX2@EmtJa*#I1JS1obkE)E zb?dMCY3QF}vs`4@3fc(aIKETA9Q$l4JotL(z6rK+XAU>=6Chf2e{ytWLm z#uc|{cjQG!pQiN%+T2DBH_Rtf^ubrwrVNMbr*d=B^+F`pGCP(7c@;&DwN&(nhxHeF z-Z^Q5ES-FnT$z?SWL;i@*fCn)p{vk2p8C;nNf`0W7)ks5exOGiHnV4sTG`I9*en!_Sev$F@Da%8Ewq5aafx?wa%UE1!qT3;AC( z-1i~Bo3M)qV^8sFy0%1)1v)7iH#gJ?FUYRA9~n{eE|P1u znOx-=9eQPJ<5}3$k=e6RUwiM~&Y_i^0m1Yn6DJ{O^lQsN#n~#tTfSps+mlPyc00aS zk2>et9@9gXXgqu(i>Bk*7UnKr+y&}h%+C29@m#o`q1afQp=}qhF?j4ll(vg+=^1+4 zYOaOtosKV3tk}R{eEoKrm|UvJ(&AGgi%hnj!8_es77dMhU#7sf`j-6LxbUb!en8l< z+j5E7^h-(7?724jR(WNjsA1(nnF&pXYDKAg@Ua3!HKkIXU+Lx!O&e3ea=#+m{p*tV z&K>Z3^PFpR_{`wFxJ{l>r5Uv^>+umEgU%NolQu?N4nAFeZNarL{(k5X%ZD|3Z~zjh zGj??VHfonqb5(pJ(yH$Tk=T;-g7=m|qrq_<5wDGykJomAdP~Z;+fcA525;{U?3ac1 z=|d#^zGd#2OsqpozVabmJ1^B=#1{1ANe`RfYcp@l_X%!S?3f-M z7Wc;Q{*`N;$2X;jQD#fc>&1@S_190{Wcrdels_Mkm^dhr7Bldc={oJ&M%F#KVS3N& zw8`REv=mm5g4>F(*4g?(WRVtuA-xILpr%l<8nmXJG(~avnxdnjvU)}V^_iNQ5laW- zd3(o~!zfz6m&eAVj`uE&oY?f`3abl(KldLkSrac_E15!n(DO@1%$FzGML!DWxmi~B za5xq16zyxcGhEqK$NJ2bjyuD$bxuRp_0mRHaxLIJf;}Tmfs}#z{nwF_A+4*t|=4c$*n+;%x*c(V-BZ1l1gY|S!3 zXV~q1qpv5shgen=mrI8dj4>~*Af!SbTfW%^s)oYYtQm|3X9v!)Cd$H?!&5jeO^4TS zr*~zhWi);fZd@){|7=vXCivO*QP`Sn7xvPNe#^zt<;KP>C6FaC?+i)tlHl-U_i-<| z2EE|XvFSn5NO2|Y*C$tdEDa5mx(3&F0gD~Jf|6FN_ot!-W7&$6YpA!!kD6^XE@eJA ztB-jM6T9YmjT|P-udYW?bb>W2=`3bV?=yu~_v;<^B^FER?3eSivW<5m+*w>%U-REd zU9Mv(6B@fRtXQjHWH^SYH|haoT4;O}$or6M>=NlUM(BtjxK zeGy>hbYvBljTX$De=3+B(%7^LcBOX(eiYUaSXeT6DU5N&;(~ExvLlD?)uyLaci(MBG#{~KrO7WEDa&C3`j_w?v zm>gcY^n7h;%C6_cE?~3pa5~6tt2(kR_4ye)Cj$>iDUxg~XyfieVAW3U@TUCK;=~t9 zpAe6xxT$-+LO|@&UFF4*;H-I13?l2|kW6}!8bru{(T3{b=215Pk5Yr~jjz$_R5T-J zs_VOFy?GV+^IH7gD$9;Q-q?5CQ0#@@8*TTxIyvRPQX~KV?MO4?J-?@e>@4*rI#g z2V+z7Yb*nD*dxf>PqQmWh)Z9!HeWDo^BDeM_vTA&@|bA$tz}8IS=V)I0?k)n{O5Ib zb>rweT7*Z%`6nQ~OA-rl8-zh3xediOpk#p=ewLn|71e}8Z<*WfJz;V-7suJ5#^5nz%%uB^^r6Y%OtrHiQkzLjOsmoHmNea5vib;WXIi>4L zYmMM%De>ol!!4erWpB=sUqF=jS0nQb=f%>BD@(DPBmHYqA-P*2({Qk^`FI^+JJlAc zZm}iyhAG2GVlzY!nFJ1i6=W6_c&Y+Ro7(7BJ?nO)o)t9L)`iR-@$U(gD#9o}@yN*$ z3*@zT+3uUPA(N!FdE&&2KiUDd0<1doZm}@T+kfob;9rB3`8p<3VF($3)fiIPQ2Gs zOWm1#rib3~AJAxRB|9U2q-miPF>$kU)Iat78p_vEdM$b_Qvr>V7G zv_U64{BEvK(Uhx5Z86`chp%lc!Unb~>l-eBT<7h;r@Bh}h(vuj#OYGKDRiIEASxQ- z`zPrilAWeg=ysZ)@U4`ZeJwk=O}`P+^c-F9GR>OL{0iB7RfF^t%}&Ou_q7=**%)`L zjxocjoKo(vLfkkk1e{IyF({i+e6VI%Iv_W}AY*mN$#k68+|CU4Frb>VO>`9-BHk)I z!R_EO8d2T#E|Bkd-jH3d1o%5XG zSX9gsrFWcp<66_qc(?~@wcBmtVz%W|iG&Mc<@E~k@H_8E-bRM)7~H)de*8TgFODQs zhgYHy{CLp?Wis1+zPrpW{$pBMLRb`#QPnZPc_Trkk2H-GM zU43wIaKZaDkr+dJPo%kql{x6e1MqXYF<95Z>)i= zJ7B&$Or5a?-yLcgl-dsm%IAke-@ya>J;c!2$M3sC!_!0ky93OfAEZ?g?#@OIZU``a z2#yH=Fx66310Oi817PaN&hCizbx=mXz&ZDf76$bXeySe-h^LBiG`B$cXmXi);7aVf zQT1?S|7ZTDSP!2)f8ziTWz+BIBz{PQ4;rIx?_-aXV2?>-XJhQQvc|zUWblb&8_-8O z?UVU0$(n;ojenM`8Ny@V-xz~Xw#HG|FFn}u9(eGpssZ}#xmQDZ_rl2c>^)2A+}AQE z+jHWoXTTmN!*@3;&JFvp=O*5>K?|~1Q$RHg&X9a70sy*)yT0eE4Tv~7fgv8C4JuDS z1NrKceLeNNkNBSdanSyT1K;xz`r)%j^CRd6051U8{ig=DfD8VoLA$>awwD(;(g3KE zlHGIR)Kma)WzYUUZ|zt1uT}nA@_T%V?zuGgwK2bH?@`2+0j^wdshRBg?5oAT_6ksC zFjK(a*BZo%gZ4YPJzPed6Q&1R`z4EW0#J|wV;wI5*1I1J`rnijKem?Td26{+GT3;Ufly?e)&M2IqlBIfL#! zgKL;SyH$PAp8M{7HcXvezJ~7!Mu7EjMIf=qJoItR8}gTQ@b|(%Z(P4gxaZJO|A{uC zGY0MJ^%pM!G{)8074@~(Ak{F#Q8(K218I=i`}&}@kSHX^-UsOjdI099y}rFpNcNF& zKDZDaPiJ=U@&C5`Fkg(v*O$`QLcwMJUwsU)&fi{K`#1#l9zN#w&VRuoMlfCa=r%vpL#Q6LZ^kd(= z|B8OV!C4LMfyVrlxs?0#RkgkcfKdQLGzyp3#6D;*@Rg56{#+ z?;jN@drDRnO|zfpw*gIz4(gw(J$_cd;IQ^o9#C-}Hjp;xR`=Lff$Zz)K%)O#dGd|Q zo{~lr`xh+QU8{jz8dNq&tP`ql9f(1i1sa+o0^Jd^ zj+{!0>_-FS0zADukv{h90iGTxtXzNs=N@r65XO0naI){A__!-@?rk7rw=ythS3_fv z>~LXGA%v)uD7%!Hu$Y9Tw6wG!JGd`SR76xwQ^Pnq$(gEa zeoYH>DR6!j%HQ8#*k3{zjd2zcla-al(GV9G0x^WJr%^uk0YWG&*Eb64NGt;5y0=n* z9Y+z=g8TX?aDtrfi{R9WWeacVU9&t&1#YTdw50DC+xP6d9 zqS8X566Ru(a-!fr{D`OwSnB(z24D?x1eYoNBl6cxlYc=*JGwfZ{*S2Ni$!ivKW2b- z1o@EQWdGr>qo!tzK|8s6fB{%=?FPG!hMJU^tdx|HxUd)}yCXu*361fz2lLw1)7}{= z;^u{P-s9K6Ku!mR^|41GkUHuLoS?A6uC9)9YEmk);wn;Ns_GgN8scJNGU}q5>gsT~ zriO;7xTF-AHi!wvRYxOyah2d(Tt_gjhNh^rraD|*Me5(j-PgO?d;BdLV9WX;{Wl6K zpcGiJRIy*%ovOX_SIEOvalef_q7fjAKcY%YIZ4|i#HECsWM##K#KasOg=7%oa3L87 z3AiLeQpyo7DtS}{*W^GF`>pw3(n27mkVrTJA%u`b%6_FKD&;7Ikg%7rw|7D~ASI;! zkrpn?|2x_CQ_MYn_JtGqA<93?h5b^}cE#n&>972oA-%s#!oJr6SkU~aIT>STtLh|oR0nWCF zN&X&E!2iA!;$m`QzsHysF7*piz<-x<6kPfjq>z#m|2=AyiiW19gsOy$s`&r(-3=Cm zj2!&;=%Z9L|GpFwxRb_yi`K0w`U_Hkvj@M&TTfN&7o_-YzLHeM|GpHGqH?0YN4rp! z`2U3zlD{B@q@2X>(bKBJe?baR-}8HXv8qb_eJSAJ2;}z|4XR52f)t?Q?Kde4nO~3s zeCz!lqd^S~S#?PnSxMD@sm1wMvjzPDJ0>) zOHV5<^-EH~e~+G4T>2NJ0B6;HkDgXs<`<*@=gfbPk-4hIe_EUMuSe#Rzl|0~RrBvl z@%w1R)FgjF3b3dBJ>Gh1@L!MucM-(@iP0bejl!KX@?)i!mpukNvdagF!76Y%VbGrJ z_Fi7#c`o+2rEDU8D95i$hQBY6WA{O`|Frt?UoOOQL}FZjT2S_LGT(V%|KCjTKdxW< z&#N*2b&KD-sN;XReD>BK?k^)0`L8Pszpe}2&qGaIvFxqVmHTIF3I99w4qUP;2QJ$D z8>>wB)7?Mu=Wnhu#nq8LVSieG{mlzp)QtnbuO?Ugw(9%O^G^=^$$>vP@FxfUqQJHO{@`x2-5F9VaBq&Cv8j=!j=sj;wnI{FeODA33hsgP1kYf_ZPu}} zKF&_q4epF12KT;!M=Tz`}^klg|v;?*}nz+ zH=mRUFAR8c9mE*Kmv97kmVxj^5ccr*@xuAP1z{QocN`4G?GL2EfDD2#Jq~u>hXwa= z_F-il?C6OCk4%K(c=mGibi~0eAROW6i#tjYrVqlG{9KX#AUpuV+#bH3;BG(|?j%wg zPozD#I}#6f#vZp165#^Eq99C)F*gNIbHzIf-hoHz{1fc(6YK+?X$ay1YG|+1d#C)d zA4c%AgB$8(*tL=V9!MV_A!BfppgqPB+`{MSWsf=y0Q)lIVgXeDuv==+d*AxEfj{B> zI}lg4`{5r<_R0)G*ZITmN6a67=p1k_C3xy9?Ai}MhwA`P9R>jOqd)w(Z-6^kF9JZ- zv!CRl!_}9cHW<1h5yH3({cipv!gtJn4g8dzFfP6CJI&bD_YZ|+$JJ^Cc*vtKh8+v; z(L}Nf{ntwTw93=-S`#(oT}Wv(b^u((l z1FMYybHExn37!n=0(gSQR{H~If%Cv6APTq!Bm${G7LW%N0%br2Pz^i)9s#YuQ}9B_ zL0}Ac0n7offw#a0unnGkO9&x@P(v6XY!DvE5r{ZM2BHYjfapU^A=Z#n5N8Mq;tM$o zxd4fR#6eOa*^oj=Iiv>i2+|Jeg*=B$LlzWr6ZSMW8ZJ6{sH69BK=7 zhI&H-p%2PlnHoe*|9+UkBd`-x=Q@{}O%@em;IB{$u<>{5kv$0s;a$0zLw10&N0o0yly) z1Xl<$2yPQRB$a-X@_W;UiHXF(+{& zIZu*8QbzKaWSr!~fddCP56B)cIpBKW+<}w>cMd!`Fn!uKn1Y8wh2kVd zAVm_zU5aNEZw?Y4jM7?6mAE>>lhX>@DnXIhZ-LIea;? zIl4KvIr%s(I72yab53xPaLI5vaV2myalPec<2K+v%U#Sp%0tW}!{fq}%+toR$;-!U z$s5jF&AY%y&!@u|$XCoaewggA(qZqzIfn=M3HW9B-T5>4djy~YZ~+&AG=XPFphu*R zxE{$k(kqBBC@Y8(%n=+GA{9~*@)If+nii%L))x*Ht`=Sq;TAbA5-ZXs@LHpZ zIwnRXrYjaIRx9>iTtFNlo+dsZK_;Oo5h8J4;+^CXNu*?^?w8v#Z-M)5`y#(c)!#&sqHCdMXd zCa+AzOwXFOnNgeBnH8CBn5&w{n2%W;w(zxRI!1Bq#IeF-o0jU9ah5NvM680Wo?0_n zyIS8rPI&y-@%-cKHX1gGHghN7CoY{BvgNZ4ux&rdc+%r!y&Z*}z1`hY_@^vS6`lHQ zZ)BfizwV&pknZpnp@v9CymnM}OmJL8Dj?&K^G*s*aZU@)3eNG)i!MqoNiJ_()m&3u z-??eKWw~v-8@bgdCIc}MTK%lwV+wi0q9<@BVL!hUU>K7g?WgFM=J(P6nE%}X$^cYA=V^h{mru_JY6jjsgLejTrs*ul z+4EUKjY^98dlQZbp= z2(F>74aF+O7Q|7;1;)+B8^l*7uqQ+&tR>ndwkJs@WhIj&`zF6g(NDR5o%{N=>z`9y zQU}vi({86Tr(aIr%y7);%T&%R%VNoj%G$c&dSfJ8E4wO(Hzz5VAlEPVRo=0@wwp3H z3-cNCqw;rdp>Dk>FfC{)lrAhRVlIj)h8Fu4FP5Ar=_yq&yAtQ6?zp-cV+ID-Q&5JUP)aUSp}&&UG=uwrTWEv>-)Vmx;0I;^0k$9!gaSEa6Cw> zr>&1^AZfVRu-h2exc<=V;hRU!k7k?fn#P)~ng?1;TDl+WJ$}-v+1lKu($@Gy;mLz` zx%Qe4nU3nGQctTo;hmLT@UF^ksqU(0($DVq$oACs9_?-DQ|f!vuioD}pfm7v&~UJK z$YN+@_{8vw5yz2*=N`}BjrxslkDVLGACH_kIFU5TJef1aKXv+Ls}mMNFhR}Qb-TUB1|d~5Y~ z?j7dc?)xhr7(U!ulU!?BH(sCI@Z8wmjQq&(vEY-;r?xH2t@&;L&xD^-cKCN{zvz7# z-}MB~=KpcMu`)Oo*uUNw`rW`l2!;pR;B~xsID=1szh?*t2nmS@2?>aaNl3tJkpF5R zFc=IU51*KTfS8Pgn1qZ1cfBzMcu6v7zCK9zU4M=D-L;Fm-WW;*xdwxP_W}R7-dKFM z1(*c~IZ*J1Uhw~$At1yjBF2M2VI+{fFmSl>EeO2%7=}-P2VQ*)ekg$#M?;|m_;@6w zB!tAc5KtU|l8TU;h=WFqbAa|3y^1*3O}eB*mc%Bi5{ckF#h0uY-rD!6^>Zh*ScJ)F zpAU^!H|O#7YnArK+~t+hN{%g`c96`2^Bo4++@nW~M?gqGfa47U-ki)qg(qf0jjxi; zX-Z@7eLl9lSDcpM?IYE`I4%h@I>PC9p=vFU4>?p|xz)`vIf!OSc>G-+slQ%9yhMO$ z?L0*aIVVa0Jfw#Ke-~ui?fKs$)!X#B18dou<*&Id9H?lKzp~zV+Kw6`n=yY-|Kz}*9QeO22Pk&?h6Tr25g`G0V+w{9k4~f1 z&m6x>-ym_?l~0(tfY($>7hZGI>Qit3GM<_PVqn-b4L#UX^C~?x-eysa(?UJfKdd-P z^*u7O+A2c!bmgFwd{~byUdKhZ4QTA^erZt}4XQk-7eqh%Uf98Y1HD8`7SkZwg6uMJ zW(fVgvT5)ZCRTjARJiir5{^j+}~^^b`w@1d*GZZ=^39$}3}; zSE@^B!5#&Zdev@j4GtX3CtSrNKMU z$qA@MkSEQ&(pfV{W>2eCejKK-cX!3eKJzU%?yrBWMn``(h0|%m+pVrQfPkY#*CFAt z`U`f(6ykzI%&1iRYDZR>ZqR{x|*9F8tx&?@@YW- zfS~#nZhcCsB_@5$5-e_|uT=1$i9m<*A%0BNIDdp8Z=X{=gXnuoO0AH3TXi7aIv<)X z=VT$y{19zpQ0pSMbSPmVo?pPJp@F*S+y}ZRoAEzgpRKDrRAuECKXuW=zt76LJRVIDANF!kS9yXq zuiG*rQiB7?gTMqi>cAnkIwl}4D%pT2$CWl2b+4d}d4kWlTD?3&lU4l5#4MiF~ zJ@q9%i3Omn9xgn?WT5OL>cY-&rs9poX`;xM1dX>Qw|%=gby~)GtnZHUL_R4grbobX zak~^SX!7N0ce%^{SHdKk?Nr=u1Rc^;}bH9P#j(w(t6<3#Fv-}{oKgF{^gpM zZ;$rFfPq01pFBB?TPUEQK;rn=R?E&DfO${4-S>EZpX$cX%B9i>obnyb>Aj^ z?;cn7xFlciLam1`J+gI+mRfx*$m?+UCF>JD#a1K?OoXt< z<(}<$#gmu%Wn0LpbT?`4IbTyYqy1d8q$T@7FY26%r*3eLOGNl(=>T_lBwwrC~?qHJ&EgmV z8yjAemf|<{_uG%%IIY-Hd9!$7i^jqYL+i90Q{kZ4SrdyYAdWUsZa=TCZ^FNR@F1jL zjcjoAUSU6N~i61QYHRS?P+YCh@L#41v>@szZxx%^_sgO=*^OW zm%f$dVt+W0s$NU8w&`-wB>+YbJoJJbgb~zIY&07~Iv*Qd`AA!c}=BUdjXwDr;?HHyD2oi4mtuQeXfSc0ztXi9YE;CQEhzdh_;gb8f z5xaDBj8h@!OI9s&BtP*pc~nl!Ktuu+g@Hxj4MK@iyb(mns^_9#DBhnIu8qH*MJ9i# z{%)1!igs1RW+f(5SC6}M!bJVuVzsb3Ww6|z-?aAjbFthmP|zmpZ1F=fab_2n74@w0L1DoV*1>oZcrY|H79?XL5AbA zrjHUgl&_s*UKAd2BIHspbfQi2?Dn+Ay!f_YF>FR|jOki5JL#qrUPwTT2qG z=BHl`^{GXjY;A7R%xzxDthv>i6Q6qn|LlV8;S1+&`yvZeV+u@`ARAlyyzAvnR-d#x zwcOH6oo-Feou(3^J7T0yXUvj6$CYuPw49dn6+clnDfDE)Wd%<_89vTRC4P_9V+&bPbGk#PES^4ic!21T(?c?tF&m3vpYQuCZIgue zZ3a&dGuOEEad^#Yw;ztU)Xh}nI8m7>9{TdOl%N{DR$;b=n2|ED^euo?;%{)*xqy6*vWn%R0VmO8E0)zK zWQBsQed+?zB5yM&sdTzIq-x8Yiqm2Di6~5DGp9TpL9b=qiF8x)UiZT=FA1If8gm@q*Y%Tui<1AHa6ECzf9BiPLwDBX_5CJ@0DT| zD)8ROh|O^he2Dd#Cr56~?8uT%bQsQcj_;U;PWT_mi`BnXhN(7Smu+aMjj%tio2klt z4_qeMyJS^hdkJx4Q8@0OR+D(p;8`oSh(MXge&I#088=K-1$C z)5TF$AO891acy~@otX@0^fCExJt6>YqDCC;30`(s5D|0ENtHtCKYb%p><)}SOKPC~ zD6+~R;?||q<*TrAb44MOadOq=ll!z?=$ChK8zpCl%_f&lPEVJvY{Yy=ZrjIY{e$`^ z2ma*1|7|&twLAE~H-9$t6DbHu-Fp_T=;y8VRXPIwQzAM{M32&>F-BSP#`&_wE{{Wn znahIm#4b|nkJ8|2DU&{6pSu&zU6mPWuvGYDa7~EjIi|B&xS}g8IIe3@rx}UQ)8jSq zh{_t@OjeyVHIM(oBB>!c`4!&GCYuEAQwQ5wl?z*~rUsaw2$tqZ)!r;^IiP7cQ7fsd z$54}`A@!u-2))JOYlVC@X5{Bg$+MG8{&h3`5J>Ci<8BCjBgWmUM(b&zM7sgQZx7`?6GqUmTrWn9dgng@ee9A>_ONx1}w zx-mtbg3*LH;=xG^dFY?kL{nWO)?l1a@5;#?N-|Z^e$JgL8}0FuQ6}(F$5q{^p#=ds zfxC#q>diy4k;asrWi7)hhTM81;A$6#2x4_OghKg}gYemx-@g&AXq+fE^V74{6xJ^* zF+cA>7tv#2dcf{9r!b=%_FA5ji70j8C8^BwJ!i$cg}ApEXl;lU&127LqNGXYpyd*n z>h>hYN;{NrK6htoQ7Av;T;0WpSPin7Q(HGFpK1ylM!AypTErP26oo{x^Bf$&Q-Cty zWyyY@omSc%{<(^mPPFy%qA3$hTl>;aJb!4V$94E1W^(3U8z5~VX{Y?;@VhuXYaVhI zRlx$9#fWn*p7|6SmkX+|ihj7Qqf?YxJ9bev(aD!DJwwH}3x2qAh_Jq6gR4Q z<(>-hm1AC`3a?upM$uYC6m}=Qm9u$P<~-BPToyy>z1E-C7P~g1?pUY$idc6!?cGs{ zGgp^O`L4{k(cR=`y6%{lJ%_K8?J^Kh1P>&iQ5x zp+H?Mt#USNkw;_^Ng2DHaqHreN9IwRF^%hr8Ck=Z8CX{?9KP))?Ro|p@5d-1D_w*U zVX0$)Pu?>*Rjm1_(%xP@oVJ>l>oR%VlS`rZX&Q|o~HjaI)FLk5?Cthh?vs@@=8X#5y;uTlYz7Zm)>WH>NpNs9NYGJKN_)IJD^(U z-Q0hvD`vS*i(KR0N$R;4*@g>#C(~yhak0*1(q$^gUyHIWAJcrCm|pZmMyFXe$t^Oi zQ?P^l`hBxy+O1cI9cG%jET+Qmwl6+P>iA&Z`QbK-ZY<{Td*8`e^GJ;|WYC63dDG;* z@r4X@*vKQoEhlJHngots=3u<;-IK5XSi5ZmiXSCC;1C}q@;uxsoyoJb#cQJGgdnv^ zE4i?z$qZroBYa1_u#+-T5-#_T!8c2g%q8vd$)rGxZIOzEBaN9C`7MzWuLItFHtvF@ zmi@1}xPs`-tG9Dz`1LaUW3mg=&z43D=TP*!LKt0?*gNqf;7+O6?mD@3HEED(tL4qn zrY;a_`Q;ctzEztuhD!XfZTUR8hOsXq*CU;CP>5N0Udd%!e6=#77N15d} z9A<*$W?0_UNo4}_e7iFaWxksp=CkYJl4up5X-kXAvS%Dxgm2bZ*W^tz6JH*aI-mg+ zH@J99{N#M;?JU8rwv@sSxOwm4G85xAlbZBUr}v}e{n4}etan}pT+CJ91xVJ{qFEJ_ zEYRC_D;&pT*xH^nWy3kxT04u%Tje}>*v#{7w1r=+UavX%=%8jB6$2%q!RNj-oxVj6 z0QT~Dly7k0r>(4U%W#1}vi`Y+_Dg4D$JEy@+&C^JHW*sZFU-}?iN#*acc-s8r+CZS zd_X-Pcq?~P3W^($V$c&V|S1ANz%P(l!~3Zn|#6cvD_}ea!Q~$NY}Vn ztH}3kYyW+X!f5pqDPyL>mlIVJ0NCJ6ZW@ZA_R3j4gA5jA6yKu<10PaupmmK+8A#T@ zR3G_Ro||&v@cV}6xqdMu_tNI0I#v&pWN2RIjj{)*57NqQ_4_xTZbdaUe4;4Q{N9CM~LG*(o|}wYt8g^JLTB_7bCWWgYTnWvM^2Vh8bc8O+lI zt%Lq%26GgY4p_MjO@>-MfttwBCpkrD^shh0Q}^R78&53I&A8oC9IhcoJ{H76*i~0u zc0BD`jrKEBN1@AlokNbP+))d}oH7`LwA% zOwh;T583fKx{0a1^wG`rp@~7Pq0Fo+p@Zk9)5g&}3JI0oRul6Rw#9dSgIzbroF0eX z7W3$p77ILm?HX%r?1`flF4ybMTVnFbB$&${w-M^I(v0qeCD?kamrb;J!<{A`y=SSa zj2Rf2dBRt!;ze=eT0eAHfu+Oi7l* z_)>N0%Bvw-!z^!Mp}a`fHq?Vs%I;>kZK;M>3CEex)S?N_6fqr_)O+qeCZ-K(*{Lpd znxU!%*Hby@Dzv5sXr*27p0-^GV`_~VZgjTQbvzwGi>@Yj(fOPgwIb#Xxbq0~+dL2r zy&e{RsLW0lHJ6>%L2GTfDxFY76V2V3y*{czrJXil`o58Do6#M;=6mqQCRNcq^!)|; z0-BN&>Q|$#zW4-jEevMhzmc16P=4}YDV5&?RjSBgGrHRsN{F~?b&78pw}eO9Cp$c^T&d`8?T`*%@mklmj7h5#l>D6VXyV}={iWjU z1eZb8Ytf214hAG%BiHY&Q`)e|>kaB_k*%#rM@7E56dU8+O5GdB;XE6;?43CHx>u!H z%P`^DaO>a3)OteOtljTpRJ+ z=GP3?G|}iTd)veX?NH@{pgY%&-sh<++~ygZVV$Bz_g8OgvNAWUsvzI3LO!ryv#gKv zSW9R`$(Ke38pS>rbF{d5>SeFBRIFj<_L?mNH@L(k4egW2O=@6f9$a4Dy~a{2^prw) zC+oD!qe2E@tL33Z*LrN}7HiJ)iB!XotBx{Bt-`5!@1UvzBX`}T%$E|nE*2!b@N67%r3nv_lQv%CLCT8I{uNtu^^JBL7WCg%^Tm35w*@5=#W* z)(gh_=Cipa&g6<3E)=a~471M^RDFuN8R-;Q_mQ&JMCXZkLK$aN@^VJWD+4->Ui>G8 zC*! z$HZELD_*BOobENFgz^$h+-n=XF4;51$E;XEX;pv}E~bLOMFj|Hc>h|G> zq3!IZ)q!0E-A6}XePGSChhM zJ09HOx@KNcbCvb|>CT`h{Q)YA2dkBz-DXbXcI5Uj&||$ow@@V1iTzmBMbF^NaNzYX^stZ2}?S62Uv4^azDo#{~ z7d|g_N*}@0og_!Q!9p;2ZD`UE?{9EGF_y1=hGx4L5Dht+@-Z~&}X)hN2YbKpPp>-2%~lTja|v_B+c!X#qR}M z+iE_qFvG1^0iqe)4~etxdhwWe$@VYsbf2Xf;90zQJu|RVp^@iMuGCZ)(hf_Rue7uv7XHr;LCGjzcDgW;g}& zHmay565n%d{R;^2$7l-_b`YX!If0&?J872Xw5D*0W|2<4{R&Z15l|%;Edxd+5+`K; z-WsJ9Gj&v7aBC=?7fUR+3jHo6GH>#xkE*`|CN8$SrXxNvyNlt&*PtxaY;EWR)hOvv z*LHSiY&Gk+l9-`(>bDE|q*?PQ6;L8*IzJuH;*n1TNuKrNXOjCi%=tT0f?<_Lz0{Om zwTQ;xi*nPsqc}mO2Vy7;6V6<0>RM^W|*?rb1MvISax+2sNf8*1i zg!|dW7uvT}`vg%Tzy;rhZjwl0cq0AN1~HQJ3T8zZ2qQTenEW zB8LmoNO(_~OK(P=Gat%1Z|oWs%pkI;!UDjP8O}3p(+1h~!m2;6+paiq*g1D;B)Oir zUj=Hcw`AgaDEyB)8`)W~eP`)B&Yv(Nufde@K*!Nq`BghHSfG7_ubVcN03ioWY5c(- zqY&$^*L^z!i`RG(W{(JoXpy?62@siP1aA1U8&mwPUv^zYC#O>4?s&x_ZE%ga>$7q# zjMF{Rx6c_wadHw*g_(|?M=y3CSOA9W?6}$D z``lff)4uw^x?aa;q^>zW9^A597*klSN_tU8$-#UCU@yyoh8QAU zOBd9Qywj2r!UWExA%9K_<;a6CN5onsO9lIKn}(RUEV<*jGW>7=tUhli*9rOK zZD4Zvle~ja*Vu7nMa&gqrZV_l*YoeRCch)!CBoZ%1`^pDMS`%~dzt8)vuhA}MNWk2 zoWn{WKmrOO$ERH#{h3(Jfvm+M0kHd-9~W1O=q?*)pd9LU0Aov#+Oa{3M6HtLfJH%q z+)0MI1H*c=u&xBQR-U2>Yd?KO4Z9qBMZj802lU4|>@&isKL6v|*fx;|<-xB?F_K>k z#%iNCAj;zV7H9$mO*535vx#N3_RUC)l5Qay%39}<7~2`-Mfn=<=A5lCqd=U0Xh>-~ zILM5Oa^jjB_qaV9H!oU$C$V3Hhxr`}SF<=8aUJa0kRKrR!1@Ig)99Xo5u+nf3<7h4VXiL_SpqGNuKnvMcH8ClXZz#99S> z`vE}1_MTp}gza8F_LM^rX1Vbn{s|hy{5$)Pei{8MI$UJuIJ}Va{3R*_2WwLT;s=PB zM)6BV2a_s)vm!AP4>*gOFsb>cyfIGj2`4J59)R=@qp?wmPAa9Kf6<1sK@y4XI<$7T z72e2i{Xr~0yxZE)P-}97wV~i6BW{LR`1nJfYYDQ7oh9K)^K33g zzNNX-h(@EONM3i0h5n>A^3A8LKw9?+wr+fO#0L7OL{Z*i8}hf+Q`NU@;kx?aTE)eg z)pWZab9begr-3I1$t<%uyQ&yEgeQ$Y5ZU!w8WF$D;Wsm46}y^KotoZ6fDA@5&q^}| zJu&8N1;V!6A|7V1D5tSA zE5?Q6!(U-r$gxi11wz2nUq!_jqwI7h*BRzfV;@z(6LtDW+R}gCYqvCDQ{3Yr@51%h zrv>=aXvqo|owk?rYVe0#YJ_7}6=+ejR{-67UE@wMhN z6{CbA^N*?TkB_N^O*7a(E+r87eH(7vb4a83A>>-d__Zsvv~y+10F22!6S|&EC8Eaa zF^kD8ZlE(Pu2DiXzq)eFMd6uUO)xOzq4a(ttOwj#c=Udac2Y!tA(yilBJ2l#l?nA) z=pPS5;H2qSIO(vTv;aWWihhJU?cs(up49?TS;#Aeb|6)7R&#<>E~e%^%@=m&_zp!~ zia7A*8IX|%chj6`<`Zb`_Aelm@K>i~ux^q1i=_H8?%o1#u&XYKRj~0C4TD;+0x;^V z-8kcm{X!nFj8AxNi3z6S91XXK1Tc%nr}XI8n2RhSqP<`*TlyT=?O(T-hI~*}onBcY zAL52I?3^SuaQ7(BwVD{Dz2Iz-0ST~11Qu3n>BfE|a@WI#B;V_!ZC^BJ|?v6!mZO^Z)ZAFWT$m^?h-(Dw?9{S~E~vnMH~;Edol zpt>TgD;wQCaC@H;OqEkh`r9ruuI$eUpMWl0ClRiuz zPrVw_$py~hSF@>ERA@ImnX|)e`6%kIaVi{-scp z3(0DvZhcpwlp+D~CrykrB2L{TdrVyYx=+fBOFl;}%!zX^;DbsX zwPjGVQc)uXEE;9`_2HK&G&mM*rky)`{!cfpx}}&03g|P)_VzTPs;eiVvLBa7#~Di4 zR$^bHe%(e~)I`RgR1k=!_-TySUKeRm#-7?~Q00=tO#%!EnUHWARJ_R;@i%+(QK5!L zzpmU=*_7M-!&_8{iyXRYDN=@8ybR5NL?ANTd=y`0Ug0&0fMtcQiRBlqFBVvabN)Qo zczlLuYCA#FTKT39V;YbK<2}|L6xQf4(Pw_^{^-*2!~_ODSUF`3QsC==F!F`TCJzZ3 zqmrx%eS|?D>$2;77|#}LvBJx`cJVEi`)(b3Cg#PHtKcdzvUrRv zswG?L*8<)Xhex-_DwsQ)9?$4wEAn2zXGfUJu5lnU-IeFKojs`4n51T3bHT)6guriT ze2g<=kpM+am)H+?h|jfF{&$ob%eyKlk(6LqhmK=|RxtX`(V)Y|^6OIs^U4Z=Vtp~= z#-I?xv#M)#Ii;=1FygO0I5q{vl-O2~R4XRS9)+knZ6iuAT*u@ypIe7T4cz*vSHw`p zx#sak;HDZGrjIV7l+|te2&}Ws>UC;pzWG)^)ITY^V1XUN%BMD;!mwINTd_-I=`#GQ z>9Yn}VjaamRw)U__B3R4jQ11HOugbT7fgxI!e2GLpg&im-fU1~%$)zLyqME3jeyL- zs}J7(M^WRd!vnK6>;y+*23`5&pSzeAwW2FLSR|rqa~0PTIjDs>AcXOeSryI;o(;7U z&Y5_E-@5(+2pgHhMEoCo0|}2cmWkYHEMFH?r>;|FV6rO@3dso}QK3VZV{mW2kOMxf z$i=+_slJGwoB`hcvq_fNe?l8%{?7fwLjF%h|M8~(-_;8|aby4YnwtMFn)1=UF85Jk z^uFDH^#k{2MD@0BJL1ioDDb*t-sPX8!|Mk`^))a|;cTNmKc%wF*M8z`*aVTea$6Lp zm{*SH9WHua^GjhvhRac=-(ljdXIHQ4m1EY84Arf{Bg6T7So8ZW{!i^2mSutyZ-7#d zmVW`Y?|+#IKJ<3{1+Y2NJXlTi`OD0v?MqQ}<^Nj#(-5(!{m7I1$8tR)v+;iw{#)5f zb@-HCEQ083l?CVicbJ%Uqp9@AJ{>zZIcf@tiUqJWQtLD3W zk)HO85b3L_>O-L~B5}~ybW4ljVIuDUeGam{en`2Ur9Y;w5QU_Bv1dYi$br1-MAj zI+{yPzB9ew_ej6)nxj~O>X)mX(~^5@)F_47Q>WJcGNh*5NxfuhtATDGoG{1we@Jg z;`t`mnvAbN6Dp+PPyRbWg38AjU!uPbn5Uk(;ogOQ8wDEgL^r^(8;~PlCkOa`c0J79 zXdR5+pYO<}!`&;dSUR!Kzt+t%%Hq-RE!QO2JqJ(bj|fZf78YGK*mu2dlp+fK3T*6T z?U?H@?KyqMk}~CwY?pl%6BI2<|80^+L`j&?%v)b`l=EA6gx}f@6dA^EALKgMIT(H5 z3}RU+A6ZQe8V9Khc|!`^yB>tOJhSDz_V@C5hFgpSins(kNg5YaMy;*`wXFUrs!W!Bk_^@mHLR8vcBEfzX05Pg>e}P;V-|4vmf$gwm#sU{{@(jxY42d zqwf!V}PpXJf8vN)>^C1*_T&CBnZzAXq*5d8i(_^O+p9bB#oqkCf zQGEJ!ck;1a#_TVks;93raDi?*4D$}Dvfg=|wofM}>HOzib3~@#&3eRt6w9Otg-sMy z{jqg>G8qSN!wLH$(v|)KI1e};v@6cP%=hu%V?GM)TlKV_Wbe`~`hM!ew(1*Y{0o42 z|34M@{sKVa+246W_U^G9k7NG=g0%PFUrdJ%`hXHlT|$S!Tgrv{3r9qyXOhCfUpGlm<; zk_0qzEMYDuraw7%+ER0jDxgw4Vny-8YJ=VE**sRfM&I7fLDD(hhV`t(05~6SnZnn0#2;@qxSkh1Qxy!3NR5n)%(W7y2s^?dS!WZlC2 zV^i6`kkDcN6Q%I4X0H6%gXc)-yYufO^PGEMH)uScj4w~wlkuejZoCwN6iQcW=C>Wy z&z&5;=9bjzxn(A#SR*+fRiBzfS ztLw)9zfWceReAT(T-^3V0A^~SX>Wdpoth9 z{8hW^Og9o+`h~Ma{&5z!jT*TBTYsc#zqI+YPxQc?cRx)xiyDW3`<5kKIp2O^!K{iJ z=5E?voUJ2>)#j~qRu?)(Ke&CRU7`~if?xX3FftwJufr!oP8UET#qU?sSDZ}}jXBST zyE$%trdOOKt-BhiWQr@deiq4ld^grsmn_)6u7Y~W0Id;khTP9(`TYDcXRI_KXIuvA zAaYdw)}Anq!5VCql;`THo%!O03rOXxr-$htcs)?LmtPyauBK0WMaJ~0x9**vK8eFd zvsPWqYKUk265gFkNLWah#=dvWW%C<`0uz?IE_qau-*o)r zGwI6j3R_z)HQq^p$;m?MDdBQ*f*JleRdBmc061l)(?Q0pddtAM00KGgM8eehajO$` zN+(G!afZLAmx&Bp$84hxDyf%OnW=l;6bqJuKTlXM%hvNEt2}n2QIX0-t|f+R_igdj zK*HZQvlX^o9q?XavMhb8JKx|gYq9&ao}w8}(h~7P#^$ixCR1cKRhu{ASri95VKqwX z6PpIaiqw6~QRZo2p%r`FgwN!A66$=(<3U_bHL*rylGK4dT@sw6Q@_|mZ%Lek!CN@1 zCP;*k*!*Mw#)dquNVgm^>r5g%qv$49nAe(R;o8SbU*Or%va25LNoV@P$wO_}!&Qt` zqQjZ+k~Wnb&7j-5+dHA?kMhFl8M&DryTgK_9z~p>Vtd@m#N5q167@n3zti7-2=cqM zs*NI=woCbJTE}X$GhPoC#AgFG*Lus5W{0h=t6Eylvx-G55so>=DTNA5_9`8kN}Zh( z$E;IZ)s||*U&)Og^%XfH2Y9;}QJeD(uTPhlnxT`9p)17{qn9M82xeR@wAsVf=3_t|Ac-eyLtt@SbDia z)ug6K+1#M1>h)940ZS75S^sVmDYnsbF?^ZaBqeWgs(2WIVhG|%UYE@?p;NH*p3d<3 zoS^{3%6)|V${)*(JwCHwrTZZ3!%*fNO45r1~zfU+5 zPDxb0E7V8h^IkuWwYDBx1i#Qv7d199xekCmQw9eK4c_UlifI!5uFG=X78qYEL25d;%FAkV(1934;v z9r01rsJL!t1tY25*J}053_?Q73(A$pD(NoEr%yhG4NXr-@)X9Hw8l`<;~R>(q=N))(SamqoRU4e!%z8VV( zG|GF<9!$HzhuQ0J{nsoKu}uQIi+TfuIRZ(()QF7lWc*b0HM-JX02Up^>YPz1A!;N7 zhs|9}xI)}OB)uGVcEo5@B;R+LO|fDG3*Il%{E@~u+LPz{;xh+PIgu}uBIWf^4g!Md zkWQeV)E-bMH>(>J)5Acn7O`#FPn~ZWcg8JdY2U1`Jtmo8*4)-Tb2s&c3fMC}qB0;B zCSeu$qT2*)aD@?nA7jE>LdyO&Qtw&n`?rcilOA(0#s#W6k#8q&?O74GIu~Dq{{lMl zqV0vaeYFrx0n$>weldQD!VW34#&oLn&FWVJ%cKrC%tPtLQ3?D+Qeyel)p1C^W)BdO z66kmOHSX(MJReK=!8s6g@9;*pTiYaiXTQqqtt;WD8jMp@U?9fsZ$n@D4I5TgKAO%z zavT<&Kd51`tX$8r%WC2_EhA!bHnH}n)jF&?=6Fqa?waJkzO)IReDL3;5Pd+sl=2~! zqA{b-ECW^$DA?|i2z2@D;q!t{z{wUQ9O}}yYom(HYgR6_&h^``bRJT?ia$2D+lnCz zT<{HkEjN3-0%>M((finx&k4jS={>O?JE*9y#cyD;G%=`+WF(y7WG8qYEtfDM8Q-2o z(@Gv53XfMTrmtPGCWVC*Lw(!84nmi1wA|PvEoQ?wA`VrE7c6Fl+RXxS-XCJo>_dVK ztd*>~kta$9BPw%lWW+ue?HNJs9onIq9j&sTL_#(*-2+;K2X5V$;!e1eV2D&|rGm31 zB4TtDlvS2Z9qE!BUNbP%Irg#pp(8svUvtS)WUt8BUJ=oM;mG}t28tbs` z`Zhh)I4WHtaXt~H=mS$UAoYd+EioBL9{M@=+$`2%x7tLnlJe)Tab>L>>30!gtUEHJ ztqFf*GeaGPC+t&|MkreaIGEXusK}F7-s_L*y`Q2$rvX&4iX^`EM~wsoeOHp(JJO&N z&%;BMujOd`zcxNE|H*TLLf&Fg4Gl>7y5T<4a>F|>z(Wh_^Q46!2n0E8@Xz%%EBN&o zQt8pEh@XX_WF=F#ai*`DX%h!Bd$0Fg8W`uF`RGn}3#c-gJG0LQ9yr16^;2*-rpUUa<9W3qUo`dGFV8A#2~je69j~X#o3Xh)G$uN*3^?b`B~n628n`D z@(DQwtpU|Z=`^R=Ll8~B8oygrmne9ZQ$(CpwWJz!9GN4#=E);8SBF!RVLx(rnR3_g zgKkIc)rb@w|DE6_Pw(06%EEClZKajR7c+}I=bgjJU>0>G>ViSZg;Y4)zz$wgM`ld9 zK6rTcE&&R0nVR^FvR3M6q!VW}=yweW^(x`uEL(PG`quMSQL4m6j`xGQg&EwD3pvKP zJXfYzzR5|E&d&8#wzR%m2suLW)HI5LDZXi?mn7J+O6Q!H=b~l52eMp`(7ACuEwwX$ z3nh+>mOaPq`8@z4;{TZ3Q#&P6ZR)`Q64o7}icuvNP;ib%SK}qQVmrt`$M$8#$&yqE z|7fTBnvdoBCbipdGT3t4B|fBJuisfX{MypGjCqRy;PBUj6e>+kPC;G1HW101BgHQ zkLqOBsC^S;+T&H+xjyx*KD4ZvFoVwlFa7OyS1Fac)Sxf?Nu6-6Rdu2M1pKl9eu#b@ z4Tk-PPi)iF+%-gMZ~f{N@olXF%S#n$D657 z!jIaQ4se_yTYH=AJa!%xyT>_ta$nP_oTm71UrYQ2l-^Ibt5p95>~1vNH1&utLjA9Z za&=qzZa;eMguJAf@>%;$q}Js|Untlc6N#=e3nmDs|YnFLBm(;vl!wFQP9jW zg_dXKr0+wj-?MRCK+;aU6?aWPm-B)k!Qf=4EddE86FTt0ldQm%n4 zF-h#n_KbSH{o}xw9||+uuT_UpJGMu6M}f)ci)xtcEnId_%7%9^5)*@Q{Yh*;#Z~-q z=sp9;HK1@R|4{J>SGsebUiaEM`gE0&Yeaw-j4&+o<_ejBY|&kMuv*g7!X^rJ>$Q9MTH<5JFb-AQwcIzl(q=2%wU#B0 zj78{R9zuVxbx)c<44vQEc61>SmUmo8g`R>Ppw4GgQEZV~00XNrxzJNme**4y`)EP^ zDYCAne`j|T39yoeYh)gSe;CSh)&)3Eu06|>VZI=vucD7i*>{~=5Q#kQ6ol2kcdVxFA=wvN_C9j(7`MOkao21q?Yva%c!9)EA_K3tvWNweHD{j}za?qi%5qOQd1sH9l|Y}7WiK|~+1S1Sn?5(wCK zgXtsViTwfQZQl+D?G1Dza>o9_hbZ&+9|Hy`YCi8N)W7nY(^$XWOXLxdDG%Aa zT~#AIeJA)-(WI~iibsocv1ZpEn^}dmPzSSLq7La(4h_c({)uKvmYb1t{vR7*%p~Dc z_os4_&iVz#7!ot5EuKLl zybE^dAX1J_$fF#cX1YR!+I3f0$#UvGBtUqTRQ%W1oG{a>&k}Mb!5sRUA@f(kBrh@y zQk*jVNZoK?B8SED%&!@w!j8FV-;bz7NU<=kmzUb2yFbgZS%?k#sP*S~Jy{@A8s zw9*6(UtrZGiH4_^B`}um(!x8*6o-|RPYa+gY!ahn`4U{8XB7CH_7^@yKH>JHg`wqY zxT|a&J}NIiS5zs^+A(uw=UhZ(sqF}xaalK)u#fm!@!G<(vppDDL|2KtahR6^*3<2$q5z(ne$is_K^oU468r#?~g^efWwo8Y|V>m?2BW+SG zVfBDsbgz}$uCwe{{7z=Z?p6BvTJdS>XaZOe?0(L&UImaPPV~xR3}aZ^`{Ym15TB~9 zN2zy~wyur4gw%B$U1x~M-lYXK8RX4zr}y+3#nnwQ`C)QWl5KF-zcwjVG_B8{V}E`P zROgIM!jIjgr?j^Y;N$=zfLn8##m5g7=zZ=>a5E-~8a68)Mz(C`PJ1qILqAu-<35l( zqrMZ5dOZG%KFyQ+Mj~e2Y&eBv5VE4vdG{(KB5S(_HhILc_W+?4ff>Q)Eh= zXE}{Ds(eyl>JnKaGZ#Agn5NO2F3#r>tqj`pzXX})hsHa;ojlqHxpM}yYcX`&?{+-r zrx41i9|h0tlb`F|?u?|NI_tKlswJML&eRS8q<07R^d|An{0Os=(7^2_kPmXyM6U9v z`Zv>>@G)ETT~-`*$kj<8jp}5tsR?$1F$Qz+c=<~o%MuDJhsfI6=M^}>ke^VAZqUx{R-ufUIZHoUQM?~rRqiUro~JL-ng zvM!J)#=8=Y9zS?DEmLM4P57p+xut$0ymIX&&un>#`y^?lIjaqeCk|?t1@+a?k27s{j|yV?v3Jn zG^^-ehGyCAVf=747{z+O;r+~Giw!gnIIe)C>MXa{Grqp|p}FgA{oDr%E!%bVzHd8A zOMlMw@$QC68hC5C+NSYGosDU$b;E?1-zLqnmXHz|US54Z84flQ2y_RcpRoEu zHFHus@gD5LgH`LtKRH~uw2?Ic$^+5mcn2-w7cm3H(o_06kTtS~(dB27UXUzNg zL3k+`Cz%}zdYuerh?ASp1#G~p90{X(80G7z<_R0go*eG#MW5j7>~Gw|x_rt%e`TaU zy=Lz?cZ5}Gnz1A?-|wdQoAzaMq$g~UuQ#Zdn7)MoV_i5Xl%y1XDKmxhSFCd#)jx#o zc8DIaQD3Z`<-<<1a^r(@Iu@HlRixw`RHE8-nshx^CFBfHgeC!zBpuLw6e2*plY%|> zrjDBQrQI~1CEP4zC#n1(wx(4y!(j22-H||Rawb!^s#PhdTz0g9PH3!I#l3*c+5iN0 z@=5%)7QHE1wYC1g_X9#qY4zZw=hL3U zA^R4ILNFE*zdx1YHBgE&;8zm;?(9|O(5c%{Dv~-__2eb3n1JOEC8WQN^DAQW0D_o+ z7YQ|UG9W@4VMVkBfnNaoq6!JZ!?5ry`L@TnRokZEBSXmv{HI+Yd$m5+K$J;(rA|6{ zVw!Y&l>~`hz_L+(nGj}6-p@K3_-)Z#w;XuV`&+*4#H#(n z^7)3?uy1_FP@TYFi|$+kNK1{H0a!dFRJOa`t0W|6H^(oVP^+5|a0vc^!iTZ-IefbS z(G#nx!dVjHKsfNf)UV{2{}fqAsWblz7`u@cY4l1T=sFrSu5IEuYy1Xb6I=u_(Dgo3 zhnMkZ(ju7o$fLmXLIi77FX2eh*7%p#R$JzgY;T$R>0g&@xL4QBxEOjcJK`7k|Dj8( z)#c%i`gtf-WcMnkeuW@emW)9Umkf=)=rjJ&^*b=v0Kz~z@pR*eTE$eaEK>HiT+Ecq zxgs=Re_8!6fUF0Vu~)({BbFBERS&ZkBIz zzS-zSW;Ss`!(OBsT-mMh@EOPFvH)~7pCMSAJkg~}OH>?oLX;buI&!U)zZ#hEhULMK zwA9Hxg`8blJ+@bThbw&|138GR zpO_w`@(MoxS|2Ge;()67*C==rK;52_0h_D!1tL}Cymu^ z2LhM%$MB*F@h1HZ@Y6l3Mtwj<^0OH)dv%*c049UFH^0Gr44Ne{$Z;*k^g2YnJGIFC zd7eg>*)yfC&sGt`xXP?BPlWEdUP>(nSn;cAeyf1X2bZAvhknqK7e|ghn20>m0ML~) zR+-?8R9kZKboj0o&MJY`Yx|Mh)vh#_PpE}kUHwCg1bnHOEq~0!lEW0O06#N^%kK-d z<5-z(`){S_Pn7N`_$Vzcsa#}T9oA{tWibI2poT!72B&i#?z@HjgIc%b*X5tfkV^y^ z@qIQgiGtbTUhgU-zOc#AD9oL!0z<^fo#gaiRRaHr6i- z5x;-ydjs*5R$#l4+?=&}Op-tPD^V(R!ye-UWaL|~twC9kD$UMLiw|!67>SPdCq%B2 z{xUl6hH{PSuP`-(vl8B8hS+nD@1u#@+82`vT(dl4x?1-%&$`^+vwa`GPH^ymTt#Md z)vZ@d9-@rWqvn3rMR{2qb4QR$`28t)Ahq(1hv;JUYfrZt!#WUwU_hI3g->0&*s%@k z1uCy@jvuZf;1^!d9(7&au>NrZ##7>e|L?di-9I5BD1=S3E`gZW30&L)y5Bh(V32wm zy{cz&<7Y63lF3{=T}})o-)xsio4b>WZz#`)J%lUOa9Q>1B=o;IfE%_6%Q&C@M@Cp{ z9xx}{M^};ajI4hMuN#?&1sm{TmuF@{#p@P%n^Lb*)v@8acgQu6AQIUBVE4!R;i{|2 zbwX`r$3xp9DO;8;Kf%o~x$q1sygRx-@NyT&XtPLIa@9T=QIB`F-G>3D<%n!+813Z-AUvXW^=(@pDV z*-g3JBTS!Rx#AC42Lf~TR6jYQ#ny%?9>cf+Z#!lYe8lsq7KAwpU>5{-K{3-# z3&59}S8RgckrF|WmL1L&Xe&Ht(;+}Q(llACSD%>M zwAfI|m1I`WmI3Asqy}{%Pe?qt!C-T0n|y;}nfs=Hg)76_iQC@-BQ3|1r;%jsBjc)i z{TSiTf+{N86+tv|*@Ja2l*!8lQA!q-IHS_l6(v`~OV~GB#(bM|5v`*9SWiUbd^R>( zdv*Nh!FpcfR*p>b3VL{TJ4`p8t3L1jC!ziZkl)XxIg8J9c4AbCv+`Tx%V(&l2Gb?V;rdr}X%L?e;pcA{9XBJTQparq*QX znQk!@s*DB4)z8|fnJ^^EO{RVq>kfJcB*m)gDCqaCc&lm6AFTqWmS}QGJ3Cq>)^*^h z^bD1u0mqdN&ZFX?cC&6f^qp6J-}%ZPemYJOh-;VEskY53#_5AM^lqN^{XBrzWHaC2 z%}&20zUk&nC9`%?ZV9K=RM(}poMx!NTrwlT^lAitDOdHpQl8ad1Z+Vjma`{*8(;AJ zgM;X9p{3Z;oI+HIr~D!#*En}jRJX?ytIMw?`Mt1qs*B(#QI()ZDGP}Elc7ZnE}xk9 z6t^MF*3<%>JtbrK@7Sb&Oi}WG2$=j=xwT#CynC0tzq-l1i=b05-unxn`aQBC{mo-` zM0=yitZwN_oAPX9KcU^@%ul2%$n~(X5_UY7LtHq7ThaIzV0!T6RKoCi_1#b9@?(g! zg>T5oE%}3DXz8ydiNHI-jEFaI8Q8_;N&b>mM^OB|%5{Cf_aBaoe{K~cxOVoIMC<#! zE(k8uPR!4~ew$W$JdgilG%``|X%W7)&9q0i*typCxghEH2Eju^TDJLS*W?}Mw zY+%n+W_|6E{CCRl`>=0TGbxytF0WRaqwd4=Z*?9?&Si@FWcICYMI#;{w`tj%=#N-4 z5v=gXe7aZ2@MAi7@4&&s#Gn1|e*qB7EANj6-LLsRwf@i$)< z?wLAY!aSB`O5@1FRr-IkN_*BlUVlMm_t>TGdcXSL%x&-gWN!JMklUf@DU~G#`&z<@ zd#4U%SLt*sWGgWiC-qa())`5(qc5b{ASuwHNm~s^E6IUJ{EcZl7X+NTcxZ4czL@+c z$!eEga4}u*id_zyh83dG7|{LJjs7RRem*hxo%i4iAlV|WZ*bs|yM3?y6rKHgH1!Yn zY9$p>Tb#BVZc;JY6`!@nZZ2bS7As)6mq3&G*a*L;E0$Qx2&KUCrqZB$xo8~*b4;=T zvoWHFBr?)kV2?vqcwSc4@5d7Q`%2+kYyTSEDd!kEWE^IpV#51;4+s0|_Oi}4Uo2uHDl=%7Tg6Y!eu*b*mErRS;xR^_Hpiadw2t8v> z#%k4JhDhqpqt1*!^|kr#>PZAiQc_E4GIO`KT|lUIiMxZE^D7lM=EjPV_P~OP2FE&K zrakIqTdGRZS+YxY&ND)iZWXF7UQz-vHxMXx)z=BF_)wZ+KQ#_4NSZq7o02 zp`!v^tB%nsW4k3}v|P{ocT%by+O`V>CKA@B)a79VT=fI9cvj)+Af-j2{xlKc!^-rj z)mPVu-=Ta=E(HT(y-NWTy3wC%%r%b6Yj~MSzJ3KuwproJ z^%fc6RY0PJ9Lcj6sB);TCxEyzL8~{Jt~?U3R*^c9ih27iq0OUM@*PcnzAtJ4;@z*B zTW4n}97xA&YimZ?yM^?j>t5{6SPl(3CQl9ZlA!Bjd5ep198>B15JgCfM}2=aztUE} z-Y(X!Ushn6gg}(Kq}Gt^4vuh@#s>W-f+|lDo#>azg>25U; zSm$KkFtKWJ0g510-5U8xRyNehH1~)cm<*eIZxNmH0;VK8^W+UccCymCY#6=^jhJEVWxii@;>=LIRT)_}St zv=Z)%E>#GaHyt~T-idnl}m&krYrAI62Q82%7;T|7aG0R$RD-^9% zAbCn6HFTyGtHKBUw_p+7e<^nPPt5)Q6e>_%y{~@Rn~YYva+P^s%!j-4W#HiSZDa&c z20`_>C6gC1B7Spje-|d>y@&KG1n(gf+Zh+qD?dP)HO*V{WrphgXMKkl!x2X!>yWbJ zf?b9%pR={@fRf|Xh|2rIdb8?~gb|@q3OfsG<*I^4ZB9@RjjOt+nFU@2@J)d-VlFu1 z!q3P7UAYg{WF`~pv^W#Jp=-Eh(NV7!shRqD2NTs<_OQv}ojWzN;>{U{KOYvAcCFNl z@EYX!x;>W`nXSWF(U8wx-lL@;v~tD6U}&q^=eFL_eMpp2O&&a8PkDOgzLjvC`mJ+u zN$FIJRjd+U^~K;~yG^aUJLawC%kH9$g|R`Y&Fr+)cze<5?=#Kj}%h<^(InssbiTiNmIe z6g9JUu-|>kAJ?I)^a!?^7ct^nIEI&%hLhRS*18`%AV(`)ErD8(Pm@$Rsc086TzP)Zb6G2Ck(pFGxAyZL2) z6Q#f7On&~{$*yBsz;nkMZ}EH{aZf8HU3%;L`5}h?fVrG{aaFC|S({r^o!6r4$q(Kf z0|ROsfZ?pq3Q)#@FhOiZzg73{G~+Psl4eyiBWkn0Jdmr6w$3!7w9c zvbH}_Jg@fnFwvb3n1?YJX(TrM16ZD)=u4xx@P=oi=xaL zbP5OHdexiAuthB=>8AgU@~{RM4uJINm}5#d$;%GpBGlY zdD@XtBj^b?{(}LL+naQi?WQC@52(f!)J8Lolip!UpMrFNY6bY>kG9l+3IXflprfsJ z{9kd}S2UI-)x(tW>xmPZ7t@P820Te5`D2z4(yp)Z-QEiv)hy};4o^;CgC%q;&ApWJ zonSccEk!!XV!A$VUEM~OA%+fewEN0yE`D!!=x-n!OG;;vOEgw@kj zaR^;4PCyYm&)aS~U&A)1jUSYQuDFD}oICasYt~lWx1ptdy)mT7)3vwmv)*4An7$4H z({i@=*gZD713HbI4)Lz_voxa}SS)y^)#c`O@!7E>OTU>XJQ|gEds`GZl;i4tgd~=g zE`vn&j3=7D?$q@6GF(acKr*!u`(a5WaoL!0&|&o-WQ>~JXG#^NJF`v0pFTA5J2UFB zF1v1{D^4wVV>KPW{S;Y6oG83pobyZ{7dQ7b%%r3Vq601LG>1~93hcN~mIf;e)th!~ zOc8%b?fW#?m4#BlZfCMQ{&=!h2!L>NSinmapANQW` zo_l`pd*uBu&y$s%XYW1NTx*Rv#+W697G(^?MPZA6bHXxL#UE12mXVXct%*Z2aRQ^F zKKR{I#7pa;?_K>Ht2FTrj?9LW(@bjy*gaKswQ3iKm%LPSp&&0R53mxyrlxKsy9%2~ zg{6`vN#G+s#G*?D&KXlmhO02aY1P%E8c81lBBSkj`cbR-bbh-QaD~Cz=SAY@KSa*& zKG@IRIymc)+kW^sJ=es>a-FT~)j(+v@*Ih-a8C7Yx>wr?$9*fya#Ewaq+g6KF<|S^ zh#_)*nHkVX%O;}7_UdaEZWw*}G|*oKnuYmZz6k(E&zT2`%T46a!hVn*ls56Z+X=N# zd!K=>=8KUWlkNe0#vuj&Wnl0ZqTN3`t9kwC=$P^&LjD+W_)d|vsq{S|C}45*h^A>T zU~KvJ{-k05my?EDiVl@vrRS&c!zMB93Cq3yBgA=$+U@V0JJm7wVb`NTi!=O)^u%BT zB_GQYHp?GNJEsOxHtt?~KNIk|U*_LcOP&7#XpYmRl1gtbEd-P9O9uy>Z(YSj#LWg> z2bXfc{p*Oo5BQ(&{TQzpZ(mzZhT;!7TYlcj{}uk@2Uk$a&z!O2KJGt&rn+mwo1)E> zi_l=z2hyCCLEQ=KRGn?eYpoUOaM9q~_WbdLE&fhm{=K@^YIDS{ z{L*oi`@YQ<|3%8ZAdw~iR<`*!t%yYHPl8+Qc#@G@m$|AQah*-gxnQy21ekO3A3)q~ z-%-AYi0?+}(b!v`mOF5^d28E*(7gsTd{ztB9WkYN0W@Q?m~O(E+GTzl`ww-Fzo|a{ zj{RZ%h0XELUen)){@tkbUx-QQ{!&5tmzR1ZWN#tXiO(*{wJ|8)#czI7)E=Q%yj*J! z(mPOxi8dw{irt&$?mioXp_Zo1;}A}D**a==X1UK1-EOCshl86?n;5s4(P3A`WsCON zqC+1QeE%=17w6=*X*(?MOG-_D0P#{&2De4Qb+dHAm7QUE_B&S}{s2~-r0-FK{m_G* z&YSsb>1DFo=M4@{WRcy7QxV*qIKd?pKX$d4uwNmpTJM4R|L_O}j7_c>?IPqUmgjfd%l?T+#_q7v{lIR&4vkWOVA`L-* zXk}#$50F@@i21nCs8$AnY3#FEC$`4FW_6OHe?gn{-wwj0R4`P6N75V9V4>3z-BLQC z2DMDL{cjyD--@?}z22h1EXph|N@XxuurW1b%YF1qcxAjr0DE+=CY;?*gAB`ZM>t?r z_u_C(AZwQqY<>TP1*8#?bvQzrTDD(d3jGn(Wk{@F#C4H_Zro>;>efVh&bd)_bR(U@ zEUkO(w_2Pc_SXIafkeB z5v%Lx@!l^_12x~|&^8WPv3awXXYLgN1efHqCA(?Z4T-^;-HA^pJ2rs5H)p~o@NCZ7ZKNb$P> zc_W_J(XMkfZkf6Y#Ja460x0#6hd|Z;>Ht5E^@X2lD7lqIvq#Mk30XzFgVUY) zFpqg->+;?5EYG2H13VHNj;jOp7}l9nl)71;Bw?%z`lV5LP_1%VOdy~Tt6c`b<2q~3 zKZ0NClTKga#tSvev7RbggqqXJFF@O$+KWGPmi1MwQGqQORhTTtS(g3&G<5T>&Ftf6 zjf!Z(7=D+-n8=@pn_{(;Px)AA>mXv{Zggf>A9A%9`-D}_NkQ=}7|RX(Tq z-Backm0UprqI7?y`>x<`To*S|Tl)oPE*BSBdvtnLTBAP~#emE8p0M>c8x*U_`HS03 zrcK>(W()tA3#wTveU<9CeD>tK@nhZL?-LjXiAD->WAKl$vAx|#J0d| zA4VZalW3#)nG1Mj=qxx}_V5MXQQ8ls@RneA;HaPbP=gn0dn}zxpu|f-&CX(QJkBCN z)TgVVg!im?(5o%@(qq&8Lg~1h^#8n-P?HMwA5HmQ6K9n|)%*4hsCxJy9L$&+j@Q4K=GycU(Jj=52pN!tylTaWTac z-Be31K`MTkwu7&8o(A{7b7u;Wg`^!WHpI;>gVhA;*v+#{TW=3)paGI|uWaEfqOeb+ zH?HJum;(LN-@n=6xr^Z8rF_vID8Olv`9{1N;$-7o^#dP3IA?sVxOvWxx|L1WDT5*? zWCwW-(hSMl;G=9#?rQ;t&Y!&K4EOxrj9-hMj&LI7j6D?(k$p|~5e#L@j$%F&SN8f7okse59GcWmERRfw>}i|3&M^(3k2m2#WiobTru)Imd{Q zgL*ny8T%(>8V zt#zqK!u_I69BJVP1?Vs#!xF=id#w`V_T?2UjWWhbm9M%-{x~H;&bi31PP9yD<9`V_ z|M#BjZ`+@L4kT%(#S=-i?nm8sA%S_2Myijb?-1MX!RmQTm__Ny+k2N!f3 ze7BaHrPwukbD!v@A{LC#R7AU+*lM69JHwZBN;x!4T(`?`$ZpJi1tR}rVpNVhDd)yw z-cvX>iSo(YPpJ>zb+ubIaYu%aWP1-ZT`08j7;qXp^_nI@&GvV%tahnWD zGKShZ3f|Av^VnElKM#%Y*wzr9;YXQ|xJ~v~DlXA4Lz;!z-%yv;sq#UBpXpWdaJpxU zLn>_EM@MTM48_`+Sfi?6@r|(Jub%0}Kt8L(H&=b9&>zVgN-v)#!zMEMbIiK}1_c(|h8XnBY2-$7co_ zR~|7%;KsChwl#|!PfmCaw!sUESAYg#DBt#UGP|(cVY-exNN`C^TdFf2o~<(_=jf_{cRb3yTY+l(-)lGpZ@vN@4i0(2Ry|;0R7-+e1p4x z%SCFdSe!wuhj-bKb*_~INV1o*RAiZ9aW><${g(0|{A*S(@XO(-71w6B3>E-=zA3%^ zLujkEwWPsm=)D^W)FGS0nWT0d1Y44PR1G~fmFO64S#Dx(IC0tn&kw`$@uKuDYk$=p zSeRUim+m0B=9(w5-=akZ5SYFK^m)9Wn;3_# zo7ZDy)oNM$R>{*_9}Ct~pg^}w+a1A=OeU2GS4zQ~yvq-1e*ibRl6~xHdaf#BWdl2i z=Lx%9 zTIZt?=-=F0g*iJ<)Wp5OL;R|oR^(R7RdK)aS??UqG!2LSa$9p6_gldx(XQo6O?j?x zRh|H9i$RAikyVh=%QWQ0lfSchj%W^IPJpTPxWax7-C&PCmX<98QN_o+(bQi?I$Y zjGtIoFWp#F6q^s=j_GeSi$-yqM&4M-D5vn5rCo$6SZf3pTc$Dk$#2WnVopzxV0|MN zrjg~!zO{TF`7S-(@qEFYS~L(Xvd`IgWV%p9x>R_^_zZ&=Ke~P^XVtK3di0{X zXSalIdZ|ZM*H}L#Ce0QKo#9F7s#$F@t`s{7k@b6=TrOe!Y~ky>te?bkeZ}!EKZZno zkb_ZW8GjZkn@vTnf$78icFSmblF zUBxY4hgCF@o9f=`#eksB9Ciz70z(rt)wrt-DHpb$4E8{v$!7~jy67#ovgV{HpezlH zkO#YuX`>m3Hvbnt9V*|@sIv^}2Tes7n`#oK`0bT_9t-1_%%ysRyxt~tQ8a5=ax)u- z7f%{H?%lE4n6*oaoTN}p2#n2EX7&!6&?3fqf(%+fu*r<%}HR8inCA4Ys8kjuidCOy>1zlcHX3^2W~#ZlsR5|7tHR{qIpON?yqq z5qv+vJ?CZOR-8);!lsa1m}E?1dKK$!A>U}fVO+BI2wu&zY_AaDKC!?3_0wzwnH!{? z@#^FG=mDpg7_4Za*l{OKNK1z>ks(V^J3@8DRz@a!9aYcLKx(=nSDfw=AzCRQj z1>N_pYjrHES@IVcKlV3LJRDvUVFC`}Y?+r2G@?yF)1B;sotc;k{vTtD2Xb6n8{{e6ZWlV$Xk2?`a;U|hJ;3I{y#kAn~WN(e) z)i1fsC#xq~l^x}ElIn-MMdTx@oO_%D_DJfCuY*s7Qo!$A4W8mI1Pn3yG(DGeaP07!e|SMT2DH|^GYG|)93Rs2n5$#IX4f^6>-9bg|vqn^8k2(1uu$d^5+ALdoR2rnPCfyvm0i3)v1zpER=QSdfSsLo zG?z(PXpkF=s}MeZld+es`n9&kNKy>MP$LZ^#4t&nJyZJp%UoFJx;;Q6=T z(ZA}B#M7@7jN>K34rkU36QLrr_M8>aM2`kLFow9yyB2Wo3)|tTMdmFRnoX>-;-?L_@?WBessM%SO0wt6xVx_8rZM%MPBZ}!7>}?dnNrP;04ux=?ZpyV9 z$&%?_nv4bfM$@#bc)e75b3tPP*2dk26(Rd$1eC~Mf3+X^g6#>x7VCQ%S2#If0sClX zucKxX;${VzZsnQk0x}7*mJRX6!pkYDhAMnJ6}!_~i)PM_%-xKS9FIhWW}W$TMyRW) z+>*O2Driyu&St@{V9cy2Gy#c#f{h6Ary`TjtZ)dXgPtNfQJ6doGxAy11X}#tbtk2wgT)KBKF?0$U%G?Xtz_h$p+&*#8vtNz#6AGJ2caGtN&~i zfR~J_0BJ;y@h1LrJo(=O)6;mzS8RtpIS!9se;NshHs8vv_eu{kuB#k@+Fs}p*%YU} zaPn3b3vpgUk1I)LvFjj(is9u)ycQ|ZaE9BW>9X@Mg2o~oxEsCQDyCCm?I1PA+tU|f zgrG+J_aE*J0)LFv-@2b~AP1``6FY`CJ2!?bYFQuW%9GpS~%-EvgwQ^-Rb;I#jE9M z_OvY$5T$_**s$@q&vP`$cgOw)vf9t{GY0HC$vNSTCOtGz9^jbTKjgmGE?jpu;421& zw)^*S)zBEgxePW>OXy;RMB1#&l{<3hh;BOWEWuUiPa}R63;`koGy%9~fn?YpvwrZ1?RYSQ0s@!*mYdK6GbJD>A_rcs8 zBv`Z72^Q&&N6hSv3}Q@qlAhK?_H|*#RAmzG)34DV@i{EL+)LM?>0Zl@xBmodaMw)V zGc%)p^OcFZ;q8rxLBnaj)E)R1RCew3gR{wAQ_OhK>73XGs5wki{5|JHixHNh8-w`O zDEQ7}xF~)uyXaS?Z15|M?8s&#Wxmv*j~3z(d<8k0IboiZDMND*Pe=yfY3cOU>`btd z+#Z*?yW9}5t121sAAm8?ZV-x6sbZ)Cm)6;N?)nGA{1Q<4x@Y1EQ1p?>bgN&fc;%Mw z`r5N{_H+ZM<39k*F7Af$!x%#@F}|Lv-YRq_pR`Pjer1GgbDyBSUd9k}%>#8E-eirh zat1pmqVMD;&E4{MNuEX%Xq%O6_>Y25SbTFc74?UclWTXYWqs-Frnk3(;ySH=a3L?a zg=5;zn`q#DbrQAOvHDhIZi~g$jZ3*;^ZICx_~o~gXf=y7rxw*-HOb8|ay878ZEbb7 z$QE-i4mn|&k3#9t$-yz%wdoqEi!cmy&nt#n=owVZG$GB?ZRD5EFZp zD+8FH7wd?G%E)7xV|D9{8GKNZv@cxA!v&f_Un6R3o!IKD$TvPnEG+ALr*TUbvrp1vCB7>S-oMy=?sxj6HYnq7JvsIW>W$UQl$nGl*aBAE5$0A~sCZTKd^daO% z%N;&FmYT07x_15b*MxYF6lRzABJ~t&fJD@@mLXxM(ImPwCDE7zPUHJ(5LmWm z+-IHlWUce*eBW;o&#~*(UsgwoO_!7B0fYy2#|-vo{dWH#r~ZeJ(*L%A1{aNpKu9ba z+khIRQWD}5QdEse3PB1?VYW%en9yExlA$K2Z9)K73wq|6*=iD^p5lPt;ssfmaJ&L&>P4zca3Gg&9%o8?JRC z6smam)9WHhQ`^`sb%;#qzUM%R)ue}|$}RW0Us_StnGd^Ii`#n zww|_H6Ip5pYN3vVYv8q8!>`}MoYmG95aN8plLyptyBmCRh2;~jlXP5X`%N1B*@toa z*w<2J?}~D6f7F@eE9yBzW??)%6vZW1^h&hipWsNMHKNaUG zd339gI+3hdz>c<9-lkR1x0qUQ^O86R1wwgS=i@JK?R-tQkm1v z=O?jMrbtR4Y-1s{S*)zNJ=wd*+6RaCJ+Ds9iR-XeQ=ChRK3+jcj&c+rj0hX>sO52d z2u0RmAH+?|+4Xv??;R?`Y+I~#0TBgU$th(-q^d$7-UzqUx1c7?6uW&;qfoqLNJ1jW zTSviXiFjmKH`~*|ECiDU*sXyltr+BWoxUfjM1P$RDns@1L5r|`QBLusTffXa52{iQ z^R*yPsrS(%f-$F18wyqPv=n%5&typi_?#9`_Pp zz!dK`q3}<)ZO6A7Hxt{z+ThaCDbqUg5yn$R@TD!RJPTrKa2PQz+WUT0V0#8xGBdrX z-4FE$w^*4%r3M>wCac*~IeR;dBzSxwe{^pM$5>{_a{sNZ01U@iUTrlSfeA@(>JB5gcaYoN0* zL3zb7XKSzycusK}@K*pu`s$8hcaoViPf=C3jTRCKw1zGq)Qj84yV7o1@kIJKOiv!Z z!6wrm#(bouMScBqI;T|b0@OgEX~r=K?yg;oHIt^cAn%ATO1$3K%RK#o@X2atHr|P4 zSkNsI@kNAL?;B-zUk#M}0l+$M>++9{uexuAcm4n_{{XHI@4OoS0JKHvZu{=k@)O7L zj;?|XRO%gQ6kgJzXBk;U&EPLss}#)3&Iufs3d*P0z~t*lEJ&*=rdWIkR=&fv7kb6= ze3hf^$5M{_YCVEXMJbA0O~63;JG4~Y_9mft8bYsF@+!;N%L=2z!pqu~ z5)1bbD=%Mib-DYn>svUbYqy^V`R8ctzLZ$*=ZnW%{zBZx1H$cG}|X7TRdZ(zC0mHPWC zveWPvU)^`GBvt<6J+1PJ{?W_G*BHPMAB>Y{>HhAIS@szi-;gp}b9gS+b^ZZl#s2{` z*h+tple#@m_ybT2xZ_@SnYlIp1NfEu=0+{}Ziq#Le=&Vc&qY`1iE zRMq;E3#+O0v%u{vsyUG2m~J~CCGoU&J4f}z0o!)ycygINTS&TYKGk(GT-xK#Ow)EpkyJ(|Tgi--oJVjd9dm<{2fl z7Xw#D#>*MDY1XahYF1a;Dk7O2%f#9uCMHncgfCh#P_! z`eLX#!$VMAZE|#g4l0o7oVhep)2z=F;vYm6w)jq2`U*bd&bY&BxE5!3kN4MW`G7|! zD>{CJ#DaNWpK6!&cAmyrh@%DBdXtv-2<0#UU6@wKxPj7(V}=YUhs`wVm&W#~jx{jw z^&2|*JL^E+ji6lA$^=*>E7Q`r#BIg7>WR5|`716rwnU?~j|Ln5G;I#F%S!Icg#(3R zKIS6Y<;?fxMBF}}kVl0tFgDQrADM)eVXKKZsGYVSEGe- z@surRMqqg;RXh>?K%ROeyt6kaIUy_6o{Mq5-oCiY)KD}2VsCNukEPzed0gT1)cR2!@(#srtM8Ej*H!1>kOiH$#J$~qgV+-nSl zBC;w))nKJoXWOvNe}2%p=gR&^SqmQLWxK>^El^Wh!!C<2)o)s(nRpN%6!jdpz=lAQ z1@~Pb#Wm4x%=6Acw=Mxh%jH$Um_;f2_st&wI@jQX7HjX;P*PF0lVql8HX=G@o=lyV zMZ4(U_lJs(b*YrWk9j~$CK`b8C58Y`n+Fi-T<~j`A+u`HcYFO3Hd}V{W<)e_)Ev=X z(u~T@9DMGCH2cItrdo^!h3R_lN>lz|q~t?$ntc>c^YT29RdO3nW|8SHAD5bp`nFL8 zC%X*YrK6ia_Ns{M3u=^Q=-*^( z6g>{eOhpwH`@_ll_g8MyiY(5Uf>o-zLZ9v>;$RdI-`C&~TWw8RL-i*p^hVl}vw{KIVDlu!-8qou zUgpKqS>4J0b1Q4J+)y4NU-&s%&D#7?>ipIFwSY}>Dc*I8Ft$r}4v$L8OFM)fKYeA} zQ0j2`wL2bFq8k%eR6=EG(YLR3dS~ordEY7qq{LNqppNT>TdEQxHuLFg0S4loa*#iO zE#D8RjytgJ#*H_|K>Lq}qua`h2Nl&+f$-j)@4?Rx-FEU>2bR*2Mr&NByTcZR`{ zDBM|^wDUJpL_;EcrBCJ`msz;WD&$d#?|iLTY9 z@|6y}SJeYexlFGSN4m9zr@d@~x{wi*wyJ!x^#EVDT|crZ-HD*8Gq*|2DAs9}mYHVB zTS?|4wNuVPU(s*+6@6K+_Z`gfxt6jUso`WZ8uS?gyfW_|OLaS>8#OSAD8~6&wk<<~ zx0+BlMTWQ*DH_QK>}keFs+lPXweUlphzu-ecG(E$X-y&RndiZVLK6gpCAEw0{P_hF{j92C5e( z_A8f~(Ih7At=6-zswU?V=F19ShhGvXjuurtQ&vbfi*|p2mmH$U@+qob9)W%RMOrC5 zC}eG624T3qXrwAhq8VoZZ*AhQ*|Ai0>?oLWY?x&cIImqd9h{8K_Q-ct6wLvO7_(-H`=$TgV=e(RhQOT}*Z<%_ky$yge1xc3<< z&J#tXiJOBp7k8K51z(*EA1zJvsZI_&JqB-NwE+)%^P|pbS|hS!@?72|akVYlP7`aw zx=TNODd7o^CNA3L38eVdnSH9^z}ix=x0dWCnp|Nw?+TyctSrCMC}rEBv;c#bC&wg+ z2A8QlRm6Og11LqFe?(x@ZUuzqq{W`Zz)s-n)NI!)D6{+dB}>^B_2hJevF5k+w97S5rg*A1>1uhwEs%%t_ogrP zww$;7#F01s%JgeVp`of-&J`?gw?ZGNuIUm09-BWlF9a};X$f7YDA=e_%ptS(Qz<=D zi35xdjMixLawGbX4yS$T=3Bm1PAtA+y{pf0vFXR4hH>b)hJ zITqS3y}*y5sea}g_?h&#Gy7b0oDf+M_GjK=h(5pnBwsnDoep1lyAh#2{6*5BFFThE z7TcU!LHG~l>j#geKAgKxCyfsnXGhlnRR;A_lKnhN#Mp{{S%K>I9Kpq~EuQ z;6n=Koo|7fXu{#QbIVgJIp#LN#iM}U*_7z%tNa1fmeU!WC7xZOxjd?xSOvYZL+%Rw&-pX7QsNHXua_Zsqa`!1rhgtQ@dlDo%u!+Uai-WUBYXuy==+GLFCrC zjGqT5c6Nl%g+=4$S8Lost|bag6n#QQm#O;G2E6lT7A%IpcJk;eJmT~D8U?BtQ#K0h zSv8oMM5B`xNgjifapj+3>|RTXHqQo17R-*At(i>g=t(Ir!DiEio$Z`e@7Pd8-zDz< zKHjIFyHc91wQSlLs=svbYW;n-8yEh4Gs58_@+LzQRT16P34iX#Qb+jEfJpOnMsAQh z6&O;~kmv%&R7uu2hzyOlZgs;1U`OtWH@RO+<)@$Fx{ezA9%c)Eq%I*NZ^GV+0W0)a z$>#!9nL;nz$(P~E zEv`%fs+^?UD}`J0%b2QVdTeFT5XKk8gBg;q62e0;hAcad2HUCNt}h=FoBM?zhx2Fn z@A=GmCjSFi4XCv-kPo^=~A!$N*}z#3yX`q;obasl~?g8YWmC^ za)26hZYp+1pfB1epPs)Ha}5Zj8|Ut7FESRF+|C~f8&OSIs}2hCfgw(4kI(W<`yJQp zZ!Pj=E$-RJ6|-*Zf#!lIQ<5f&)XFpUgPGC8r!DT{pe+7{e+=<|;2z`ua|+I1Mq}I@ zds*H=PFHWw^X>>O#nkBP5;6mDz3*6dgf<$arZ#@)99&T)W%e35l9Kn8zv?Q!`0ap} zcDbQ?5C7mH)9PFB8GzY)-9yOLY1oIDZkDL|S|o@7^&AKeMRFWa!bt20J@}q$8A9Io z0L5OsYGREf@ABZmpT{pKx(8#VLUs&XmA*7^F%1l=tfgg=&YH}Az80n_ounR}Zdfz% z+hIm^bMjR-&mMPtcoRVQ2e6)ZUuixxs=jTjde^=82XNQ*^Tss)2le*-BgzcEW9(dU zP&iBDiN%Uo`famm0qQuFd$Nf2=2${OT51I&x}SdOaN%i*3QBz>8#(9b>Xyq0Elcl1!Nv^zOylU*)z3^mq*QG*UwxA7 z{ugYke_zD@@0|?BKaXz%X~fwdfT+vrPtG65vA%ZO(sdTWNGR&Y;sfuh01Ez7617di zoLpr*x#%6)Og@mHbC6|IM%+Uu8-X4RMw#{QaJMv&PC{b5E5WglbAe0Ao!Z_;e@S_F zSr*pPCVOC2cvBkw-lcy<%AH4&Suy>OC0V3Vc}OT=FHd_LGbA!TD^0iVu-_=Fujl3}`iA>gV)xa8F50H~<(A(isk=FUm#nKL*|78~bFF1q$Z_8(YTlxOQ zJC$q2Ld<7DBznrHeKi^^G>fn5U6TxA2tS0yF!n!W%q0&=8pcfeqN;~|4Z95;I(t(3 z01+3oZK#>+0-uKH-aig}@JF&O!>OQh|KlIk2Qb zkXnOWI4UGOoF|YW+gzULgR6`B>7uI&-8h~X?8|@MloPjvZ~cB2w~lbQS$rjMSe;Gh ziSR3dQ7CQJkpYP;q|JqC2~WP&_m(!F2FtEs`;CB>VB-ekF}S$_q=BFbGk80q`P?%Z zZ6s~NT_w7a^^@ALsIai8D2B^uo0Fr_AXe9Y+iFOl#rklrw0Vz4E@S6n)DuIr-HMQq zj=4xjQhsTB(X}ZCf#_KuvR-tZZm%wBKlscK_y?5#A3y%pbnJiM-ajPYM;P5lfi2I*VWaQcWFM=O*w>hFzw>mdGLzLW$N~itSn z6?vyN8Z37;l1=z84Iut@cYn1N`WJT$r(?-+xEj9M`+Yk0E8;|Yy_03z*pFL$=iN^& zhvYr)mOM%yVb|Nn4$*^?x`E_qXz3ym*+T=^>`v^US4?}JMoYk&)T0m4u>ntft83DH z*L2Gaw~U{V%q%2#eUF5;@NyN{etV~}u}HiD@{Z~{xDm?3R)T4~;?1CrmPJ=>S?QCp_jZ|o*;H$CpFp3C3z^_Kc*&ocy76f}%8mi) zFVxI|9Ac-|SSYwsKU@@EZ{&$qd-nK?v9XDX)NaLFPY&5R_AtBIQ`f1%xR#>>;y+D^ z#s{125D;b0+`BBDItda@X^gryF1~GPX|v#H*R9qTF#tI<4Qj~J}bsvFHNoT|`g3JsYPv>XBB$O6?U#|NmU;DZI;a9<} z%>>dIpG5nMx2KgtiQJ^olPWLl9pNXuScO?J#Cw1c=wt2ScNCNLzv<_v9Rs*?7JJ*A zxn>@%ilB3b`kRQ96>FnYpoYsM&mMa0_QX5wSUc{Q54!?Nrh3N<7$Qxe)7oBY;26&( zC43=hOcWJ(iPr2G-2eqsG462+vh-&q$$PPW08t3>*K)`)KIM?*Aurk`82GN4S~~Aa zG4ZtOr*WU=eo1yyW{<}DnXhAGVjNXRj)#3i1iZnA{u4uHnYStQBU?1SbTvDG3rv02k zFxHFEI%-&UA^E+joa$COC8(p2W(u*%r6l?Fgqu2qCnZR(H8`DOz`UJy$8kY`fOP5TcI{sg+BpdnIp* zpmX)8VM%lH?IX<(woL#UADQxWw2%R5iDc*iC+wwW@Vfp%EL%xE>z=B@ri+(DC4;S5 z57zug<#kDTZNH%SgEUCiM?P>FQvEfrH@;EJ*dy;ssTJ2Eu}b_B@n$ZDPA$Gl5T{ad zD)b3PUwO#0IQf*z9olhL;zTu(jbu@{u}NOhA| zq~R)h@I13$SqRnZcCkptHd^DdRg>Ey`zc!~G}UWdYnTI20F^I71Krm)*NbZ^{o9K@ zNgOp_i+08wTuy@t(t<^;7}5{26NmE(M;6N}C4T$zJ$<1VKjmD#kknGjEA4_&u*Kx0 z0;+hTr!lVT6-@qWSuVl0qHARmpIk}A?U^O(MC-h+54nKXi)=4`SqG*Q6($4VD4l*$ z6-l|sHVjLw5~u3t@*e<^fuoRGs)_Q?D^_e!>7$CTt()l&2!f2JG0-th^nd0m-?K`b%%p(F9_ANE?&wTVEYR$aSS%Ug?WdDTSDaiSG%Y$i28) zv^C}61PHU~Pw_@u8p*lG(?nGw4!W{zMe}`c%#Ox{zL<+xX@yi?LHO@|J zoT7C`=jqpUtEbd=;Thy4D-YFi(L<5~>Q0)&vpWTK3Ow@Tz1-caG%mk*s#aYEGt&ks030!Fa!-N!Y&~Y_nXf1Q$uY z7vC4i_CG(cg;LYx;S$>!U|*S%zi{jgC#y+1dN7zeX!`Lm1sxd$nqw4#re?B4q`y%r zP8E=?T3$XG@hwxcGyk}C80tzmovv2*F-P_j$oIwwdA~65${Xr5C;kE0W<%U-2l+)#=2XN5BmepZf9$%QJ<7DlLd&wQC$EeHX>*+d2KL`9I4q&57*~xp3QFNi} zMOsTE2VcX-a z`;8@Up(L!UJxnMo&8ILl!FT&oWY4@rRP)skYRpymXqO=}cG$sVNsiw+p`_O&)kmD0 z>k^0jD;cu^w=IJn$goTxnP1z!xrvQ=5677t+qHxzG*+A3mpRo@)5nAmNxKF7OkL8y z^akvBilpch>|cBkH$CLgH6RmI_>tJ>Q7+%^i%eZ!kg&NN1>+Ze^_~F(s_0~N0QTs; zoanJfjw;U)vxfECM8Pc8=5-7sWA7a`jy0|pj`m((D@L}mM4XST!c*TcM4Yr|89s znPZ~7l1nS6Leqq1EkA5h;b+Fv*#Cb+_4qGma|{zNIvTRHUmZVs`_jn?ntKq`L@y#T zPrC|2-sjR5nw}F}w+>YwgxfYqBtyF&YY@FR;3osR0K)gua%vCdIOz_>}X-xa0PC z{H(=fbF|m+;~A2f(SehetysS})v|^nuv~F^yp$Q2ALLOGmDT9E;JE8wbE0@rk~7r< zG1bP@+`!+R2O00Pl@i;sj`+SL&(!C25Zh4+dD4JW1Tq&XSi#Ndn6}SeXcHm%RNqF8 z)9GWIwC}$$%;oJm&Gd5{i(P+yFBkgI*%SspC8ITTtmOcO>!4tz6~drL+*BezlNB(j z5b(&d%x?>hAT@NqNsgW~@#4kxWBfS|noq6ui(7{&X3=_a)8DX;6vONnTvHxbv)?`! z2BipH(hDj-Qyg$IENg$BQ|LWx?)|_=Kwr%cTvs}AP~f|FnYQ}E<0ATNKdv8NM37>F zqomFY^a3T!2$uny)XICX8rD^2HByugxW5ix(=v0ctFf2^@?k@>7|P+B046K0mx==XlgeUwaAP#+|~4#!o(8yk_M?qa)Mo_>YYC z>bxWjADRKQ_=+8gL(no9ih>Loj}P&@oNQRiM=15kG?rDZfQj~dC50Ev${KFkRWUf$QVWxam@)aU3rHg*ZcUv^=# zz)%}ZX2++FSf9SEQ$~_aB(0m9!WMjpy1Vj%>hOi#NaS5cF#khF$#pq(qb9z3yQwSTV|s!Iq+TAc0|I2RUz5@I zbjKyRiHAp`ucG!b?CXE6=Fm=%Rk#al)pCkrRc#5yTjjogdVcQfxV(_*e!P1OFSVkx z02(vlr*Op;eKuJ9`3bPsq41y^FMB|;_cZi3Q)dZP^hb-oEs? z+hIMwlJYYlbN=mCw1FAx`aF9pF$sbK|1+B4m^X>KK1tS9gd1%saL^fv08$xrzrblt z55jk%cD60?TWgT}^#WUmHF->9kBOF#R6?=YBXX}p7tuz?)S8t`vj1u0`nA8|k#mDf zZnDoIq}I00A)gDFS^X;edFA-#6cRhgkqIAEi^f)P*EJB2Mr~EZbR~~0?VpnGyPmB| zIus7&67&{sW0@)6sFW_(r-P{&FP9IvnYEfLa%L|syoi-FP3>$z@`CcFKEX0S=#=k{hv=%s$zs>nL2l3&bh+ zR@Eg!Sk$z?nz2;bq{sQ8W-qCgm`Ytl))~K=ihEQ;l7dSbE^$2Lt)f?uT^XJ{RGg{r zp8bkrMu3$l<15F z$D}IY3680Rg!H&n<&iHAEbUbpObC0TC``$>{R|>ez)VMwpK57GV8Rf1xlSF~={6>< zY0#%nSSD+^`)J(;rO@%Dv1EHbTW-OQcqW?<9`|Ka`Z9i3nx405lGk~@bBu@b^s2qexf&U{ znwZ$4*rqa0EBD%begzxFSyjGRv@N?BzeELRSgrzzhJGpQikaaRC1aWhq`IkQF5~LX zGSlA{I)X1wnR%OLYKkL4X7DMMnaDOi21LtBw?irT!X|c6-;J+(AM27|OBc6IhC!cO zQmAyr_o!?|%x@l+k|*%f3%0M&^}@f@kkW^(sVhI*LfVVAEyN{wP5x{#%}>A?G9iTPyS*o7GRsa#v(qO6ve$4Yn+WO%{i7iZTw*-qvV2%oi zGE_{zpFe9^HEz3*S6gQC$&y9F0#ffN?0l4CQuz&@TxZ4+Ay zu|x(E6oTb;jVEe(KlweSnIYQSdwcA)jUp56+BP8N2k|i=w4{PZq11p{W2m>|WtQ_% zb>0ge@gZDUCyI$+Nwx(sQ+Le+Y%BEj~IjyuzJqDo)1FLO|PEA@?G(bS!F=VsGz*fh*)x&yc`y)nnXkp z-aR8p@HDGnBR5W2HHfYAc-Mc8B7s%Nt6#6c2C-)4sBEkc6VqoAN) zj-SN=p1lm#5v;)=MC|Kyyxh*y%<>+j2^!+Hs#eO~@WZ(WrZs3!CqFS(5CBuMn6-OA zoY+yoTJ_lJ)vQk#I&o8HO{1%eA{+``hJWV42ai!R4m+K=`(sy)WMyr|R;T2iu`zHDUO?BuabshcojsBEtM34%4(JPzWEji}r6tg^kh7T;77 z@){dYF^H2_imkNgm&V|@aa22<1hTI2OI z=F@zfLrDzTF~Q}c0XW4Tw8=-*G!?%pXfUI^i=CARU<(Le*K@e>_-y~IWX=|Cj-~>) zg|Md13pwb09c8XEvn6Y>K@6eE_wDmz+UjlV!L-5wj#P+WSQMoqyUT#os1A*}J8p(%m1xhI_ zeJ|3@kmRdFp*#hzn69r&aby*xwnJi)Jjv_~B?GDI6J5ekv#X?>`|9S=NFuX<6$|d} zq@$JFXr%nl08df&DsY>WCaXEw9!G-~ZWgRZSp#UF~Kt?U+4=DaMiHC;vPk zIwa=cQ@V5q-}lcUr2LPyg~{1vg{2GUv@H|8QpzeWc-yhtg@&#@AnvRnV^P9!Gp!CL zZ)S^_!Q-`Dk})U&V}jBe3ok_KG`daHJfo-eijo?tQU|$(q!Mg7IIc8j=3FixyQfq( zrUL!Ll=#q_;MEzeF)Cm_68T|?XhCyZeU5%0?%WbAfW=kZF@2*BsUIO~9H+i8b3=MU zzCF-v7EYh&kj?{hF7SNiPgZKl6-Y)+Ya*b zDTWQ9nVLI$qSv$j4Qnln$F!-frBSHm=Mk3gfw|J)+SQ#u0DLm68&3I9%3CcVe5A~W#%l1LRjpx3 z)%=jFT4HpKqDbJHa{Da55eSX;#Zj+g+9WdzA1Ml!42)vw5G&yId{ld-_j~Bk#TB_K zfhRtr@vV3b`p$Vi&yr#+{N$IqA+@Bl7dX{>noAjb^`?<0j<|GuUyQkkrybSj^;U+73Z1otPlF_GRez1Nu^3nd#|)8i~u9sq1q*u50pU)NEd#ZVmhXbG{_pvi%01WRI`Q z#Yk=OxR+ii-HkGp-4(?|fvc95!6lsSPePnN_!Lp>tZM{47EWcmevroV>{MUpQETy4 zuLH5?#1RiB6L|I>QMRF~5mvM zTD5$NA7}gKN1(>;OOnYwL{?`Q+A%eq{^&v|iiFmrzN*4Cjk|70y~)U|5r_IrfT;WZP8f4{kC@TosxB$L|&j zBOFvlshRaZc7)(IN@hI2Mi@iim7sF!aZGrZ#+mz;G;?>!fW)fT_uu_q+V_4VUo;m* z&8*2GA7kbX{@f`Sb7??}b3hzCb&5}LX&0@r%OZL+P1fg$>F?fSdPlJ$@s(k0;*kJW(a3!<2y;C!whDTu z22H0Pok(8b9-OO?)Oh)$xIr??t|?SLtJwH6Y6+1*!IT~&O6e<&1GGuxF2db48g-K}kQCj=#hSLA=`U|5|;j%Qn|qbV6J^-6J2AFoY( zYu=S7qJEA9-{7(yh z>OE`9*+4?Sy$F*1GFfw6`h`WVqcGQ`tG3{4 z@|;2%H72tWWU3p-5&A109?u<-kQWuT=~!)MUMx57H=j7B>&EfcHK1HIu49GZ!+-Ct^e0X4yTs>}s zq62;DR@EO)vxs703)aAS|BU@vvp_5pY|pD7=S8gS6mghJbFM@3JmnOC;fKs;R*1Hb z8k@lO>1+h0(&p!SxL8%p!B(O+YTW*0)M%^Myg}0GVK!0E!x zc#ARh-a{Q!N0>&bwAXZLEE=a#ftTtjt`pSsQiXdY8WaTe-i^#4c7PmYfcM|Jtueaz z`fb_0=_ia28Z>By%IvzCTR%(Ywxv>*j&@C9)B7r*AAV)T6NT+F!oxS?mM@OMtvhtapR_ zahp*q9_r3&@2RZpbIy*?j!L?=x9Pf%569jEOTNAysMKIq0Hl^M&9HKjV52+-V4M#9 zmBZg{gDc`*eaq1&DeAZSJyJ$h9g%afFZ|vrD*YzxWy<&RBm&~wFaW7}a9bd5xlKoM z5bwM|%^*Ah_YB9+es2CAtfSDwYJkJ?G>69D7{;Z~?)Aj*=UY~HwUHckSW%PKD_l`L zvBnz5gIy-hl>lb3{1}#kPY204PT=G^!)L5Da_t|i8re7Ti%mo&7_*rE0LpcH+n5g^ zhT33QZecX{lzN9(WEdtv#iuY^l*D)JuR*B=p8x>#w@*@;A3Xks(wW~!_ZS%aKlr96 zRk`0Mj~1@Y{Ap3OUA#iAr5dZ8(DW1h8nAF!l3m;RXSA34+mVYvBh1+*)l1phoPZj| zj;vOmIgPbE2ZRuitlzZL!T)uIP#Zbdt#b;L{|>gNu@y<6x-wdb8v49d-mj)ISl(Zf zGoC=QH>y8e`L)frSlY(uMF9~ziJsQx{`R&HQDyCMooZ8MY#QI1jbBxBML0*t$KUg0 zQ1(aC3h<|-EX+NSNPdFy9vySiGw%__qS@!(aw3t`v*O`C%Hs<6yl0}n2wS_nNCIyh zRz`2zD!?1CJnqzcUMiK=xa?REt}4)ly$w9mTe~m+GcCDP1_3j>ggJy(Jqx@5Md7)tXaFUTD{&fc7@U5^j^Sl>+0s zP=ArqutSpjEgNRH*O~Yt2Jc7KXo@PB)#NtAd+GMqx-Es5Y&4>X_U>zCn9vzt{9Rz1 z%EUQHOU$2=s&i1FsZ(oE0EC4;zm#N@^gLpz>YJ9eXn;3OjD4|s+o10fW{!87zfgzK zc9}MQ$Q5DIW`w#6M655BLDFG6z!Q7ft_4T(fVx|JlRR04lkfCgGb*!}b{T`CR69$- z1vBQYTA^#cep&gBxLFJ=*WIA%Zj`;VhANz+Z$X0j3S1Kry&_(;DsJ-Wobj!~0#j0e z&)g^qk@J8E%h2F+>rnmK%1VxE6k6~gSOfu{WBzrfz8QbjLriDbE}_oxyS>k{&ArCz zps&*WWeMqaX232Q>aXo#;%8Uik}S|LuTC~!k-EpH+dL{qTAdTee?eZ^1{s!qBT3*B!GM>fwb8CU zR-ylc83j2Q)N`#XBH!B&ao-sF%o1VP~6Asb)6y$*JSI_HPO!leQPo64>Zsd=W!0DvO$PHqe;pZ zcd;F=#>Pr(k{%IiS$SldC#&@#N53LT!B2gcF>=?PHj@p|Ssasgin+m2uw$1I+-q)w z;sD_StM}uIlTV5KI4aZybDS;C_o^Dio$~NPI5O;D7RE55wh{2zg%D&1Ra$Q^!piWX zUQNyWm%w;oe!qg{s$m~IhmxftfSc@_D@kcD!|kSpSz0wnhb`KbhsQoS+I&}v#dfL~ z)5qMfXjyv0zHT(k`^scNKf(9=Y(TglNvXeuWKMO%jkX+cRL5WD1CSD+_(rH?%vpGUg~^nWze01_Zu6YHfW}Mq>1v^VPU~v#r{(Nanlk zg&K1qfq2{ahlZ)W4iZxFT#Berggx%IR>>l);B#Bp!|!o*L)cFX0*5;O8~a|GoO~|6 z%zL)&!|^r??PZRMZvRC85$jY#PST@dGaFTfT%o|WMD%ZaSJ+U(z7CqET9Oi^O8>L? z?%a}+Ek9IJg)0CWvZ2RKUQ7*apPX0uAOyM31pN!NWw=o=oGul4{^eie+4j8t;UUOV88G zkUf9Ss+B3$YJqru)*{(;6;ywc{$ znB`CEqxhtgkZ+IIH&2UT6T;gMGgAk*VEuhBM8tB3xhS=c+RkYDa%>}*t?@((5hbia z1HX4$gc&#}l>$`g% zYz@29^0llu!54S`%yfLz({_6l0zC)`SU7l~>}kDh9=4h2d+(GjZ2h&YZ{YB;F6v=5 zm?s>Hr(f>xsjkvIp4r^D`mL`%{}ckp%nr!yJ+P~u zE~l%^w>F>W>lS}V?_%OOAQE*Kgaw6H?T~Nw$NmgPQKT|pa-dRs+TpIn|ii5bq zMnf_it~(@<2~>vCR$_|j9N@CT4i)?+h4ej2y_Ak#DAzP=yA zdu(x~dXbRkHjnneNq!G&zGIbrhjgvnyL!}nEIWVPJ~0Rp=hAElnP(5-SUB#imRQx1 z-Z?Sc>imGb_s7-2gEbE)mNl35T;7Wb#LVEarr{(Gzvz1ly8PyikT*JE@nxD$`=$cJ zx!P-vyAx`s9H(bUrWLsValeNajAEYhSg&}i-Q&IeYJT7UL^Pn4WlQ97ch}qcTH5U- z;K8kk+&tq9O{V$6ZO}mivR{E{O5c2br zRh>)g+lapo1_oDIsBLM>-y?!$x_=x${<`Ns&JP)pOgZniUR!mI$O3EU>z4wTy%rq2 zFVWp@-@^vEiA;mxUgxgO5{p?glm9wYL;fRs4vsYaKzdjC_Uzi9iTq#QphKPuKKmEm z3FL6S?hoKh^fvw843WNk^#`y+zaiV;yY4oR6m~*(fq&62{sCAb`D|_%yuV?0!9DkV z_iWdy%U3;{vV91g8<}F?BEXM70OUezI`_tpul@kY#V1;={{WC5-|67jcOk=n07)U4 ze*n%Q_K)Ww@QDk2_79EL7df(mu_)({69^>Z3(25Ep7Avw z|1M-SBrPQVaq3#9^?vX+|2OYp!e5(~J)^(Pzo+=y;mNy@vA?bn_Biaf+c(kh4E1*E zL3jNG>we}DxgAg5zP-Byp6ucybjJj>f9X5$?#-8MIu zhacu-XmBKVG2&z=ecaCNf|qmi5iz58=|Ot;yTE&gqGK~;2;=9_A(`9qi#@}ccdoLt z7M3d=`w6@B16eZL69yst&UNc2cx=0!&5qk_+X#!Jn?|v#uDzZc%U}nl>j(VXmi3BH zPFWcbE`?szJe)@(g$>6W*B#LZ10J(Q`Ssusr(u4TsafmY&a>e*p7#4>h*H zf?%Y{F;rH1y9&Y~%R7DJ)|EL}a{~MWXurEqr*HigGT!n4L^V@Aa3L;v1%9>rjW8ARWB6g0`s%>hf3b%DS2|kPr4IPNwcd+eNmCzh*?<#|wxoM&rib>2J=* zQDi?z-!i^7BE)xZRmpQJ)z!9Co6BQ!0e+ThS+fx`GxM{s9CFpZHTreld+qdOxoNrDf>REEl06`%Y(bljaCtJ(^n< z>P&}}=oQ%2?@n9t13$~l%irvkMt=Yc{L2_$a*FO2aPC|4#UC-}Z;p_!B<;JutbJ>( zTku20Rc~s^Z{6s>QmsAoAm33)hv2LrL_yCQ@om|?XYPr>y-Yr=_CH6_?BD$>|K})r zzx;bW{uX0(J)s;t+S4wvwudCes>^cihGU6WKEG$O$_Jj%RRry<9k>NrPFENiw9_FnMIlV-3=sc3mK#TS+)M_4buNv{9orlaUTEx literal 0 HcmV?d00001 diff --git a/exmmtest.map b/exmmtest.map index 4b7b662c..049832fe 100755 --- a/exmmtest.map +++ b/exmmtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:50:51 +Created on: 15/10/15 15:23:41 Executable Image: exmmtest.exe creating a DOS executable @@ -577,4 +577,4 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) Stack size: dac0 (56000.) Memory size: 0001d5c0 (120256.) Entry point address: 0000:8024 -Link time: 00:00.00 +Link time: 00:00.10 diff --git a/fmemtest.map b/fmemtest.map index cf46f4ac..88bca298 100755 --- a/fmemtest.map +++ b/fmemtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:50:51 +Created on: 15/10/15 15:23:42 Executable Image: fmemtest.exe creating a DOS executable diff --git a/fontgfx.exe b/fontgfx.exe index 19b7743e591e9e0266680fdf1bda4447e5cc4dd2..876d2bce43974f276ad2a6f878d1a9871959217b 100755 GIT binary patch literal 42393 zcmW)m^PQiBAay^!p7X(H06;Lr6@QR_^QJ z?US?8ti@@*0hTicIa?p$TUH@J8)E;;9z>73rGtk72ee;Gr;%mhj>q1~zPst!Xh+W1UZ_)kTd>2Xo!qo26ss@X&)g{;#0su0Ef$B1Bp~7k* zw%CYSGHJFwMejel>TH$Pf!&~cZ}v_HZ5%4Dl&YH18(K!7LBM#N23u?fk_GPi8@eT< zycrvyfxW+9@f5BEKV4NU*`(&?vAcbxPWs+WX|Ne7m4hS;U~R}Rb825?><_#2w{G;e7KLU}rllTRiC4zWV~eyX1nlroMc0z_?{ zMVNNG7T`jSU*orq^;{M-hDI1Q8J4$@NppVN6b`&SAB zF~jaF>*jhcOyiqQ={I?;FkJ-aDQrWfGsg0#7kX0yPJQBQx&luQD~j8uRf5l@6O&S) zvy63$!G23Qz9?v?1E&QR6#&hUCwp1l8GER^wc}ynxE8k5ETSNIPjk^8B+Km_qA~<8 zGN3C!$z*McP0GWx$t*pHAu0$kRlk;_u|YBIJAUAr1sIxICGA$2`lXbzkUbKJ}7cw z*FlD7$1xkMk0(WVnlRgZueVn>uUYTxv_YUNfl~K2I(DCIg1T%_7t{fdzAWSeSDY!l zMdLD4Td?0nZ&8`kuwCd|>e7B-Q5hQ?VQ7?XBQk%|n^r*jV*45hjlX_!a-}%`^ZjfH zD-}sc@hHr{X>93Sq&Q|psDQr^+11c7vUCGKtT^7$aUg4wU z8gGd3-rs26sM<=F`7W9(zQLqEVI*`xPxZKo+Y_3R#47FB&F6+!kcQrwJ-U!(FIsRen}9BQa#2jH z#|Dwk!tPSnb?13Z_V&0K>R{rYUc(}Eusc4o)no-uJ@GMom431 z*7o;Mz0A!~X`y(I8lT3W<<)GKmq_wTM&{WZw@MlGa+p+Cp7Brg>W=bMi12C_F{O?( zcx%M9D_-N?ig1v;%vq?!a?5rWi^9wntikj#$#3KFB`C}Pdb;vpi6>Yo9!8#R> zsJm;Oup0^Q8^bGN{W?s)x;&&T;MO_D{}+|^%u=u>t=jD%fP zml?5P`oPxg@1aGn*SErA8E>v8vXz-hkdZe{LEHNIpQ!%&*YA2a@d&}&m0))TL*&A$ z*74+gsbz)r;GE+q()iy5!K5`^9Gf+tP^^Q5t;sXDL)XHK4R<1gk;OIV6Rz*9C>0|X z1li^ z2{|&_x%359>;?VFhjTE4oN^IT*Xm3@Y*=fr$22i_Nm{RGrS9rpXS{^5)^{{4%pWULVt+n6g1oanAeVX5tQt*?GnteMEIYL>lYO6`}q9H8L~7dBxiaDiemi+at>e-0OS*# zn*Vt0<_L3&2Qfqy$x%jHZ=I}-!?iOdkOocP00I|I`153G#2`UfM_rhRJLp4+Gq@p( z#>xEo8k2%XqxptWfpO&|L5joE-|FY=B%K(1F`BYJoEi9XA#1U+OtOF*!~6;Wv8Njf zpEP)@M>-sQ|J!(M`9OTn{{DeBd(qH=j|N{%>xm_Be_b43I1tl8C*61LTLeoEVu&A& zoMO9T&cWBIoLN_wT5j0PVGpJ>gJXH;q<;?Q_V*#+KNmKWtzt`mq1Ut~8?FD6W*dF7 zymIHiqhGx}{#lzLYsKvR!F+R0{_%mdSqn~wGRwIaq786 z^A@z8Qh#*(u)(%^_m?%FqSrXvUaVIH8#-=y9Gh;s{0v=d zNN5aw9kZoN=|taps%`5J#t7@nY@P4teB<+6^QzNH`}mac_eW*VkCLQM(NXqjsv}y{ z2ntv=kKB*BQ~Ss9zSicoW_B4p2I7p;x94t|;1&zQfZ37JrTgVVzsXA_!=--W>XHY) zLE1*K%lf^8lyX-0!RO_32>*V^zM%J9hicsVvpx%X@W<}_cD~K{l6`q=w>ZpneU^d)>`Wje~z z$lMb0g7`rft^wz?iHaNI3G=*L7j=^OZVu6JLj%Dy7`;5|*gNDHC|~z(QX4TxDRw{C z`_A_-&M@lDyM;9q)^%=tR9*SI?1ZCpk?-E|g5#0zga=bFQ|e+B49sQwi*=FjCJpt@ zI)jnu%K->EiMiOw%Rt=9JCpV6iZ=gdRto}=rks)4@7QnCx_?Go22Q??kmI`comSi& z(f!U^0(Sjb#Jkq-xQ(9c-6;{5@h9ygxXmI$-i4k&K2-#NE*&wGT#`FkT!4unrObli zZ@=9I=erpYjLWxhOYV+v0^{iOaMIzNQvbtHo>70ItN}51{3ehGs=eEU7#>lQykyQ{Rt~*)w6~GLQ{4Lq~WS43kk8y}kO} z#*+LKGENzXIQ&*y2U~8T+aBRD>Lz(%mSTg()*|kc{yAeD0YAHn$B&OT-fJ8*J-s!c z0KQ6BqFyp`&gsrzr*-?s0*5eR&YT~zttNLv{qZTYQ)QhZj39y?hA*AQ+ zvptJhB`0EaF3(6=nsczG7@1ken8flE?{>Z~S@9 z178zo3miM{keVB^|BT-^gTKx;q9oZhVG&|A^~l+gA0sncTiM?`8$g6hD5m45r#hx` zDbw`ye^CeiB5V$$%1yVG6Okl!Bjhvg%^y0@DQ}X>H(t)slqOStNvS|hw(+Rqu*4+{48>+hjT>laToHU;YH2D^>Bp=wr7f zZU&bLd!S*gzMcRMIDDQa+)%tkmGqsRS9dWBCU|xP-Z-NFze~iFA+p=PSRQ-#2 z(nw{8T&Qo920 zK?$zioF+3Xj(_35cbUZ|<{b2od^~M=AT52WMX(;M3#cI_ewSiouBQ_WIZeg!34yPQPmY2*r&IfoEIUg4R zb1PA-`P%_jf4e3EPW!;+M&j)YdB219qQtt*ZfB8;ip2qvBe0e;TAiKqk2t$@!3h5L z>dixN3WgUVe8l%o%5~5`H|61L+o=pA+v?*0o5h{yj3|i*B~p8!nnnr%qM}7T?d}(< zmq-13&ENZ%^I^NpAkwWS+MQSk7#EQcMTsVm!AL?fnVJ+9LB=Ez!uKPRDKQD84j4Is zkQC7og^Wlgi$;VeKO<<23tN!l;>cmKDq?8_3RylrMr=GH$lM+iElRxrkt=KwSaknQ&P?#seocwtbRw!{Nk9Pph&cVC3)c8bOY&z)>!KNcV zE<8bz5^tW^q(~42ZI3#;X=oedNsBd86C)yHGp=4y;%Z)t38f}7DJSIt(AU{BJ|f;s z{%0JO!>P$R*KQ2&*b1-hj;H1W@3jbZiDM}#Nq7B7LTj!BZRfFycT=>A8)>f^T51Sj#3Up_!`AK6CVKZ@%lg+JcFHX&x;IxK7~8jxW|36RK)R17c~ zO2RU$lQ>hf^tk*zg%72@M~qsikb&en~iS5eu%Q%#s~F@rE_$eV&q3|~A}INGW}F}^-=ZilZx z1~nOP?^|WZ=l_H-t)Zbwp{B+$U6O#;YwBJFi4QpN%={FXjZ!crHaYr5YIuaVeNYd9 z1Ht)%@*_D26!h$)UPWr3pe`Kg`gS@bJny+kNl9URq}|I1(g$AVx|qLK{1%QV;OAD% zJ6AVvJ*k$Y67`g`R6_jD=iDmf5UH`OKmKuQ*P)oYi14e$$0kghWAzNTOmq$7(Qozj zHOO%y_ue1Ye4ZpD%&p3YAjx!VSM^x$AvzZ0V!}6Zc1(n#2vJ7a-|vZ7R9JL~So2F# zJR^cHHU3U=v8b;@$+V#Tcm!pg?MkI|%fbZLCXfB8ydEK$8ZFjDIFwk)6h1k6>L1{J zjgI~iLLVE}7%|X!^G2uVMqgrdL21>IK8gPx(LKXLxvqW)!yXY^hzNJoK9msN{Wj>@ zhy7$$AC0zgu5R#)+BMNQr9{GaS(KkQ++E@X^}}J_*cw&qqN%v7b>SyI_vWcd5rxus zRKBSXcWb}V=0B_=X5||0ekVEG(bWJDMDyHH-c{vEwO4R~Y>{G`k=FAi!&_Z3ul^fl4I zcyTZ6k9}qex!^X2$MCFHsyx|WNj{7WQ6`x29BO^d8%K?k-YNTbHG(6VJeZ(v9@=<_ zL|&3Utwwn1;1K1X8HqcsCljl6{fyUeRJmV|$4YX{sr$a-5NlT)3n9tbo5w(FBH8)YJ%cqFCuN5SN z1cT`5S*O?154cEfk4=oUD!p7Us?~EIx*f>%don)WTfHLSd0rV#T$lI<7M7}Be)+ORD%ay&oQU|zsfEn-WxQP0eCZdf`1b*xa!8 zvbi}Y16+ep{YwVg^J7TruUTQlT}#TQmcZcm8cqzyyUaWUvIgUq7r4~KHMzMCxUy$m9`)Q$BQQno+gfv z`8{t3qX-P2k8EAHa?zwEUVK%ZkbWFXR1SHL&DwX>=UT}X&D!IfbM^L&6O|86>nG{w z(N|DfowapWn@w9-Bv-XwVL0L?rxN42V8`=PPlTF1+w&>c(FoJk2D>N@KdD#>Qbe+F zaJ4S*%S-WXS+{5IxkcV$vG(l-e_W(9^QBiG{Da~BJ_T{C1!>oElkHp~*VFKH?FL)b zg+N+SPyE1k;rL;Wn9-fbY)U#CLa`tF1428rPJg6uUgqgu7MsrZ_zKqJc)pwfI>l~Q zj=O;qv(H`N&bA3_nNaC5I8Ee)w2Rka)1aMLJ~^UTpO(<~S&4IA8-*^~JHqNtyBmL< zX^(HnVArh^VXSTm7nth?Ll}Sl7b2`SXVt`I5vHz)dx zFKy$ewh26`A5BG}ikx(BPGa3IFcp!`>1c+Y<#vU(lkyBVx|nHEyv^t|wM4%#M3N8V zd)M0W7W4!9sASiss=t^x2n&E3_ayVud$vlHG6S&1UO4&}u8YfEUt!ZelgiyPt|I4G z)pW?0sNu!Uw(D@J8WD6J&=>Dw|6Q49b@6eFlx3uTXonW&AiwZS~i`lK(Ez@ zH5~~sTs~8h5sT3MU|rbUdzJe?6k&D>4{xX!%Sk4*`$ z4p(=p_%AB1A7)ru=3n_BTFh0$Qw!R}7q0IU6^ao}Q0JUlA@Ws)S6q|yk6fSM;Nx+x z6l3p*MbLL%St#_|`HusL+^#jZ$3DH0#g~f9x?2_Y#uRZgL^0V{hS)>XobXqlmo|jB z&g>iZ+aj}otS@B`IR7el_t4YU7k3V>P4kZ{JHCq*s~ba)n)o|ziS=fZ0%POT*|)$8 z7Ka-VUi&je_Q(fW?brt}s;c+3CUJWqzY%(VzgXdXll@Gy*ouPr5l5_nBK=piqA%9R z{SeGP>>ZjU%OK?3b>ImZQo_og72h_w=&ocRjaf&~ddojJMyM}hn? zsG-SOdq?qN{%G4}ZMO7H$yYt%3NkN{Dl%hml&so$S6}hw0jw_0+0-%ie8xgg3o25q zw^`)iNTESnn)7xCHZlbNs-{YcS8o+ezt?jr@N&0Mlg)RB(^+4+l}9wwlxIW^*xCKS zLCzS$XKt6iaU^qOdE|}u2(fJy(YAa(jtX<|I zl+&+;ddmH|cDhaC&~FN#MM%``XdB!WVG#AFW%HZ40V|@*=`94%u4nT0?EXE$HCC|p zu7?GT*sOD4!npPA91;X?6pIWxox;eDcUPh>g0+0dpRei0q1V-w;Pp_i4-R?aj%#@g zfTu_G)ng!^uLr;5vb#9G_Zadr**3C~BjT+v!>HO8B8m{6N83g!&F|Ud*a}O;lQXzo zoK;c;Bn&vCdc;!gg-EP(`5Ri#(P9%7D#@4qe_ZS?an z{Y}oWxU1d~O2}a8pwV9kD;VE#T#V$K=h6Kqr>}7$XKtZB-9?{{)s1!=+{eq#9dESJdM~V%p??}ZAu7PQ zuH<&f?1;^~+wLcd4XvrY!LtH$C1EvSnKgkwl+^Q@cSWVL&$_zbsMqAa6rJy{wC@#@ zPl)Ag`l;d+tneW9zl=6v1k63!gD~f^qn2#^C58sc3)^vHC~uoAdohFbA^P>j!C^{4 zbu6n_CAXH$lUOH*Vymv0p3%=+&sHd%3;GmqA3WuQ`!UY>HT-M+DTOk6;Ioyt z9C(`#M#TYl$I4=xGZ7|4{8x%kw|;^|KlX$CD4jKHdKAZb&zXTf(vNVK=l)!rsbgrK z@x7&(aQN+1waa&xVZvwA?}UGir{~NW-Y2vrr#Dpe-j~^xmflYtbi-eE-QIlHH`W@Z;iix7< zbZ1qWw=5p)TM0soOj%DY5e2Gl$F0R~d{K4!;@v$ZhWX^d`G)_jd~N>=%OXQ;gM#o) z!^hl2ILheBuJp2PV8LOGVd(eiv)ux1QU3Rw}zJy8|7nXjm@Mt*MF$iG-|Hfh$n6TL+%IE z&=n*+o*F##!C(Gi4>&9>wG1pG&Pj#hVNG-GD5WEeKHbez@s#sC$@CTCS z7t5+29#ogX7dP=g>5^o_MR)MaV%}$=$4ivf6vu(7SdS%)b$QO4kZi+XZrZwPE3hsB z8H60aVX%>~%qw}*O|1H^<{hc5ec~_ftUm5Cm6TD=BUY+?YJMsL?YGqO;_3!fjb0_{ zW9NHY&(uSH`3c_kJ~rO4cC12TEbzHgp-EqDr?ifDrLQa)YEu57dqiu;5M5kpME`ka zx+kA`=%?3+0 zMqntPed|eP>Il$&u2If(O#i3ZGaX#-XLlXTtoK07071d*XIbY3UG-n^adu|x<<^!( zT6m+KKL*BIalmi`wtn|Bw}4mGuCrfE`b1JK# z_+h8gpY2@g-6=S<=qFm^SL?zE z8}o|7-m0N)`BUi;sCP|K8&7SZ#wO(!WJPiRMQPoZts%$#MEVCPsBN^`T_}{_+Zbyjusy((X6D8lobY$ni8gR zdIq^4Ui{84zH=H$of9G^O^>%r#D(XK-hy^O|M3`6t-rff@lDi+}T&>x+$l z9kq=f>D86)@w(AJ3;N?G^sY|`Y_UtY!8>LQUF8;$_}({=1}A%cpCz8#!{wHvx1P+t z5chsavOFSxCf)I(-hg6RKX5zRD97I10QakqlI8RGs8n}lMELOv{E1a2#Y#H=dyoyn zOZt{`^okhHi7lA-`ZPxu4_lRUV0)t^XwzJR{Y%C7K+Q6tr=+pN0Ehh&L^16hRLT5E ziLQI@#(})QMQS+0ky+Rcra-mWvxT!BvI%b7N19p{Q9>RD^;3fr>N7k?-b@cWgF6n? zEzP_6D-foqql%;V`$KEG@IbB(L3!!rA9ZZ?<;n(F?{!T4DR`K^Y{>R>x`LE_|YA>jVUXUMI+sXcM!M|%e;zG>?q|;DG_7N7}V|ruB zrS^1R)vS|Nsa^N}`R9Y4I@e_7eefENr6cCe8&>-=SvUhxw|?nR9lmmov|dG3yx4@H zHI3d7sK`hMt#8NVEeNFiM(LD?6BhJUETt3)&vgh^>Y(;2L6UP&u0Z9-bEF7y^U*|1rg_^)8~V-RL7;$6J#f0O5YGd>FuWfRmCAf_W3T7&npWi zsLN@030AxxJta5xjYpMLHX-b$#tv5c{W(fH9R}wMfD)_fQDJaTn);ed{8z1|V|Sdj z-1j{UIB*OY8Yi7xDC^MqXR-Hy2n#aQf{dTp0}IEqb}N#-$nb(>OU*OM=IYt147kVR z51S8u*jB3*~MuOb%HpqK7` zL}WVMPBLZE%#e4O6cczgWic>UqP59x9tv_H7Y&i^tU6gE)zhh$u3g#u?`3^^s6uWk z7NO3e*#SKUZ?{(@QH4sF$<}Z>=FmYg^+bE5d^Z*OUT}Qt3sf3PGZ86Enm$BpE$7lX zQYUSbUCP7PbO;(ATn23qu2X)I-5eR0o3t863qwB2Pfu+>rqpR(>zAx0mA$vDzZ|ky zM2>3!+H(i|35o3&au2xM`{hV#u#e^P*#lH%%Y`>Ggd}qX9vx*Kn&ESv#0R-i;ylvZ zu=ZTv3xtJT!Y_(U?ql4)ue=&+LrEI;xLhuK;H(!%P5s)u)(>roTMe9to!nVg{USkzyRR_50@KkS_d)?M%J7@kk1CpSob&MVs{q zYZT&|W|5TYoFe%v&f*UZnbrt=dSvdaw4}|Hzm^Au4Q{w?o10n6Ecj=r6uUr|NA2Zt zo$m-daif;NAA7c3b;wmvS*w56K|UNfzX*fL1}QkzYNS2U!~dIr5L4SFFPsA5On z9xkOlcv1OW8)g;|#QR4L8M2-6qO21ea3kk0oa0g-4zl0A>{*=A)@h;t`c}%hOONd* zX3(e1IidFi`56)(1xEy+oJ#9xRHHtPQp zj$79H5dYI}uOC_pW{-1Rpry(2u`ILsK%sJBQ${c^gz(Ki%&>>#o0oOi!E;A%x-}IeEz$d_0l|%zb}XiW-%Gr zo|O#G)79qLy6Dw)^R$Q9S3atf9K_dCLlv0ffC~6xO42lUR9R5i{q5-7(nw~p#8EWq z-YV;UaLCcPR@!;XH+T0Zb{iel>W@ezs=&Rzu8S+sV6)_ zOR{cCalD@YcYBM{$%cRrq;i?!JP_dKUXJ+!S%!MJekY)~>H9&RGaz>2(A_Sp9HLqK zA62&MFe}cYc6`#L<)xI<2UA)weW+$(ZAq++SZEEGunRAr+;3TZPLz5r*wM7Sfd9%J z5QMEvn^S6A&&lvdi#DyjW? zlIl}Zuh~`i$_JXn9Skb)s}VB%wY50>t$6AF{_K`pS~S=|4U*c<;r3V7#Y@c0&+M)ZNRj zcVX^i;e+0c{k1GJb*fpO*-D|j1)IKWm9{7`MP9c`ap*&-yFJF*qo^(LBwu4~fQqNz zuAwpgX8${o{d@=#2XmAlT>840{n!{k;rvsaOXbR+j%~&dkrxd@N448x6frhgYd-ZP1>bgSERgv2NCuP`ru<-CyFX< zA!gZ6-XC+uW$(b2FKKsns#@+KdW|_PVR!aOw)V)KGMf>H440dFFr>e@ zQwKiF4gZP+c4dT>=dohw>xmq^vxR~;*K&BNeXdjH* zTG@$01bo-eMj~R-L?NrQ^tg=}U*_O)hoErpFy;uU6zet!is6fI8g!T@B=eY(n8~Un zDnmJX`SQ}@2ViivLy^s~TxPmMN#-z3`dr7A&b6GCf*nF(@Ex4Xu8TCF&os5AcCKyx zsG#Jg$Ly)2ZJ=nY*SgfP@YaJP`09-^vQ>Q%v_#Kt3>1BAv8WB2(x$1}Zk)S|3SbHf zU5#S-^F?-0Ik1Ztc{$JXQaR8NrJHu>DVN2)1IvW&Rv1c?LhNVd*nN{TlD+pY^nrzCq?vGZfkw=`K4i>)f^7 zwMG}dX7EPdC~lQzh?;WU%E$vVCwr%`eQ@`J-==dMl(iTIR~bPYk^X|6W%{R!n#m#r z!kyj_!l!A*t11~p3p=kT>>)D){&JJqkkP zbQeTX;H#+JHWEF@CVealy}SdiZv z{uvMFLGwSb&5)%VFomiG(-w)~U40UNhN}}n{lR2?c=g)t=y7x+14>GQa6XLihW17_ zh?_lbY~J3NKDJc07p>J&cnmC)r06~0~pMaA12V{{ z#S;xC!-b)I?m}lWfTN!OT1`;Cs4OoWo&;oR%0_ZPMHg?O|sJJW~e*4?V+-b08l~_hHPM2E*63NYuDr31%D~@lNqC14*>FpNk2=oCv%d z0)1tmYoudhYhjR+tG@3NK}x!ywvrH`u9a=Jnm|qiu)2JQ8j|-^2#>_Z4TgdKAPK=o zPq}zz4Zd_`(Ff6@#p3!Nrk+E=8>@hN70T5<%_i1u1XkH4*?tx>K_8H5EiP7;vP0Up zNxVs?e#O-l&9&8q2!uRP_uXKniZ!sZ-S}TAZi+YME6ylk>3#mKz{D`LRd2|LW*+eXEFvK5O}R z_8t7~x5f6%?vYo^&%@K^_yjOGr1*5 z0*-)+&!rz@I%v+sbkUn zByG+9p5+0A$mq#Hew216PMfg)p7&Yz#-WdElCo=S@MI<86$z1B`&?A7?lcq%P2_Yw zts${It7y}I%eHZX=LH(#vTnC^8KY^5z~Qm_Bu>97l!C-^WmlKKyT99V!B2miWj8~P zUuD6xj^Fel6BQoxYu8s#`LY<@?I8_M(SHWDcj{&JKHS-z_0qEO8ZtPS1@y(o%kjoX zDRmC9%9beREm^J~hrdA9^>Bl)zUf}=uzIG=)IpC@Zfns$=c=3|`~>xe64>ab$!^-c znI`S#rL6E1HeU@o^-EqNit*ZQG`(fP*K1Jlx(~QW33+6kq6>R_L*iKvef_$jMBno0 zoxMxb9l5;MMX9$CAkM; z#iqppqQ|~`Up8#wT|Oi~Jk7a01YeEP>FKw7PH5D;?MHtf5Nn zUP0PU64xN|hM1I+ppA=!+KM>ulA(_>zd7ps8G;{w{lp(FRY8xwqh&^w64%rFJfGw> zc}*Q!TL++d8$M2r&e)*P+wceV?NXF^@*xHxtr2_IE&2!|)aT1IAJ4RJhu& z(x5tIwq0rDt?oYvjTTy6&cDE1eu;a$tTVzC*Z$#kq*Ye5^Q*6+#n0nJLz{wa7am3! zC|DK9&Qrr%Md6|m+A-{Q5sJ64j&D-lDb_v13d-R$y7xKqB&)C~dTN%{J&aXG&dT#` z7VDJ^oh@A!TU<3gX!V_0%s?!+UNL=Xw6=VE1AEVGW%K7Sf1JSBN zC%xx_{CFzbGyr`ANRX-Z-wUgn9f=EZRRd*jHSA$1`IGO_H4jyw0%9?4U0R(^$wgnn z-P8-mD^^>Z1xNZOBi$CJ!_6695d+Hlf+7vi1Upwt^VNXE;TEm|-`vTX>kReh;e3}i zL0bLN=U(e?Y01>1UZhcSvbQgcNkX%STakWr;c&R|Y*H#m6%wI0q%Oj|?aVjLi=pb6qJ(Te)#ZhNmyoR=>z`CT7E9Z5wR z;3Txy*{aH3vON<)un|50-=q$u{!JZ6e6upM95<1GA!g7<1DHd%Q)Qg%IQK~^cdH)4 zwDUsvKH3II$LCP^9I_ucg>^EZnCsda5078^ohJdvTp#3uUdL5S*>Dt|?azYpT)!U+ zHM@rNG^kQ0Ag>SfNZ|lLc0$n@DmT;zTil-zX50Zh3RGY)oX>m5+x(EcPq68%yU(&7 zRE*q)%Dt@PFR1S%%P3R2Lq+AQGC5ak`>&mFJ7yk|6 zA*tTwe`w!&=$XhZoe9y)r<%h}8{<9E_@y1j1(@Q9^lURECsGso=mp-?`rFP#IisOy zR+)E1O*SO!%8Wc9IdzBQS!rlW4bPB|cFvYM{xoBPP;0ema9mmt<*|3Ty6foUA zXHr~L;bPGj&?%0dA6ZLBL&-lqSy!inM%S-u#0!-VssXF|JQs;P6+b#&x&qRvIe-m$ z997)HNNz=hH*o=%fD%v;j(KbHDp`C<^_67xw3P4GxXBLE7n6b>`-o~5r$9@kcJut6 zW}Oz%vW{WNc$!2IT=8P?NHC6^A`NU!Y=O+22>{lUGXw>4&|Mz~ejT*2@ex75z@OWh`adif#!CKf;DHpK%#~{3B$UY=W82KQcV54cH zs;YD;)`Pm2pzakDAK|4qtIX9P^@upken{aaI}0 zJgY=m8Ih5e$cQ^s#&MK$=-T_2k+MTpR5s-r6tYRBJBKJM>-+1U_`E-l*Lc4CYQIS| z`=Z&O`({spQ;83}NP2XuuWURdKe}UxPO7 z0M<^fBcGr{Wwl52YP5%H(hr;hvLGYAGq1cd5}gnpev|Oq|b9^E|Zk(Ht7>lOtDR@_F^uClJ_kQ%FI< z;%l>LN&;k$$rfFmkpQ#s65e_b7RXq*p0$?-g=^s6D~P2K?uNtAfO!NRneqeJffVrJ z48esm`UWa8xUtN^fsTA!EcE2{63dv59%aOxNgiW&<_DoS71U-hth;$HC`rw|SnZFh zPuBmGFfEToq9q%4CD#|AvhZui(FG?FPN&b9grRqD&wH}x8Vu@X!twEu=mub`@PTF| zc9$k6-*ri}R>g9ttL;Voe+}R*@SkTsG&B1M_nidN{+Ez#vWKgC)2aEA2-DZ;TqQfnviR*Cb~v-t&^yQmb7zi z@@f>mxO4HJ%jDcoU^=%Ka>JpL-K`5aSjsmud=3ku)pjME8mNaR^w|eYsmI=^k!c zx5%O|4Bd{8%!pj%@6mD8g5JVtVAzD$Aocx{M^7gvA&q43Be?CGpgb$j^LCvG@7!h z5W6uh7}D&u+XV}U5StHJ>#u&EA_!^qh8#o-lC?SDULBN>$iY-lq$w0CsI+@GpdV&1 zb-`E{oqnrI2j7+LoV#;W+>}cb@5Zx9_861N{X6VHSg1{z_*Zsmyx?PizP5Wbrd$#k zlk8|Q3&h#M>!95`d-qzHT#2^H?iz)c*cTkxvt`2Dg&vKyiqcmqFb=jJ^q2oe+jH`p zkEXv(*hT$-a|SK<-A|Nv8%OLH)YrB?+gm&=cw04EfEUcY7FZtn=6uB8!!-SG*5P`U zwu3$RK9t|hU^pm{Z#GuP(7BgawNNi5<<4S{&_}wF|(7L@?h2V7=m{dblx% zWQJJXvYX`~ir#tNG4O7rFT(ieT>f6*Q<_BLvGpd16k12>DGxk)aGljklGiGW zG)L^wfreGK881R(NCb@mXD7jW14~q5pjP`sQ%s8o>xloj4sNKZbN=vLW3P^h-J3$V zE}T3*By^J9x)0-jW+UEfLaj4)V|}U+JCsUQv}Wq{7*5Iwas);l{7VRPD@=wEy2!Oj&*aXcruPQ-?vM%WZQ z4kse!`Kmy5c#C(e>MD!;FV+vDo#Nd69 zWJ>*p2Tck?o(solt96kT>G%N4AA`I0`A-Ud*X3;8M@?yXjw8ocIrr~{$89w>nV(RO z={_61b6L>U=+T%qbZ!XrsNZ-$N$84YuW45^hb<2NZ93H!=ZoWc5z6yvQaHx2^{&D{ zCrHK`2TLq%!SzCPH@o*W8<*7LF8y4Cs7RCpX-t!ZI|BQa#s&~)4ew)?UnE=S`MZ?#dw3S>< zAdu`Sy0N?GsYjhM|Di0=w@G{n?8_GW1174CeeAy}3}E*2uhn5j>pJ1{473Y1dN%;s zJi+_|GW)VbhnTh3Fu6=83N_lu=R|+u8(@Gnne~}=#k=M2__ZhF5TpfTKyEb0yYhnZ`z<2FptgH93COvJnK>;e6kCc>-?$401-#Gbg zS*f!W*1KFpT|b}S1o0_dyyrNK2TsWMkB-yhSCpw@+u&j8uA zi8T`@b3*K$;$3^Jp>0Hppcl5=EXCE=O~G{>aho<@x6&QB%-jHql8|*%4FF&Z9&K-A zvga;sZEH%k`$hI9Yr>hE06-fw9ZW{h*QHD+VRrFIsg zF{}0Q@bvl7WC;J0L0bV2Wi~n`@zH;_jVMx2Oq_Scx@be2jtjoW=Qs8-Cr5|@B*8c; zeGlICwDmdb#D3L{D=im6Mfnh!Z!qj3>G{0omGd;Q1z0S)~o@4w}n>8O)>ebHr%5#=o

XZSaNAMBBTIxOEAk=z-kG;wGe+GW!<$bQXD{j1MQHeK&>&pM; zynY5@OqA+FV#Z$Qf@oB0vY+=Xh>MSkmhwtDHI{YgZ(x0wD%ykoj;tPdTgQ%X6un!vEi zGW4>!P@4q~Hzt;(8DssSvI1a$_&$I@ia&M*v}a1<(nY?&QdFJqyBiI}p1BNU;VsAfWzyC(^$AoUoCZK}f z!@ExGXba)?{3=fSx^?JWGx+e=UiYch_2IXe_!^Pq;ubYC%Ox!=T~vG$W|avukF#0( z#F$||j*A3|K&IC7zhtL0A;iFfxufRC(6m&6TD{Cy=|7YTX|B;-(V+iL~HWBWr`xKXm2nX}BDc>w9*cjix zOMA!O{ChYRv{IPV;{OJN@XjZ2Qj)vC`@`_8Uu7Vo>-rWNnTdK)H6*c(b|tpd4*KsD z?i$&GV3foCQP#N9@kz!z>q@AHk*sTxZMK8B(DMzjJlQ-KXNF~Vc;hNegN~H$OZ`D# zrryrtwTS!@Pc7GKDBG9nkEd={FG%RaVsHLfSo9WY3nAnNhJQS+-G=JQxp+*vdoJA zdnnLv02Z&aSK6CE#1Sl|Xrg3d6C+ao$4*1K;#%8xT+295SMYdFUN%7;*FYS3PPiF_ zTD|VwI?+b+kzO}FLaZ{BuaRi&ufr%zGxHhZLv$sLCw_>wjO%2?bb6{C%D45UAa{JzGaAx1l8o(W)1)C~|+C_@DZ)E?ojBB43aX&V}Zs4p`M!dkcb zz^YOe--AZINU$AoGAUNJZA<8ip~!)r_i3+`FRgJ>QvdQ+<~W*>VL@v&jM1oN)>fS0 zg)L?|_g`|a?JIh8-WylfLLp9$sO23dh2g(ViyJBDV--Oc_{RYBsN^TH_h4e_r^E8n z|E>|+`qG|W?~JR`_qIfC*jMInp2`uuPSr1{C-9!Ib_Uz7;Y>j2S0iRG7_Of;#k|-D zFM4+&LtipZgRPCKTw9*&lzz^X7YWw~-iL%J{0w#s78G&rfFpoa3C%lu+*)iS2CITD z&Nd4QI`s%(T!h)!KJXJl_Z$-3R6D7DTaDqWW!E^eHWcA>*Whg$Q*vD)F_A8)OkH=K zK9#qWns`B7x%X^H|MS)YE_v>T>B@-zWZO*$1aq%ws&Y8#W zgp_ZBa|MJyvLF6{cTdLqC<*f>XCHGKR8zvgx6k^{k3{#V|h1_n3sXLmAI>RfAj%9hN2dld5fl`UxjM{XHuU6|joHZkvA*0QuV`DMlQ zjWM*e4{{mX{1-v=^}B9jvnqL_g(th@`Qcf#`ddZ;KyS zZJT+4ntzY1R)eM9xu74=>2WG6W!-|$abCts2uq%CLq{fDRp*>EW&%f4GoQM2WT{7G z9K-H<+20%d7q8t#bFA>e)Y5 zl#bQJdb7PMZ_RO)?`m#I^$JE%WE5y+40%{dOr$O{t}TlHG3WJN(=Q1GB{T9yObI&2 zCfU8c34sEz*)j%i6?U?oM``m;6;yVIJ42deG&zW~PRTkyUrac~)ihzM*P^$7O5V!) zwPzcKsT<_^rx1u_W>c-zPq~kbbD6ae z#6a5c<>VrXi2pF)@(ui()Vgg=(jTcWQAYL_InB_Yc}d`;r%N*$)n}|*ER=rUI5%up z#4twAv=_zikF&i!++>g>;|!5aK`)<4Bja8P+s{w~%`viV7H4f}hhvv$;)m^Xv*T?>Z`TEYvC>Z2}RzH({`*(WDS^m~T;LNMij-Q}E zXgILw?QuXe<~3*+eNjYREhv*zai(UmCh{JZO;Z69~2m; z){{M2KoegXJsaMVae(Dv!Gl02MXHvuL^1f8dyoypoYPP82P34 zO7rCdzaGicJEr*Z0AvMuDuI0b1hB{t1U%H-b!(#`L?^uFgDRwJWrZvF&7&k3hS{D< z96(KB5x3Jdy!DJ2UjGr(A+{(*xF+KMz;@7s_1AK&mR)Jq2PzaJ)f1)N`W3(Y*)2LL z^tBBEk#GD*nK@=Kws#39DUUo{Fd4JWxQN^u0jP$71+2i-Ry~s1QV0 zq#kY`_MEfXy&SE4aJ2iia!F5Sa#)Zu+bh}sxw7V58~wTJe9sYNhfkN4@k^byzVpFiU7TSD7P4)mfPq{04FUYT8$AZ#b?Q5OhpFRJ-IC-L>0qmRoCOpL<;k z*dJB|rmY_@vZfy+lMFt1kZe1-@c|1pR-MV>1^&JfA!==V4E}42l})!TG<(_>eMB-u z@eTq06363D?Iq*=!X-3|0Z7!6!c^3)lND3%TIiL|1h&ZBKT2BY{9z})NsYf?R?QCPFjX?KK^ou05-2GZ*V!!ZX}k}0kTs`LcB zT!g5SDc}5m;tcwah`PP=yGLMF=1h&Ux}lfBUj@Gi8rn)p+#|=byo!9~Kl82YfkQQpp*Mc) z$w*t!mor3<2oCq&N2`P!9yW5Fur9svAS_~vv&QY7au4tOC&;M4Vwnm2IeLKD23%$5 zwz28@NbG41sNlY27NZRdNKSy4+wY}{ zY$r}>%NpE4yH#Z&D^L17`0twT6xJG);{9SC_OE0EjC0VBQK~@xcvj6*FxciQQuDM? zrUR;@=v4)-2%+cP08#+SDysykMnf+j&FgN|RRvpIm>=WpYPo@M>K|jcr*4B_YA{13 zc%&cWy9)W73i5JDHpuRw!i%ZGF^=TL*d`cJn0F=EpBUFCV=7KZt7zE04iO?B?KDr% zIDUCJ3Q~BsaKYaIWYb}mq(y>0w|5+1Bd?v#vhQ--;qZU&@DsA~g+SU)*%JCv^&QU5 zxV|spr3zVU)V%oFb6Fz`$1PfajfOjIW#w;(rsJuqt#RNF80DK>&nw$}FCbA0&%FA) zYP5KjZ6V0LD0ctys998tTdAKrVS&E~K(G~-H~2b$#yLZ1r1H@e>z4InmZ*1`jxO|P>BJVIXnbI%^IxghoP!aE$yJny$d8}5Heav zc}c&GF|*hFu0{=Uy2hq=%3QUaud2kE(kBw0(2IE(CKk`}%zDg5o&b4;pC4lhW6sw1 zfRt~ zdvAe;a5(CV=vKB<+!qc->GC34si_By^fYM;_|2Ge0TaXtw6hDkx-{YUlJQw*%T{(2 zzxCd7GX3cxZ9HoC;z@&)0Musi+v5uoXH5NqldT-;a2OOZ?{Mf9ww}9a@zP!!P)#9> z;iqx}tb;|**8L;a(QzRz&$`nucsfonfr zog4_l#R+wnjw6+2-55?o7V4=oWs(z%LX6XY9Jda*C?Tw-8i-GLZ(QL*;6CgI!h{Zw zx&qEC-+ErD>|#FOFF7``=*h+Y*UYD0gy5;Cck7f)?n0JDQLly%9?N+- zgaie|*;@yBxb4;hqDX9fG%1f(NaA(6V#ii_?mp1B!AB;Z*)lw4ep$0`Pa*aUI-QZ5 z2T1Y{t2~IL>HNYI;J|Zep;$QVy^7*5H9%eod@3}(D_c$z7;J99Hl<=&n>!hAQZ_O1 zF+_%vREkq8;;UeB?%yo=L=8GYFflT8i&JWB7?w{g+Ee7U^_aWQc3q4%dP;5^BC|AiBNnuwpL zPRtz#wX3N;@QxHSC%GO++9@$4pNiDY$}OjxjmDv|=N8d8^d;XpigEAP zejQ~=DsUKu&WgI987s!+1V& zn7Jt5zcmLfFV7CRYfid7#45YF4{UPN zJ*)NjAf**#4a>4zwUdWCWGpk8dN=!8SX~aUe9Api-5o#L<-+A-_>&Bfaju`z*&1^t z0`_Ta=o$F9S56Jw{oA4v-ATNN0{0ic&9_SF^B{Pf9+NXRhJC?Y%cr)brPrQT6l6Sa zM!(>XJq1zHyBMN3?s)VBRN&P=GRm&$_UQn<9`~1)4MvZl8Lr>-PScLDF}34 z%A(HB{&n!~#8u7+O}=euBU8q&+F@#owO&?j$y@nYtV-TG2yXlO(afBmx+8IE{pPh% z`?nwGY<_2^8`KR4TTL(?@{i>c%iz*g7+%hm#6UVLWpwWDM~85lUFiGR+=j1;fJh^M zVdtElLly1lfWPlbJj#F47bbUYP(-51%5ca@;O?caWXL*xu;G#}zL@)6aV6_b6XZl8 zPxa%qo9k9tL&#aM^5IKKHkqvOe{ASXiJ=<=(jR75HgWaJ#^&qau+^x2 z5jJ+rR=cJ&0TE7pWi12#lb`Hrf&;;0GFf}?H+}GmGa0D$K&v!d2zM1H_Vxyng~1g= zloYcc4x%G4oTe9JYX72^9MJ^U29?kaHEn~-gh;{Nu%eCYfN5_uCDm|Nr;}7ABPS}E z(N&!%q<&G=;Hd5r*+!@Jeb~O;uQl5QpFFNVeeSBoa-Zr1mCMtncj%bMUc|Uh*+-|c zKAoPBv7bY3jP7Vd>*Q3-W}z|IpXo`|2l`Jj{)!elQIBUkbX4~D;sZ}lAiJ^?{C9>-yXh{>yYu*}oHNL z-*@lB*oDH!&j9<3UL3=mpZe&&G;R8afVZu&xla`~U5r_MB;Ye`J7lnXv`^SGT!ldq zg**@>B)TiDaQva5=I)BLlcuX$Ye8XtZ&$Lb@kx2i7M2!*Ii(diV)`aJuues0GEdGg zn>qRy5%7YXW(u^%9bkK+*#3(M)4tfE^7NBrIb^K(K~|vV8^Asj*ZA`Y>Sexj9&spn z=)3TY54A4TZX7~~y~%d%alf0}_rZ7T=TmxdV~5hph_^WWXnGMZKlaq~AN5Q0+@yD& zm>qS&AOM0_jKx8G2Yn8-CBRy$9f!2?hWtx=k9W3;hn!0#DTA1c3y@Wqp3Vwe9QSmO z+&@L|^D5Su-Vy=z5S2S3mzs2Q*ijK?K71 z>#ff`r_7XG;O6HwksLSI&4a(B3P;^W5~>wYj}kxsTxTB@Wto$W0~YO$5AajpR=vG5 zYgclaWpS;yaISu{Mh)u8Rj9HNT^^!2VhliEmR>wJ;Z*HC)HJ=GV=aAt2$%eH7xp1c zL|?S;jf<5hMyZq>emK7P3(UrJ4W_v+;jSa-R#V+)2djla=F@A!j)whrFXitIC)>Aa z9BIU|tEFBunBpN*vV-}rsMZU9eILfhBQ2)Qe4`I!F@>h(%ETLDT@Bhzmu+`DbuGyM zdW})+ca@JGJ=7(kNOpBdTfN7D#f|Vjz6nb1+37QtFnKWfd8UQ=b{ah;etNKhS*Z^1+pPS+$r;^8#;e=BWmwly zU0Z4mE{4nIwI3_FT~RPyG>v{%@%Qga5;|)_=;2HW=J34B3WC)eeobbjK?P*|7iz6} zvgqA=hG@UM1-Pk7^k?glPLopB;ENDE`Akk1+qPdS z;60_tDFvWpuZN2-xRIXQL;S;o;^mav^($#GVaGBF-gDelcHIc!;%Gd zsOgJ-Tw;oho|MW#k5S=wL@c5}1N=!`7U+Ph8IcQU5IW;`%RAJJ!NrS6)$L&1t>|@7 z@6fsu2Bdw)?6|xL@#iEhqN!4p^uq~{D{su$NxL1D_fJYKKab68=a>m0Vt%!XcJ7uL?%j1awipnieM!R=?bp*kwCerY%{5V|l*TLc` z>NELYqNK zbPLCL$6&QV^Jd4u!tWM&$iJM}o)|QPJW2h#>LY+?A0CgP8-qvoh_x^XsqrSCQnBkSeUq`g`eck^?<|(ucWa<|`M%-mQ zq^y1CfQw~c#?)TDjfUSa3efC1eN5xcr*WBr=#ug50*z7ldVwj8e^%;6p_W! zKE8fu=)`&p4lvFQn)j{4(n@Xo){sw6|!D zv8&74=H}3A>R$Q;7E2e5602|!s-wB_jxSO?JrjSzFA8%ib2$)pTTy-JHOF>JK2u!M z-(+OgeYIocH&KMLo?_{ReQb1?C|sw``G*BSusUw3njIV)ijp_Yl)+pX4!$1 zpZ?LwesvK(28cF69Cpi==ab4JmIQN`mt3nL2d+OO!^AG>EeXrP5)e8!OTm!E=Y`2m072ncBx_G1g&R&n- z-rbmkpXnILvD$SpZ>2rlSnW6Ck;TuK!Q%=)>?4nuuG3Og9^TUD=0`sO_R{$-tmq56h2;EZox4hq5ThD}{&sHAPRy-_CEl>D zp{~lgs*=PLdgUeF|3!4{gGdqw(b8JQ;|wt^pZ*IMdGg&pL#*p13l%mQLwZ$1=$GOH zb4R@2kF7j!(iE}nwG^M~)|_kti^1|QiF<0SK^p#QB^9IXLRK^Gy|TyKwau`x1vG|4 z`S*d6sfp~QMK<_rYR0ca6U2_BY3uj)KVA1I+^Qf#2^YVpnPJ@~Wf&l{L(`FH(>7Y# zGRnJ@hs}YU9#_CZ!h-*hj}6KDF_Q^r;jVD`vDt{z{Y0WgmKvsRFrdGo045`f3B~vp ze3A6bfAo+0c?d{7dd?OQPZcZw+4weg5;Q+Cz1@Fyd589+BX$c?sJhCW*@2=)%^=pv|r~&tWb7h-a#g_xGQ>=NOHb zVXOn3-T@UIJ-N86L-)rwP43J4adCL{C}nxZSIYxvvsf?}*?Y*z1qIw6{dRv?*9Yq~ z|*Hb=)oU=paqdCFF?07&@gw(G&EY5RdQqu0sqClgB3 zeX6JEVy%m-;poF{@cBse#lRPTSPI|5WhKZWTO7biL9ol~rMwfkFzf42}ua-Sr(HKzMsO+S$9{$QVOIaL{cfuLfOh z8;K~nn|g%G2M&EDJ2n#+c={#hhUu=H0#KYM2yn)JZMR+0%+07Jj(eFe zGd!rF%xjUZ0@lpC(-AU@@kI{O&eb+Y;K-`2`|U%b-e zpVsG5ukMcuXzHaneq$+uB4S*wprc?$r(0YK&3jp zb1-eGm!ck0uFtd`rz*WmZK3~$*GqD?1VuN)k@JPtMWw=<)Yp{|J_`5|+*`RA`n~2B zBCrMlZW3xD_^oxMPg)x+_ftGW)2C6 z>t-!*G6I~M#e;jZfZ2ue)KT%uXMa48CB$oWmYVVY;G!40M0q6B_3Qdx*Mqa3h=^kA z0|kE^;-M2Dspzt|=G(O}&d2Y9+ML7Z($uFrYhfb$RK8k)+0eJ8wE?$lQ8*6-o^hul zNORV6``HU74AOw1L7DZ6Re>f63JB|7mq3Su3gsP#t0s_QhNxY_E4yojyA9Ibe!H*8 z`nUWb`V|Tk!A}Do*=bDp$%{xjJ{=)_Q+Dun#6_`h`88G0stwwKncUm|aFx;Vh4*8G z;u(rR7hlwH%o;AvsU>vgvQmBOYnI;H`WeMq{n(;Wg2JcE7S})Sf!N-FB$~9~QM){7 zf*)xyQ{FWh@8-qptr{~hz78ENw=Oi~qal@|>pbn6eaX#9SI~8!c)O(rN#j&S0G{E3 zg%=Uo3>c}VEU-az0Uh4R5!q>71RXzPlHYWt(d(#=Y=PZz+s%-65Y7uObpE*`B*W<+ z05A`tkymAB=&~c?SW+4%y^$6YE+->nuITB_Uk-oHne{z%l0}YS*~!_8eV^sIJb#?b z={c)%{VYM&)WAg2uS>ou3nz77>bl4(bR(EmMj9W3>Xe)%@V{HWYuFFD_X1BkGr*bV zQ-FtMV?4ehpn7TvR|WMxtoZ6a8C=xfEa>|d`zEDbB6Qb?gpyrK*&Sttm2z8jKhD>p%zf)#pEj1lFMpjFS-}TdtYc4rsVPjLEBx>*Y zka4DL)Seb2$#WE+o@6(-O3pNJXp9lb6AUntdie_Z|1qmyCv`L_fJ8!KbmT7%3T7ov zPJAac=_4jwM~uy5ZrZg+c!w(}-I~%>Uiq~ZepNo~8AX0dFa@;75{gwu!dJ1Gc;)Y* zMS`N~-DeHG)TTl8Tn}2+r-PiS@@o0-Z=`L%Tg+Uo9GN*HBWe6CeY@+&+Wr=Wu_ALZ zk@y;gA7D|DmCdZyW{^EuffxD`g2(T{Tn{wu7>CR^Pd;RXq%BnF;6a_8=f)iLs>^jQ)0__OK z&t1AE)zVM-DxGSOQKekeFXi9l@z1Yb(7vDI@)9iQi;ZmXrb(=sAENi3Qk3we>bzwL zuW(U4UbrRNDrpcNs zmxrqGonhcknXHj*b{S_=OE50kW!v(@y=@YQfknbjz_t$z=TE%DtF4aM!n`Ml4YwlT zbc7Cl&5rypF<4RyfhcQ?Ip<7b-!nRv~Tm^Rsp5>4Z^HlQ&`4y=x?%)GwH$P;3#=8=}&r9(Yw~K zVk&lx{g;ybe~q?^cNFE71wA^%`j{TcP)T3yOFW|BQ`FgEN1>DwP6d{H9Cl$HlaDzj zOqUjJA+~^WZPnsoLMy_N#6uav*z2syMU(rQ-e!k<;`IfWVMtg=4Q7h%mz9uet)n&1 zOvZfZ_iog&SJbM$G@n8mS=|90ZDkkGJfETLKxy;3#%w_ODkgnx6yWrn*D7VJLKiO@>3R_$7?i%uJ0GjeG}{s)79teB;UEy^p5ZNYO8AK z*_mQzd$^wd4vas&Ys;fb%!xu@RbnKQJzHcBS4wJ^;~}>QqDRC}5{o2txFb-oC`g~$ zO1-vOYEKsPb5f))C1Q7Cax&a;GgN zTW05f_J_GJd&OH0&u4jgI_~7|b=XA70Y#FjRLLJj11BQF0#F|;0OQ>5)XQ@nXEwdu zvH>dQe={kRakbiYjloBrO7Ssy-jDo9k9Y|1W5} z3yJC=(>_DH^Y%YLC@)7WB4k?^q7VZ6IsHA-L&39?Vdf`dDE;QH?&KZ&7%g*KTESX4 z=7Jd6wDE&d+kEtskZ|>ems8JFWafuax!(tTUXn|IK#he!aU@ z>|$lN@IeJCgCRGm0T_D4JE5Y4>EZNzQp;9P@m8d*c&zJQW2l32_@K`9}>*|&p=5c1>k z0RPSuKMV+TO}Hk=S`lE^CWkIDCn3hWcL?dNRYtpo8_?A|Y+bnHKi=v1w)TO0zI|Nn zadv>_D5r2ryX0tNTwts`GXY`R3hS!6s<5Y^m!go!uv+AmM(LsKIct+z+HmC}bz!YE zMZIA1m4|f_@Hro0wP2Wh6+c_!Wc^{tAe4MEX2;+BRP^z<69i?O**5QPr)#M_e*z&0 zTdzCq?e9Rmu)oF+H(r=OO65(ii#;ne2l<~}oaMD_qTBUd|8tGQ-$ged_{Ni}%V7RY zF<9J=?ETqEDoWP<*Yhn}I~-vXDGI}dH>2vG62#s^5KsQeJt!DRD(c36Revq^!F!&h z|GCnAG>BTt38w2D^)erCqy#oViZKsNPnw+Oyb0&MNTSBLoU!zv>xo=^PB})F#|-l_PPB{m6-?&l29WG9 zNzgCB7|_u&zN*fF&Ps@5&B1tavsul{+3nz6v}jP#x=|o7b>b3i1}JnW>MbrL)8 zQlngl5}n&G$UIgAf(t$<=$oGo`k?$?dDf(WV>^4atES!XE1~i5c6Y_WZ2_OODe0xY zV1D|3J}&(+zUhNivsQi8u$Rrg3?aiUKrR@|=Y~va4Mvy@_z=~`J{>#*f$+78yQHPVrPeg_?QPFn|+zF?pmWoTSH(_tu6(Qj;Mi-FA_Ganb( zPRfZTW8vO#^>df`h5q?zs=o#(E2$pky`R2e?cwONPvI3rto@!g$yzNA7d#C#w zcPDkk7R6eZiQe4r)+yQt4v*CElye_--Q~^&`o2MjQ`&!x4rIM8GDzRk>mdgb&exu} z_~6REGj^`I*PG6;;v#+~<3h%EoK=Xq@~<>Ssq1 zqiU6iCjoixdrB>Z4r$07_tW5cgOx<#_c8?#WY>)72r|Goh5Q z>a*PN2ufsi6!%;-C8nCjrN>g@s^humFHkO4CvX#!D9P0fZb~YJS)ImBzeKrQoxx>g zQrOj5-0U36m1++6>NU#s>KojfwflYq?yJ|7=IR!1Ya6A#x`W%< zMd_}7!+qOB;a0!nzW+e^Sl!F*`$YL%-On8uqM8EmY09_i z@7y0Vl%Lf+E`OFH_y;qeU!eS|UgR$Qru?a1=B}(#)~eUJe>W(b)mz-{9SZPTH3s@{ zRPv!*nHt)_J~b_Myp2Vyg!;nykN1Z_)2&>wg&^=nJtd?WaoHCgZ4uH@N=|4O{w%=X zSJDykyk~<7og%T=4t#L*-Ps8@U0DIMvTY4Fy5)8yN9HioAmUlXqMTBk$7Wk-J zTP=`XTGj_fF^7txQb%S&Upyt~`n9I0`a~GgPwS0>eOURjQl&!PB|?{QC1Dp%76`Xe zBa>N4Tfugm~nkGo@D>OXGK^#&P$>$&O~)msC}(;h-d0I)LT_gj55l$DrG^z&Iz~=mRcJb z8B@GqOUDQemmpP3qtxz1cvvmz-R}@r>d(7xFSI>tHw|U3F3z#_`3>WsPzppk$YBUZ zuSM6%I$TXZ;GWXDY;&F^7SFQ<6lAr*M}0KfFEP)af3;=ZH&q9QB3F2dfT)?`b0n>q z&Y46kj1;u77s`q6sYLsXi9alSyjDP*-2WY&X*iVa`^WEl?%B+YnZek%vG4m%%GgV4 zC`%@cCCUC+>q*SmVg_lW=qa@Pq=Xhk*(GgAWDiMWne`p(K80Ch% z_^DW4X=-ZGsxxPza`o?{9J4pM_$bh+;3z_HXq8lXANd)vZOD-=7IB$gWpqxBO~1!- zMw48o%I60!?+6>MIAIG4vc{!GMT*0T&5>oV5(wl`aTy=x#ksIg<>hqKI>Xz;LW>%4 z5A#K?pY}1oMn#;w$70_~`G@f}EL96`@9Ht1X;UE0_Yw&?(#=d^b|;H#wB^ZkQkeaB^?fRbEp4nLI%X?ZZzQK% zulG4%&Ds`yCrX=X1hIFS*xsTD^!DSaseC?McGM-!y8y`q3)D-)T9I0kFVX=yBT;PI z)AAtX*{L{~kr+Ke<#pS-JgsDtBp$uPi}&Z8(clN}K@^8NKp!deJ3%3_} z`>dI1v0~<&;g==vDlM~!BIfJwg;E&fmqLLJu>JQL-52+JF;Blv-^9rOn=I^gVX!T}(+r{H zuvq#Q@ihC(MjH4~K_;(|v{!U-09NydNf9I_Yxq6x(Oc?J5}q`+^7 zJ-}|8Ffi(wHj0RTZM3lXflagR7IwF2A@#5?Zj`>1WklTj;E|C0utuQrnL)+!G6Vlc z3+YjI?TN?mv)s{#`))*Db(d7v?BzJ{!37h)5njTt;+!A5tX4igRJwz5^+|y?JTR2x zusgiuGu+-u!>$b|y=wvYuT*ZfAz?1LO<(SM?u5t=$Kt*F@$#9;vOeB`?ju%aUbN>} zsoOu2xyy*~|W>_U)aHzNeLi z67x=8c61*m(b@H?Zi61438gxSwv^$~^)A2Lvix{etCW8epD7DA*`zFqmZ$h~&cUPh z&rgdB@{xkr^|76nPxC83cjm=uSLosYb5BZ9-ZQ9-*U`HOB**g2+Qlq5# zNz0aZy+xPQ4n&X+@`$~rOpQbvQZH3KStBytHiBf#>(nsxN<2#H^^{1~uuJ!jAUX5U z8iqQFhe*A_@MI0i^q2@zJa1dW5K4?C^`72H(fD!kaAH2Ieb@KO850pSeX4$(l9q*` z@h_4j_nh3@{7IvhQWr?_QE&6MkKkXldu;1x)*hAG?xQ>Q2_1+}9YdjnCH(@%KmI2) zF1$bIU0|rGQP?Yq28g(R#v{qMEN?4c(F#?7@h^HQfz4G$Nl+-;C$UPSdFE`=|1x&wBNQ_ zS5zSQW$%$UBVxfP4&6ed**hLcE6=C|`9920R;O^Ctn)GNlM-p2c?ZK07@AU7Ub7(A z3K3^f7olKj>P8+zn=6c>2CKz>KSd`%?^cQLmLqaZLggk7`%&xEi0CgiV#F~JK`@T= zRDV)syRFVYjPHsKNGlo;1philX`tqxQX1^$1M8NT#KYRP>O@^b1sPHtmW~N2vhHJ# zf_Iu`W^$djt@gzqAEgVbq0mUGwrcgf;%w0D6YKR0MT!yHvtv#$B}tiJnb`qk*fE)J z@YaYt-t3xEY_*O8HFQR_g}v`~l&^AAn6@8qQi)AA1jw{}--zlErOUX2lYSfM=VqZ< z6~=Ii>!)O|#`ZU#OD+9>R2*Nn-3ICKn9roA+Iiwe?P7$x^L49#hX}LTl9q2U>e6Rs zcq?sSJRU4=5HQN9yfZEB;v_!NR-it&!RP@On;Bh|Lb3WxSsUpuCE0u{S#g8xkNBtd zv1#6S;r~k@g&B|*Y!YAj9b@Ug6ji1wsym(L160d8HfYUGZukk$L5@?Mo+Vt1j{*{e z$6T#@#>;}usvsL_{uxL`+8J*XD!TVSvOx!<(Y1Gm6LgqulL&|E&R*AWi0bA6lQpJtHRj# zz#{YsUJ}8B4H3+L;(c|)JB*9rz zO{w3fo^iF;9;?MmYu6DTd!FU)93cQc=iEB6w-F|iHX>kr8Xb?Gv;d!HjNsIwh+=h_ z08chj$kh&HCLw_`lr2ovn0=g1SKX0^5rG|ycASYwJ*?ht$XlhtJ7s?{dri|j_6 zW^TQhNRH|dqex_>I({dYzE#fc9MGD+cynw_{%yFt&Nd*I3n^lkpo){@c+h~Awmb>V^Bg*o3ly(>Ix-Zu}X47^F7L5zwb zyznbA9Y?(S@-da?hyBJ*HeKcW`?A-W-}#%V%pW`Zip*C@ge3t)%1Cg|}R{;E0Db#o^H?iY=?=If_#a@|R z<8XUZVg#TowOj%%s(-i_P?Dj|Gxg_NZyQJvz9mLSCm(|$Ozf__F#La@ND%gHNxz-oBq`OnxSuW z4KYWTQso_!-*dmsjTIyRo@)7|HB0%K(wMLL3R$E9ds_nlCc6x`st~G}E-qVWpO8m` zK1YbvOm@2$-QLQcDD}+=YRtL0qIyF#+3mU;ZGZl5|Img(W&F*%B{>z3n?50Kx}MJ| z&*+uSLAjB&`#UH_4oVd{7LJJMoR6G1(m^oWH-i)Q6b-XGsOyUn9l=6=F{Z`*o>LbM zMhtq0Rk0O#=BX{|oB}ayDO#vw1j-SU6&u!B&Q`i?NmSSWUkBk_QXqi&Ck;R&-aU)O z3(3i+U5K-HBKNDnD=w)Yy-kU&#~81Q9`OHUPSR5)lmDrWK{k>JI|oBQ|-BUGWRRi-SZ z^uIha`-9&Yx|NTkCHrhjLg^+H^2a(y?svES^?pA9`hkzzYQ8chdb-x0+z$p?q__gz zJA_acc~bPF{%ocG?-HqQh=KdLuC_y}6u^)DTq_e3@VaNeaiObNG8qwnl?57RV;n%1 z5bHmAQN`>zlCYw5z!E4nM^+oYYi}<`>ay{8xxs7v6#g9(wsQAt&UQ}B`3B0Dh9Bu$ ziV1gDC#MLFo#Kbqeo!E*z}D>zdz)IjP({t6T1M;=w~3e_XkLiv8>rZa_P~l-A6!{V zmHO?-rKGXQqW@6C&s2+<$h6m~nqpX%Bde{Zfh(1rEkKI)s_MKMS&-w59detbI*<{7)szS5sASWz;4Ib?M#8M!5BcYQf2M=TXYaJc92=SIT(kbYg1sZ+WYH z5L%niAkqXJrGX!55rZ_K%D;EKub8=sa-*6m_-A%_@#|>Kd%1)NzZ8BD_UbQ*7gD2rV+QcZr z!)IsN!Uf>?+-xn}Vc+GM0S>Nej(}RIMUWHk3K_0ys`UQ0$x9q^82-@r{Ajz&{^8|r z$066&Uw27uX#o$9)gmoz*0eo^Vxp(Tvb6WyLbSQn?Q+{P`gfK#%8c!9pnpiLsjjQo zb8kwI3;_fJFdOYW}dF| z!u9giDpz12Oot35MrNFtbLU zW>WhMf+m_k$Cb5b+2U@e^;>bcWg%9`Ujf0!Q5}IceIcDw^MBZm|Kt2PD)CfHBu)Ig zoXq`)sefvW8e5^H5biERfv)j{3rJ1%PjO++^Goe6*J=P_%v0A-<{Dm4Zy$%j1g4%nAM?+RjTD^|6q-L^`js>mRcF@+zytMIifd z@WA*~t+l#j0=0f~z`<{ANPOri=sCiJKF-z#Tqhwkt1B&p+(Nsqp3&bEpa-5SQ9Vj{ zbWJG2Z6Wc$#HB%a&G2LplO5*SMe8m=F5V53eXL zvjw7X3-CqJxZty){GG=%*oKGgi3vDfC4;}e?wliVdG%+}{7HU#nI`%Kj_g)9($&Bq z7-!4{fnF5b@gOIpg5iwFf&z|r1Nm#bd$tfB^nB_2Y%@2QgS>_gYMlhz#)D?3Eb!>> zQ@N9CHpsW_b3x+cF--3uuD8wPsUR+X%I^B?l;RX>IwnXx7y|@L6(D|Mdx?f8U^RGq zn+G%>g%k8{>g^_qY{-J_Zk!}s=b4O*TtXad1vWowiA?t?N z6;_5vL6A|v0O1QZcp90VB3HFZdXWKM>%gGvK)?Jx}I_vCv=8vV`NuP((UoAs3 z>oPnJAKE~?U0Qj>zxO3!+Nf`RN|}(2qVV;^ck(wPM4z}RpJkYB9_FJ@xj7-p^};sR z5toxeLke2>*heoAY=5y#J@oL9dc6e73A+M5oj<3r*|Tu)6VvdXh%NFuy!;|R<4e3U z7}zy-Li|Hq4Sn2TUOPmvz*m!&!l#StdYjR}=b8_(tO&Gkfv9Tn zJ6+FN&HgVjx!9-W*%-fvWAVYTALkNJ8t{bF%_v;T@SHdB+#THyNh=t7kQpz1yyFD81;Qe74TAQS=I}hZ|Mc*i-$$&a2`wIOw^?I6TG@cNBZnawd65 z2&pDaRPGZqsh25EeVMLTX)QA0BZ=;>bqLVdX($1y#RsO{Jd;bmP>X%DfEs{oLJgqZ z34e*B{`+O9@yk^Hh{7*plM|H){M*s*DP+mxwC}lqFS3b2K=Zv7ILaq2`sgO~=(G_Q> zS}ix3XNt(eM2+|%r{cTW7ukPtl=qw)oZ__%#FH}DbS+V|PS~LN} z(}Py}97C(Lz9J<3X~UOV{L{P~0L1Xx5o%tZ5gg&G(~B?f3lNRATyIltxi&~Fi&MGx zfl-;yfCgRTl!~{;Ytn&4iMPITB)M7z4l5)h|7W|4fllzB`5Nax%J0 z_?i)BD9U&$?kyl0QtnvRmD0WbEA!)$cNL7l+VYraOYeZv_T9TdJ!rZjsZ!R-8kC;$ zGC%g#z|>CfNP2DuXr>KdEd_^+3qv4SuxZbzJ_43Cl`^%zXPkvNmDV^9t3ugFrN#=r z{c~hI0)qZ16)emwJ%5t*$3%_s$KnhEE&k9sSZK+{88NPxS2* zI2whtEu|_&JUAYK*z`czoD_76h`$`P!$-}(+u4p!2uPDb95pu6@~P^%1qquDb9)Rv z7Vl)XAs;=?sBg*7Zzp;;;~QV2>^buX{zjO8Y|_6%O?mV$DN@*sRPVBI58WS?_VL{V z+LUFmWlN;`kV=E1VOz^+vt6-wM8fCKEfEVRejsWTv6Ym)yc|4D@YsTF6Tp$FMzkj zDk(m3KP~Y#j)cXjMT8HDTAfCn9?tD2n;@tketv!CE^xZ=L2WT+u>13GA7e4uAj+mK1G zXeaJ3rGB~3KWw*!SC|+8WQ57DNjPNx-^;4VC|o4a>NswVaJMs$gyxm)Wd~6aaAA@* z?577>hM{IG%S(!s>qS(;K0km5uECN5edz`tDNe&yFpDRK;=1i9s~OO7%@%uo1?D4- z>k{kTo3oO$3HQ-mfiFbNC^_UM+c6FEAHitaCZaN0a9`x>9%GoV^eJsLt;V#Z-K1^3 zBk@U6IttoOKRnHsP!jORr542AN1esq%ek|8RX>TimH~k0tBDzahAQt6FD4)=O>I^{ zRpebQpo3PGSxsv-TpBg-Uw9v^m!Z(KW}p}p#?Mq6U4pk<>JM4!_e|r4-}J-BAL2S6 za_I*fAsj}Po{J*4%QZ?(CjddU%A_Zrb&MZfxV(ucKDZZ4YQQ*<4qD`-qjcxqorj zPmqd~-sE-3k+jZ4vVN6kx9KmvfdP3C72T?xm)S&b{J>gn5Pkk5z|D1;%vkXnzi9g- zUbsES*mhJOYHI94=8%rn3(7DvD=zG{MIuY_=K)IT4W(~1INQGcn#$d;iRNACwmLt= z<^BW6`&)?gZn!dS295iTeq=wfN`08a`9lTo+cmDGzhd}Z$J<%5Xx`_I1S;1Hl z?6*=>}G$z zx>(yoeT!FGC zw?x&5OYN+5{mOc)mG}-*Hv7~e-ie83J}4O9Kgp$j_=NRnELq(axI_O4Iwv|=c}~UF zYTEnS=4SWDQ1nD|l!WS)K}ltS>&Hn&9OI?S0_161r9G0|M+hYPHu#!@T2PD6usEga zKq=%-*;KWj$J!<)@C&WyBl%ACi0I-4&i(=}lItH{3$bIvbf!IopJs+73VN>gSw65| zy+q4&XACF|%dLS!4{B@DIm>4y+vE2p;|llX_D8LVjAW%z<=n)+Ze7LOU}-CiuWH91 zy}6x*&Y0!KmP)Egwc1|q0}35j78k0FUQ@8hwWrNUF|+b78~U?3f6kr=sNxd=+<)D{ z?5|>>p9-W7{0w%Bq9(l4#4+#KQoTXgRQ7~%1MJ9vVygSjJmX?QD{0 zV}-WClpRz=CF~?>Ca2utPh}GR0GFB-=BxmKFKu9GWNgAWH8Z!+*Re(q3j9NAnT$V^ za4Nb!rd|->2gC)3oD2;M=SNtn(ShUtp5_N721Z3{>*{R*n!B7J07}@a^Vvb`V4{91 z01#896>OB0`6_;@n(7+z?7g`%;P80JBC=;({JP2S|JnPmtBLuw1sf)-0Jpo`90`&XT0s^Olf={%RoocO#jB4)xCpIoVbKgeN=`;TV4B_#M)R@|I zHJtrWELr<^Y(fy#Od$?%3=^-EQ`FHUOdfSSh)$=COIBnaptZqt<#g4?Oj6(}mj1fhn06DD_mNSNFtvvmGt81Df@^6VZfOeJL)T>^#vna<#1VRQ zh>nhK;w?+d_A(SX8Efl5W@!!R1QpTr_fcb$Qsau zx^CbeVsAA)@fp3kEWp)=J~xM&^aq3tf}0L&B79LbMPk!tWK?lVY9Nuf&(V@4l~hGYD(V}UTp z%?RM}VbB>7C`723Ab1LUPoNluI0fC4+|?9>n8}U|Ks~NMKN}AWv{Cy-iY%dtQ)8ts z1upytJ))aU{DB6Ie+~R_U0yW)KJd-;E0}Ong%REiwUk;A2B6=rz3awb2Bv)#v}6+? z=>nt2P9u@RbBe`!m)7a(z%?e0QMS_0|pJ zs{@x@FEEUg2Tr?2smi&`L;D_!?p^^^7Y}U>NV>kYGR_!Ca6O1OuKBE|hy48vz|^AQ zjOytLweOY6VyT)_Me1K~>v-8Yu6JATUx&33wdZG6wYrMaZ~%ed%}>Gz^H~Z0`fw zg3NOO?1~5v2Ec^upxx#cN(bTI&p|8zl-(9EJcmWX5w!r!>MNoJdi_nc4OnID*7ePPprTS! zk8;R;Xa8~$_a_kMKQgw2iZ3Bbi0vuZPu<%H_S7HNOcPvURd4;;Lm@8V4PuTI{$fI2 zT=wb$U`!Z0i3DE&!d7BY?T~cUa1(YKOyi5nD-bP2B!&2a@Q2(cR3pn2qbeK zz5+HyOgCQjC?994A}J*yC{!;E$H?%d$N~}(;QF2Dm4{Z3qP6r=y!p!D($Py|+N367 zXF*dw;2Wl?Q4}!8=R7BZD@Fp?Iy0{5ga9~GcAl6DJ|XE6SNXM8pe7nElNwE0xC8NJ z#3)!#Ee-R(M1rC!S#)o_D5Wps z(l{C6zb3`%3Pu-eN|{wk@E}+y?Og z3;-ZukThWqKD?`474?;9fTh64%#AU1a!3FITdSbmhzNjW{vCjy0C7TrSRN&}739Yu z7@g?+*8U$HXW;9xxIg>%$K%?j;W_VFGLF0fgPz@@i%?Gjn!?cl;Y@33I0rKE5xUsnK|0f`K*X>RatUZoIeupnoKJVF)?JC;E zs!LTxRbWSche0dYtqSTqbdDFbNmz4oQe{{dC;U;h@PLwj)j*3g%D$h^P2W&_(3o2`h(G`plL#b#Md!cYcXkYNlf*>Rf1G(*D=aLGRN|9*i2#^hxd(?1 zdIu>B!C?HaXK!x}ebsdx(uSDa22zb6fIO&90o)ut00B@vT>yZ1LA^n^KOz??#Agfg zJBTh}9*fO_;#v}b{6E00@KZysXL~-+;hX+6rC}B&=03M+95% zY-3s=KpP_G@;bysq$Nd^2?s#KSLM{#!nSPiLRPC7Kqqjw>EoBlaQQ4oHdnf%qNi+x z9>(KUOtu)W{=S3c(LWn@;=!6ybWIt$1;hbN8K9;Dy@9rI7#e4Kza&}_o>@CHM;3}ar+ zP$cPjxBG5h-lzQIuJS5~RASMPlKd>1Ey{wEh8z%iiF0Pk?IH=(Tw54#mwM(0$Ua^v z+yVm{z1`nUha}d%xa`9Vzd7rDmEBmY+97Gky8J~YF+?b)iC?zCNJDb-;(P#+FwJNG z^Htq(S}%wykKGCnI~+RYF?6p-7(!|R6t|_y!#I9b!40S+*XCNSHUUwo&q{u+gUcuO z3}giG-fq)}yy-)Bi+msu0e}bm;$z8x7D&p}wo#Af2S6usS`wfhg=48_LMd3tfwmZV z-vJfxxPQ*cKy*Udu!c0~l1z?C1N>4s#Hbjnv&UG@F?+pvX=J}Bmw&|6^aF`Z(Uc6M zoa7Wz3MHMSk?|P-{E3l2MUSK$OP>bzNl!@VHYU$%9ZUrXhHXYL!xTK=Nnw&C92498 zq%5bP79{hd&FKLIvm~hLGn3cG{|tZ=FRmuU#d93NP#_pM3>*S-T7rN;>_9RpCZIN$ zoEWP?1$@+20k6a50BidI;129p2+ji$^abRpNIzR04tIlP3bo*EV9`%dHZ^?xY~SYgU$1GRSEz6}6rN$syMBl$e(56=-mQDFA^wJ_l%tI5+=q$1_hp38b|6`AlCC-jr(jJmyz6 zy6Qf3JjHY8P;iJxzm`OR`1S`%P=MyOG(oEQ3-Ci^$L=~EUNMz~Ivk? zDRthX_lF=yquZ4;(k3R%{}`pY)~`klsGV0impG$5t8{MNjVKLAhKcoln_d;B{ka=OXpN z1Bs@>opy7X)s-LQ_EmwOe4&Twm4@qFsXj%(zqv0!YFhzWZT#35c95_xspgAJn)%Kj*N1l7gxn#wcCM|}CL`H+c z@N@)&24A|a$7{=&0=)$5giTIBpkMcR z>_#5g*JZW`*|R@M--EUmm0`UCVM4L3hWeuge8y^)ut{-pLE4(JnAh4`a`i^K%Nw}@ z%e5wIK%No&3oSb&m~7yc6xO`{U01PpO{gQekVTfK^=_k@Qkhs@ACZ77v|ERBb%XXXNP;-0If<`Du{8NonLJD7z zyD_Z>>*H+owg3}Y#MlvJP)+NM@A7H{WL)$?;=iMiffe=psHCpGju|8aO@BL0AIYt< z8CXQ#%;~ZGMgC)XXEvNgpCs_mMfLJtds^BAoLU1NVbVzEB(lb=qDJU`iu4MyMQoeX z3MkjMXMq(gCLUIE4xJ%Jm#U&DG**j`-`L+1>9*R*PT6v6v6pI=My_m@@~Lr4hM|Kd z0clp%*&!BtSE!&f~pCW5bVb+N~uryRXD_kWj(CvSM&6ir4 z7tdNC=Osx!&}5~^4mDx;jO?R&3gJSj?EZ|D!s0?loo?S#1@x<_-f;dX$=}`AY`S(NT;f7XqO1t3riVdyIV#O$ZtHqtbH?a{8^1^M7GL>B31l4$z`2z2yC{cGgp$3wu=u#i!t=k9PsToIUx2J`q@?zw8;2MlCi{TFye<{4r2Ez6X z)yH?f-EZFPYGP%Z;+a;@?#$eNdyTmcV{^APtQCuEOz>&OlA0~g!bv{rdb@P33$puH zzvL{i=XbA86*J_n(-fMOei)XKJcAWoktP3VAT;guK6CxI6A)kZiLwzC*)HvBv?1JkysZMHl#}%z9Z@$sq2Hat2`rrp z*8TnOk@&0M55r9|!`-q-6&(V)R!5+F@zCSXe$MiGAe06?oJ28uHxN?2<7#O6lLtOJ!rVRB;BSz+#_;6&?OIi`yO(#D&md|C z+@AIiBlo`d?i@&z_LXSd`aSBwOv$^nu^kd`srL?LnLZ$x;Z0Yda@hHHJVu2$1)Iv6z|ncJ&MKOj{5ckGaR|gG57=C6Bb`R0R1?P(B)VA!#>b5!xXMm z>tK`OD^+HlqT-p*fRxaKr9P4Y_l4hkuHL50g{ggrcyH-iT4C!HKU~%%_4z()$wLW` zX4#zpm@ru-GlVS3dlLWEy>(yHo27lErDvbVsWWl*^0qig7~!ofJl_D})D$-=YUnq4 zC+?W)n^eRA(+LE#AcOQcy*IQ(P(A1k$q+i<#_(~AeDnA(pLtx&n>3CByZ9PrGOqHC zee=Qj*w{DxU{dTGbbJQ(K&Gz6yb1YUyhgk>pAmBj z^};o#*rqP#jiK8SPAUAk=+Bt+?y_ly6MtPy1{aKu`8EbF%rhbUn%|;vd>xh1p$DJW zMepybE#F@PJrYbfZPrbgyaAX~QX&XnuM@xWttUTsHTmJ#9pP$`m~WOz4VX}$@;Xl+ zoK_tb6_WTm%G_HHM!VfHXF8kY%6<9R>`qdH*th5j+X|^c{}~fLjd~fVfL+GzpIkRL z*h-FSRx|i-pVAMdWX(oZssMjcOd~V}jH*&8zeRoSWZ(P~GPy#A?E5Xn&1t!bYP(Z_ z(Z5z0Rc=30Z7Hj@8ca(R2+8PTOg4Tve0O@px_x6%9E?0EL2JXY;%LXiGF`M)tH6 zeZ)uTIMT0c)!>LJ7p)dQJ!^HsIos9O63=^kNBFC4w!_KwMczNA$z-{z!w!obwj#fx zTbHx4+`nuh+}Co*?8nVW|CH#K#m=#REf?pOU(6IPw{TJ2SrvBFrhf|~>tLlUxEKnG zt-{R9B5Q$lOyt?-IYFf_@b^wc^{+HVSd#3wQpe`6Uo7UF+{QYH&4=y(tlYDKM(156 zr>Qg*A%q^(bCKge##1&n^1e@Zf(Xq>_(q4HenHir9BbQ)al87mg**%Qb#Lm?SfZ2# za!O=9-3ZDFAnK%v70$3StR)mhLLDy?k)p#BykLFmM#NJ&bbsWR-X})tLIdwv5xM*; zfuOAk&aX$f`!GehsGnf=ymK&M>u><6gL+n-p@>f$_A#0Ert?*1^{RbfYeqO#--L!o zx937Ss!I=Lh@$?o28K1Jb8Rs!x{08V{^`AKN)!<+BM|E75S;8kZ&UV!@bQ|GeD$QM zb!hdQ()$L_un}#T4pJHtb@u0<@G}IR2mEsA9fcd=t}@yv$O3ybJch5J^AXwQ7GMlr z@fg}MZB2ljMxVK2Aal%kwDcU_P0|JoA3WZ_pCjmM;5Pb5htJ}q4oPyCI~mD;b}z-z zH0O{WeqB}`_Yo{~>hCi*Ae$!QL{eK;ut?n#{7h6}r~~@`=A6j!c2=1c0zGwMRt$sf;lD^xKvrolf|; zB>s|ExNjUEk8Eyt6Qk6`T^qO;H@7zW1EW;B{T);&+Gf#g90cGjuy2{YO`z=#B)mIu zb5~UPWs6i0I2l@Pgp~eJ8QN0;PeBIX-qLq>3T0G&ky&#qJj=bYb}Cfuz3|66$Z2o# zMIS=gkj!(nl5C+Lvk== zPFQ86-Kix?XL#WZJrYm9Nv58rj1yU}qoc2r((mS`Ut?4qU2&!)tIOB@(rpF$t5ZyC zqc4HG|=FGMPK${U0?J?hIejLD0QmSAow3~he}LHB1XpJU90`#S5h)O91njO=6js? zDVRT6$SkUk^4e*=K)eZE7LV)EY^qP?ZuN+((59PQgGO9x#h-9+1_pcD$eBs2o@v0w zD}8^b)cb`PQc*@VOzSB*u_671b##obYZwtHkT3X|{KGPg0s2KzuE1oDwtYP?_pR$yMXtHf?Wo%ADeKWmanv#UR`+fhOsWg=`5y^bt&L!x%$>{gPOm*E1jAbo<+0R{$wE z>b4Z0;xk-BfG7GuT6Cv}bg8?EiGFO4^WWOYh{goF;qp3R>2{0M>6*9?iA~)Zay>~k z$QMep%84StnMpIJ%5sm$L#znTcNbc0Zfnk@%fFkXN2e;i(yyP7io9|m$?Y-r#1%eW zSx(f}<$h^+Yy$KcM$f-+@9Fu=u;_-XLZKvKf8>vBRz^zCtrC9hDST$Lda#yya}-1y zS7M{k8ZFjDNfO-}_~sEK(40P!tY2^2uuoDbMmeCtP$$d1XN*W~aG10KL>u>Mxbq#4 z@=1G)!|0-Th7@&4ypD2+MM5&+P*Po2&^y`%(W(=oFV)oI^mB@1Pspj5;=8W-C&X3i z6McE<3CHj0$qNI|rjKU;;cg>DTkUs%@2TKiV&nygAT;H6TBk{#UMaTdU^1B(ElVvp zw@6$PQsG}lM~_zxn&_2#Q#9Yl1bx|8XVz?HGLz~atz2}!&U?a29`Ek&wO?;iaPMd+ z^7kr<6d-l_$-8(CeqTcDq1>*=^t?Is?$8j($PukthVB&sAi&sIj7{giE8Pv z8CFiyW;9KroKtXlUviQ6H{@FQ&`aV1VfREDY=83e4-~D}fDT!UNC5Q*0F*b6IE2=` z{#GaJvrlL@Z>0|K_Eknc0r?s zXl<0{)llysvgAs?9%|g+cuLTUUd7^qB1^lVAd75GYoFD$PZP4r5Y851WFQt%z$R@Q zo((e?WnEt}c2ecjeQ;zkJgnp{4RVAkY-DUqJVhwrS_(`e>cvx#1UeMmUurK8pgQ>tU7 z+^@^sl_$~j^xz@utOl1j$HT>m{bZzKq{*sY=;uB1o2uSPJ_WbVV^1dzxBn^eB<3)c z?*m6k1A)Y(zief%O5x4Ef>|&4Ny7$bfv+>6r9IC`n<{4e1>`<#CGyBhJP6s9@&|kh z#m}60CwK{tUoM*2Eb;~Gg$q8*1D%8$yee;S%Hp{v!~=une~Me=2NC zPJC3Y9*b|4o>RE(|DwdRY>S{TwzK`$ol%tZYr0p;CU9d*2|QM{xylH-KN>u zr~gZ!6cD_M6>f078G{wvS8Fm(X9mb_IS7RpT)edc_l(2|HF>LmO_KZt4;)sc4zXOc zuJr1k+MqY&mG}2f`7_>Y@g9(2(W%k{P9Cyy{c^=r#A%(@w@2=U&+f6#B^MxfKlQ+- zYtR!gxQaPGy3;6wxl~v-eE?K9wLt*2mFRtq1vD z2&2=Al$|o`pL^L29@_g{lO}T31I0A4 z&pvJFF5ooFLow_an_Q-~J@kbTax4W?&q=}9(RUl^imB@Xx>!&i76P^GN#}~uH_B$U zLeLC<;U4Ska+THuSiq2-~83kXUtVT1O)u%&*MP}Sw(5xhSs35H`qb~%N zAmYEuw6H%lR=qz(0D9EY!bYFA z7e4_rNDG2rmj}67#CMP@T=+`Y8fPe0Q>Rn4xTCqBX>YH5`MDg8@xWOZbP6uv<`6U( z2olue5luSyiUzM&i2hEf@ihx0+^c!`_7s+$-D{^Y;8HaS%p>reMDM^pS;id(r=nY9 zhoUKbdbUWlr`LS1xgflkvb5GCou6Yq;Ec=#B0j6$&;QHS?xDZPTHM;zoRKiob~8>y z)^$&O5Ds?h-{_nCPq;}u$%_Zo?Zg`M{BveYU6J>5Q_%Nel=Hf^SLF*`56_R&2e7vm z^j&LbvF}zi4$$1p_^0W=G^Nmi5_|0@UEiPvi5wiQ_s%|MAKpDo@uqDI)8WIkd$d~}~=rmy2X{a}?dR>}tJyB54H zn!jTXluhRm%$?9e&mTG-WImaYN+LSl$lC=MVJBFdzPXFzb00SdJfDiePS1_~U}quE z)xHSr7;$3?qEPfvpj+1PChQ4s3JW`3Mp2w`t+d-eK%WfYJxYEM?-cfvNAJfILY_`$ z`!5p|1RaZdG>R=15G_V@Nut1nI@H|rlIg^Syd5<&0}3B@=uXy)IqF%dA=vf1>k8reHhn9mJ0PXB2mhmu zd}86{bcbl|-Mp%?_S&>5*@=^m=lqp)0z3wP{@P*?xKO%~Qdg(Cnjfc2h-c&T=DcI zL1o|Wb#}drp;vzE3nhaJW&`t*DDGBe&{aSBAI%eOe0xKGi`&V>X_){w~q|8Um7 ziI}nLV%*apz=|PDEOq;D&(R4Y=M9$)jEr-;1)QSBOkI)@_ZSVb?uz^++{yeZbudx< zND{}Gh2jco!IPl=>H7+c-K+|kK%J=Wug8G0cpYZfb9-@e=MiL2y7QsN{7XXwrf`ij z;2=j>fMQ|=7VMm8&PWApO1Ow;smC?RVFkguIP7Ov1aY2yW)1%sh2>pd=gX4Y5Py6} z@Q?52>46!e^D~c~27bsKwy@eye?34O^Ab9s5HX@Ua^QzZmuH=tI(ONL82eFLbdOB1 zggp%!F8Fc4Nus+yHKH$H9$Yo2k7`bLxlc$ZLLJGy)oK zx`Lnc7D)cezsIyiPsQ)Y;j4}B6^!5FvOux`H284K1BYG0d)61(d@acPMbwGI#_!`z z{$Wt_t4Eyh=`#3m(-Tc7ImzT}THfz29B@j%1wB{*9dU&+#JX3# zr7L&(?>oL7=o7xzt3)6C8yjTRh}fI=na;>S7mX-f%ubd;z|O_{x8OXtLi8*@Pg5a5 zg%VuGwkQGx#c#zgg7~8>6_2!aD8oS|GV*KR@>uOVOghpn0Snzw-@Qgs= zA7+AIWWVT54|!E9ebJ~0&3ZN@0QY|TmLYw|w4ydKXB1)8f*}XinO;#CpuOe)5XttM zJ17jj%ke-R7#~2WQ$!d!!$!88?C%Vm*idtg=Xde35>xBRbOM`s$0{Htu*;G=NqHGy zXn!5j=TPa3p+V~N9L}21_R}8(E{VKGF@Ogm5}R|2&;Jd?-`V}L*ty$v#bpnQy#(Xq z!jC9%7tic|(I|DM&T6f012VxJ>p41gbCL~pJ{*=;blWvNYs>OASvjX-*K(5b7kKdW zM!O9RIGK2_t4aI!3R+2uKVRzV*Y9XV!)GBWOI|lckRe)V)c8Ft-}HRLWI`(V=%IWV zsQ-ssR4V>QP-zAITduj+jE~Nx-Oo+7UEKXADrJAFl>O8mLe!o1b}YI+_F4BcH{cVt zk>3~kdOz%?%KL{K@m&WUEE*8Od;(|}4&m>xV`@Hr{ zax8O2x+?5k*jUcBwUl<~Vm^&Wy#e)lSBx!Z=eHmnA&+zYc#)C2fe_Q4__B+aGU17N zKke*QPcy_nCwp?S*o=ybP9yTd!6|RI1mAt=p!IeYiThsTn)Hg1O?UiyKc(|9ca6eq z`D&+f^4DuynY@~<+lPYx^7bw2Ip!>%(a4QKGImz}t?;`dbG7QGWHCBv#L z`#c=4sJsh)-reb!1PcbO zrTkE*p_wvmp!H^4x3h)fzxlVue4}@fSKyRz^4nmyhpFJq)FKYlS}6265*f#TOz2bU z$*^-3E&_;Km*M7+koO}xlqAe9pY5HB_=oJ>iY@qh7KX0KGGE;A0T&BD7Cz=Iku%)V zKFRqmjqu;BoP3=p6uu)u{f~-p`5^Wqhp(5fwIz!wzQ2L28M<>DRLUj%63OisiPFi) z|1ff3fB%G@PGNhzo^n#sh^}z2yeVwKur;DSH{h4l{qu)vCpl85T_*ycN4i@X)|PZ0 zG^(mXO@dap@8iqHw}eoPFI5&lGxB?=MSFi*Ed)s)P0ikFD#jv)HYKwpRAy`{s-}S;cQ5O=KdRFh zgY_@!crH0YVnmD-!`Juec(-?os~Qk3EBmd%^)$QE&hoHlpN@*OPt>X5-@n0i3}1Rvob^+u+zP8`JFMnF@Lpx( zze)#H$F!#2L8im8LnUkXl3-ICJl$LrrP*(GAd+m zDlQOx`_*N6lQQ=z`j_#F5SganPqc;}5uNj`67BLQkd*mC0xxZ*U7-MyMbOazu7;}T z%8jC>o|p3kjpJcXeSNPjNkL>Zc0stYRWfmOplau#v=4k^^Ot2$hC!0Apw0y+*3%TyaP1_-t5S z@5!4s62VxPLIxP}V)1Vw>&0T@Uok_^NB=PZJ27wiM+7Z+>YmAz%Sm1tD016|X{^m^ zk?nhJPjYt^3S4s58oO<88vn%N1z&*9MSDMW+zq$$CTW_g1G!r96*SDSt?;d13b~RW z9xJ6*U5Y+@y5MLQi|n9G{2o^M0imqrA-95sxxbVue08EuK=h=pVEf=&S=hRW!V8{G zz^*`isn%Q9Js+JoT0K|hUM_)0+NR!#x?v*Gp1NdR;H`3;vu zwFHG4wNec}_4+2wSq35T*iA#syWE}$vaY;64Q*1IJM6Khxvu;*MtOE2EJD{z`6O1g z38wWePddEaI<^{%i1-I*ojA)>b@)uUlD_XV{CxV}uybUK@Us-N_Kj3r*^tjZ7JTj$941O#TtI9*hf^<8Z>GDz zx38s{Q{C_0nC#WMX20!&Sj>XP+?jocaKFV%v!>c0dAG?{@R^#$P-VVWlFLE|R0z3f zj$CPHt6B)mW?s;|Y;$o>eS4$^F%yOm6xfpjJp^x`bk(G|@XFF1;obDTISk6t_Siag zN?zgcWb+188CpS=-Ka?0OKo+kG)g+4?1YOF6({Y5UWl%MuC#}1zrc}VleP=eqNq{G zBSoK2wja{M+v*0Smnq?LmQ9x<7E7^74f8>eZlabx_3n8j=ZcZJ?PHRDZPqa}f(*vV?$Cnbil# zSV_dMSp>ib?YmZr(!z#|@bfp}0N^|HB99-~sR?6gp86&6u;-yJ0u_bs6NNG z64Hek_c5=xwHyaCkd&{MUDP@M+9+wKnIN`SlDn+L?NiR4qo*DqD35HVwDuaZ=vRqQ z7f$Jw#t)+$05AI_T>);+tk0uW<#g~e=R%kKvmG2 z#WQ01giH%0;3ZTx7<15Tv41zG6UY$EnQg?5C(>Tt>~CYN*v?^o1i}8LctT^ z9V{{~l9Fs`qGj0#dN1L(M1ah(S?Y}pMfE2YCi;x~o- zwihJ*KImU<-lsss0hRDh3)s1*__nx%rWDChibC(nS?=2H76G7WfzDj&(ySH9h_V*n*L{ly^@0%a?f`0=YnychT^}egJu1-rYVJ_PbclnWp0;8sm7Yzqk zeh8cT)bv=P(%8}OA;)Ng%cq%T_0LG>ac=RK<#me9tK8u`EFp5|{U2QN z3nskFt^~B%BjCFeoR{r!{zS>OXAYD595q3bAp*~|A15EeUGTx&2vW7ucrR4!<8a0% z;v&D(BF;x(jUQ)p@t7S>glMC77hF}3Hh}&GLe$d@$UxNeCNU|`o)Ue`b(k#xltqw2 zP@v}lKW_*BeZa;62TxanHz9hDQsj$!uPGtLiawwhF2K0Kl5(e;hJ88G+PL!k@Rz;l zva#fhErjY%B4+5Oklu|{`btT?&H##iBVXy+!hg5Cp*bVS5l~5)#U}~@G~troRxK}!-DlofhtnU9W_?}4J|UFk zIVxZdRhs>4VLm4)rAd)GmcO=OL_#j0tG>4FO5#W69kZs3j;NTALE5Of(={_W%U!yd zP}$UCRv$a=+}(q`XV58&K1Jh$dE9%7yiz_+H5&hQD6_BL>TzrP9iUB}x+ZTR?=kBH z)vhGxr)nTd12A>*`#zVJx3ibaz8nh;EKAzcmDC#u(9*)E!KQ`@*yEf2*9C72|7%kZ z@hz`tFp$z4^ud?Pg)8ROWt11$ulxXpGs?!_?QJzI8S?JU=GEV2$BZ`BFqRjF#()1( z2wl6pIF$fK)gA5hjVaoVi_ukomG?YKB3Nb@?91ll+UQekh&EXz>UK8t?duMU3an3D zhnao-@A>p~(XNm#N{f6@g`vHIEIt0gHCD^vi@T_|A0by@ZVIRi7K?e0Ea6m-pYl%o zFTd=_X8y3${nZyNeu9L^v2jIG^0K;w>?EfmHd6Ae(%JOCV^_#YSuHr z8EZ0)3B9J-MSdZT>nQGrn|9qgddK+`BrkFJ&{^T^JlOID!_GQgQCmcxWqzmq;tmmm z+0?d@&+V$a{ngT5DiEfWFMqa2;K>JUXL0|TO(}UK-EdCh?$)ouSJ}?V0=IbjQO)|WdF^|&#| z13^yZ5+)!P9(m)ZnRRlyD9@Uhu1n-lwBxsyFD;x8&-o%yI`KAC&4SZck+_qb#-DA#(P%Xf$qy?ekpevMV(Q2zy5 zV}hZ8a;F|I8iE_vREnW@w&0=$n8C+J@lCMBf5uV-zM&Vz9M8^(383uv-@~9XJQsI% zMX}wjd9Xb*WM4^J*7m>t__afmUtl%YZ2jaa-^CB^qD;D%_SC#N9q~i>o2;>=nDWhr z0rxlb@{fiB-+-|BY>hU9ljELSTv{!+)}rNHw%DcXPZ-L{a@In#Hw(d>>E=FkKU{+N zd(&Eg%yCO7@hWtxmo5IXwfx@w{9w8)O1n=6XF6lhTdl%D@CrKxJgY1r8<>D*;XGNi zynP#{*N!cEH}t%hXY>V7_wVn!WQ6ScE7}w&#qJc1#^d`QLLB5$W3&QJW0_vhRDV&f zFMo&`Uz(BW3HLUVot*Tax4&LJj&F{-WumKTx`J5Qfvx@1}&haU^6~w%Cytl}|MCxqB>R z!RK_cSdRCM^Cm-@a60v7aS)?WDga`Nfp?1{?qifx=}l(RHJ_yx3E=RZAc=4k20N^f1h(|(WS_0kdq+EPCzFxkB z0H<7``G+Z2@50mjJ45*ThRItaaNeQeb)CDy<6Me~fW%Zkf?8#RTG_wqLhKpvX+^V^U{qF-`| zlqA+K8v)-d?xd7ZA~h*%F}c%1HWi)=sW(GgyftzjwyUP;+j+(i(_-|jl4JDIIGe2G zlq`FgF?{c8`i=nZArEV20$dnScqrBL)Y-z!yepTUKZ0bG%lmryjY_X|t_oK3`MHi{ zy-yqmxK)ZNryx`GlEEj8NbON2hbOKIH(pb|IMv;1X-kTbl({b$bb@_SzOwvF2YO>t zdBpHe_m`5LSFMAmB(-$ss#a@Y5$jvOynMXHl`^2|@|K~PaP#t8ib|PKe^4nw7`bO@PjN7$_{y@jI~xob{)i_n;u2T+GQ!{3Cl1FbvI9 zMlk*`pR2}lRce=iaDeyx^3ULr_sizcUp3*^I(|3Mt@exN8+jdlDvo2~+iN49LjRZ; z+SaR@Jipzt?T>bn92Gi~TMv-vBr$B9R_Z8Qb&34MHdp-J#u$WaLK~_7W~|Y%;$X-s zLp74)@wbQ{1{T)=B0byNLjgAJRP?2V2pf4S0WN_YwF!^{{`O_l{;aUeO@ z0>Q;P@+0{ShI zd3ew0Vdp2qiAp(8l8w%l5l=<-n!KE3Z|=-70tdLF&d)3hTRUGFaJng=EdJf;--w{# zP^ry#KQRycbx=!hs2y?Tgm!Mf-^aoxN99mksg}a%+No*gBpiI1FHd2yU{t?}#uibn zTZKfi$9>!YC6rF5c+&Yp%J2Rf4dBpP1<%~Rx+}kUS!4G4IQaTNCi@dMxNGvj*KvQE zawNii`QHQ4U&8wgsL3K4!n%z|MPi^G7IoY7xAl*=rM}pAs57r(aBq)3sW*Eku?hwU z=r<^MF()xbA8PLSj~*PjhIT_vl(2b|gT0>|eVc|l4b7e#4$X{1%9U|?bXqd6 zAK)10Kw6jo4(^dQkEFkayD^>}*ZWVSsH5en^v8~LA4gnhq1HJtLAlBce3KQ=I<1S_ z-$uvY?2Omw{T1ob^jt17I9w&|VGLFS*YjW5jBd@B6N-^Z*lw342gt|TbOM>G+rLu`*NNw1hnD`dMq-@l+d>PAIwBBtE`ni~m__Tc4`m+UR`PN_b zUB#8@X@9DW@u}`!V;TUoxVo zPHK6PUaoqyl2^$6Z_RtS%qjh7@u78alDhQqi{rQEF=oi~7@6ze*V_4XJ{o>7P0#)C z?5eP`n;X&b(qp5r#5lv~hvEM=R;85Ve9!!<5VNnHDf2EHZ7f9wr0}AoDoYWb<}r?U z7nLcEA0_inino7`h*5j+^Ko4%jtcz?oA;2Egg!NjR`%1k?UJx()T!00QcDcS{uImg z-IA8IlsHw{hmw*wb~Q%es*f%}HGUx8&A5#sls@Z32Zj0-SE7UyOf==rj0R*(omH1(0uyO}ni`#}GnqWo5%| ziztr0Et9=hCZhU=f9&1b7j^R22wA&P%%bH6YRuzJodxgA7#saPd~D}*hT?7}HS>4I z{!HMRG$k1B)ChXhC->RFfsqWO0D+w7jP|zZIYu_J$nZW&tWcx(gL3EMC4k*-q3E%|+lQ+nseWL(ch?G0LC}_&Rem^KWJn;v3H9 zW|EZx3_4q25zVh~D-)wpSNQKd(YN{oOs23-JkGf?q>0uhzR&)>dej^f3cq&I;KLF3 z--Wpfm}~Ju|9LTLl#~lgTn9R#sB3&7NO6z0zs z^BiPRQ<>Wgakvl*{d@;wt^DoeR3)>)B~_b$TuK$6>oKbiha2Q@3eW~2|HU? zW|P;iDCg%t%S&Yf#V180{H9kiqQxX1Kjy5bF1!JI4sPY<_k;a#sXisck9}okDU5sN z0!g|uUJsy}ioPb4?E5kM(yJ19K?9UiR`?h#q3Ny95KYMcC;?a|1?L~K>P`P6uiLBW zbLv~r#^gUMWDtJ_D)Aj(uspdRs24tm3B-gDdeWhl8<6DH+PZ#uT{0 zqD=v?9$MZgm^G!?>eMk+DjdkKv_8H78<%3*Rfq5oAdkSxE!`U+;7|i>Zs-ft!=TUJp}J0*T}(FolG3+T*0FE8(8#yGu#d_fH_j=!nmKF~E7(xXGkH z47;0pEbXC{9!_i1NgL;P?B>r2J)B!|bCjx~C1_!xZ-mDi88qtvI8WZe0|#*OtaROE z36Z1GO)>kTRG3MrQU-_7;(mlB~EF4P2yL z7isUlMoL!5ipn0j4a&+Tt8}l6C?V_f^$(n%&g1=fKVIkge4Pcb1@@sgR)e}?#=t{b zi`vxsj0QX7cxconvE)8KwbN13f+LLazL(%_Dzs$}FfVcCj6=sN{EptKQyc53J8}}p znab;JO#`)OJGHJX?Nm$u*{;f^0?oP4J8Gj%FD=te&(b>(@!tWc#h)jtZDs3aE_Ir^ z>xxC5#PuviFK6JBF*MFMPtr^5%R1)`2<(;2BQ0$*HN&5F5n`~XPgSB_gqf)ErhEW% z#Z8p;Y(znN%D8!Hfi^cP z*Q27NXo;<-Cm7vDLAz*a#d!>U;NGiNa_azA?Gyjgoj+w6rosAX;U;ZXYB4Hj8y|`; z4nvfjdT{y-dhg(p7h|zWizOa~e;tEv0#ZsIs_0|2RR;52llaHwO&5DQUim+6+5?0C zyzr$M$u_$0UBn)Ky$2EVaCOi5bSJi1y&+l5WaOXlo|GTs_f7@gVP~81QLW$J{NrWG zHmzh#%Qn{6jnME=`8q)1aV=0m*KI3w6IIc|MXmwf&Ah;c3o5>Kju8Y4$IqS2sDeSU ztY%-S6t&rqy}TOlggzg*dQ65?rt1x5KFCXA%?!>~{DwRoreli18oODXkgLntwA`U+$gY^qvgo zo++0I!e6bi!NTC+giW3u*pK_{GpY1?66wU>?RyJ`2$9JHi_R$9ZigEy*DyCK`2t0< zs{-BFwR?T)vB z?(}gYCiZiZ@Cx?bIXh`|@vXLMp>Yk{eHy%)i42ewlt}~3D_&s5m}&*Q zRu;k9^=caS4iqBC%4nR_+Q;Zn`@Av!=NjY=i!s=y_U=u2f1IdmYtvXFPOVe4YJ?z> zuE)>(g=F2h($8Ufc|T8OEJIlW@0R2EY*c^zO@sjnzcvNdosy*22fq%oboNC0|HiFA zmw9o699NA7fx-A+tK2DMlruxDtY`P&=fD6a(@na6q|oy-++bCHrKY*M<4dK&2nByn z^|)>mh+vpDuxCDdW|;5ISuK`BNbKk}97-W)X)JA9dN*N`JEk%qs}0eE5T}lqYp#FE znntMB4LHGCxoY{~0rkr$zIG>&z9AIKEvh~_tf{N@W?Jt6+Vb|8I({zKu^{!BpdphY z7>Z{UkuvpT4(~CJ*TXEm1!Ebn%ekKdh1FEz;NHR+@vZhI3qYbZ3l8nwlQ?7|b~UcY zvWJyEY~%Lu=#Cg$dkLLj7JE(8twzuMOn}r`iot4_ZNOtb zHu|-X9(umWeOI$oj9=%z<(eKn>sWQvqr>oDPMN=ahtm&yII8k(jkYw)2(O;_5~G&z z<}&>kFL#A2l&bPcHD73Z{ycF0Etum0(7&1&I7RBkk63LYyllfZKCT?Xi9^PAt zM2P)dbdvx+TMC&5Z?-^k(6>dtu)#}Cn{-CI)GhBANrV9rdSn-mX#qNZy zi_<0W_Av`WySc^>oft`O9(Puka~Y~D&N!f})H86@b_*J*aS0sj2OuNN_~iC#@-IgT zz7?WA+)JO!kl=m?7B-wc7y~@!P4?Uy46NR%3`@6$Cq%?LM**m9X!B`NSf}%JvKv5& zq%l4=g2y%{{hDh?^RGLc!vLpp-G8;s!_7Oxal{EE%u3txpk#`OB}8G=1bTR6s4wuQ zaU=Ka4oq?PW1O7_uvlf)W#r^~{)hW5Q9I2ok5BN$H}uBsS72qIy z6Vqj`1xLL%$Usj!La|AaC{JCHb; zLa&S3O7!`g*^GZ}G+^P&ul29Aop!>Pd1wzT>R#X!@>|9z$Y^tv3Nh*&&tO_O6p&x( zl1~h|_W{H7shlr#=eeCcR&OXqAoR19xy6WYE(AFEPS1!Ghuph$0grHJXNe_J6W`2M%Y6%kGBF?OSo>>EUao(mUshTEOJ;6({?z zNZ_CZA+ac1JP%}BlFP$~jmvs25o7#p4LrQEvz15fr*&VHGwT=iZ-0{oshl>~iP&@d z;1Mu`!BB5hUnC_Brw7|;ibG!~2m%I;(wp3-PA?6Kjc*%b85#6%@AHf9W$H9qrEv!! z2PM)fUEK)Elh8Ydmi+(r9zUP41$d@F8&;YCKnA?j*_^S?xV*Egg6ph|X&q33X8_v( zWyEkK6|qAVF`P;evQZN(^lJk(B;$TOsINqT-{drNy}@tq=DywACB$Fiu6#!uT1 zLhy4CxbwQ`dY80QS2bjBzqEm*US}CY8T>q$-VawTJvqIsZ@IT_TfeR3m2{SrO`E5s zR<@pr!nCkY?up->VM)kt&6-nO6z1VB=N4ZPB?JB~MBM?}WKUt|JJcUZ^Cj?<_tqhb zK@Qvn^F*vFcP@w+hxq%2bJBJE%RND{b(3nOA>@wK@BHI0Am%aUnvnSDw*{a>7Un$u zt7_AXE^nL3o~lvstQ(Y@W-G~#_k1E7!ceqzl>k;@s}Ia-GGpNV7qMO@GpnK7J+nw2 zfKghxX~5p0Mnt3#mA_*?4YBV8chFCD8OF-mS*ZY#@@r|G#ym47I9#+qieN$fsp_g! z8i?Zya7C6mS3w4`LV;^I%4@8}rDK&joTEh-*j|j|_iSnF=x82Kbzs$?LH+%aCTxE~ z7P2G}m}%c(r3e7!GVkhl)g`{V0o1tPP|oFvn{O9TAt&K;C-&43xQD+yS1#=|Ik$q# zVh2{-*Drp32RE+cGke~qXtZgnily>r$H2_8VaA1t8>eaW7A;R=KzwPjn{K1FX_E*6 z@H+RHaWMK&I#-|(Kg08fSjEE>JzQk=S(CFH2r{NnQ7Lqa!hj04KptkMc$gdf*I11z zocq9d#0J{Av;_{FMREYN&bCtKII$p|t{L&NQ3v7sjGe=vakZdKKQ{ z>-MscFvRHAkL4sE)J!<4KqIQ=liH<@9=i*gqQgFhvoDvyJ1e#*_m=AYYJjyn%i#1V zl}D2!Gzl`K33P|&vTJAyoQ9NnAbCnDe-)Jn=|Fw|7i|Lt7PP<^e;e6DrbHY;N`%7C zLu_fJN&VRCXp-5O`HpLgW*_*>U6jIKRJz=R9e;_srHQ(5!zbw5423MZDSnhuBP!)5 z)E?1*A2x4|_)v?=G;HSe^xQK858-AP(G2x=;7lXASJ0LK3&HgSn#RB8hO3CAG6Z{$;! z5Z&T0(zyy2v@I}C7eAn(wl=d`}ps3s+mhc9|2?k&}tk38VG)DPpPbnTfaSWrt${^gw`Wnyu9n{UD zv7+sRdFUI`cZ>ZA?5?MKGb7g#`XJbwC8Jm7%3qQNycutte0q@IB50?;=KC1V^h;tn zCtHfIK@<2;7fy%|v9IBl9=;1|1lENFAKrBfveF-^(Y!!y<+|PJCR_$bOH9~(0TX%? zkl>d37^NF`%#~GT1I9PT^d0VLy|c`~Z4ykAsob&jP35yEUE5caO_b!qBXx$NFSOt~ z54%Qv;qrU%Xp)uCaA)^-V%N0xZ^}Bwrv-7rQSAL`_xFfvw+6sm)F1rEKeT&O6-ly4 z_SENv{3iaksNbCn>Pu14Jd$oRq=<+5UyqOoowOT#>Yo7RI4A{~HT55Bjsx$dfz^W=2TrhJR>E%O(Ah#3{i4Le+B1EIs2H2*F*K`lZr) zP5GN(p*6blPxI z=XMTzD8;gG1Fec`2xpSmGSQVped{ieex{iJBz@Y?pDD0wQE-0nK96Eu0rvfQ;lPmR zCwtN^nsD+J*Wf}qyyt?^F{am)^0oEaD*%OV50}{-C4($Y?7i0!16u!(YM~VSDl**P ziVX`4!TUCtWoQuo8h>C5gK8p5<%R1L%XjX9FL$n$^HHv8*0uOBynSycFy$Oo_CyA_ z<1jH8==?;6=QT7RD<-kSfbwZ7@1Ei4MFO9M*w%17+RoCDajb4F zmFLLqvb4L(uCYPVFVB7L{CVMx{j{-k?{?+SuxrWpe&$~iEaMAL^JEAj8bALGJ-nio zAtBgF?9FXnqAuX7z6g+y3%Li zzpH}%BhoagD{Io*3jOIyOKE+!Ixl$iv~-XOU;NE|CAhRr3^^ZM`uebu)t$u_-LV@o zs1R#p6?ymG&P3<6>r+?Ktp+f-7Ib)2y*W+zX!@g;Ky zI2pMV+?6*r1@kYA^DrF^CYBUcqg9F^)$D{XSdlqLj?>#W zD;K>(k=ucbB42{G##Q=88JIBVz33!1b~~ygf|q+Q#N~&Lb>;rFZU%G4?%c8fHC~MI z1FfY(;nFR?C9ik6xi{{}NZns(CPy>v_0OV~NXPk_aL(%x6Q5EOUxG3GsqGR}W$Z`3 z!Yp_02jP77xUu_ft(wLMl0 zBB?f?UKy-=eIMQ}5p`;>4~>^GPm)9!4>!?-ucTR}9KddTmKpPB75#iM0g81OH#D=4 zlB24X<-cBd3F;9FNtco;7vIj8H>P)B#l-hzM%wO2Y1+xnRL3^x%z0Wr^x8WL3j75; z0jaomsC2(~(AJ^pw>mnaAMT$GIjjY443;;ay#ox=T^cISlxjEt0@^yDvTgOkp;0m5@9ZOAajICla17PikjcGHxrCx z$l(oxvc)VRo2bdRsRUN0>V4#vspv3ljU z%KBB#>;}m(yn%q1aw;9vmoF9S`j1L<^#qx*UaJpcJGn2j#qMaDn1}_@Pw^BC$mO4~ zX#C6loeeVoS3=zF-jd(wA~fiKbm=cD3bU3qN*^{O-k_Bq2F{d%DWRp)=G5RQlGaBj zQa2AXaBjKIELG4wAlI)YT+u)a`#1q3L9{Zu^DOQtTDaiSU2`ICh0U2}iy8Vi2&n)i zkj_=<*mVD}s`qJT_qqJsEP2by*?Gd#))6j=Yniz+nDUH@u2jNWWL$JbCRhWmg%9S3BVAqGJ)77LfB3*L&2B-_Bq2 zzGXFU9*a)v#a%&ir!Tu(S%zzscGs}B1d+xsneI{Lej#Q>T!MnRIO zgv7dd>`_}iCSN<47~jM5VLZW>$9M7t;4%kR_6}cr<$8qw$Q)}n!Ch&l?y!#jLqt<) zo92AtTW&U`ys8lLinV-8F@*V&ifG`M|9PP0W)2hBa>`M_L3zVNH- zuNG`$4wefom{U#zhYGWuU+UTz>Dkp5bP~sc>aHH(EHS14IUb zz9HA}_fg2#5k!!$)i+2!awKAeTGD1s!5sv>mAy#hvU3zPUj*a-zBJrR5P7CUKfnU7 zd^?et!v$5HYvYc3vRbzgv9_B7q0Sk3r zFM*#dL|}9hL~=d&a9GR%y?=Z36;EB2t}>~>d0(`jte&oeUVdA}hLD(=JTj-LF#H_y z-{gU5EC}L*_`bx)mVAM4Ul}EbSVyII7sx@3G;| ztCI8y3*p6t78ucleKjkRL=u zCE;y8*)x#dr;Z%75wp+V;{4v@T+}Sm0aZBUdUl9RbUC&j9E>_vp01^cNXlI3%^44E zG5N)UH#qDRX>J|PG_p`ggds79<`#FK;w~a1pr|m$?|dNHO-jJ!2OD}?hc~{NKrk&uqRsa246h#cUoN5 zx=ufR-`jM{GdVrZ6{Zif%tXhiB6Me`abBgwI2w+yN#Epv_M){oTf0vRW~=q3xXj=f zZ3(En4F2C&t3{43U_XCyfujsuk656qpKHK~y!L3JTKQ7dr;FldQ>LABRSemjW0!0K@%bQbS$%1 z{!@SWI?PbLYDf+LGgE~Bd&m54?lwZ=+3W@`Cy;$E2>aF4cJ`a_cdd6HyljfH)Pdfy zd?x+x;<~V&R%v9$*D-?hLf3lR8QES`CkS$=Z8;zVNsDWg)9mP?%`X_AR3?6&wfr(* zOuJL5Ap0bLy3LPppUQrU!C?*ay`N|@#7}^u{Lj&2c~uf#<77;mDmQND5rLa0AB}KBALr z%2+mD)){M8)8G7=um?RF4rNDlR~9q`LY!Hp33p>n@|<`Fh#-GlFzyw+zg^v7Vl|p%v9kHvwG;&UOgeImw4x)_P zrV}2Vy)p9Or9d+61bB-@qB;HyaCp4I&k^6_&-_?F{W-$nO(r01i9ouvs4et9I z9(MGZ$V^L=b8qsi9*e@)BnI)KQ!K)N13qA=IbvAM_ny9QuUubA@?T1Av$$Q~94&-~;4Ff5pY}k1z*XFXaG!#0q za>17Wm{oRQ?hYZpjY(k+rKPR!0e~3vg<_ui02&#DXzSkubJw03mC(~R#dCeYdAaV- z1@C7>4lt$H65dwtc)!hf=53KZ{rH~jnEpL1|A5>Zr<1`(uaThOz)2f(51QL2jabqi z10P2!q>%2gU9qI~SoRTLo_9yHzrD5cfd0B~u5pKkm>JPHEeVhsq#k*65d&wlZ=Qf( zJd4&zfD6d$%P0b`rDWmvBZxhc`YI!>Kohnl9ZTQKTIx&N#!SZ(X<1=u8tn+~O6H-< zgK_Jndojt}Uuk=1#OP7E`%t(QicQ-EdSDQ4uSN0$u8cEB!CfjJ;qa!6|`9`5rY=+ru#EgUepd_pA(@$1< zt~p5SY*5fM+l6t-HIh*xItF_dUD%dDifji7`l=52MczH8=C0-*yKPD;wuMAf2)8Yb zrx7g?#pe6=FHQd7O{9~z;JM5|aD+5!D%*J_*Sm*J1V1m0bw;^HWT-&He-E9fRxPyi z{!X(fxQRX&^c((P$-nBq8SM~wbo$^-$*E{4xE=8=CdI;%Ry4y_>63k{%i>ij-3;0h zYfB-oA#ZmXnDlF#C!bw&9s;*{5x*Dw$h2wuk%2?>D+++dmtwx+wqv=?#H(pS38*fU zG?oT^)3zNyH+X5y6N&O4Z+#cr&6D8o1?RKOHL!u~Nr4|uONr-9fk0yg{`9(PV_9?cX9=aJg(m&-2H_5K zvTalccXEMXfIBD9Y!K#Q;c`kcR&NY8s%l?^yo3>|e=Ng&DGQ)qah`$V$iyCgh}&JK z{;DMW<4+Y3hXdC2z<|qxwQeoeWi)us{PihHFhfn1&jPd*Dg=W>=;C{PX1J zou>2Nde#JYfheU?K5AMNysG!se1RHKNiaqlqkU|AfwSV&7f;)#IMs)`FnRT24RE{- z{bs?Y9P+wK5D!nJA+^Z?K=<86Eg2u%K=JZuv|YJbCZ`nXezL>F`m4>`tWU}L<1C6) z$5wZ?cucMB4n2Ldx^|2G_tO)F$Q>u-%qNu53MYNfdsEcsx=qx(Pm7q}szj}?tPnHW z`A3}KEZ++FnHo%9;nm~oR5}ZJujZ3&J;nO@hXPiU%w>R&!&% zneroCxX$M%v}C3;rp|TuRmvKq=Q%YU5XCc}e7};@H{1fbQKC@v$>G+tSeN1#F0@03k}^Q@h-_D!g963g}O>h3R>|8#JaKS zAK+DX2e(u@xSu_opSgZibyu1egeWyq_NdvId~g56T!&*hX`jD65?>UQ+S-d&gG#dG zji9CR2Yw1|Sv=HygrUlqz+?0~3_F(+_9=&G)m*!xJ8u(f`9kY#FYN^hV`XnF5E|tV z<1LQ=_YIkQQ7m9=%dnT~l5t|`P|cy!Q2n#L@Ie4j!tZt9z#mq7?J#`h@Y58)Zs=98 z+PP0@4y%S)e=P7kbq`P$W`-;A|8ZHI3@dShx`Ent8!)dqxT%BOJ+HaJ!G&!e)dMVf{FW2xUmd42C&up+D>iBwpw zOuxmEdl?^X&g?vUOnuf&VEpw77bG4lC9)IfFYo`DT%{IlzxTo@ZE{Bd5UFaP74`G= zKXMTZs|CxCm1T}m%Lj70+ue%JqK?afGL|82>#*1Ae;I}#uzozOycJdp7-HrC>lkvs&4;PuDqQ{{BNF%zpMS=9A3=dJTBoW z9%G^wZ5tdiZiFc%(N7xZI7@i0eE;t>IcFAJZOy z&Mj#pZcJPqR(#39iq&spGx)Jo0;onh3syba%s)O>ZnPoD?fE-o<9;_Y^YSsTE0Cu# zB^*)UXo04nI}+n**kS>qK0QB5-|ReYF8@2%CTnp==yX2}-AC_lNm!&e=4*l^S0qb= zv~84C#*Vap`qifB^hWUr?*Ji&+*<>Sk>LIEi`lw%HzTmtxyO{?%a}F1h`cRwv2xi^M-)CcN=A|lIDc0bb zG+F|~8p0-3Higt3xQY@A){?Lx7-mVRuIkvC^Y;<|+zwd1%O{A6teJ0Ww1TTWV$1ea z9~TXI6YZQZAszzpSmQx9$YffPk|wS*gVnM!YkdRwdd5ssW0<%UDyRN*eTct1QC^t#{Glg!7m8txBS9_n=oOJ ze;g7KCfWv{ZxXR1&aZ|pF{HxcxpA(Mn_EgQ!L@ynt`fW7EKL>xAj0#yL6z^?AFVc~ z{Wq=Lri`osa9pNDg&xLn0^$X6d-M{=KjbIZE_sjpTk*^gib)bSu+i4n`UPZlY64ju zbV;wG@H062G$wk448zKh%EIfmuV@Z2NBDGo%4UK>@hbYlveM!bE89AQjI zgF4U57Aj&V(8}1u|7M+f`8K<`6Um20@94qDjtA|4BQ!ohaG2R3P%gE~b#B2Q zyIJ(0tT|$MsCk{>G2y#iaH)F%`i0)4XlqGd>G^x;0#;29wuycWQaffvmHp7&u0r+` z&$=KH8FfLXTT=59;(+pKpPc@g>W_>0c`Umqyb|UexB(2est0vnXp|@n#+L_b&xKl=DsR(LCn)lAGyY#DHRygLu=BJZS76)ck2!@q3!i;h_{0a0`F$&!gS2 z$#VM0s{(xF>AW7H!12N_;12rlJ9v%mg7%xPWmV!0HgaL4g6>Z*wchdBzf9Mv90Ix& zXPydr98 zRaS}w?nt{m?l2ZVYa4T()nR&alhvl;=USzaSTN;$WY3~${c`}m>qJdbVMiL>$fu?d z`5)rw%w33j%Wr9Fz0+0L8CGP<7j!2@ESuYr&>A(U4O0KTK^!i9nbjA1qmDCX5ew)a3E@oi&1lJ&Tg+OSEoqD>8l~{Pc$}9 z1stvK|E(zmcCmm@RfxP>@1Q{AUn(bjJ28)RaZ9$$@Nk_*U&b=H4B&7ABsBhauYwUjxMAu)!xC+n@|LF;TZ9TaVwcsPBri0Vc3mRL~zX>%! zt50xN@n<=zcrmjqH?de59<^C)IKYWK`Kp68`HuLse`w4DAf&K^`trLKM-COfs{dF? z(nvAa1mth4pX~WQ6+IWnn-P2=<=87&EVvIGMXGu%YGgVn7XBnznsUTun~?>+c9}eE z9vDr=n_JMzt5etDt@gPM@~|h2>Sh$T_`y-`Qa61wE!pVPTOBPj{T=+gp31=oiHh#@ z@UN#lQz!!2B+XnRFrt`!DVZb>CjKg2>d|VOJyx(#x&eCE1IFHZ8)U(zepAN|aaq1K zS`fIr@z|c9q+qrX{+fR~=0W!H0e+d|N^kQ+t)yt#Zo-NBL_UBAPLcH}hEX>aV4 zAx}Tvaix5p{}B50+X{qVP7^_~7Y(z;)viXJxMD_8ZUK8e{?Yx0e1Qa<;V!O9x|-;hT||pi=-iu`zF|1pR+x87F{aB4JW*;+{bbVPQh-Vu4Btr#ZT&Cv zPhRcVfl)ilHx}v&Y-w&mk2fROE;Hhp4^(De@S~?G7eArX93dP7#+jdTI@8G}5KQtsboHx0c+<@ANkG z%>!y*JD!9UjLNqicifa)Clfp!Ek+|8`xZaj7Cuq4-;B)63TDW8A(2s;QN zl!*2fEqgi%a#9@%KEK44>J1rFyivBik4;Ip)}UX93NCt`@|n>4+wxw;1~;%RIISW+ z)dF*dWs3-U7HnXq|CObbp!tf{Wy9at7+KFVFxWf_$oqT4@N{`@QpySgF@w!=Zv93~ zndO7p=>9aHmG-S7@(`9r6^*cV;>k3Sk@M_Zv|$HjjSqFa99xiw{_#*+9a0hUCo*^} z**_zdPz`q#OrBV1IyFS}HOaY;85s{8nl2{9@~dFV{BlM^yt0!;sm_N0^x0S|z+C{- z@beuzVG8=KadtP-V~w);qbp$tb4MX#p0x)>8AU@9cuP)uVdk?UQz%dQx$Q-cszinj z$fnvIkZ2Y@6(eMmq;t)v<^?H<7p!3}3PwG?4omMf;+%U42!h2;ji}@M8VBTY=DB9N zm>Afm&7!D8+3k6mYHo)>p_Ogsx1(NYpV03IA1^`{uD}$JSPh%Y*K0^lT^oBassCV6 z%AbidRBF2_MKe8;i8f+^nMh{~4Hwh{*6!ScuLpdw>eG&G_+2hQtU#+8I#lrJA^Vw- zdz*gPiFWB>WF@`_L0QIfun&T`U+s@mB;TIP8F?;7`J-ch6J3UJ}?adN%a=(4CGBdQ6njx@)j6$_HWW1$v9yZRtp~ON!BKXQzOU%VHi|}ZuXKKpw{bi7rD)Ik0f{Pk z&@w1I4@)zjvT%2O&qeI`c-vdsxM+$g59q*Ycj!&N2P!PRIwUex z6U7u?LR#wFqb3!ES$18Ac=)}BK2 z1OybvcBI757G>MIb);KNdsP8f`L8@*!?MHkzK$=W(C^j73>!gV7Kh{-RVI00+3&Gb zXdk>W#A>Iq^i~6M$^3RHZ{;?kdKv)Emq3D58M_#K7c^*{AwZiPxLl4qb>;& zTU}}z@?qXVhm}PWHX+dnju!xlJk>`Jq{-YXGOL(dg#>=|D$GLxmlW^ZaC*!K$t?btG zf3o6UuQ&AUQ4;9Rs^{aAk~g~qX7HBRD|J8KirQ$^* zJssT`TKBFS=RcIN`(uYf&WNgDtbCd_K;Oink|)~m((gPzbAQAO_@vj#Eor)&O*Chz z*q-US4Q4kLp)sBOGiDw zzTMsm2%dLZza`tG+4oq3gmrEx&vs`4NYh(T_uNZGh>Wwkj%p!BIkSc^;Ie03TPIn$d}q6qy}X`k6{&pfMm0g=yVj&|Pqb7E zPFo~W0rF<}vSIQbl(QWO8z{OX&_qZz7dS{&1OI=KwUITIL zmNE3J624RRrIs5D@qK1Dx$S)E=eDaZ9M#ZfDfkU!+`eW zXAo@Ye&0Cg0sbSs)Z1hjR(Tli^PJ|(9+yj$K!JRjlJzy9$B)-48@h}YBC2mIX7NhZ z<(dmQzQ_Y@0)cq$>kR!1xq%-CEcs}S5-T1&{JDg-5_C!(TZ7_=BS^%qNU-VYFowPs zsp4#wEt~ip?@}Xd9|f@6{FFd#X90d3%aia0+7cidkg*4YbsKjF>`d@|E_E=GibCwe zDJIq#Kz{;dRzfcEb7wZ%#=0O!P|&MU0`;*$RwmG#f@fpzWpwXx_yDdbBKhSk-((H1 zz!#W!$`}NZQ4`pc#SU96ZAoOn!m(Zo>W;+z51!8iKa8zyTxJWRsjUyFB2i0h<7&WLQjE=>=y?7`9^5T*A)3?r59lw?_(X! zfg6JQBpP)FUYCW+-V?zfO~#zykQ-SSI+%jURqi?d$3x^`|d2{8`FdG zxyNbRvO$XZT~r45eSN;#Za(~ItT1aXbN7eHh8!q;qF_b9X1Xo|eA9t%dnBhFv}YqG z&5nSq;0+8^O?rzJ+AgS490k&_nVLIs@y3RUF$71DY?FV~&R^~K5v76f6S?3Eo!PKN z>nyv;(}O2nsza1JLE(X>>2j(izH-bcRT|+pymJ1&$^X0q1cN=b;s(&TWV4p1o z7>CEp8#~5tdKlYN-84j}^{A@p^F-8|4#hI63iRI=_kLnJartjJHMn44#}?Ui+i|F2 z$hLs=P||oglImzgKlC6{LgFrMN`~vgJ>jVVNkAD-pQjvEagP?QLz_m+*^F3d)kt40 zbv+rd>=8j-?of+Pb6Ev*2NPPGd?-T3&J)yulbJ%{77g#XAoZkmV_RygpA~! zhTXpN-?jua2^{$_6GV>40z0;|FMPv<&soFo!!>x-DH5NJQ~153wXtKtJAP=fy9~HO>iork!mgWZ66pc8-k$Z ziXCI~rZnCe4p54hupzc$SALjxb64e!ho-XsReE-81DDH>w`&Kf4*Rbb>{yr5)U65x zV;)iF!0ioOa^DlgVyB9kxZ%IlV0CR${FFaSEXD5+vF3g849rQsS>Uo;O5!h;U>BmW zs5U6snf@uWl_sCLo_y3g-M6?)h)_)~x43`2{HuuzJyYs^urc)vFA)lYSkdk#5p@6Z zz9u?n@gBHAU+K_~RPkAI_MO(`ULhd)3hLAtY0)_s%~6f28L5q!k3P+=MRz^z9H$w4 zQBrd-J51@1c5p|j=F<7mvizAhHoE@~T(#rQZirT6L~o8yBKI;1FoictVD&GQ9!eGP z71L5GicYfhyb?^cfO!$cCJVm!XF}BEL#SVaTE20~>_abpol2PS-rTy(i57)vsxn8Y>#dM z4Kh`h#!40Q5(m2rcc|eTAcUj*VS=}b!Uc^?C^(!S)M)`nY#*txi5Bp(&d9$$S1ggi?V@GI#oa(%BzKAPl z7*hwrVH$zR=|jq!Q;6g}jx$1b-GFQEAYJ7Gg3P3!+;J%}6ZqI9j}uppYEcB(Xy5sD zBmtyrA=r5L^nY%Vbu5g@fas-=3tWu=wd#Avj`hR+CQGGlvnxQ=UI(|tXfIAj711g- zH3@ZhF<#SKdd_L{&Rx!#G{80W;&pBg7dyH! zbvYi3BA|Hcm-FI=6s#pRZ~6VH>jq;2ux66l~|>#J#RjnczjGM zYwerjLZa!WV;h?}iXk zYBn$ZOt2} zUt~{hI={GS3{H$(VYiiT%XhY0x}HzOpF|_(IC=^xYhcbixI~Z;<@1Fs5}V-uYv&UM zj^MH6e*?ocw4$C(5dIG- z&ieT)#6=g?>i++{lS2`?5LaEsk~dysZJ)E~00NJ^gy5r%U zIRF`MPl3O8IlbvY)v&!lejgkog<)r%I>C=0%$rIpASGF2Qg}wQFyM+qNr)etO)SukB5?XU0a>96QPHG0$Xm9@oRe&A?QC{;0fn;#4^yJ=3Xh_=L$ z?X#=93nKNR=mW;;PwsuDL4Yqi3D=G7D8+eR4=gpJFXwMvdoXr>&&Zc2$90o+X-~r+ z{@R{%ZcibxORW)=srBkWn-1&wybdA0O3!t`3L_BQXw0`u-G^<()19d9ZEBs#f%BSx zd)u+Gpz~c|xk{j@P%T#YC*OJ=U_RErGr2E`x1?>NTQ-l@?DphPR^`(H3M~$Qre&TfSbSv(ZfeKof28?pFC?(P%;hY+hWtI5X>{>oSZw)L zh>%`k3eQAVvI?O9rFPZx4OWxF&G|%7XKshm_)fkT-CWCwP2e_PBXE`qsDo@gaQa3$ zC-zLz=_s|B7P@2Qw#PMZc**!YvKfvhO>be|u`7CdwFP)|*w){-R z5u#9#n|S4wdKNKnS1N#s@UWT-0TPudNtOM|w;7CMj2OSzAzA-0sa@s=Q0E8mTL`4{ zeLf2ggM`6vBalZ?Vd&c!>``18&uu*KQ9>BsZGM5Hf?-0piKL^#VIsFh#g2-HN!*r{ zIw~C|b6ZyKn0zNe%#O2u3-Un;%Tk7@kX38dm}GVGfm#iwrWRSd_8?P7m#kN-&onS3 zAF4HC8k>+!Yt5ME7G%p>E2gy#*|ye>dDxzOq}GAy=tOp|bz!=?k=<)On4Vr_?^++G z?@_W}tv~Zv06DPsI5Q}i98w#~JP}4dS$m2ZewrLndxjYqMUJkGVaA>%$JNF&DO7So zZ6Y)29Ql0h1?I(Ma!PF~la@wKugzdy$|PT|y~50*le23X%$!_uUhP$8{x$OT+8fN9 zx5&3^?=bJ)Bj2xmzLyH@T;_m)ZA@++WLNzW+e}So?`N zFi8Gf`-M3)OdhEnWsZF%kJqx86O-g`wNuRL8S-rH9P|4R@_g-2CYwWEsO2&jm&nVt zznCkl$Mxq&41*r+HK~}E_tsO*j9*#J`#?8Bw4M9?j~NsU^^1%L};5I z=}F1qBB+p=Ik^M`U(}G5G9vn+2jfhNx+=w1I(ffv4IX6G^LSf^%S@LN_7}>in6Dsh zUC&bl_A7+|&F4_?uLE%1*ZRa7>gy0%#+tjqE46 zzm_iW(e72fR$qRS50@S>U~=7%t_=mDR!iJv3_${T0^_|q1HtHRimYtn^1Uw}>$2Xp zrE_0DyoX!qH*3dnpP$rH$c{YN^_qL%gD{q*RV4J%tuJLnvAIb1qi0mWziK{uo#U## zize|ADS{|E9PT}bl2cIdRF;#e!7sz5`N+Tn*r|>2@QKXxII6PVS7hm}@(#t|Xcse$ zt_NMN*+ZER1a)^81fbcx>urmS4$kx>xRi`K6J!eup^l&>#%-?&9dSrogJaGq2u!oB z02)ON9_w3t86Sy1x4vUOI1Oe&k?bKE;IPr9mlBlLq5LVa<>H8r1IzWq4=_I)xj#vC zeA4s8B+2t6Ot&G5Ykc*7K0NwAs(HC+7tsTwECJtsS^R%TXC4mq_x}Ia%xgAdn_=wR z*!QvTWb8_WY>_o2TRzs_r7>eSgR~)f7h2vTMaf#$3T=oqj6G>=L(&j3U%%h^>-=-h zxz2T+>zs4l_x*f4Lv>q(!$s^PbCmAQa$NRN1F^OeSIb<}TEVOt!gZJ-E#%ziNZ*s_ImrjVb`iQzWd9-Lw3fltmL!zEhy>ne#T|@(i1Le$^yLq z1Ouu6Tm;g>@GxbmN-`1t=j{nr1}_KS<+n%Ex05${BOzi4x@+Iorg#MDKKkBTCE} zD64$>PYk@P2|A0~9xtDgySMCTdS^QkS`W(mm-h5xf?tsJU)g0O3S;Zaf~s-ghqrm@ zS1G3x&=X(Soy)oA*ejZ8C3*F4<$po}Opdrpw(H!_g5>eM)5RsAcK_S_kalqGF+XpUG06q}TA8pDMbL?a4lp`L(G|Tl*(~h{@A@Y>%J%#}J;@_ckehe7KF6{>L!})s1Bj-ItoNHMH#A>K+2kozttw zFf_x(+uJ_)nbYGnrGFi~s$bF_avmplKpUqGE)f8}sy!jv2(4QuMQ=baE4s5^Z{^b? znsrQ{z%i2p+yHII{uMci-0<*|=UD1vuAUm5uhnafWaD>r2(Qwt=iVzOR7GnvkKMk^rIcAAUvt0U%DR1u!+-FD%<_aEa2f)~{>FAqd@bvmCQ6!zlm1~|#w{TD=zYQD$wVcs&#r}Mn zJ8Eg_0=QH-b%#n&o$G`2`W(d4?&Jat8~psKz17-lZDGcDOh4=omR$Sy*mK-*I%aU3 zqRJrRTrE^KRbzOqpX2oDuT=-U86G$<3(-wg8(yF1I7d24bwHEhf(x~PZK{g##y!U+ z()U#dKt??7g2m1s)%B}Kk_&rOx?yxCL(tGrW%x8A8+E8+zKRPozjI{tk7@%VgpTvQ z=L5$!aIW$`3*a`2T(MW-?fb7Z7iP*_wM-@NhMlkduMa53X%%WZy zinSxXVS=JZs-%hLdxFf9e#+iWP z$wZ>?igldH1hU$1Z0u3T(qqWd3p%uZMf8HPHEKOz-$1TwRC@||#4Xt4__4Mp=r z?3sDRCdr^}vVC6*j7vF&`P>nGjW#%52S>-szv(dIHG{V$m_*ZMO&M-@)OlmsDCAQQ z-`~TiuiyvF`w!7C@(k`tpGXdHRc2sN#57?H1Rle$-{hrIH)yT$lXHUa&AVW9;0Q0o zKK8dNa;XtvGrtr`@n@J4fh~Bbh3V25!wwpJkPN&}zb|8WYwU4(k#{j!$_b z8eKKYpUOR0$X|HQ-o7GtI{NuSv;$N=O!B&9(TG^&S;-PubOE?9z9rxP^o6Wd#k^Oi zrRKdhe}%|3jR4@bJdNBBPzq!HqdUUod0fs~UD%QPZd8?BF${wnjHU**y+eN`F9)ty zuEkmJ!zr+?ukIQ{1%Z0PX#V1*P5R%lBMV}9<~wx7`G4lw-H@(CK154|lAgf*T8S(z z2a)cg6qQBtz&vE71FXT>z0-zE0kJdX96U=(MCUW!q!Bxi{vZT)JISqD$88xh@iyR; zO4looBG=r?3sM|_xZI=xVbfB7&cgD*VRxJ<}6Qp{?2rtN;qSk<%nwY&5VqS2s&T;unX5J&$$14<3o{wt5&w>uKo1Ajs~DSa{s2P_{V8v#%pMw z9-**FT9Gi;=g?&A@9*<|MxF1~5I5z;U$92kYfr!OSjq|rb1Q$&hWhav^S$vWJn7Ys zg6YS-ccqP9^%#=gLt*#5fbGvsPQETuPf;B54U6+$t6f@CQq1h8%8*5oJ%SkR-7T|>XpIV?ooB~1J08t1T>#=a(c(xMd5TYG`O;wPUkX6k+a3RWK9_MGy|Xr+ z2!l8O#z0ppgTG&<%ws@x7#Nc@&~#GT^(_Vxq*Z~RqI-#T@^=C1pa-U^W7=}N7sWt9 zMFU3_ND|tRrV?$u*((_J!H42CbNZiBBVMav>IB|lp@{{i}VSac97#TjSd*@^SgJf-9iW|y9t{vKt%!(fXhn2Haz}iP z$zej;YR4Da_R(KuLkf&IQ;00jme0jgKLzG1}l%|@6e3Zt?Lc{NDb$#+?{oE)|{=^9hI()r;>&v?nhjjXm z$3F0jB}O&m@Fxe~pX|^3UV61))nN47wrBRg`cvP@4}`^?$VCTV7e{~3>gWA!KG&)4 zwtytPZBsLSiwIDKz6ZL1QK4&;7vV%7f_APg2kY6Qjipv}P#)TK^mNgt{L_`rF!Szg zl-~CI>2}L5=4hdt<;@laKCcHC%k!$0BFG{y5(Aw>Q7cDc&LLY(9h&edfb|qs7k?EX zsi<94T`>z)1x(H%bh=JH)FJ*SD_f5TxDJB-6t#6j{Xgnom3$!x_v<**b3WQ7lW^%w z#36LeDyf4+=Bua`og=w`w(cS*8}}N5`U-&50KS!dxdd2w=8H%=TMi1!I%r&(B?9!= z8F?-{2^iIb`{JsT%ydDEPxDEx>9UMwCs%CtGDXO$;%0%C?eQrxq3YRru_0o4P>_KY z{#}zj>xbLX=74n|=-B6d8Gp%gErNv?>ywT;E+Ln2pFe_%pXU9ny-=gQRxaKLl6iiv zE3DRos3e9u&6EAKG`fN<@{ID>U!Md0!@I-N6KEfG-bcaJCe;TO5jH(HNY`u7Fe-OA%U~I#De6ps@3qJR<#A* z!{?>Xe$09H*8_Br_?X102GA6LQNs(>g4F&qpeN+*RY5OOI`JTXB6E0cb*EC_Mjv&) zeoJXv(UxT>F`hp$7Q%fGPL%YZHZ2Zi{Ir8wRJYw>tNv=Rr~l-&A5EUO)}lA8XuZF> zXp7YMgAIMCA=0kyeaauApZ_$J`u;;^WYv!!1JqY%G6!4h`a6I{-_I`w%7;Hd==NJ5 z|3fgm*h9(~`{d}MypWF{-`Q{~472uMFX+`q+;$p%BUl(~zqk}Ok- zkss2Mk8IQa)a$i%fhplEodJB1suK$kpB`vek^6FQUo2hMpj?8aK z^o;Bjq$0?Cy~{)5b@ZAtDZFw5T4$-^sWH7o|A-k|M>wAWA!7iG;? zio=%|DkUdnk~dQfDy6x;Wh{b*uc+4Zxxk4&&=cxCIW0JaS`4HmAtyp`8tN9M2!A z+n37uEG@77o4i^Jqo1D+<;|Gzv3p`6*(6~!spR@{DcO_kpO6@%OKOn+avMx2VLCK|b1?P{`enzi`7ewwSdnv7^Xg@?0(HM+=`1IxvPUfp#N%TA_oku+ z@?mcA4B#;|K6&*_KjQd&X8*DcTNz)<5naN3t@EMjcaa#Ng%cf{{ap8iTG155h*cmZ2ASSK=F~2uxb^0&) z{zEK0@>joapeU!(D3BKyBF*5}`EtQ)=cJ06`0hz!Vg}ll>#n2{en3q&Hwx3CZ>zDi zC7O%EhA+zuub^1$mF;gl5t#b*P1*k-hM5R|k+@uG2 zo9bxz7oga@8WV%oxdyhtS3Mmd{P3r<4v|98j>k`{3k@X)#@wk z1sU_(lFT&@xC1X)-Qf5?OzND|8=7S)@aH1Qoav_rg*$Yi=T%1qf!J#&IGA%)g$S!y z0ip%{dMdCm4iEcz-x~^MzFe!g{n*8gP>*yF*?=rXT(;T0wtQ<88v0Pln&%dmoqWj* zD$x=8#=kjC3W+{@me)6E#lHcS(snT{*h$mv4VstZYTgdpAaz61yaQD( z!-VyB+Ttq1O)DWcDiM}LrN_OGaMv2n!93u+UYmeO;wdEm{}y0M*Rz9Ila+FC=5(b( za5(96rBY@%bKb{u@|NQi4ov1lh30&*_T<^njgUC?33PA`T5mDT9LfoyO4pu9zsy|Z zI5^!uYU3CD>wcB=eHj`q%^-l*^rH{?ZedcU5TjM`scjJ5KO|KgoBuo8osK3xIKHu+ zyzhoM`i0tbqK%KkrrVSx;c=>G)y!HaE^5=acUEq{@of z35UeoVzpj64)CK|t{+@B-_;F}Gmk>z)euN5S-JYZDkdgL4e96cva{@G1y)Zt7S>Yn$h5`F77G2xR~S4kyRP{MQ8 zdFXwp&bW=1OGd9YWKsiA_-v%_Qyk62+6&;{p~CQ)Vwl&SFebLeFX^aT>zE)viC zN7H!{tOx%TF56I77mNRhjw$~E7VZLE*Czh$QKId>ejM|MykN{#)RXnq5Uy`{M+Un~ zPd@)T*^Isx9|D_YCHS{^LgLIP(y-9kHjKgM9@NHwv2wv;;*;O8dNq#8CfXQ}Cd z7`1kVCBaSyMR$54iN|@@+!C+fJ;OmR&3rgWOezYtfl~>CH1)buck$r@^l_GsY1x6# zVZ!~{SY~J8d|?l_7o@AL|F7-RvA?{=pR?O5 z=tfsz?Ll!zeo=l!-nnc0mSP&v_8{LLG|^aPANsThVIqnOA5nj-5s7w^c9hYDi4x>( z-IvdciY0`o-;Ys_N+0_Va~?_0ABI8ytr5!``{vH|v{)$NDB8EL?KgJC)Ya-Hd`8I* zVn&*NouX`A!DBuv$Id+MsLpHcyydX+wZ0z(G{|<@bVsI&tMAAp3uN>#AVNxJ(J5)M zzkZo1)_sX*b;*&(I2Cc;5JTI?yBHCVue`_@!k;NgPN_rk%@vAVW*AnnchnV`b;gS8 zvQFG7px0xLowu9CExxPR?spbBUC6(H_sw2?BAAGGRld~ibcd6k+Zb!bf(PDNJk_EY zkE7@rA&$z4;5~-y2q1Z1Knw>dL;TfMib3$uV*q;z3v#u4j4`-Wt&kB@hlHgIXqHib z(i$<4Zy(roDbf{#H_Lo$S7BRFmylI|ozEx-Gc%}(ux`i>0eRXCVjo~lxfQfw<9mUp zs_$&xM%Uqfe7iOZmgjTj8&d0SbalF(*!iU!+zTX={m1+D@izWp=O}){hJrm8436|B zvz})l!HxaY6C4H6;6T}HX?%}}bC(__=Iv(RHzx_nKu=Zx^FpAbaqo8d-fmQSz`V)w!Jd!Zrf?$H$; zI($}SMuPoD8fO=Sr8fS`_0hI?2L;Flkq{qvQ9*N1+o#LamS&;#U^UgOdi(}@l6iIA zI?*T3KG!}T6lr^d2+5;0%hpR~8DN-WM8u&`T?VDE4~x1Ci=dU3+P<_%HhyyfWf%aT zxPA;jV+GIXgGDLK2cv#daE!@K*T=8&{*2Xy^C z@V1GIRwNrJ(1QzE&@{su;N+lRoLtE5sVh$r4>0WN77*Y{yF<&K-}_A~p560#jLtp# z+X`+6t8OsDqmvp8?l#kOAlc+eUT-`15jI~tM9ZJ&6my0zzE83Gidy03o%wEBhe$xv z!U3l+dy%8I!1cMFR@gw;t|0;Ilsve-L)XzHFXej=_L9A5A!Lu-1{Ikvn;rWA1k9e^ zgNs#ftWq;-v>%LYY$O`eCTK3~l&}sW$A%|mnC1HE1-DSF-sXO~uleWN*<=SZui5wp zmDj(m^K*yei0A8Mwe{Xq z^y79P0j%RJwjg8P4Z#`AOAN8Z%p<9y#iab<*bU`zp7aXICCzVpYJ6(Vzc#)BGF$Kb z@1b}zS^e=9y2|=pS+}UQQ<}I0Wj)`1a`T<7jtGRNkzL1*_CnsD?XX~94h9f2C=8{2 z(~PJt5}Kb6tvbz2`rsiZ=9uYP4???h+w@zY561%h0VgMjv@In(X{zHv6`53J)V*CHJNWF&NSIePjAhDOFF+Pj(-D6aoim5>tu zVJDqCAJ@s@1%eYo!_RUeBBP?sl!E}y>5CW6B!|St9B2@(=s-%jaF`Uu!&2{iNV?%| z`mhE9_*WY7NoU;ryAvh=dyyKg9;#*r=?f-(6`!&vrpEotr<5051xlZyd4hp>BxGXJ@g?-33bPmfNw4& zpo4XhgeGMJ(hSTrkf2eIHpokeiS>gjN1=_N?WS-uQ;RawF2gH91UWVwq-#av7(wBh zQ<{XkruC+klpcJlH9OGM0-$T^6IZqH#p;t9npxW*&)>j64P}ii9}5*yP@y7TbsJRi zA(&?ls;>nl2R)4l_HqttU2H}M0+~9e+67e^sao2k z->}3m@GL!5Pk2v_qy+{6(VT+E%2Zzj<1l>83dHd1kmw|mxFLE%L#Pbh6UYvMf*;)e z0vzZaP!K$YeaK(N%YBaPA+ih(o)dd0b4xzQXgm=d82Rb^bws~=bQt!@2rQdoM7)BY z)dGE<7#aNmoxl9jUmsa>UiqQ_edL=zS=%#tC~(C+dax6JnDGRP&Eq14XW^!o})eu;1`7XZ-5#}+;$^it#kDQ{i>0>&bM#s zUmLmNOitCmFcRya=aBwQ;GVwN$a`nAO#P&hWGzu2< z)Oboc@`plJPK#Oz`kV4%zV;x`QA-Jayi5f@A5S4Ft^2x+|2*8GT$d9;r z@qqv|Owa-fK#Qn=bgf@?;X8v%ny15o!B4pISuf^cx6epmdTLCtdx z&^-^Z_ak~+uj4(vDa1I4rI?DgcAKgk2J_-_n!wv{PvPJ||Mz+zmCQ-w6s$9lX=xs9 z_@PUdEcl}oxbb=>30rn;5ssPZ;U4YmgVSb@Q0HS|qMLuUN+0iG`QO1?aZxXuWkjUX zZUXxJZ~&140R+qngnPb#63uk(xikn>NJbW8!Vwh18e*i3h1rtw5+YU{W6cEu|OvDeO<-j(?DQUm<>2_@yV}TpmDLR3;?emjAEO$HG;2wNBnQjynAO9GtPQ zEH_D*z)4i{;4xGb6K9L^VkKZ4w4^wmOEh{7vVDJJ?XlStb&eLvM**_xacx^z11I2^ z#%l=pN2;lEN`e=etr*A#TaSy|h{X`%f;7(OXkj4FaU23>v%w6ahFU{Orr|it_d!Wv zD{e22x~egTUrq_%EF|FQmZGAojoH;h8EK_94=c#;sr@S|e~s={@#G;#&{Rj#Q&~yk zKqc7ES>deS;(7lA9$_9aYr$r3HL(e;LjIYImp0-u2YwPacqMrX#`M37Xwa|PAQ|$L z5*!{$0fRCXS-qyK6%?V$`gWPlN5`BAJOV%Ye*A9JlTnBXfO3TFP4rWBf7R?m+T#Nv zu_`^?3Hkg3E&M%m;%e{iE^!G*V>Gy*TO%@rfBVRYz{df&fRynVC&NA-B{ zGJpmEGJ@PI#%94#FaYi?8Ve=C3=Q?y(9#GpumPzBf&bSWIrjlh63C1I;@MXDT@X$J zoctP9*fqS)bOgSgO89g1Xd+Md94zl6Rnne4qBC%qRKX4;p{$syK*FAt73J?RkTHIs z%8chLq!&O`_KSbrFD{%|sp+1DMFKJqI%H>M`TwtgI2?D_fm6-xF`Qn1giOH;&;2^T zt994vO6nlJg@-n3kUk}d=3~c1A!5>&gM$*;qAcl;l8Gmn@~a+PnWyv}0P!R9Gf0HY R%dUy#>Qn&enkeNH|37YrXWak* diff --git a/fontgfx.map b/fontgfx.map index 09ed7a93..ba081d23 100755 --- a/fontgfx.map +++ b/fontgfx.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:42 Executable Image: fontgfx.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 11a5:0000 0000f550 +DGROUP 11ab:0000 0000f550 @@ -24,7 +24,7 @@ DGROUP 11a5:0000 0000f550 Segment Class Group Address Size ======= ===== ===== ======= ==== -fontgfx_TEXT CODE AUTO 0000:0000 000001e8 +fontgfx_TEXT CODE AUTO 0000:0000 000001f0 16_in_TEXT CODE AUTO 0000:01f0 00001e80 16_mm_TEXT CODE AUTO 0000:2070 00003308 wcpu_TEXT CODE AUTO 0000:5380 00000058 @@ -35,26 +35,26 @@ kitten_TEXT CODE AUTO 0000:5bf0 0000080a timer_TEXT CODE AUTO 0000:7990 00000235 _TEXT CODE AUTO 0000:7bd0 00007653 16text_TEXT CODE AUTO 0000:f230 0000010d -modex16_TEXT CODE AUTO 0f34:0000 00002554 -16_in13_DATA FAR_DATA AUTO 118a:0000 000001a4 -FAR_DATA FAR_DATA AUTO 11a4:0004 00000000 -_NULL BEGDATA DGROUP 11a5:0000 00000020 -_AFTERNULL BEGDATA DGROUP 11a7:0000 00000002 -CONST DATA DGROUP 11a7:0002 00000076 -CONST2 DATA DGROUP 11ae:0008 00000102 -_DATA DATA DGROUP 11bf:0000 000009e1 -XIB DATA DGROUP 125d:0002 00000000 -XI DATA DGROUP 125d:0002 00000036 -XIE DATA DGROUP 1260:0008 00000000 -YIB DATA DGROUP 1260:0008 00000000 -YI DATA DGROUP 1260:0008 00000018 -YIE DATA DGROUP 1262:0000 00000000 -STRINGS DATA DGROUP 1262:0000 00000000 -DATA DATA DGROUP 1262:0000 00000000 -_emu_init_start EMU DGROUP 1262:0000 00000000 -_emu_init_end EMU DGROUP 1262:0000 00000000 -_BSS BSS DGROUP 1262:0000 00000eb2 -STACK STACK DGROUP 134e:0000 0000dac0 +modex16_TEXT CODE AUTO 0f34:0000 000025bc +16_in13_DATA FAR_DATA AUTO 1190:0000 000001a4 +FAR_DATA FAR_DATA AUTO 11aa:0004 00000000 +_NULL BEGDATA DGROUP 11ab:0000 00000020 +_AFTERNULL BEGDATA DGROUP 11ad:0000 00000002 +CONST DATA DGROUP 11ad:0002 00000076 +CONST2 DATA DGROUP 11b4:0008 00000102 +_DATA DATA DGROUP 11c5:0000 000009e1 +XIB DATA DGROUP 1263:0002 00000000 +XI DATA DGROUP 1263:0002 00000036 +XIE DATA DGROUP 1266:0008 00000000 +YIB DATA DGROUP 1266:0008 00000000 +YI DATA DGROUP 1266:0008 00000018 +YIE DATA DGROUP 1268:0000 00000000 +STRINGS DATA DGROUP 1268:0000 00000000 +DATA DATA DGROUP 1268:0000 00000000 +_emu_init_start EMU DGROUP 1268:0000 00000000 +_emu_init_end EMU DGROUP 1268:0000 00000000 +_BSS BSS DGROUP 1268:0000 00000eb2 +STACK STACK DGROUP 1354:0000 0000dac0 +----------------+ @@ -68,10 +68,10 @@ Address Symbol ======= ====== Module: fontgfx.o(/dos/z/16/src/fontgfx.c) -0000:0048 main_ -11a5:0bd0+ _gvar +0000:0050 main_ +11ab:0bd0+ _gvar Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -11a5:0c9e+ _inpu +11ab:0c9e+ _inpu 0000:0330+ INL_KeyService_ 0000:05ca+ Mouse_ 0000:0622+ IN_GetJoyAbs_ @@ -119,9 +119,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:48fc+ MM_TotalFree_ 0000:4992* MM_Report_ 0000:534a* MM_BombOnError_ -11a5:0e00+ _beforesort -11a5:0e04+ _aftersort -11a5:0e08+ _XMSaddr +11ab:0e00+ _beforesort +11ab:0e04+ _aftersort +11ab:0e08+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:5380 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -139,9 +139,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5ac0* CAL_OptimizeNodes_ 0000:5b70* CA_Startup_ 0000:5bc0* CA_Shutdown_ -11a5:0e10* _finishcachebox -11a5:0e14* _drawcachebox -11a5:0e18* _updatecachebox +11ab:0e10* _finishcachebox +11ab:0e14* _drawcachebox +11ab:0e18* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5c2c KITTENGETS 0000:5c8c* kittenopen_ @@ -152,7 +152,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:61e4+ get_line_ 0000:6256+ db_fetch_ 0000:62ec+ db_insert_ -11a5:08e4+ __kitten_catalog +11ab:08e4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:65ac+ LargestFreeBlock_ 0000:663a+ _coreleft_ @@ -178,52 +178,52 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:7bf5 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) 0000:f2a6 textInit_ -11a5:0e24 _romFonts +11ab:0e24 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0f34:01f4 VGAmodeX_ -0f34:0286+ vgaGetMode_ -0f34:02bc+ modexEnter_ -0f34:040a* modexLeave_ -0f34:0422+ modexsetBaseXMode_ -0f34:0474 modexDefaultPage_ -0f34:0526* modexNextPage_ -0f34:05f2* modexNextPageFlexibleSize_ -0f34:06c0* modexShowPage_ -0f34:07bc* modexPanPage_ -0f34:080c* modexSelectPlane_ -0f34:0830+ modexClearRegion_ -0f34:0948* oldDrawBmp_ -0f34:0aae* CDrawBmp_ -0f34:0c12* modexDrawBmp_ -0f34:0c78+ modexDrawBmpRegion_ -0f34:0de2* modex_sparky4_DrawBmpRegion_ -0f34:0f4c* modexDrawPlanarBuf_ -0f34:0f6a* modexDrawSprite_ -0f34:0fd0+ modexDrawSpriteRegion_ -0f34:1148* modexCopyPageRegion_ -0f34:12b2* modexFadeOn_ -0f34:12e2* modexFadeOff_ -0f34:1310* modexFlashOn_ -0f34:133e* modexFlashOff_ -0f34:140e+ modexPalSave_ -0f34:1464+ modexNewPal_ -0f34:14b4* modexLoadPalFile_ -0f34:1596* modexSavePalFile_ -0f34:160e* modexPalBlack_ -0f34:1638* modexPalWhite_ -0f34:1662+ modexPalUpdate_ -0f34:1bd2+ modexPalUpdate1_ -0f34:1c4c* modexPalUpdate0_ -0f34:1c98+ chkcolor_ -0f34:1fb0+ modexputPixel_ -0f34:203e* modexgetPixel_ -0f34:20c4* modexhlin_ -0f34:2148 modexprint_ -0f34:22e2 modexprintbig_ -0f34:245e* pdump_ -0f34:24be* cls_ -0f34:252c+ modexWaitBorder_ -11a5:0914+ _VGA +0f34:01fc VGAmodeX_ +0f34:028e+ vgaGetMode_ +0f34:02c4+ modexEnter_ +0f34:0472* modexLeave_ +0f34:048a+ modexsetBaseXMode_ +0f34:04dc modexDefaultPage_ +0f34:058e* modexNextPage_ +0f34:065a* modexNextPageFlexibleSize_ +0f34:0728* modexShowPage_ +0f34:0824* modexPanPage_ +0f34:0874* modexSelectPlane_ +0f34:0898+ modexClearRegion_ +0f34:09b0* oldDrawBmp_ +0f34:0b16* CDrawBmp_ +0f34:0c7a* modexDrawBmp_ +0f34:0ce0+ modexDrawBmpRegion_ +0f34:0e4a* modex_sparky4_DrawBmpRegion_ +0f34:0fb4* modexDrawPlanarBuf_ +0f34:0fd2* modexDrawSprite_ +0f34:1038+ modexDrawSpriteRegion_ +0f34:11b0* modexCopyPageRegion_ +0f34:131a* modexFadeOn_ +0f34:134a* modexFadeOff_ +0f34:1378* modexFlashOn_ +0f34:13a6* modexFlashOff_ +0f34:1476+ modexPalSave_ +0f34:14cc+ modexNewPal_ +0f34:151c* modexLoadPalFile_ +0f34:15fe* modexSavePalFile_ +0f34:1676* modexPalBlack_ +0f34:16a0* modexPalWhite_ +0f34:16ca+ modexPalUpdate_ +0f34:1c3a+ modexPalUpdate1_ +0f34:1cb4* modexPalUpdate0_ +0f34:1d00+ chkcolor_ +0f34:2018+ modexputPixel_ +0f34:20a6* modexgetPixel_ +0f34:212c* modexhlin_ +0f34:21b0 modexprint_ +0f34:234a modexprintbig_ +0f34:24c6* pdump_ +0f34:2526* cls_ +0f34:2594+ modexWaitBorder_ +11ab:0914+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:7c19 __PIA 0000:7c12* __PIS @@ -234,10 +234,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) 0000:7c79 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -11a5:0000* __nullarea -11a5:0928* __ovlflag -11a5:0929* __intno -11a5:092a* __ovlvec +11ab:0000* __nullarea +11ab:0928* __ovlflag +11ab:0929* __intno +11ab:092a* __ovlvec 0000:7ca8 _cstart_ 0000:7d7b* _Not_Enough_Memory_ 0000:7ead __exit_ @@ -247,10 +247,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:7f34 _big_code_ 0000:7f34* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -11a5:173c __argv -11a5:1740 ___argv -11a5:1744 __argc -11a5:1746 ___argc +11ab:173c __argv +11ab:1740 ___argv +11ab:1744 __argc +11ab:1746 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 0000:7f34+ int86x_ 0000:80b7 int86_ @@ -272,28 +272,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 0000:8260 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:82a5 _nmalloc_ -11a5:092e ___nheapbeg -11a5:0930 ___MiniHeapRover -11a5:0932 ___LargestSizeB4MiniHeapRover +11ab:092e ___nheapbeg +11ab:0930 ___MiniHeapRover +11ab:0932 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:837f _fmalloc_ 0000:837f malloc_ -11a5:0934 ___fheap -11a5:0936 ___fheapRover -11a5:0938 ___LargestSizeB4Rover +11ab:0934 ___fheap +11ab:0936 ___fheapRover +11ab:0938 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 0000:84f4 _ffree_ 0000:84f4 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:8561 _nfree_ -11a5:1748+ ___MiniHeapFreeRover +11ab:1748+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:865c+ _null_exit_rtn_ 0000:865c+ __null_int23_exit_ 0000:865d exit_ 0000:867e+ _exit_ -11a5:093a+ ___int23_exit -11a5:093e ___FPE_handler_exit +11ab:093a+ ___int23_exit +11ab:093e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 0000:869a __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -312,7 +312,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:9041 __doclose_ 0000:918b __shutdown_stream_ 0000:91a5 fclose_ -11a5:174a+ ___RmTmpFileFn +11ab:174a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 0000:9210+ __ibm_bios_get_ticks_ 0000:9287 clock_ @@ -323,7 +323,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:94ab fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -11a5:0098 __IsTable +11ab:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:96a3 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -356,31 +356,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 0000:9e6f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -11a5:094a __8087 -11a5:094b __real87 -11a5:094c __dos87emucall -11a5:094e __dos87real +11ab:094a __8087 +11ab:094b __real87 +11ab:094c __dos87emucall +11ab:094e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:9e7e* __exit_with_msg_ 0000:9e83 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -11a5:0950 __curbrk -11a5:0958 __STACKLOW -11a5:095a __STACKTOP -11a5:095c __cbyte -11a5:095e __child -11a5:0960 __no87 -11a5:096d ___FPE_handler -11a5:0952 __psp -11a5:0961 __get_ovl_stack -11a5:0965 __restore_ovl_stack -11a5:0969 __close_ovl_file -11a5:0971 __LpCmdLine -11a5:0975 __LpPgmName -11a5:0954 __osmajor -11a5:0955 __osminor -11a5:0956 __osmode -11a5:0957 __HShift +11ab:0950 __curbrk +11ab:0958 __STACKLOW +11ab:095a __STACKTOP +11ab:095c __cbyte +11ab:095e __child +11ab:0960 __no87 +11ab:096d ___FPE_handler +11ab:0952 __psp +11ab:0961 __get_ovl_stack +11ab:0965 __restore_ovl_stack +11ab:0969 __close_ovl_file +11ab:0971 __LpCmdLine +11ab:0975 __LpPgmName +11ab:0954 __osmajor +11ab:0955 __osminor +11ab:0956 __osmode +11ab:0957 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 0000:9ea2+ __fill_buffer_ 0000:a0f1 fgetc_ @@ -390,9 +390,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 0000:a5b1 rand_ 0000:a5f3* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -11a5:097e ___iob -11a5:1752 ___OpenStreams -11a5:1756 ___ClosedStreams +11ab:097e ___iob +11ab:1752 ___OpenStreams +11ab:1756 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:a630 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) @@ -405,7 +405,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:a89f __FiniRtns 0000:a89f* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -11a5:0ae6 ___uselfn +11ab:0ae6 ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:a904 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -431,7 +431,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:b3dc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -11a5:0022 ___Alphabet +11ab:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 0000:b3df __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -441,18 +441,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 0000:b48d* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:b498* __get_errno_ptr_ -11a5:175e _errno +11ab:175e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:b49f __GetIOMode_ 0000:b4d4 __SetIOMode_nogrow_ -11a5:0afc ___NFiles -11a5:0afe ___init_mode -11a5:0b26 ___io_mode +11ab:0afc ___NFiles +11ab:0afe ___init_mode +11ab:0b26 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:b509* __set_commode_ -11a5:0b2a __commode +11ab:0b2a __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -11a5:0b2c __fmode +11ab:0b2c __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 0000:b515 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -479,9 +479,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:bb1c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:bb38* __get_doserrno_ptr_ -11a5:1760 __doserrno +11ab:1760 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -11a5:0b2e ___umaskval +11ab:0b2e ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:bb3f _dos_creat_ 0000:bb63* _dos_creatnew_ @@ -490,9 +490,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) 0000:bc6b+ __shrink_iomode_ 0000:bcac __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -11a5:1764 ___env_mask -11a5:1768 _environ -11a5:176c* __wenviron +11ab:1764 ___env_mask +11ab:1768 _environ +11ab:176c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 0000:bd53 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -513,7 +513,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:c2a9 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:c319 __EnterWVIDEO_ -11a5:0b4e+ ___WD_Present +11ab:0b4e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 0000:c33d __flushall_ 0000:c3d1 flushall_ @@ -535,22 +535,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:ca19 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -11a5:1770 ____Argv -11a5:1774 ____Argc +11ab:1770 ____Argv +11ab:1774 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -11a5:0b50 __amblksiz +11ab:0b50 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -11a5:0b82 __Start_XI -11a5:0bb8 __End_XI -11a5:0bb8 __Start_YI -11a5:0bd0 __End_YI +11ab:0b82 __Start_XI +11ab:0bb8 __End_XI +11ab:0bb8 __Start_YI +11ab:0bd0 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -11a5:1776 ___historical_splitparms +11ab:1776 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 0000:db8a _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:df03* _heapenable_ -11a5:0b52 ___heap_enabled +11ab:0b52 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 0000:df14* sbrk_ 0000:df24 __brk_ @@ -563,22 +563,22 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 0000:e08b __setenvp_ 0000:e217 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -11a5:0b54 ___IsDBCS +11ab:0b54 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:e275* _ismbblead_ -11a5:177c ___MBCSIsTable +11ab:177c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:e2cf __mbinit_ -11a5:0b56 ___MBCodePage +11ab:0b56 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 0000:e3aa _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 0000:e3b8 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -11a5:0b58 __8087cw +11ab:0b58 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -11a5:0b5a ___Save8087 -11a5:0b5e ___Rest8087 +11ab:0b5a ___Save8087 +11ab:0b5e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:e3c7 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -592,8 +592,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:e593 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -11a5:0b62 ___EFG_printf -11a5:0b66* ___EFG_scanf +11ab:0b62 ___EFG_printf +11ab:0b66* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:e5db ulltoa_ 0000:e718* lltoa_ @@ -620,7 +620,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 0000:f1bf _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -11a5:0b80 ___FPE_int +11ab:0b80 ___FPE_int +--------------------+ @@ -636,6 +636,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020fa0 (135072.) +Memory size: 00021000 (135168.) Entry point address: 0000:7ca8 Link time: 00:00.00 diff --git a/fonttest.map b/fonttest.map index 3af71b42..386b8c47 100755 --- a/fonttest.map +++ b/fonttest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:42 Executable Image: fonttest.exe creating a DOS executable diff --git a/inputest.map b/inputest.map index 4488b1d5..625b4c25 100755 --- a/inputest.map +++ b/inputest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:50:52 +Created on: 15/10/15 15:23:42 Executable Image: inputest.exe creating a DOS executable diff --git a/maptest.exe b/maptest.exe index b19cf5fb507672064c3e59ea9b6fd611ca107cda..e432391e10d87a36f03a5e53885984d43d4e4213 100755 GIT binary patch delta 43354 zcmW)nX*|^3|Hi+wFUHu%&RCP3>|54JWtkAlp0%toGnAOIW(?&_vZ+ua@|hAGBQ zQkK#%WJ!fVlQd+R`S<#t2j_Jjo%7@@*XMm*XXG<@=QEh|ONWq#2F_6r zP*Z^jkgo_#gycg5U^Ee29N~m=kz5o>J(ix5(US#4a^G=q`7eOE2($~V2f;%PIIuL0 zUN>`Db&tbz(N`i<8>oT-A}%u6FEFTJNra()roS%_UlB1AfwG~pH(1d;2~jDc2(Uty zIN;C9lbM2Wp#D`XSL1@t1}a*9+$A4Mj4%0n9X;1#Zt%>jcXS^7m0vOI1xBK)Wz%)1`|qSE&Q>9JA#q@>j7 z)(ty+Y2FwYu34V}#Fz!1k|b=>0~nW$ef@a^VK@HBtf8 zKG7cbD)y;xeQ3Y!5c%mhP36Xl3(jCS>c%!0%ghf{)#z?-URD$-QKWZ+KcARjVY!X` z4%qU8vy)Xv&|Q<^*j|J7Qy6ZSpN&WEXWqMdL*xGQ1#nR4m?391BJETD5C)*qZ#R zL0AbfctAGNy*83Tt&!j+NjFuO%1}!XykZu=7L;_oXqc?rMzTyC_Ct1*!QqLtiUtDK z$Cqxs&~7XGI0p7oK}2KKo{M~qkl>V4x@?tU^ODH|pPtK~FAHC+e>?yAnSCH9W6GcwAj9hI_0VTw zLH9URFFrgwAy_$1(yUPg#Tu{(l9)O^WaRru>yh=mZyTaSm?Yr>`xoad{ZE4NlFJEX zWir)LY_Ia`ZSO{Rki*1A;5Y9hhCcdSuXoK`go43aPb6yvz=Eqt{>!HGoYCYenC#N{ zb!~BK6)HIMWA^#y{<&|4(@A@#jqu<8w<<_SaLIB%R$pfR@3A=n!8?K|H4`BoHDu29 zi)z{M`hAS(D)6*_|59Le6lN ziK5mJ0xJ;$L>V&{oLu3s;+V91bwrhYaiW^JYnKJcA)ycdOYNWPi zPCIk=xm^Pw443yv@wj#dI1R}=$CBNE&|Kxm1;x1O4kePCU03VQVFKUuTA-EGxEb`b zTGdsF4>FvYHvomITvYpIqimdyD8HWYzVT(%d$I;&z~fM|=4P|{T2SaqL7=J1w_}S% zl;wJ8<@cGK!;UZg!P%?AI;bYq<@T$eXEsN^3>}5NO*d@gs^UJyCpIHNUb1-_h8U9$ zB2m=d@cFQyVR}c`{w8Ahx|t zNYBut?K$b|AeS)!&~j41R@zBTII_TiC*b*c5}GbPS!*_Y-Kianpvo{sPop|1&M(L5 zopJ{su>)+O1u8D1h3AV77SYQX2o?ASTgkuBN|Am9egv0{PLOfDcOh##`WaIDWyvS) z_p#Y3f9JKrwi~7kb?JLqP_``h7&KchT9L0qi!ha>NwmSg3??3%;&^X5^rsmlV>7f8 zKuwq5wH+={X)>lF;AuNZ2g3}!gV?1bkYx}$`4H?9{NS`P%UOhphdq#Aq&nn~K0Ac% zkj5SCNTY>bM(#W5nhw+qwIMZ8Z8lU`T*7<6o01VH-F6V6()%3Hlxp6q4hL%zlqq~J z3J>ztFtYfm%dfW=4h|`h^JFg=iga3Jd~j?F>o@b%n|$Fyfaoejn)VL);YN+~30Fws zd@8+SCF4k!SK%h)nTx}cCYdOTk;)b`V^;&;HV1NcWGp4>&ThW_S)Ich;`wf4eb!is zjsH?z<`F{Pt96G^vVrWDikp4->2y3Jjb3Obipwx?Fv;*B=Nh%?TS8SY20j;sCGGra zrBJyJ={p%p4k@IO3#vk$9bO29<&ZpgDBlz69^q{hwT6sXGflU2_+guAW}0ZYCuCFb z1Dbu zZAGbe(-sKMPyFr&h|=9G_>fT<7oJYd{pw$98Kj|c=iJc)md1{{bDqyM39~Dl*x8WU zIXM^BYT#$p$LhaL8cPl^Eq`)+RY0FE!w>#Jnb!xZ%yF!ybC!KRH^PvIEnp^sz}fkl zYOyCd8eOx%Bg?6f{}trz4Nvw|RmGwqUU>&pNYDs}@|(-8{-Ptk(4%oj(nY>k*xbdD@D zn>=w)8l#PQ>!2pm44hQ-K~t}D<{dA)6Be^|a$W7RGdly4b&n!mw(oJ4wQ1K4x25H7 zPMkT}qLj{wUA$(Zy4xB97HUNgH>PV+4H>hsH% z#?AUD#`IQ&dGZjhi>1{A74Cag6qh99ta^KaPZlwSG|93gR0p{#$MzA&7`EeSxX+OE zbGwV?anwuZ1Xcbmwck-Jm{iz(RIcV!(9Nc}co)eGDK2JbLu~(sm~Z>iLejP~*e@E! zVG87Hv^K1<+swip9a#Jp2LEJ@5vV@Ar4exqc%$w<`=?JMP48rZ*i`u7k&w%pULya5 zI1-?joF&^j9D@SfNTT#4Kqnfnla&G{qq(ZFDv$j%sTY2r(V)8*HqjK&^$RD(azQ^X z@WqU+T4q>i&>s-2_x;xqW7V4Hu&Fj&t$AzX-C+`6tiVa~Nho?;q$b^^_FMuZq9)ej zoAH1j_d) z3$d%ol)$n)=uKr=l5zSM+v(PC25Qo%A+Zduh@?`mg`_}O3Cay*75y`BV`c#rav7ruPNIw_@tq%A{JSZA zr+k&ao4}`69?5wiWHuufZl_hy>~kqmEG%20wjclTe0!7e+Q__4bD#wza`*&1_;%BjA8&cv1Ddxq?!QOOH>#bQ zq|#-TI?KS&H{a0*=l=_F?1A6CDeSQQN&0O&^-shBX%0aUTU@G=W5PsNySe5S$g`5* zx0Ney!|&h4O)tTj!B3i4IbdmjCj$5RmfY=ngmfz%*MO)JPm_rsIl$@I-;2y*IyY3y z!1k0faj0GMklSL4kj&~rY=$I`s-~GpX z$>-bKb?EJ?wtvsF#nN^yeo+5}{4W=v8K_h#%@vj1Sd+Oo%(s!Ali`+Q030+sUxrwH zMUfas`zS$CJ~14dHL9X9T;`8$ly)kip!|J4TIm){^p!)<8W-V68yg7HMME zm!r%7903OCo9(!m6>1FDn#h4OFe{fgl8>!aGA1|U;E{}s&DVM~MvFGu)$Pcp{Jic? zt;A0-pwXoD4qTu8Or4(*I$phUwn=HGpmF|J zpOm=xK6nXrr$7Wwf8%Lw^llUcY=MCs%S=)4SmX*a+w%}KN#Xs87ym_XiGd0>!HAZ5 zpg;&o0zfaJHPW?L3m{`}HuwA}eBlb`Ft=#=QnhJP(@^ z2s%JyQ)oH4$*N~9bkoK~xdh~%hh(7Up&&%%cK2Me6i#`l>mLj)=``;-@`)+_NR-|c zHL+)`LiAH{`FRvSv}4{Z~f~Y@`Dfmov?CqzFo*W z&x7^sPwXCOduc~B?NO5VTbAAYMe=5ae;BkJBm<|Dw7BbjRXc+$Rq4=_3C~kNNxjegZ zwCJt67W5IQ_`>+Tw?kS-t@C4S< z$NmnT5KjN7@BzE;SOrykRKAR+_InY+75jMxU_s8QKa9J45N|x3Xy$3pa10(1bH+MJ zf=meSFi=Ubon$+>`z;$LHY}&8>1(uWQ$dQYQ2T)h)I)qij5?1!?-VSrqz( z1=23KhG7jFbEv54Im+6Lm3F6=={c4iP%_MsnzGcQoLX~DBg8aW;O5-=1H>VLJR^U-;O{Uaq0MwOqEj^WjS>OX3S6qa|K9&jQQrvX#Yuza4Xixxn554f?)HDdI6VknN5Y zti268UlP{NR4R&q=CdrYXXDdpoce6xT%n>`(5z=;`ZQ>X1$8XtlhR8Y&Zf-0tAkS& z+4FCuRogF}raIiX5-*gqvSN>=z) zelO0>6KZH=GjTO2Y9+kPw8A;{M2PdI4L|bAg(Cj0Rc%YGWi9B#Ig;)nsqo_d7pM|- z@$aO%s~Xe1e>@~@Ou@Q4ZS>E(xhzd*5rHXFB={$bDfV#Z!ItbzO!dNWrf`P5YTKXA z?T~V&IqIMD_Er=Ti!R}tgP_tQrhzG*k4uzG?gx7V{~G~O+nA=2?30gX#G;H|x!6HT z($Zj4uR71ngPEYi7u<83Y}^Sa4mT%@uvzDCQ{bg7$~OA0m}y5)Wz#h?HVq?6icN_p zCE!J=arlf>a(Z-QEGiv;D@Oj;@s!3WNNUPDK0P)bz8ssSB#4d4z#E4sz+lU+`YtId zq*SiXajG&UHhsV3Rzg%tj8h6=O12<9)y19A(mEWxeE1}U!#BDmC&etM>>@cer0v2_DY+l^Ci0EVtTY;w$!W{6 zak&}QdM>XUj~^p*(@3Xus6eo{e{$?jvZGECm5de~^e!CtkovSAs;P+jR1BC};nUhi zCo|LUMkUJovhZf!=R)36GR}=Wje5h4iMgJUbT0$C#5mdL9z{-3G0OWH1`Kqkid)7f zi=P^lP3F3%9Cuxhj!p;xG~<)yqUu;#ET>~pn2_7)DPpD;JaK=8RJ(x`1c@lWCq9;% z{ND9*P9i=f6{i%p&C&qyS6;qpj6BtC1t$w;aUAl|_PBjBhIs92b$orAvgQkg@1{d; zgUD5l-jJ1J#j%M+sqmt7<>KS|J1GFl|M=<0=?CDzXd9+I4l^Fjk~4VnyC=~v!&Up` zkriK((0S;iRq@U4|^pD2z&}9W%!5h@vP_$CILtDVa*uZ22doW_r8gKE6OC z=1t&G9Who((d0LhGix$Nw=oGw)8$=tuhKS6Wu`Tk$M@9-dl<+(&BhxWn>;0Dv66h! zft)_0!GN@x`%)prnF)|YJyPOw#w&@KSoA(lI6e~HC`S5T5q?a>@h7vZsof`y=a^n! z4bQ|#-hNSLR$da<=n)&$B>kDI9AcWRh9&Uihz!@6Ko!^6@d|yfXFtVmtKO+9oUVC0 z^wMmg=P@(O&gyt6+0Jut=H5nl@&^ zHLj7{uUnIj$7rl^Jz%>M6scXB#vv)G%JI<&k;;v)qmo&%GFi!YG8jt1o@JA`eVkYl zU*Jn7wQE6eE8|CgU%D2nmi4#7!P})>np8MNYUZJVK4il8Nc!lo@rafAn>Xei*Spga z&X(7Bb*o-^ME8$ACSLt68snur7aOzR%q}ISy+8cwyPb^rZVF}fJhgW4v*A^xB)v5J zH!Z}`KN(fA5agYJDHr^l9P+|mDPG~90X#!U8rzOsvN%%M9O zdHa2>031^Cj-iAaKBoS$kAm0N7@D_n=_Lz`kU00Ve?pE$9#7m`URA3qpJS8u@^<{A z#P;M&rQ)R9m{(3y8lNPnfHo)Dty0Om>qujy&wFF$={r7?8Mg0h=`l0vMi=TjqK{c! zPCEbC`ivFAzf48HJq=ZL zDEkkm%nM@nP*4|#qo|8Y9;i>4m=VL?2Q<=`cQZK1YIl5I+br$%eI+Jh6C;DZO#M%yp5=r>^~@Kqi9Wk5 zz=0hk0C;X3tqhBNb6)%}y{-j_ev%*ID-q|H0`6~FDu5?TUwXcTEoPGHod<;R=s9vk zJ)s8?L>CpWiX6<-ee3I7B!v6u*XKp`u03M*^yN!@k%VYbB*rB<6ttx7a!wa#*<*7h zSPa+#lDZ8PY(aA&@Q}N~H&P37S3u;Dg|gn3q=43( zvcd8e&qYxM8`G5s6%~{X(>ux=i}mL>1nRi*ONbACO+~5pSmdkk_43Ci>@)!*m>6&SuAzQ^%$@!{tVf_jhmqN5Utq%yc#XToxD*ym6cj-{Wna-vpAiyKv0H3-XRI$VV zV*NBGbBp~%by$th2!Jj1`o<-qh?X=c9o@I{!_hRuX9Gq4jJH>@lUDpw~34je%b1|!t)NK z{b|$?RlaG5Y9C+YjH`-UVBrQ;*??aQa$0b8O2TVZ8kS|vnR8uRZ_)n?F4HFj!fKqJh55yx@ z;&b^>{4-OiHFcm~ijh4y{X}GNzl-%pU_S#*?;#_X{K}Gv7X!!-1DR(noEfvIRB6Uu zM(PI3!5P56;=otLq;mGsh4e>iYxxF^$|?|1DA=|mLz=!`T&5=s#V`V}^v}xw@Ma4j zLCxd4+ueQlTreCrpSnzrVYsL2gkmealRa3NBsw)LO)RCWD-=0*T;}G2jdM0=n&Ar; z(|AI+Oon(hhFa$zEzA90@NfQQ78M7G7p&qky0D59ISUg&!K4j7WweVSVbY5&>H|Bx zz>EE9iPd*tIXi1qy-;E^a*?hLCHztX8pZ{C7X->TR_k^yi__nWC%%ztz;^C>dFQz@ zmA=!tSGUK*hy%34B3DLmGMF ztrqw!)pq|+*6t2^ME1g_&;u5=8udAgNFR0mxZFi83QKIr5s2~+?KOF+Q8Beux(|Mz zhBi@PHuH`Ykdh>LeaM zM4&kjcKU=|aguBg!eqe;<>xG%4YMVc+ndT18nkJcS2$}u$$=BF%dYgp=u3DG&T-st zb$m-wtK`gP**F1ht$;~>;p)eFGMa&l+Lb3<6G6^!A8gP_Bhy=-2!cZ&#i=UmF-7+K zoQvVE<~J0AIEN76j-zABkaJ}RjC*c*M}JWyAO!Tv?$BqP+yEXyRm`=xL-(w$DvW7P zmy1G=>VU1mo%>;i1b4ET;Jt?0Os9&j=4ZH^W+D_J)o1AYSfw!rqlmrZFqPY((}7S1 z%BM?K8edn%{gB}zTZ=K&$cd^)7#Zovm3ybd{%x0UaQo(YhBhm)d&DGr_mrYgkkJiXN z%J#;~Cf<}hhNVU-fVO|Ei6|OYW=*D*AroBbYVED!KPQz(S2A=kQNTzIA6?JFT)ciK zMc2Xwfzou~;4k4dPF&g3E;76SQn3yk@XoVHW&cVM3y>TzU?x5WDrGoQRG+N{&i3IV z(6g5?^M0+s-Gna?)_tV8q9j9KqOM5RPtIk3i*LG+z}W|~{EdYdJJAVu ze+n1a!pQ2opHdVTAy_{0#9scwd7*A+sr&Mj9(xNwm}NQ8DH~SvV5y_>c{O)vGM_27 zZ%7)#3ZX5B{0H>R{|2~d*N2=$0kv)SuePOp^+A;D)rmRGLn3J}&bFOAF2<0=nSUD% zw?qfkA3v5Rdi*dgT-5NJU#j5s+faSU^jAV-Cl^64Xs6XUF&Bh8E;u=DSqjm$=6daC z16d}1Lis4lFYgU`%_`zf{PNA z;I*xk(!iyoik&s#d&Cw}#@axL2j*@xY3U;kKoKTI|ksVFMy zEMBrbGqnl2)Tf{+KU*gSV6`Hujr!Me+z&w`t zxcFp^CdCJj%0F{=5>Ut+iq%*S+Tg464B`cNJJP0L zXX2eMf;6N%qMbsHct;O;Xd+Te{l0(~9r&Vg=;*P3Q5~lyLUZIVR(Ry0Z^c1r*5ptN zeX_EY5VYV_arB{`u)+ztc3p}tP_y1yI3!vqn)&oNo4QEK+<$eV*l&DMw;cP1mNyk4 zK{04z1AG!_5O)7McQr-&iP{b4q}z8}@2JxVKLrxBZV7#x%#TK1&?nu73HpI8hWWUp zLAJq6rzLyOmnK{t687^1j(+4QXJ{qnT-K;$*^?{mBJ(4cg8#dZ9AR&MMlvqh{MePB z*->owg9=vV2g_GJKfB3RmbsNmmXkfLsTK8?IBDOgl}%$+Y3tA@q?F@!&RJe`z`WNw zjt?p(2%hF;2}gjIbgNGemM%X6uey(S9hx_%DFRK$0UN}Ris$S-MK^y|3#f^(uxvh? z5`#N9I}C|9$07pjv|rDDC3X6qnH~-Wi?4l)3$n0pT zu^z;6#iS(Nf?hfM3(*GVa9szs?k>j*)dmK;dG?`hF+aVZ4;Vc{(qM&;3S%j1N*2z= zk_T|{#NI1Xz3A+H-~{~DXPjoBGeCm;ra!>Ar7_M8XESb6$jgMLBdE2)Olf#(M{^4 z1y)>XnrPN%dc+-f9UsoL{w_ z8Yn90-CkGjnC(Vj?Pj4JM_UE#rL}Uq$IAyY{w4?pXbkVc(038HhZ3;qhR_m?<$>5OIsUBhYAp zKxIO~w`+nCbyvI%(W2()FFEg9KTmf^~Q(tYe0c2{Q+;!1Ol;T|{VZb_NHh!`AWQUPw^?6rU8%#BMb6r@K}qTwHlU*)ve+C@4A zKFLr}cNX$2d65gH)=Bk1d&~V0Nt+gus}RxhU#*p4Uhgw&=efi7`1FSslD29#NJSLV zUj7Brro=TK%a?2`D73kVpX1_x23Qn6#x~ox8zP47G$3V_R(ZXt*3Q(KHgj>Lg0X9x z#PvPM6_+wHex_puX;ajG3mf150z2Do2$L%;==5`a$l%E(oHY0j@kmcWA54+O8>nBs z8oZ?=k|17#vjDy$IE#$zu0gXFlmV%1aUe}$`2jpm4)!zA7O?@oR$8M6iHZ=QPqAXE0)2N~dYU4NTKFg?` z*PGrh{P&JzdYS!$D)n2cZF*8(ukn`BDjxr{%U3rE*{5In-?k%G zimN#j{g9WlD+o84_g*HXYS%N`*|D#GmWRJm4D&PCI71ySr@VqcNeM^&#z7*_|yRkx0OMfgQw|RQ6Qr}?a{>y<{V@t@>_^sZS{*U^TI^BD6fhIKabziKG zv{G4C8XUMTUe^2O@b!gm;p0CLIqU*s;E?p?2Noww)g?dI3C{ita2>4VoW)ER{c`ox zC5yNq0aZ;#UYzm-Y~+{6rX7sYlHE@??U$=CV1WvtI@0=rCEit&t`*h!z{Pp`)PI^# zs^Vf95+aF!9Y_Q)@TJ;=hE$)PyI>@E>c zpY106%)0~y&Jg)<+48SBea^&|JWkaP{*w~bZ||4z&8WFrCF_Ihc7)C7q%6@4->eU( zZpJ0%dxNqLKYq8UyGlE+b`dwVc8nYk`8Y^8Fj%^18inFgN%&(?iBUsou}61GLR{k% zuV~(X-ft6#>SZzqj~h*~#WW6PXiS2L;@G2KKr@Z@T2r*InA-I!k3tXjW+XR}YC>gI z$wrm#t-7JbMIMPlmN+*r!2b(!F>;iQpeXUN%<<#FC$h}g1#aT4%4&@3tD+%B1w8Af zd{xDD(O-zOcR)iu!#O#01JvrjAP3kNCo7ZAV&Sg>Q#fPw!I#p6>1V=5TN!#G zKPK2zSsEs#qcjTzKwSpT5qnrEdH$>;{`eTA9p-V6>-f#5*wUeUHWPuH=TQ4|oaWOf z$0RDkIsc7s6y`TasE*x3g6EJRl$IVQ$SA?G=88hkvOmm`S(g!qjG;=&o;L=@Tf6Tm zO){V=>G@i^2gdVOPcfpJ3ea;yJ2b4@DNrPj01 zy{avSRd~q!r_4{h%9T9KmCD^BmQIW@I2Q=j$uJHBqOCTfl1i(xPTk)bKm$_ck)f?f zA1{BRi!i_w@N)5??)zgLT2%f><;n@#pmf{EFXtgUgULi(dFp^)6}Ur; zy@8aIc5Rnj2w2Ch-v$DM;iOx88#(sO4j|=Vs97og+I6b`T-c&AfmGs(R4whRWKSj& zB$9F7t-OXgsUHE%+Bu;{Hnw>5MDO}bP%|xg{5Exq*T&pP4#S+`&Iev3--~z-h98#U zga30Blp-c;Fs5^tv+5Z3O-EV|fOJTdRp*T}fon4F^3!x-rGZkl37(%z%Uk9@Us2KF zR$I=OQIkyCk~)Kcg7k=SQA7PGtc7XJI$~Zzw(NDW1LCvp|WA*<(uKP<;C+$ z1K)v)vXSran+};N60>pzm+s9Q4mI4KWPIt58JS$IS{OSnimpA$_KPhR#>SfGB}I4P z6hy+V+!dOm5r^#P7+#|DelLA1%B5j~zwY8mrF0{V_VwCg$xP&2aGKd6sXa~)+?TmW z*;j;wPgx*9tbf=1LTO&DEx}v*pQ_WXZ7iQ4{m(LIjyF%qlwaQv1~lB_&Htg(3z9`CXl+WZN9B!jXE)!yC`nx3(OQf;Yb1uLc-_LQ}peW}aqDQh6`*_Q`pXA35WsjpyZV~To` zG5!V0TuT@388q}LmkP79=JGmF)TlGq)1n|=y%`(sK|s0=M51-3C5>+UeS+@nl?@qL zL5Eeya8pW>9e#(B_LgFhPf;~1Csj)RI_^)FK!Cl!F6nQ4Scf{#Yo))Fa`jRLfg*22 zYTcN$a@b7CkaUQ`hx>(+cmGJ>{k!n)5isq#OC&(^DjY}|JhVf4Z5UNte4R(j#30;J z1ThiMcYy*pWGOKlX87}maoKBrr{PWi@Zm>_#wTKgUisBpWS5*eu!~Mw>*EEpEs+C- zY({JqThJ9{A~KPP1=SRpII<9pS&%M~wHPbVVfD5OCFqu#k$Qm z`yi_q-98R(Ak#ci%hwJ{lD}YNW0LA`rUn2^jB}LuQs5?8XK4S53xgR73v>MB9v*zl zd4{NJjG%hIlRtFz+)a((83r!U*-J|Eio5fz`&wE8x|KZlHf~{zAiDcVR_wR$LA(*6 z?qiJVN&*gf|6U0@D&6(r$Jjb>T(XLzL9-Zy>l3RC00)%_32<;MGid)_oQL0vpRXSw z(2XWO^Dy=5J!nR^kClqD_{W`;n5g7K4OTG9L0PDX~Tvykj;s zB{ka_vIlCnoUx6@KNKiiSpvb&;3a8Rsckg+piA^{x3B+@{3?4{tOlWCLtECPj{pvr z)Rg}RS{kGG?!HDsTvdIuNuE`$mFsAZjFe;F4-OWeZN;o}GzQJ?w$GMqvzsJcZZSW! z>qir_a(?>i5$WnIaE6NQQ>lo0Yw9UNO~@$~-n{2jiQRk1KZOHY?{9Vd+w(UK-J~dI zY{~m&Hd#*Zua$pulJ`O(8v>U=tyZ%*Ri#HvA5IRVky0(wUp4!YkHu_;hwbQNa{B@O zpv&JsgO=XW`Hsd=DV=DYUryxlAD(g*;j8g`>G`U6B4I^#ljB*V39?aUCe)q|UN21H zBf{(~+e|WR0QEd-)h>SJoZ9*dG((Tzt*S`O6(#b%TV3`moTwiFXTia_eF@GiBXLrN!zydQQU$~$M=!*aGR1%<~R9|C+UGH}B(}?YzmtYl@g#g8#W@)ex>P=6s*9X%c|@GnhdQ-1e>c!_*R zd;LKxpK$R`+TaW5!Y`DPt@q2ioVW#Dz3c{70E5Bm-~pHwv+I{=+tPj(7hNslFb+H~X)B z*F%tt-f&KfyyQ6!Bc+uZzynWqXky`2-TehvL>X}Pfe5f-Q% zl&SNaF3u8k1AAChP}85sy(VGS^pbbd`;CwG8P1l6*JAP*%5m*3i?C28rPjIl$&OtG z`=Wz=%hNa=cml|(^H^YS#MRQw;$B_TZ7p(4Q>0QsEI|cJ3hY)0_K9^)JwQ(n3`w0Y z2~3!vPRuI|yU=!bPBUc~7UEK+e5uFF4#KA3sfAn^uc^x6wap6e)+*+n;}Y-bPiNeA zpg+AM%W#4ug|_(SPb(v7nl+0PhP!Vp>i>kiA%#v5#*;~d+Rk|w8-`&uL8+xEMNnl8 zq&Ert2Cz%z)qSgx^JvJOs~PPz45>+nAgDnDRmjpxeT_q}juTDUvad5vP>9P$PRm}) zm6aZKBjXq2Y+q9(SQgJScZ+*GQG5<#vCE4M56e4;^hJl#zKZS2;j_dkS7p|iBFbJJ zr}-||uc^ZAE&@qqu!sDNs#SBLX;$4pa)bn0l zCBux%UJ}cjO5y8B6ZuRH|AogJIp~%a=gi;Q-al%iN+ICFKXUk2(v%`Eqe28UvW~D^ zVm8b^3%bE6`Ck_jCwuBlCR)8}U5|T#;B=CD5@=lyEFGci(@G8HwPDn^98bqJD3EC` zcLVKCZ(&zaTyE|!HoK3MF4FD%s8i%NPuO^zs@rCecg}$QpPcKlh?Z$`WRyH_>1vBh z8PXYyUuw}dKz?=aJ(ejcQV=~Xtt%aCEQNKI26&1G6Kqf}L;0oBwFyUdT!=?<4XHAU z+Q89*UKuv>nmo2L6DLlSzSeXVXu7I-5&#s&q`~!;&O85O-2wd0>VuvdI_sw3Rg0W$ zCedNf?*q>G-;ulPAdPHI-J0KQDC@E0|Gw&0zz5r*I8ZW{+13iAwj=2MxxTF0_I(p^ z$mVOC3yL?z{7+lQH~@10+r7!6UL>mT;zJeVB*1wxSq`W#Ib)TqdXJ3VTA+Md!juk> zHE~CuUEa12HO~lu^chK=VU6iLu_;(e!=I0oZPMCPF+FC0K*eRV<{^p?j~D74W?w+Z zrYe8m+^C*PS*v!-hehRS0C*Mk2H=; z-o`|2x1YdR7h}sNsewXk^@j%Be~#u55B@3X0(|{Z)SxI*bZRnw!_CCaz~EK{%#WO6 z^fTaiaxC%2X=6N54*8`k!_WD5+NpHnR5xDFZ8yHl{}duE#}L0iCAwA1%+|)nXvf^t z)ZAFV6~Ox(_VQ}Lt7LEtQf}sm#l+t9)L)SYd{X~<$IGcyvYV7*e@VsC79ft^YU2M@ z%$m4@jxQ3lFXI%t+PX-$OzjvPG7&FT;p#j2Mef8FoG~)A2(pl5TE)Sqvi35tU@-^w zwn4A`P`bF467wrp{LS}YyB7cJX*pTc++5y%$;)Y_knWVXLWdW?b!tT}zy5B4hYx+Z^`@4( z+*>iY60UBn&-)z*NP2LET0m;D;5ASV`mrvK$2-nYrSr~!ZLG-~yJ%;ij&~ny41jnl zjyHp+lz@|KPC`(h&$r`&N)Bn zRz>gz+S%ix2}akgG&uh6pAI`Q}}dQ&}L-NN~=5&g>Ev(U}!%1<2sq$;Q%WlXPbKTiF+#dv4X`DQ-`zofPW z0M8DK)de3CyJ{?HvP+zJQ)B|K*XhEU!bu85Wqxz3MD{cN<=}cRNri7V9sN^`^|5uX zuwV>;bQBC3v3kbTumyHhY&;dNKJsM&GSWY=kK^vgY4JhRqBQl^=Gq^YYXnBoU%4EW zvgQiaB7~+gzChk4`P1$r347If!~TbkIbD)hLBIAC%Y3o}IDQjlZkRj)w1ojG&Y%Cw zfc1%Y>USzLHGrEwud$MH%#`!usltcZsS3(!nOt0WQI4U99H|wFucaPlo!2q8=~|-{&f^a7np? zt|o3iYfUvGON{%?{yXu@KISFAigtfguN3#ymN4dVFEi3hL69D>f zsApxp6p<2nBBAk(k5K&e8*$a#{Ou_bx{~y)iIH%Kb%L^8RGd&>ly+h&{D!)qbJ>{$ z&!bVdb^J46?@U<-9d~}p9lpIu(FK>N>B}o0HWg%cjOq%6h5rIzvR}!R7j4skoPV?u z#!VHS-4;(47hE>}WLWeXPqK3@=T3t_jotb~-{c#ujX|Rc)>@zt%f4N_+Bf@^U&>H; zXT!IRNHx5=gExdiBQkjXGo5cr;QZ@=q0+mh{*R&aaD>ABS)M0r&*A7ud_`)E1aP z)c3PS0YO};RCcWvX8^|F;sR)Pa{VjWbg~%bXt4YMMkI17!myGg7osEzmCcdcw_yhu zsqza$u40X*iQoasb>fUBoUIRCc&}Qg!MOB!PevR|PCn_{v1ecu6k7sO%kRAA0@380 z-dQy&7H09I`7RiAhXJM#{;`3|P?{h=GRE!h4!B62(E| zp*#>P8>1}0!{f&*dQPAstkd>Vtl_$j`&^yyY{>f>LCT_%NCD1;9)SfOHg1W6#*in% z5mlzNqIqieyGD?Uv`@C91rbR^w!A_mqru_}7w}K|N_*i8j9#k`y-+715d z8rF)Z0Pi8(-rr_w_uU_zpiZlT0Jp2B6+0Z;G;wbVsMA3LnuvaoVK?I68z2kJ8Px{)c=?i}0A! zYRChGVjLaOvs3bto@rg8JV=NxCQd=Klfp{>V2X0w2V4{t^ASI^5KP#0%oYnz)A;E$ zH?+g#J?xXI7utVwhTY|^Mt5(vFXW6hUw@DErcPEm+_mHq&TUz3m6?Gul+GbAXQz3! z)BYKn73V$UpijaZp$*5~ns3b=r2tKLv$xSIgIqgPTX1m6<&s@RsOpoR*i~L3ihe*M z25t3fYu||Zm}vKp#Oi<9w$cBW4A*1p){iLoer zWXpGmUPPRn@|Z?rUr#^$%owf_G)G*2{uRSUts-OQ^ z%}LKsznF3=y=@S83A}I@-|B$J|4`=VHz&5Bd$@Ms{YTp9NR{MX>|?xbT+=tiSgupe zcyhu`j|wB5qY;|3)z$+0VWuMJEZ3+ya_Lvw)_)^@gXeZugN2v<=AHqY;#PFSi?$@r z&rsjVAT42UN+rsf3*D4jY8W}quqG&uJbBoIybysDNk?X*dXsavLWwZG?BlIIx@ZKq z)QzHe3nL^^zHyO{|K~_|Sh1jw!pPCNhIxM*i@Ko{;SFrtRe}c=ykCmd^dTq? zlJXNE?cu1c$bFN#!!?i&7ioCWX`5gv-}t&$Vo?$F^l|N5{j{_e<32@R6YhD|&xd@0 zN`$e>*A<_(<05~L91twCeWH~*;|^^%;~a`k0WFU-V?MXTMcX)`!II!i|OQ+^Gkd)4uEt*))OwXiu+pbCdN3 z4W1oV+rhIx+;#gzjLnu7_)Lo~PUAFa^ z(uMi_1Kba>4fBhK&(S8C0K>_1mkfK(`I!eM(+B7y&l_sgvUfZiwB~|{9@)w(QEfj|mUt&fr-}7{pm4Tz>Em(*s`+?M%BOdKwC&BDqUl zeZ7+ao{c{UB3fsDt&ErdfeJ@1h!q)MDMI4KH6amV zuTUC;-&*5Oy(MOh^Cz`qe2{dKKl&Deujcs=UPnb=!y8T~xCeeji1R?cX0}yA4;v_d z*9&B*5L4xB!T)j^gdR_~EbGHWdem3!5mr^UH`WS~H*T2v{jR{kcX(uhl2@$ulcX+Z z?qe5~lHK#x`4gemX0A=p&UYexNfR#@KJ!o}kSxEj)qv-!o+I~JsA&%`IH zMSV9|j@MCQu5ATNg)pk=8!zyn=O_g-8WmKp{r;I$Pf7Qu>k@ec=9hZ8>MI=YVbrGt zVboC9f7bFcCS`!_!>MfsZ3-~l1t&>hGnp&mfC3bLSf!Y^z{m4=gbI@^YU>wmVK`Nu zx0^GV)2%Z5i8=Ww{v({kXBs6@rOY%0NJ%#d`o zv?-wa#)RwjLu-n-uKX{$d_F}l` z|MShI|4sC>yPP0iQ`fC+e>|UH$TNG?a#N)8rYVEYo5)^c3o5O-YU;659^JoE9_>z_ zrZZDlT%6n~lfn~`Il?Ksvj!v)@w3c^Wsf^fYK#Fg&roxzwu?b&DReD$|Gjf}-N0wv zRcMxzPU1dNI8X?WxS~8D+=Wx(Ww*K@i32GN0N1%Sohhz)iIe*M@d*BvL*O6%?s#v- z-czAP9%>>sXl~Ox$0w47EEN4uXI^5E323RG_&XFi=bW^`f+#67R&WhK4}Uxq87vHY z!4j%8iYo>3c)s+=7SsGm?l2rnh;4SWL?*4rV-*M8WsSp6FTBqhIlbQbv%%ci?EX%x zPYq{B;xw!olcalFNTN&IdPK*W?*gDt>>K1}^0PdYTqelf#=pm;(lM!q& zH}ad8k8}3uBS(B(R;t*(#pM-5TWW$tg~IjC(l!n!*^mB3zUqQ_R`^J^7{5!Z6V|P@ zI7=M;AVT|zz@YX1k7e@Pl1dCBM;e0*r8tH(&u2vORdf3;B9UbOnj|4THRadQND`vy zHkKnWx3I$&MxuDP`{%YD7ie?8Wc#6l&4HurTSX%UIlodIL!G2hriS?xa`v%gVcKr` zN(EoXw`R^`j+aqSE1!>L24nv~>9~Jm)X1R{l(wf9xjvykLFrf&(VveKG!>C$IhR;B1t}h@uWigGWfwnJZx?Vfu@H{Q$VaE{vN%7Fw`a2%#WP8#C<6eclFoof4%B) z0_<-F#8&QU@uxWR+9mdEL2-biX^K|KG0-Em;oizGs^ev&$^8#T}%)7rlZpvy;0i^JV-*1chgA(!fa23g*y z9MD}y?`_tXma$N3ItT9Y@$i8 z&qQShPfME(mu@q^G7Ii5SZyhG-H&Vd$b8V~yj$MvN@?54f|na|7Pt0E8Se#l2#E~` zRdQ|OP8_oOAJb^o%;e2w#Jj*bXz-zvRHXU@*No}K&rY4p0_Y8COe%2nE*@?@3&i{f zPX(qNLp#Ik6oBgiDxoyI|6R@JLln`S3*QIc!hugM1qul2cuc;^pvvRoqb47;4R@Qs z{7)N-CeCk7wSddgFbOZ;W4#66Ly$b$V2KLVKkEuE@lm%Y5haWpw(6L8Zs@=KD!)|? zkwm@AKXbpzr9=$5HT(h;&&Asb$=v~!?#^)KSk)X!w}aofCJo)HE#d-L%clw=;wOM1 z$@v#-PY%YNa+iPtn0{Wv$JIMEfVqL?%}SJnzfLC+^E{(Jlpc1Lnw9+r+mjz(|64%f z6Apa-M^mGM#|3QND0y|}#X5$J?h4#H5`JUxq+TuGFKv zN#*yrBuPlj*MbzIkKfJ5!%~BI{}bkNh*DQON-K3RpM5VNGz}@K0kc7ysNy>7O=FJg zsq-(IWd8e(F@K}A1sM5zEM=2PIrm*$B`;LgwP(KS_5NL8kJbj?nP@=p+>A?(`>s^N zE18Q*B_aWVQ?IPLz_6^GN~pECPJDOt(#I&nyZVz!*%)d*8UkQhm+UA=CSg8SL>+cv(w4Jnveey!kJ0#)HRW#K@OFh3pd z1qtDCmqqvXX;d0)ryy0k@yIGew?qimul<{mZ~()k5Uj%ZR)DnuSzmVrUC~eHJpL9` zxTq-(!_+|*2)zB|Wy^OJ&K$n#W{j6Pv4G*jLHJ(IH-M!q`B zWfVC3jYZ2Np)=o1mM&epkdN$!TC)flc=zU3lVDjz?oPeRxc^Zo)l#&(5Gs7C#N+Gk zV&s8psz%DyfodJAe|Q4kzHY7ZFB7L+(1my?@5~t}WgeJojCCsW-qaOKhtT$Bl?u+) z(!18GSE_^H+kPt|pZzzdlm=hqAT6eqoSK-Z2u62kBKxj`5D@@mc=K?%xfKKE3e5mX z^HJUa3iuP0LiqDb;(D(WYEkMy^4`+vX@pg^C@4Vgz0f(g=3M(N#G8~-V^2$?mQpKW z2WH!1ZGjw4JIkrhAY+Iv6o1=6NBFq)!=(eQ2OsC}&zJ7I6GG znGYm?g~^X#-&m`+y0;GP6K}eB$R*ukE4XBHsMkydK3C`9R$<$pq#}H#0nuNw^tSx$ zGqoj8p<0zxDhFSa>i`a>ftC)ZhzD{7vPSgoTvTh27x<9+fk3^mLwWMfVwOyO3zi-~ zlHPz%fi0ltCkzm-J_YDz(?4olp|emB2~=bg9}iH5N3$*16?xOiC)fHz(5M>qcSr#~ zYD&4v7q*}LHGlKSmIjnOW7cS>)4;)Bk!=Hy@cpmP^2V+oQ3=wCKA!$8)Y8*r-s=BKZaKW3p z;4}RA7_U7)rg-M@v0Qx70rpWa%SX10-g!NZ;Kn!~wj?2Qk39MC1b-s;;zD;1h}z2Q zc2Q{~McS?J>$Tp{e@8Btz(Q$rMEJ`OSZas8fl^oD`CKaG5hq7tjlbki3O_|>RL=+4 zQQ?3i!T?wJiTPUQP95|dt>v7nphBlUS$69&m7L&v6^>ml`C`7#JH?is!gmuc&fU=V znPt-=Yo~R$B;Ck0<|jyoO2oF0N(EmQ-WqhNjG~T1tWA`<8RR-Sof|E*zv2BRtC?!) zqkkCeZ2ZZ8ipIt|*XUi`os>&TUTccDu0JUhP$8bOYnpns6yHbx5D^wJm1nh@d(&w$ zPx`6lnr=)5khBw2VM-3b6E#0L)kOEby);u&ZxUEt;ndKS6(S#?r}-`*PR!i=li~Pc zjF*s>W!74S<5_jiYys_~j^LEi;cbm|0#6i|ivJ7Q$irbaKU?CJ_n+WNVBUq&rho zHs09(5$OU%BKW#~{Igh0A^=}+u_8H!tkW3F_q^?<)f`)a``Wg?`tn#9d%Pw1XT~!} z+yC-YyZJANm<_yqIYSXa<$G4}tJhglQ?vc5rs)Hqnt2KKg0qMP>Q?u{>GTn~|1EWT z_xyMZsX5<=EpAJyTUkDHjG+60K`Mt6Cou6PT_}+aongLSAZ)mw``uXFdvtOu$Kfks z1Us_PQhJ&ju{gc7JDqS?Z)2y`b-%f?vwDax^Tx)>vT4No?-aq9Jf1|ikm&gsL@cZ} za=GWT+>Cj4*EXhLJ~i?!B8FdqG?NmEq;ZOf{Uhw|Ba2dg$BIaVDTf?|=}kGa_VuTp z-F$lMm5fHcgch-$PqJ0t%O_2}UORctwQA+9e`GL^@xOtwg@}hEI+jv<60{_Uj-%g4 z0jTV=!{Dp>l%2SX#fm(Zk;1v%&pFJg`*C{rq~Bm&u13F{D!>xXRo|&5Tg+NQd`_6P zKTg82nYk_+F9Uei>+}!fyp*Jov%J8y*TM0*Y^eAsJ9Sbyj z0+fZcvqvJRyFbw>8P(Y-md9}14u7xih zlEGC+ExUOZbax8;1`wv*Z!s+@7Om>!K|FrG@VXUefl-k5L|fxD`dTPNP1P~b#(mz|ElgYusUw_I8v1Qc=A*1eA*C{HhmVY!45lain?QMhQ@GEUayeAL#-tX!BCm`pFn&=D zdq~{k+q(#}{B5h)X9fw5%~3@&yN>8%naGOW-fT?mIYo#2`w?|AREMFI-}E)BQ2uyB zmGHaxVP4+}NOtrsE~p`#!|}(*n5i7raKSUK5Hd#=*&9TsS{EmZ|KpLJ=1V-gO%?n6 z825v`>uZF2695^rm13p@pGzh<+?qzbujYNMRe~ebC~5A}i-UiWu09UoHR0mMLoEiI zq~tlTC8hmqs*O@4eo@9dlHhGtsLO|2S%WEi$hBA;)iT;aTNlUaHc;u0F&UVPNM!#` zIm9QBgz`p9T`2Kxh+1LJ>roJUAgYO(&xvr93>G{h170Rs@0r$n<5{B}H+?TA-D2Lf z`4-=DlOkbo1{e#y>hYd-5jxEj_FW|hD;=Ga!6C3~X+82Ii|?8f&u}@yMO?8!2AKvs zYnTpe%Y>nlQ(z^B{6e)F04Q!p^Bc@E=Wzv$6Lm055!uuCS;Y{{IusP`UK;-0-SQhxel3Z$N-tEH1XwjqPobC1+M zPJyhMY$Tj+(Q#FNwRzjIvyL_edkbD9?gZJ6r9C3CTSbpS4HpncJUae0eMfj*Z}hX0 z^B8=>XR2c;y03M`x#CuoRZeLQ5p>e6`g4kQn{#g0goG-<6rqX^+#;-fHVv|)SL{&w zXrJl*z*9N?I@`{<#9HJtSylpT9*{#hs(UGVZX^wXk*DG=p;9cXAyDA!Olh($RCyO% zXnHT9&;yn1{<4p!NzSc>{XQ!o{*hb`T15-R`1Cp}zzdzM1ywHDWf zI)KbK)jwow{BKt59ghJ}bbBBUZbao`vpAQ*jG#|XHs@JWz)~UC%`jDH1P@U#R7*<_ z5;}fH$+CJz|2El{gFSH@A#QZGWSbY*DxNvun6D5npH4+t5-+p^rA%(lkt8F#ce8mo z)cm{YR?fmxUZaqq@O?Wt<>M&AaFdmKD10ZHepRLEtT*;N$BFcweFb9kaRiol@!7-#S`?IgE8kqMCQ}ADC^cp+CQ^M;TG6D zwk!U04#y3V;|tRtjcao1r1hs@c6_mRx9LiQ3mhVv$0^l|cL^-OH5EXKFstuOYSgg~ zow5WW?!>sA{;D`KCHn2fH}Dl>vP5>;?6e0K&~8zoKN80^)Nu}?|Cd}0e)QW7so?wT7@?$*$h%hn7WDjpX)q0ZJV~=YjyVRZ!WM7@4#QEKM01IHtbbtfN0f`#Z%E@#J?o+7XTuIomg}J7GmjO{HK=F@a zfAGAxb3FV%9@{Ub(Q&Vnl#QEh!gaSDJ7&A}8L_e!#feIc(IsS993NQ>_+|!P0c1@0 zb28SS!M)$~oF=n#EY7YT2WNgg9uAwiKR(T7p|opG?bXouYseUwS8oJDWrvx#%AHSvL#NlcsW(sA({+4=ZeAL_5jPha}BCnWXQaky^Mcn@Ta0xPb2; z0M8Mfvqo_%^tVmxOt&k#^q3S(*`?DF9TdKxz7l?qEqVO`O!>pAff*lh-mTBS(>Y3; z9RLhu=5YTr)4N34R~{3$&6n?Fw#V%yLaCZgi%WV%NQJ*y`}{NKY`B>bw~AltTCGX@ zc}jQjYjL}oKb1MKt7gim>RQ`FupmQ+#`fc9kWK+boK)Z~Uvvl6#OzI%2CG_p&=hO} z$@>8W?2<8dwr6{xL?^&MARhguI90 z5Lda-{~$cTml&>geqrn`MED+M82$w&N{0Su9N8>4F<4ix18pd+Y_u;dFLfyFZ3>_97sXzFGJ*29(6!9 zI;r1e7mNY2%gK4KhtQ=8D|pVZTV_}sqYZ)!;pUVLzY-mNGt($%SXlnuVTc>h9^Ge@ z1(k2CfFJC&K{CsWQioH_jFXkqgc!6_%Q!{%!q02TE<}&Lhv6q2Y9=gg9%KTCJZ)bCbfs0lYG6EC_a5QT4=|yKk`< z6?t7%xq&bXZd$1a$+DEDZUo#izN|o~h%jTfxj*zAwx6Xi9ZsMTe?kxeQ)f{h8lXTv zS??CceW1BXq)<<^th#7~#3MjT;vtor(81wocsyVYdBu*HLfe}-++;&cBc_ovbV?1! z&6|{YdNWn*nI>i|b-+cw(w!rddXX~TWFktg5uqFAnWTN#jrl}HFYoNI0Edy9tlfj0 zzoIo@%7Zxea1`8^5F-m6(!a{m=G;GZVV0%HF(1EVP67gO&Ynuj;{-3}-r&>!MQI<= z6Wa)cNPg0O8yeTgGdU;_WELKe5qjo36-8}0qdt2aPJaKEYoX_Mts~R9!%|h(HD(fj zu}|CS(P#N_67{l%lQ?BiM0RA?^LR*W6kv=ZS1-6Nq-oZX^k)}B;|w|~wDIPHEgqj8 zU_y^s75SFfG;vuE(2D6{-?nB&bC_qpPiQm?B39)CR%vp$9wbp0ZSP* z3S#s>gxgCd1G%Am-XIlZ--+U*e;k{orK42|=QKdaba;F=$>|072c^yFRp|J` z8NW==I`VSRi;WHlk~YPBO_5yvRlp4qP)^(#?&*DL0tAhRcf79Zg>p#|6q&j^kN8+_ zS)6a2+SSp{NN5=`J4Q~A1@arFF%d7;0Z2{yzBR|Qw?WxcLapJFUP56ugAL+S)Ode6 zWhDwn`<28ybA^*f0n>gS>d(78Qc*SC8u8;#eK8TEEzx>`G6fppdsD~lgC#4EFzu%s z<1|T%K*c@p%Hz-O6rpd-KyuV`o#W6zopG$g|1_e`V1dag7dWLoH*Nqs>AHj1+{{ni zzb@oiO&_Hl9-esCL;q)-eEbbzkoK{eXp(|QlP@`6NX(z}VdZ#5!c-Vnd(KT;c3Zh= zZ^{BDhA#zCYO%MR{Sz3<2Qn@_0F5DfVPY~vzP%S((*2e~k~d7g64xNh-DaA+BA90N zd;IF_V^gd}Rc;PowtV}rqj`+A-sm8texkwuX`6GhtkEyoJ=A-{Mk|B9Cloirqp@T= zPB&L#w>zj?cY})RzDnx`aYU_tQ>;~332k6j{zFPzwz|?l53tAcATsA+7T}Eqfo}3} zue!UxRnN=d_q&fnce|%gW6v04@;=fYD4Et_9p@F5q6pLGSS{n;TqUmuu60=Vd0{0Y zVcHXGV~ogEI`+W64jViVQNk0Zqp>98{ahvJgP1yO!u*cX`i-uqaf#sq3x8(0b!rsP z0w}4sxG3RW*z-mYPmcXls)Gf7!FsREC`CW$vU})<(v3t>yiw|7;fO#J%2*COh~H0j z=ENty(wWMT%@A&}+EC$JXBVasIwI3>k6MTT^`z47ZzF*Qrc1Fx-gLivxR<7Wg-i2d zcyt^HohP2B4S%~s(-k)~w1verO6rr2n6$ZHwEhSj?>G_jOh_KcYHR@27zihf=(QpI z_H{dp35<;SJ(st*^TD_55#){#H<+P&IfFhe@`r7f_ zhO^LE{qS%pg9s-=6GBg_0bcx4Fscjze)$e_`&~FaOk2Xr;g_GILL&xYdPu=xfY51P zE%yk;>igzCT@C|0eE~>X9)%ld6{!R#hWx$VzzQ@!-n|mK)vY3Ew;n>!cdtu^pj*PdII%SQKykXK<=F651Rc-VG$i(D<0WxTz*YfU3GU)r4Qa5&h zF&9QM_(I!@nfXcLWw51^%^LP^{6+I;`|@1Hlb*tP^tX#RUT4o$N#Go^EdTYZ7OAs<%B<9g3z{0DVt8OUxgM4M^T$@pT*R3yHL0}A<1=zm@= zIJ54ZYk>+?N}VWQb|vegqOhBD7L+T;R6xZ0z9d*Qbl9f*YVKeg$cvVc-mcQGV3JE^ z#1HyxMYIL!B822+&FbEp3rOazlwhs zsy8NOo-GboDE6eNKVy*11i$84UZIH5`f&r6#k*lye;_}%u;e03b$-i3ZZnjfrTg0~ z_cluEg=KQpYzRGrP}k;eer}!J;9^tTd_|RrHERZ*?pUY@p!it1?hZ$PBu2GDhxjF(Mu`xUfHV?+xFurXa#NZ=)$#Pb z;`h+gaVM0zL0aLsi#m?Vm-(&##BtG1k~R*>Nb_k##+;d`XHJ-}*a}h7?J!aWEHN!> z2u>+o_m8u%2#8V|XOeVY7+2iB-mSmY6W6X(-K3mn4dfSL#=la15p8uy^GEUV6aBdr zT5WhJ=N|JN!1Iz0OSk=8$PP5x6*udnk^B@gYL$i_FZ{_19t_HC~`u^omUssSr;$|Kbw`R4cn_edq@kRXk{C-_`6K z1`Rn?=Y8zU8p(|^FZMYD1-Zu*pKzq2Us+SePRFZ_ZWP>Q|A})!3G>YxZB8ag7b=p4KtFC=~sG{wDTO6^?9W3y^IQ=fz#&c zI}10t<5;0KQh@yW($ow$(WC`sAB<9W1>)X$yDDc)FxiXFFAPcxZ*zMO69ZJUBq%}f z=`=s>E`8}64LdqyGU)uhfI^qij-Xq(A*8xXc^q{VXn(aQzu z)Z`mOgGN>dJ)}mShfINsFl!#|Rz&dy+0i~>%$@BLPGD=ALg?DN48F6kewjUOwR||` zzS1dpz7SaIR1xPT5c>Rj9P>YmO3H&8x9F)7hyVHB23SYB{jNk`P1Xd8d*+|j1LX?# zZRWHZ5DGBulf8b^Q4>P`xzf=h01Xi(g%sP2KY?dqPu%iSURo1YpY;i0bOdwYd=B(4 z+QcfzI8#Y+5ewEz9s33~=#*X%19LBqwwR>YU72iB@@Bsx#m`^(Z$y1hih>3h-2asF zzwqALr~hmDNbm8`hI_W^dfh>4zn%Rlo4`NVaMh8$N42;3Hw zw4`OqW*vxbNbNioQ#>IFdC;f5b#CgaYLh2^2(}~A(uUvyL(CJ6;VlJs@(~Zi^AWgA zKTvsHs*qIFGwViIZ$2<_jk4H`1KW_aAl+QgFP&`T0rZ{84`^xzXsr0p77sUun?1?~ za*0pMtu?;EYV|j}*_0`#lRt|Ugk5GmK7g+vG?o&WM*A{#Y5rc*Ke^LOhi=JYk)GEv zImJn6>N~0keQgd{VD^xO+`rpz+s@VOh(a}bI&V&^xWzWXrS$eGwFM}uldzrgDQVGZ zq+;Z1c2_;3(MPge8ql0_Z>(f9(3j-diOcJNdXS<;hPKqBNpR$%k>^+TPBXyGFMiM_ zuHMMX{jE%}kKE};h+(Jaj_s0d-LTxpU!G%MH1*}}BFqttzkKSv)7XD+*ZeXB*Z zsV70Xu4$LN2A5Hm`A>?In-Br~Od8Vb?BQK9Gg7n}|Aqh&{GT?JtJ2^Ts zlPdPd%=<my8mlhb?>e5pr!Ll9R*6a}Yg>Wtltt;Y!a1%4Qq8pP&?Q38 zc%uskBPv80CF2Z$7 zQ*jP9>aE;udU zPJstD!4kfjl0QoQazF@9#_uOOb?{|m9hZ#$QdeXqmq1__7rwZg1IydoDe!hKI!obE z{+_PynDHD`7%~2!E>$;gc>Gh2M3YEFv;3bNMB)%de5w1zNxZiH(hf;BGvKUz>iz42 zydOxZVr4^ZmJma25*f{0zk5#oPG`$LBfNDX| zYca2|dkht7k;SQ*b80dfigTLRNn1Kt4&R`VzW2uML-}p?Xg?0Y zhzLW_ky1k67Okxa2T@2*0JR@Vg*Kr%mBhl+7y_rW7Iz9SIO1AVFBE+0sMU|4!VdhT z)UXUeYni!6)L|{*oq6P}sq#$I>3ybvc8X*)Fo7toHRy_br>*Q-+H~RI9GzZVQ*u@B z+s_j#6(MR6nMVbxXJk>?622>p!=hQ;B1xa&9`t<$#Fb|_O0+ku%@8;Y5A}oEa1ce) zUyHt|iNYLTGU2=e@Gc!xE`yg8 zb*&}}yzmqq=OjOp)W9pU0KYBoRoK($#D<8f#1>Ji_n#lDADK0T>Gjg4h*Hh^QZ=k< zB*%<=IeJ1@^n)P@s?z96a0hqwvg=+-sfUVxmkm5MBg_Mjrt)x&IXiZ6U>@$LEJukB zmiyZfpJ7iv?m4$R_wW0m`z}%M+U}M5YN)L&XUCvS97+ivlM? zy%vw-Ag{(ybUoAB*9BrqMv}muMZRrCx`buey%XnP3Kf{O3ir#MaJ2BVzY5o0xT`6* zG{I<+i7(oyJeWRFPTu+2i!S&asBIaXLFP$Mr2M`8JTj5TWL$yYKxdbvl<9`sUzP8r zBnVLTx!)$(rVI$Y*lJIdf0x8Pz)7usE$;R{IL_s^vQD3BPedwTnSZe!Z~z_D))~X| zT=xO{J5B&xmsSmT@zkv&wMJ(_XVQ8<3ty3T(g8<8WoJJDAY=Cirz%$WKhWJvNdq`^ zJ=DJRNg#b{&j^t&rwR;75*9K=J?CY~sQ@uZlqKkfP6PM51IW> zJc?JiZZzgVQ0a0o;tcLRckySd)%QCYOe{jt|1S5!%GKf3k+(Tf%3!OxaL~f5rK2@| z#G1QGYHzmQR3S(j5yvtpWoJ43HI_U!MQ(}HVyEcVz`b`tf=v~$VM{Jlv=Ko%~J*ltOzSvIuWkrF26)*Vp3d4wV&(?7OG!Kej60E z_-6d0ux9Fqmzc25*Ytz_@7p$g8RMmwp@IwYQonEdfcH4LUGn`zJb^hE3gR*PYsT|+ zRPIfuGU-gWfZS)MMoere0~4jFC+4ORKfAvPXoBVvl^w@`#o#jCg{-m@wWAk&p2nwE zlDtq_e;U<}_~*Ve-50gr#HYJMgM(~8!Oh|-tq*_s$kU6RP>iJ<)knPAm5Glfd-;kl z-3A+}e<5Fzlt%Pj_26jAg^4{0_SUy}Vsah%91y{I_P-~?NcMuh{C4U56x9xba24I^ ztZx9e`6T((f?H^|eD-CB-*D{kRU?TT$(#;l$ewBVB-5AsXiB95wrR+o74Em_ z{q8(Jk48eGAi(_bn)+j$zM>n$KJ=P0=7TjNkg30)0K{2aT*qH<)zGohbu-#g!4 zr9VRYRn1#vMcw~y%3g;R*v-SovxGGEILM5rWNWWYVS3L-@jkHf{<5wx+^O#?uog8> zd}!{~)1$N{rvHzkCQzj?uRYy>PcHde>;F!}#IX~S<#dLBCL?_`N~FPd2PAu%qD%dl z9zm7G7hcWs>Z*oFYsqt{Pjl@$LBoj-bV<}5U;=r97Y9R3ah~u<0a0Ihqj2_Fyr>)W znl;@ALr?NN>|c9ourndlz`T0CvlUO$JCjV^g`e3)AU||^w0a{H@94XAZ@9~RO)Zh{ z1>ab3-@^QG<}^4(zp1<@s6IfkW-sM!*Fd;ary}8pv=OA~rxeJR!;=C@Qn9F+L2~K) z3uegdVx9{v9FZ6DX27%mn-RQf0L?q6X_~3SoZG0sco65l)4hA)vFHLsgGP;jWYVlT zE9HKx?Q-?~=a5qMHV|^?f$7^$K)_8<&`JMSB*pbN9v~3U-I11Z84rqke3v@OI%r6L ziX3H%lj!e?jQgHD#lUECaqb4y9O6%M0?UzIxqdg4ok(xiXV^}0J*x8GiKAk0sgf^t z#BQh@fZ2<%JawKWrty!141WPj6U&fV7j$Ngk%!_+N7nA1Ri=qHP#WB|E$ zzCzts+&G_avWuwbvje-jaLBTslh$LK_SphDRgkj>L=elt#B%k6ELe=t!gZQ|gG=ql zmhf=uy;|(_olB42gmYL(-VxD&KneD-x4tWsyJv;o0=?ra2v%X)fEw%>hXuUKrHEy! z3Kk8C$VL*c;9AM60Nv-LXdZ-gxh6j5F4f|m3sb1+EDtn@Oy>*TkU2rpEERr91@ro; z9N3eLoGKnlT}r_#11j(tk+rJ`7eUW8L~7&xKgGA|Dso6o2^?0!9ab1e$T8{q0fn8* zMs3f>Wzgn9=?-a!=^EkMCj|EdxDIoq|CEnz2_^RA$;6X5fdSYIQ`g_xl3_+S}?=d#DCf#~agZ_j~XA?^uxdV=5C{r$&g z3hf%*OdAN#TtUMjL-3=h?2k<7AuRim0tw{8= z^Y49<5fr9l&JWo_cT6LmVv+#P~Fv4C79 z?$%_~sv3C?^lZR>|GfM~*uyV7Pb>Kpmzels3~^3Sh})p;CDdUIT&(9$h{$B#;*3(0 zL>Jy%Ee1A-Q;75I;sIdl8H!WRiN9A=<;qa-~+(#Z)n*>FQlGOmr3F>Y1J^ zH|og>-RtM;%{ zd_s($#tC>(q7p2BmF0WruTYWa;Hiwb$9W)~yJ|`?e?PV&Rv_)(@G+PA)pJ>ac`-y_ zc)1_6nI4qXlyts|H?T~w#6Ouab2Zyg714(@E=R3skk}ssFvDScR6O51jj^4LplEhY zYhh;IeS2~#Zx>cv?&TCKI$`>fN>n8M%vkl_cpJ3NHuj6i<37vS!HP*Wx(T-z2Fg2gt z7+5G!`~Wn7K$srTKVL3zp6-Oh24IRKltjuRaJW7dcrB5SD=O4!)-lbDVHZ!mEld)K z^4`Aip^rY`?g~w2@)i{+ZJ`8J1@5^8xT5fJug9(meWc#2B^S#*n`>lf1Z>NGq_6Od zATzc9cB@obPjAFw8WU%reH%KT(7C+dJO6jjQ(=4wSbD1Jr2HR=fqP2kwN^W3l#AlX zAkAgfpkiq=ahD{=9mjmb9)J#IRl02f9+Uo;wWrZd{c%`d4MK+FmcoCIKnAzl&ZFR# z(zlvX+{#R`{|Tc&)uom;(JqR8oEPtTt?T+mJfx65`zTfOit*`wdxuqi&jB55eqe=CxHWTt7YQ4L^5+XAP9Jr|9NQ$M#Ryf=Z6n7W5^F)= zsocI~01?ny5%-DD)w1F(5CC!kuAAM>alI3EMjE>uQMFm`_Xk0%#b&@Y1se-_g#)V+ zyK#$~hhG(x<^*j$=uKABmOw|wF*cxElQerrB+-88&JaI$uT}BHJ|*62#MSu0%9?T9 z7OhOKRK_eVq>)fabpCks3V1j+en6z%$z4WUIQfq89@@J2k&dk>2Q{W3ip&j@S9Zxg zIr2`XBF2Kq$7Mg8rT|kmR#HX0_&WgUr%fBdz?NMh=>ID^^JpmF_m4lbkHMH>?AzG) zeJ#mO8;#wNy(v3cTksfL7&N6O(PBxV6e(-TR+9Q45hjv+HI^nx*7E%P{=5IW&$-XJ z&wZ}@dSCDN>scN0sMgd5b3tlXklnWpYXL~aBeKA|dX#i-OBo3)zG|mRSHm1^k8~34 zYkkeODi>vNMMN;3o7MMF`*d+^QYG=!9$sQ7Z4O)-ZUo)lX;^XKdZsYk#+V{usZ!)J zk*JH2dt)CpOW#tWA+=E_Yv5mhdS|H`ZmG)REFbqC%g?$wn|5kfX)@>U)lWy>$|q0! z{b2jCLBeTQ-WHrR{u_@cGc$S}*?;^%oG5CiFGXXHV4>XxxUa~#Q1o@;2Zp~vhzWX+ zZ$y6oXknL6wQGe;RwKn)YUSo72USM3@GycAoSWpA2=WowSU6twx}XEW?q!Xv?*Q7B zGQJBXRm(IXg&7h$6RM1}jA-om6N03g?7+@@pa*;pgQviI-Z7g{NcaE>t%-Sp9pJ%f z@;>1k;KyqUJRuAa1vQ19kOqWBG)13?4TwusOPE9z@nAL~s&^(}3H_3l0OKiVK`XqfteHUhFfj#9^HpIGA))JYnf z^?8aqP5Z){`AVIoePhl2k2+8L&f+Xk7imkZ2Po3-|bx=!0*ZEjJw zX*;aH|ERk(Xk94|aZ7CKmW*;BPnU~;D1sD5RQECj8g7U@6fhGB+eXKl=Z;ijDQ$N~ zmJU30_TCRZIA#R<7)S81udTb8H}NR{h)0?EF}Fz@$g$6 zwIUT8dke}|GA#~pH3h!-Mq2c&>rehF`y{YM7JCUha^io=v54}F-7C!AL~b>*#EcWknVO~=+geNDC)!6M6TEM;rR^_!_tf$i5RL#Siy4j$RI&EiDwc=N!0+X} z23zKVB>-X?!;ypFdNKqRyZE-3%r7+=F_kM{lW9Kh3v)XoUnu9|#jKt)WF&-0@>j6T znwT4xDQ%kxmTI_H@JU?Si>7~#mCJ(9^+mXXcE3t5ny5ief01ZeX?#6&nQ*CYX2~q4 z7j2ZY8flYj(wQ&kOtGH*L)SLDy~{@vb`bs}(T+ zYu!}MC<<%Kp5Pt49)bP)WaeX`*RIylELdVXy7iL1QFdn@x;;7r=#??BY>RywoD+2= z4sIa#$M$(0V?$QBL2cU|B(KMV{$Dw#A&xU@ER^>!T_o zUp6*N3;V55q8Ho)0%#&Gtt2i?r?Mb*Q*gant8dEwAFXqR@jqW#tDu^(OG|r2kU2d) z7Hhs+lAeUnk^`ps1A%0>$QJMkKRK=OL037&C*P?PZ7%%s4VJ$B2Rtk4m7^p)j${^V zNrVfb0w|hIXF05C;lVHY8l4pOxAX~T)H5ml7rF@3Q!Qgw^yb4L1eH!i?Uu5?mc~n# zYG!X(R>D*Q6fZV5bKu-k_VgC2GZH@Y0y|MUwIdJD+n;(n9$t@FQYh}K=;5UPyU zAt9oq9T`BflSu{*b4WS(mK@=yLF)&f<{ZfAl4TU;z7ABFg##I70;&}LnS#Z{iCl4Y z9k}kB{DP5@vT?kB`Owr5p{@l!Wbgq)Ne`q8N2YcH7tFoNq;eL)?fb@Is#P{aYK3Tr zpsMd>oqSf$iG|F4zY7p{=*Y1T^Ff;F^)|)jZbQ{C5a7W!C|OV@s0%h%YjXE&{L%pI znei7?b`0a$uNwuh&B&z@z)I8BV?b6yGY~o;o`ghNl4&4C4Fov+9VmiK!ny+<^A_)x9K5It%zRri{J2$Kaz>(iMHb2>4AtgFI679r=-VY@m|At+00~VZ%_Cmzv?U{av5G( zF56{1k7DsRd-wBFV?s;0#DO%4fDY6fuK0f~NJ5ySfTM7QZ~4!9y?)^KjXMm{{#I8j zQ2vy9!nWwZ`qPk2yf^OfB>alCs$zHo1UURlLmrx8(6dsIx!bu={^WILd=$kKWyzib z`^%R*O+MM}obA3IZ&~*Gm&~*S1HTFhY1a*JPY;hHGLDRECUc-X=Zlg`F+sQ|VwPyS zFZ-=R>jFxvWr^^{P??AAaP!mP>Bk^9{>bv7{tJBNvGf8hr53psRQR5QcZ|$$L1O5# zGIR9P?JN4z_@sLYGjM(=M5mbOM0n74K(Eu<7_sza6#%r?GY+<$ZS2*>hApVMV?h@g z^i!iUy6x#Y?SP$@v)cJ+a?(>Jf+fB^QuCZ}JVy4JiC1{5sbLe0qSNONB4F~ClW?Gv zVyPM-OsvJQr{D%M+J!P7m70`99GXmheZ2<0Xy9L5#n7MqLzL-{9W<;eoLWL4OX2(9 z!v&&E@xIzeF!P4UX2SsrcJ%3G{-7N}H_!vv_|PQT>@j}-relpnjPtK=n2RJD=>=SIoJ#r@=510)WODMc|~FD)=WMXEy9>c7SDh*(lFzR zQ2KSBjc#WNd$s`MdVOmiMdxF@bqgdz%4fI9h~#gMG5(rOn;4mG+a5LBT#r-F7ZLiG zt4tOtY!aQVTMt23!mIWudNL{K8na7id{m*_4Mu&(Y_t{t#zOoOm`vI9B(Kti;lY;$ z0DWhBB0f5&DH_MwobdRCV*eq)$Cd)sHtDkjgi%EkBB7t{Dnkh-zzktN&QlrVzWxH8 z*MM4tyw?*|ljI(3Bo_Wy?Bh#CNnIHHM5nHeA&AU-x95TwYF^l5vH!@JMG04-1Y%a2 zu%67K^-|Hdtz3rKPF>VfuK z5x_<5r6;0d-6888+L%w-7ug)xgNfWZORx?ncQ)vY$rRcY^LGh3fwsi7-~g{hI4h{< zzhn*6zGo<#j{jj?j__Wy?~vbE+L)S}*7Ux~zy46gdSzXCO4w^nc|us_<@*y$WaK%XAntSmqun1-3=nZyK zT-M53%l4*3`SyR7@#3)!F}l|C79-!K93^0$t>G!Q4Ve4nh|56Pz>Eekq`eBnmIE>o z81ltOq$39Df5lPRcFN`-g+bfy$$3|^GKYz!tnqdxi`I_Sgs>-jwn_$C3Mlg zgIh7Ldi6Kph5RyCVmMsNevl3;+Oy^`iqe`ZucV51``hHjepqTL$NqgphKNnix&GStAHVxX*Qt3DI8?JH4P{WQRpqVV&-}Ye)elEX z-LK6N9qCMK-nKib*lr<|W6yV>@MSApyy7ZuSuNg?)N+2`HXIegwl#B#OOIpbtj{o9 zzU?|0wVP)!|1(leuJ(5tSd#I~@!&a~aDifsVlv5DXJlN`rzcnoR3)?MgeFkt=#(HdjjG zk%XnII%Pb$VpeEEwQkX>-Zh=X@iDGtFiKlyHs;-*Z_4gVSI7v%R8}%Q8g^4>ts%40TU^>D9Imh56obU@`=&UIAAnzQoMp^T+ey*!3DQK z>n3~dcjv=Ren0t|!wSl*YEXg(0VU9dL2VB%jPTw@!_r_*`+-11$+@OJ?)5g^IT)NK z$UVhzztGi}#+T51`oh&}^rM88wQ?3ZWp$Hk%|7Um8ljU%(my6|<1i|kYRS1&`Ie}_ zkLpTgCdw_tKv;0saZOuqY~AHD%iNIj>un!}gP-A6?DI$eK1W?F&ajQ<{LI*yl}}jp zJ15ZAixow#{j?Q&5Z3v3yUVhnGekbeL@q6MncGfCcwU}@FOer9v@KRggjL-5pRb$; zIZ#Rw!`Vym!(Qf!{AuVh1Nvgrt)-l9T#G*3uxcCuN*-^sU%_71aZepxRbDV5n;WEX z4$-A-rz8e)F~u?+cZVl!b|iiU5wOkMWc=6Vkrj0dvOFxaBE4ZTimUXAV>;=Jbp9*-r|qW4yn8*6Wm>oj!BX z`45!rrWDnQm$`!98U1rX4Dk^ufS*Nc9GGiBiferx;ndv}n8GxmD1O4PiK}*2^-`9q z+51iqG)r1lyQK;xmBx6*ej^I3K3?AG-7gA4US}KjYkJ6=eu)*4@l5y%&fZxWz1WoB zJvqFauxZDAAZHu%Kzn>`O?g8}#`lM0{^W0IBV2ynHIlAoNykuzn5D#Q#YtC#Olw(7>B_n3uUpeJE7AZ2#bR)%m(s zumi=XKlY)&aahKE%ms~lPi zUFWDN-D{7}<*x1t)7%v4$^w(_?u(PAT(G+14on#DxZmU93Vk_4mSyy#0f9(rhuN>B zJj)?XSLt^0@tG0xPJul9ZIefNx{eXae!sRD_IK|8%&poBlMxaXm9db*#{b)@H)!ib zjGp4AbAWN^T$)u<3n1aM&V|`i9q@@ee-fN+<*sKA1Z)Zg&alriarO60aD&lc&sk^t zG<)WPWYzHwmz>^u_>GaK+pq4vOxpG4i8fJG@S?{VO2G2BR=eWNPRAJ%<;$w8GN1VG zN{eU9+e=V$<#{)?2!*Rgf6Kw!=!|(pl5w8(Y*|j_oi&6Xyr5O+8DZY&&id1Q1f}gp z58~Q;M_B=%#eah~06h@00_dw5KXk6SN*`|`V1 zcvArZpjuc^A!9S>L(XA9u+f`zNkvrt^Q+XGkIWx%yJ!DX@1c@vKrqQN`R**~r=c(` zd|1e#MB~#Ho{^lBDyKR<9?}p(dXU@<+lKF=d2F zuV3>j-)GAd`h!aBRjT|XgJ+a4yjl3#)TIz;x9$l%Z4>7+ip19|L9D}_Df7~RxW(r6 zh0{=1dA2&O9}zc1me9gck_1NQvBCIn3CGYQ-JgSH1`|LhwDrkZ=aQbwB!)ox16#m+GlZ4wd(|T(Fuh`xezMs43!GT=M!0F(-+jH+g@)iK=$DMojBx0Lw)_6Fz@w#{}6ulQ3YOyeEQ_fNZ!PF`AlCNxvLu8 zG{zuLBE)~G#}ja3SLiM2%W1f;6Ms-MKOBS)+X`iNJ_dC0^Zi-RU==AmR;1>M&06D& zafhijuji45c-x+sOOmd#qPd0=zPFcsQ<3ueCp}_~7_cb!-0Wxc$g6V=h$h=uYSF`+ zIy5fSDqNVQmcq(L;$LTYX_3`!A#hMF9IJOr^ORh@uVlHFoJ;CB6wsz^^44cL zj)4g0&6C9XDp{*I*@WfXedmU(xHgk76mORUdoIO}KZ%?+Pdu%+UhNi0G>rVM@I&}v zB(V$mfCD9|dx#iotuxqbI}u`5&G;PAowRK*$pi^nBqCm8EGq0HJ!9M6>8K=v9E|+> z8sy|t%{v-#)7wYrBdXAb>RbDfSLjdTyT5j2624F<6X02j+@IBU)uW%yWwkx%e=x-H zGOpYQyR1uo>DMSirYmZnnKkV7X$9G1-2!n4~xR60X zEuIth!3?hhAd`&VBP{U)k>Ilsm2ketlrP?GpT87Npp@a+w2E2{vyb+k6}j)OJ^P*y zOi|i@(h=2wR~KcUgVmcCy0uo;6Kw7U%{%E2&RX564Mw-*Z zF-v5|Q$yc=bz4(W-hFGkW!Cpw4V-gI3!pHKvY8!Q{Uz;36=MXe7|85)w6BCBjupAymU`V&`xE5cuyDPpdd~^wJi}^(LUFxF z6k01yIseIkUVzOhNJlgyzXulSDjcH;Fq5YYSplcywl?%ajr%9%%iMWuC0RuA{~dP> zLdAxr&FT7_;6w?>@(*meevUvOwqR3VLUI=aw_YvBHE!{Rt84+WcL32l;~~r|l3UV@ z5J3I}xUt>q6}cr79b$Qa8gjYc6mJsJZ9Kk}BzxSy#~2l7cso#bTRJg1yBA)GuM0xX zaN~Si+)=ul!=Tpj@9whWxmLbMzpR|@OlFvVk}s0=tXM^uI=-I z_6SbgqH}!FU@(N4S$Mar-hl-JyW;Vv9EsB!SS2?PX#DgYx?t%z_oUuJ z$!=Bv`qPt4pO=M&Io*n#=f(7FEb>n>%*xB z=o-PsVV5y6O3gem{Tk6ZoEf34)pt8F7Aqt}Gc%cu3&0B546shETB_WSBgd==FNcFk zciR8H^1Hu!xlv9q1UE?$5gQ~R1om)0{AaKHwYSi;JYaDuEh)e|69-uOpyIGN8P&g^ zMZeKEf5e*ZBN$xL@X$2EDaqWG6-P?Fq=_n2Bf3q*(z5!}X_^=ga;zu3bIeHwtJWQp zKP;?z2RLo_&D(|NIm_*R3@)s1=?}=a{}IJ1Lr?dm9n36sIw?m*v!3uFWj?H3&5;JP z%fKNP9F{)43BjT|ayr`=k|o@+NxO-H8Qm~p_=CmF%7Nc!ew%66#d06XBjK>dvNX>O z$@+)e>7wv*tDBMV2fdG=;&z8?OLUTlIW7bWEb7Uj+{=D##gh^=Lq%Vd0@tm^`CCsO z&63zwYWF#w?A+oY$is7eSzbfC;LzSjJdXj&34gMnoy(~iEsir`>zUyF-m#fBhC8tV z?N1x9Q}mqxLa?~4GRNsRJoD1C(|zI@fktM8x0WLJdN(}WOx-u~uKo+FYRNKo{}=OU z7Kk*!=(|vk_w2}3H6m@xz)}%Z%>GxTPN`Gq`%{PC_r;(i`5b?!*?-|Qs}W+%g=fQl=@@G}`?#$=~GY@;yR~Ct7o#{m_a9{Jiqyc8SzUd>Z&r747 zAd*uG(ii=v-w^J5VInA06YIk`C*2ct%eZ^SXs0K!u{{HW_}jH|9J(SVFM^5|^l$aY z(4)zGxm%~BO?^D>oiX!_-bXLA>5Ic=U=-!l^w;Co(|FGPl%C4mROTl}KtYPiX72zk zLa{P#916T2g9Vi{6*fNwGMSHlt}H%zuVXEj)U0=C?N}AFFATytO)m3X0f$d54|{Nt zW4HbAqfRb*6A3yMiB7`^L_8nPF#sb@&XP%xq+FEJ>;8Z+2-K~{^!+l5LWEH=LMq|> z=4FVCFUCC0d}|02G+6v^!F%`c)OnbnlPEg(x7O)T;P=LW7llsekmcc?4Nqaz|I&sn zy@s1qxQxI9tpS3TWU*~Rg<@OYHqVmscx_BVwB-6LnTo5%&+{gK=V=)7Fb=1f@G zZJ(_ZU>3@abHNFHPz3US{J3s&3mIkPu{nukC>LAm97!~NC4=SnYeE$rNfYfrWvurh z_10&5uz%ok)6J`thj97HNmw5De`^`9E2hsv^JZ1FuGlx%DX)H1lJ3HWCGRRjOY)%^ zvh-y!q{Don;z|K)Zs*8v`3`Aw(UqiAMD$xQC8x5FzaIeHb9mub9fTSXdJTY(AZovy zf2OU(y!tIkR4U}p>>-uSX;FoZw&dZXN1f;>t$&X{pb0zGEeL?e;x;3Dc5{cpn%T+R zk?!+zW0Y8>+oiiTNRn~m2}XC=3_Vy^_GgJ2{D(BWB1ZDMPA%Vm9Q;;npO)J%aB%hW z?Jh=#^&SX)4l2x^hq~0~j6X06MYK1JQtkMuxtl0^hrG}y; z?Eqki?CG?fN|%Gnc^M>Kn9ik@c;jpaW5j8l*46>R+usaxUr_$5=Ur~&U;T?X8SsJk zW}<_c$4qR4YVRF_>;6|b$_p?nwCdM5Y^&<%js8LJeo`Fg@UlJ+UcQQWpoEK>L0_+o zWkx(t@s%s==2SX``W`M??2g|TDr83<;La%S)AGfgxCp<_N`Qh{3m+q)m}YA9;$6-S zEJHW3sK_n2MNi6 zto+U4sFj-&`so!hzn^~Fsl^v;b*_AKsQ>h~(gvFu%Z)ALQz__Fy0PX;WfIBHRORlL z7<_!=-2Qg018o&%qP4l9JD0mfYYT+N36y!UlZFiK(OqVlC(p?;h0gedcQD#7c?Iaa z7t@QZUlNcrW!M4-UB`5M0lA}_gFfvd3JyHIFMNEu)~#0@d*j8r2qAXZ%x6kgUX5bU zr{U0ymkaW#RKgZ;r9*CB*G}wC5=mo*>LpKz3d_mOfZSVjiFqCF==olPRsET%iWQUJ zxwlCzTJ~$eS=Afr#^=IxY0pJ{?09wpDjX^}hzy@Im=!QL6C?!Hrx45D5phKG|s;OVkAcfB;I$St=+( zN`A`fs%rik(coTE8RX(dbw7NZa^ZT4m1~u)?(U%@N0057Ppx7=-d;8y)=rKG#t&M6 z1WkZm&o6dK2M7R25DYIMt-f#n53>WH`6y&*Wo={YNwv?GD)3Q0PIu-@wL3&P>e#I_ z0oVXU>zgiVUyB5ZVvG}+NA+Sm6M|t$7vcb2o?VN;px~1<{vV)03#HZq=a0n#x%9<4e z;yHl7G^`!~fEN+SYXS+@HQ<*BlEC^G5+d%mLvysrjd+$pI`a)mb>nZt)>J0Zs+%ddA zGV1vFli|yeE_gWkAEs%CWB`i1Md10nz|;+lu#jjsuQ0-oN4PUwgNA^;KO1Ira9uzM z@qUOefmdk+!EnsUGE5%<9g`CbV@IML15OxvjW{`=kG9#!E@A#4?j0^+vCS<$i9W#i zN+M1gx{ql3az&Z(dl&3z$Sc4wUossj_89+SpTu|L&j^D9&b+dY;C;t?`MN*J69VWf z&Fyd@wPiQ}CtgGVPY?!?2u1TSO zqW-$@eu;=dg_p)6J6>MkrD>$*0sl2Y;NgW#G>!`sEG1+iAIYoyQhWul z4u&7gAJEza^${CGI$!<_AK;_H_s;5i4HELqpA! zARw>YOBQ}9MldvShgB)^^IzQ$=}Eb(C`+nd_-EzgsCe4oy=~}UJ`p}~YayKCmm%0I zn2L+Ms3!ZejhDoBp)2evO!T!jp;c-RX(M^GO>oCeMb6;zy$f`bTz8_ZxNO$mfPjFb zHlHAVzVA<)wI67htiM(Zd%XKoK*CVku$JvMbnM3fJk=7W-S4-44pym(`eCk)_;)4i z63kr#66(oYfZdBihlM?rG*N=xM2_zbVhj6<)xp1Ukv9!-<1%PK0LDP=y(9pDOe?zl UC>fSegnxVx!^U<_vhWiB2h^pd$^ZZW delta 43315 zcmW(+c|6mP|9|hEnVD;D=1i_ASFW6+3%PUUPVU%7iA|2okWQsjs!x}q9Mg)Ko0Ow8 zMvhc8w4{+^`+Xn3*B`IP>z~&@ugCN8cpcB@Yx@g$0xnPv zP(%R86YK@`g^8$e3530vBUbcN>Y4PEjGn9zko$py%YW@rZFTjdeG_>xw1G+(FfKv{ zdiw|DEuuxVFSHM(Vap6r0#Gtk(ubKB5fSAgvH-)}mH>R1r)63p9H>vFQ-*O~2P#p1 z{pBg=vdyVZm10TNW|O5OiGVrfIgS_VqZn=U5Y6>m1t+a2m8(b$pAEut+~pDcB=B;h zlGOqKFK487;uOdJ-Iy z(%1m-4^WXktG*8PD)8PAm5t@uLWmL!wn&8S+me^;gKh&f4y}*Lgq!vn#6Y-AFqR<)wD{_KNn1SS!!hhW76rnjk&boKl7w zAiS37i0hYvz;=|)Eii_0_Fu3DTluwOgGh-hy%QXtHNnI*CGy+lA2*$=Jeq;(48st6 z5SC)J0C1$#m&uQ`QLYJA5VHE-xSP8?YFepKAxR^Nz@_948jRtPY=oiw+6Wu%ROOcX zOUWk@N}fAnGR)~P`j{X1gptBpHv$VN_P~9Rcr*o6f-2aJ&T2WUV$zg>QvX)cQvndr zqB2fEF;uq*R*(-CN)@|+^18rWv@4w44aRPgz8_;aJH;vICNZ6oCQyt&=#mii_fY&- z!6@x>LZKDP{C!rJJaoKZOGJX_<%}90w>HB-@jYC&HY-ZAl069X(in)4bvYZ(rc)#f zxG$xflZs`8MF?IYlMiAiW)=*SlG=#o&xXCV&?SoS=hU(>0>)z>&2nL{Ncb>uuFd?`U6w!Ih1M@mu9m&qm~}TWITmXw z`U1rm5Po6Nb&wJEkCCI>Yq{UoMKv(2@})nJa}l(E##M{)P>u3>in;MVjt{5G}vb0r%pSot;E|7Fhb zd);*Hp6N#X_mzEuGz3X5`PWLu==t5X1Qp&~76s+#@C>@kS_AZ5ms^*tx?EWQpcIO;kK_ zVE~L|^Df9PtwX5d@`AX+!0GllqBF0v)o+!+Z@Xm?EM=MiRm-ZpDJkPK6VV4y#+Bu3 z*Go#q`KqP2?|qnTsQmC*gYIg6q)~H2ePK1AAywett`b@KQ=a?`+Eo4{+poZ`;V^h* zNmvKfq`=>l{{Fo&@^vTymS$4h#wFoC-%NO|0opAK%{Me@ZTIpLHGUEIYvfFC>n`6# z3}>2tL{`5=WQ#27K?7V`;aopT22(Bgw~!*F3i-tdlR2-k&~T0Pplq?;H4t2OJ<0+j zy&G&}&IIQH>tf2EpxiWxN2afaEm&;unm9%D5dFs3fm=g+rVPh!+munzK5@`k-a&*8Ki(j}Yt}ZKDEeX>N*uSfCf1G~Drj{{)3l|e zzgANdS)@bN3yXN%$2=Jc5wY4V3ZM+3DD1$To374nHNM3jVP&rZ z=G04B!)Q^H4BLa%WyTiH-=zo_d_^*la%n~6_?^pEdhv%LNz=6Lvh<^!gNHV*K(-xi zHA!A2BB}G@rmXNADjQ?j+YemV7t64-8F|{q>nexD{1r>g&y9n_(v9TDYj|pO18MoSOCY~e2FFG$#*!-T zKAgY%km?`?W#uB}#3EYu6{P-4&sVy79QRG{X=K9D#!(9V2}1M=@iZQ>UJs90v->#L zPaM|DbJxxtWQL?mMgvOVp5Ccf@?q1>cc=laICBx(gTKDX2eR+`M6NjBQHi%y4Nc!r zw+$xh#qukbW`tYyi-mhYGzwzDU9@1CEMo_@mKDd@XWPhTk@6>`YgyXu9c(p`zmejY z2Z}>kn74DuApF#+&)H#}(b(auI~a7PW{-x0GrK@{?-Dg<<4oJhmYVn&+Rq(BgBq4> zfYUMueLX!Z)wBquA=sFLt9R{}T5^PDr*$+oJnnB2el*5{r}Xf|!G+Ib+Z|_m7lyZa zaAz>ixaG^qg>2DoIh(sTtFrBy{sZoqX2(%ZHA2XBht8>U4L7-IwX2RCT2GN7^w0 ziCvCCL8p`gn&HPI91&7>=-Tb|+WqS-p6rFhEtZ20J}Q)vD@d!_v!03BVr)C;_;046 zQJhu(x9XakxMP5!`hAaoh8h)mh&=3@&tXS{FC7y3#KjT;y`)UpR`#Vuls4$fB`I+- zsO(aacn;{tB?Q`7v2lip0{sKQdb+>$8_QL_giW>KYIip^-MZv~RsoG%NlTb-mzL2L6kXP)y#7ZSzE`C<7Ne;{soLHFS9xhbxG{){i#wuNJM5z@ z{gx{AN%d&}N-A*U;Er2q;sB%gjp*T=GX?e0SMS%T%&sos@v-)RAtk()k;!AWZ4zI{ zDSLc*9uhadIK5h@Rn+tWyiO4mdkAVl!;RBoE7l)Qf$jy1ttZM{f?|BpR*-6kFe1hbSY@{ylN33W`o2>{|tl{nXH&)30QMph(xa)3lGOKNd2Q5ewBf%kVbpZ3pQ^KZDvb4=vwA2rR75M0Bz!&gNQ872^wwT%err53uVd_Q2Dvt@1ixC{Z0XHg zYTI(fFOq%soaJ~@*lvlP7Rs}9A)5A{HaP#^RlDv5_+3i--rvN(dml_4^OkNT2owt= zRqpI!pkke;ox_^-P2`4Y$^asFaX_ zk7OTcFWHYg$Dljh2$xS;EJkSaONzjgX7naC8#*T1iZZrkxf`BDd9^1l^cY$O?}#+Z z6egLp*C1%C=uHyeqk9v(wPk>Pyw&#jIt$;l1M{&)9hvbTKs8uasZ=U(ztgsA_mHn) zWh`^J6kQA8+|D-0h+S(?gl8s62E+zQnof8dNPeO9oA$oR?!3@(saG+ za1=ibBTMmLuHUH6%n}SuX9U0U8Xx+4deZEmP>ZmRY(tjwhutMm3N+16y&;sSnnS6( zY_%jjx`j4yf3b8=8c1e-C}U3F4h~-0_*3O2W;y09CdQfR0F5j(`{lkC^9Acvu`up? zf<3&LMkcHSw=CU~&1~$aJksjsGjlg@UCDSm&);;ijX1Yj-Eulsc8AkIpyGk0S<2V> z8R5;bb9ANKd1_66N~FpMCEO?}MR_9d$F#n)mXq&6K=>veVKk8;8Y^4|^0Nw{IEAhc zubxnX#X)%+OfX^|_?{<(^aCJh&~2<{Y)jJw7DlR8+zE&0ua&iMRpAzm-vB%Y1KOYJ ztTWKeQBcNoZ6H*bk|0(&$G8z^)lf*q5#68t!9nH@GG!ohhbc@c3B>c@4LPqarv2G4 znk6j&cFoNHK#$W@`?kLz_anEy?`77O zh9m610OzCUHJ>RhtI6XU^0fO1WGZQY z-Y#%H&;)~A)&B3=s%MjcM*ZV(m~ZaJXN>Wc!>)XYJ+ddEM2hFd}f0z~j^1I2{5!wP~=QFr4)9 zqeR8fQta>$k~_N|^bV!Y^&F0g`iQl*ht}%aP3mWe-9;Uv7>oY<@S)aShEK~Q?1 z=~4G_x*cmI&>_`67nDhO9}dk0k7B_%i+3;}v`-|WW+lEuvc&%`$Gl4eyY?)n&BN3| z0P`Z$AlcG?vG3g+j9$Jt$499PL?Y%zm;vPG(eLjgf@838ezgS(0Gr^ z1oRg$PgK`7vN8GmZQ`hjCgr|S_U>HGIGbsKbB)8VO%R6&a{mLn!)Js;7hj*2S=JeK z7~Gq$2n3-fL)z#|oKOA_8wYJ)tmXHJv=3Sx_N!uKMFra<<#YEq=C@^JPL#3W%!JU$ za{Q%!Oa$ysOZx&9p4#bA6MA-??UVNtY?(2Ro2CsO89bfnvm_l)nKtKlX^+#-oOa1^ zyRf%V@E`+YpW~>ty0jqJHXG-ZYDsdZ=ff7K7gH9D7J1WMJhRdR#j=h+2+`||1=cpi z^X{9;0v>~pWM`G_BR6h;9b8>6R}_KH5t-l%O(D}p>a${V$lYqsXUm)BrXgcYsGZyl zF|AQfGWmT^9h|aIkMxN9s4M1-3ujzr`|dMg!Ig zweq)-j24=!SlVgR169kK;#fPwt|r_HhZU)PX`bZti4twdT8&Y$$TMCdn&S_W8Pr8C z+<+qX{rM-7AUE&7tAeZZ#0KQP(s|MvZ+?b{>3)2_bCS$INz5;kf6x|u-YK+c*EdkI zSQiwM0(!b70tY(y+}|^J(;0FcF4k29jlmyJ6(H3m_zf%F`;M;+{QyeUHwntgD~)!J zC^1n}CvRRr$P;#RKS<45n_R^4qQz=<+>Q+~Y9`wV(@+ zh=-17h4rIfNf+&t_(8lGrNLueam8DO!*n;>XkT`6H8UJUgp)D_0)tHPlTWt2|LjCN zS}qJ{%xsfZ_V%Z73#D@H06)#cm5QROt0+fe091P<{8{paz#@yHN41ARY9kN|&G_O!9GJ96m)9i%(A>rA5W0h^679U3PsvksOf%NlC{4N{cxT=f-3z#Vkgr zFx^f5eaJ-h#7oL2oD+x|on#I+Tf(l~Y+70pA zmg@M_IAxbtCO^W53J0+(PCZS_kueepDdO-nape;d`pKJsn9qsRX@LhMfSNXRK`gpH zifLf*H>i8mJH0?Vp~5yuv2A=D@V$Xk^y73!AEN6~gF`9gv2bIfZD9mC;zVLZq?w6U zm5(4UCF8J@(Wh9%XzqlOSYfmUCyLakvC5VSMib(&5j(hbshJxQGHsgUT(`hDSAUu3 zYj|VhU7^IxM6yR3u-R+mTb25VE!9|@8dkE`fk zfALjZ)$P7{ep}VhwT7tf8_zORtrJhgMC;N8M{Sc5w#_VU_E;vZAkF*DQwkFwrXSdq zn5Nk!iE5Jx)J!$4t#WGHYCWBJBHDSi(G|91NRd*k84{eFVsShwu1z`RZA4N`hD>Hs zx;x!0@Ina_=N3~(>tRTtp83Xrm23 zGagCW-FId8x!b9wap#n(u65a5qxt)EM@m?{k5V{fF&7hk(Ug=No!|fV=B@4Y!7lRB z%0)`V;9Em0C5~RI!*>Fr-XD3y<|OIBP3h6c1_i~^-tS9emL<5x`;b_XE|`@V)hc9;S!-qYne2#b$y$t9wogBCy7RwWl~G{aGXprbf6&lg2mb+e@O&aQ5?`SK zCZ?nnvdRsub(Z$~B@YUsW63g???+I6n$gA3&!a~Idxj0Y%t*IB#zf3&vMnYo9t9BK z{{z+|i3qP}HdZEAeLb%j)`?r+3zhgYo|NQobRp!!;BvV8NbFJ2vpgC^r!7CU8FV-P zNR7NfBnsHo@QERvzh@Qvni}_R%5Fd-Z6}w`LAqGtb7%9YkFt~)2=reW)Go?@lJ)i( zVq)EeL#v{katOwmuyRVeVor;N`6Wf3n>ebgZ6m9uYt*6s?GCcc*#QJ4Q*mZPRYgi|U=ui`&qya`__3pF)v1muQ>U zGPT1YO~NJvlOsvbfGr>?zYn%1#g=~c{$4< zQgF%No)$mrUD+jrr5i2oqB2(ATfF1GypmzsQhRBk{`UO3I(f0?xYznK!H^l2kOKvWlih;FXr zw}jPglQSPEpTw^_A1FFDS?DdQE5bN_=>S+McdmMg!V|4%mZi%b6fl0YOtIH-Pp_|A zSm}mLoHj?cGKqBZ7DckBNdss!c-{veJ*bw*CBnI)CidJ)l~Tu zFM1hYYehTaq9k#@3I(Ui=bNmk^4-18l&j46uTzv!TeUFWs)hQ1g@=~|#>h63>C!W_wGzG7 z5H#HvLo57pWtuk&Sq>QA$-dx;z9cZ*-Bdw}=F(ksLPjuUNy)D0#0d&5R9v~UGo%@L z0=jY6%E2KklkN!y^Au_3!H`2qA(o?OOLCg?rmsI>vM4x6mg`DgI)*TDY+(WjpX{+x z9<8HG9_+!e3VNT};@SVkTJ$}c4i3o5L?u2w2kc~2bdP@l)d&M0{*+fEe`8a(LxT1} zBB*ak3e!=u<9?zth1S}!Pq*9kAO}c=U0XKy3MlEM57Y0Nqu+1sc!`-Z;b?VO##)On zLpy#lR^(I8T6ViE!l}R)XzHV|e>>Z0@>vTTZ9><;mB6qsUbIo{CuS!lA~Yc>TX0u& zdEdbX4Pn_-u^apmbqf6mLNYM88LZ+a9yHQU>sEI88tg`y3RZrTXJrA9X}@Jn#u$}w1=7m`DpRqIFejjO$-l73@wFzX z4vNb^gx>X^KnCZ~WR>42%0b^AdF?Ojw&1?d`U>m4e(8Jh3{%^+w8BF!+tQ>94} z4ac0Ywan>lPerhlwK$Q>MsGJOV`avXtuts!cvIz5G*p_iyz_L$byxOaa66> z5%H{@qA5i|ZZ{yz%N-5K+@!4>J2b)@=^HW~VZIhg!ct+9CjMG^aU);s$g41XL^H!O zbu;MZGUUqheVJD?mcq%&OeCE=7y2E+ewar?5#kJo1^zK*vxU?0BB9sWdV zVWugLX6t$T=IIOkm#JI7Hs}PtLq6^K$2gk5{M8}yJ>}4AC2W(y(2I04&NjqSRlGJ% zYRGK8{9U7zC0C!O_5}H?4c=8|wkv`oE}^b4zO0!^rY%(fYpsUB3u)IHC_;^76e zL?7+Hx6Zs~%C`TJsor-SrgWEs#Zay(0F)oAA~MqAQ1hX3+ywW#wD!#AzmtWd6U#ar zG!Qq!PeL)#I=6Qwi_K2P5Ag>~kv5|XBL{ChO6cfR|>Fx#R_S+ONfmc`q;NX5h zT1Ht)(qr@I0%xlh)G*!fu-_%dUyt>b#6-dsouu^1k_EE*ZgT51N1gMOHALkK&NAiV zii8O=OIe&;xr)eAT9bM9K>V-w`i1VE-Dm}#mD_<#T3_DqjA!+s@cV-&27lx(wAFUx z>*U2gmB&^n)X26ufT_n)yKbZ^u$)#85XRfCj>?aMofbN5Z&ye;xH7NH(&TH$IwQR| z_OVcQec{zMIxgpdaDgQ>ydhijS+VUWq>Ues;4iFS6zUec+?rzOZ34hAQ(GE&9X`(n z2WhV6n%oB`f7#ndoYE*0?&YvZ|MXvk-|>2_o}F-;(zcWHXVFAGNDLW#a}Isw01<)P z+{-43)3Hvw$G?ZQnIEWMJdrB$D|-?q!u{p97mNKZP%m8kBhl2MjG$%a`BE+I7lhkL zoaTMmGOv$L7Pr3`fGZRxl*Proy^Q*0zb_Np#G}jUz1$ zLnb+t^TJSlxF|0U?%yg8dIIcrQ&2-XfW7~nruALac(d54a_&4e^3(mDjzd1nPHzHN zFIl&kBM#M0E`=gL{YLo@o0tt2(m&UD$RBp`#s4)ZVaZPo3I^_w8p$EPfiA(1MuT7T z-gQ(9KLzXP7L<5%d2cc6V!c{RE?-%{ZlC>+;u?SZqHCr6_lvd-UMe0BomeGq5pJBV zwV=932W4}rks_1Yj>Qjqr>Gmdd)g%>9>UT=?fxaS>kWj}i(8-zv-G4d9{hIYjFV-o z_q)HA`VMw=-g zAB~Mxa&Y>r^Qssxxw674O=FKiyqM>M$vhzE-anfr|L+RyFgjvwED^%E%Svs#CvEQu z$7gBy?uH^Efmc38`|#+%!x@MMm7PbKP8(Dr8%?qLT&KCi5aO^0WRWcJIo_4pWQ!sr^`3z>B`R7#xZ85wkj#Fp+)s zGQZ3fWq1!dXo(4VsGmoY3K!bh8f2(A1_Z7mkP)-V}V^^^Ee9n32AJ zqMYmfQ@7M{urF8nnB+Rypc&v}K-9s8+sZ4+Bx$urcO#>uh8NC{Vx=zCu&_^eUp0`O zc{HN1p^T(o?Xdc_e71eM(3F$2pLQLVPh=%sPgC_XK43X?j_HxGoq1tT+8PAKKSn)>|l*m?VMEFdy{rt@G@;B zr0MpK%9JJr>4kuj?JRVbR5$+X(L|$i-6*3#-AktocEfSDEZrSOm+A}*c5-b(oTGmq zemP+D6iJ2UKh2LJtD(#s3b6-p$Gv)rqK;odn!h&TSy)yLSr8^ecNMed|ESK))jN|CborPB;WOK50KEAH>^OFBkc zpf{KH8{MT0m|-N8roBviO^&+YZX-mSmW+qym>rD&Ej(3ounYJ(m~FH)L`s6bI%eag z7-^GOHRpZ9S`8Et@P3~Yw|DQlf9*!THAh%(TCOP%Ag>PG2iL&XzMGu4@xb$qWY|O+f zP;l%X_pd;s1p<``0asTABI=;8eT-T_^Jr4~uc==`No z<6R(!OYmJ*r~+)v?y|86uht|`)kWiYkP15B`%$_IrPGmm3ar}NuX^fWFb z`Xi#ZsKB#`&?q+{R@C4=O0?^v*&gMs?Zn}IrOB1x}Xa5BKR1OFx= zyPQ$v1!X{LO#(<&7=H|ZCx`m|c`xEO_*Su#9=!e7l9(7CXa>M(GLmy+M0YbL^^{~E%>*K^*KvCtv6^Zr3m6M#^c$$a`g@aN$b zkT&imkP{sfNjgqF{fQtpcqG&$!f_Xuh>zTeNQg*{G1xvL?i8D@s40i*ck>5X_Apo{ zV2mko4YBkr4Um__Q_NQfiy0d&{*(&3ajgw0Yi&6S9u%rYxWig#4r;c1N{BQ&It zuT|mt|9x}3XD3b;Dq$#$z7yi{lBO(dgmaU!8hzvTiilo@|CkD{6qVf;i0bzZ%xkEp zON88AxAa+%TLNqfm1~KZH8_AQR+?F7IR{dt(i~jkAdDTf576L!M;w)!C3fU{CEY~Y z8LyDgXD?bi8in3xD^)*qJdmVs`LJvXY&@>s01hF%5aRaoDKa!ZJ=z2kE`3j1`VACt z(CLg5-?PNb?e}5&Xrqzm(0)Y$zTI5Mt5w{rM5%N@*{;aPSG=v z@@pCwufZ%uI?=`{U?4=NKiwF37_~kWk?0fWcS?N#bq_ENZwf(r{7)<7<>>1U9CDoU za5D}useAfLB_69Jt?+60*JBV?k{7O~bYRW95=_yK$5*`VKs$!UY!tybzog>&CB*Nj z&=&o)){zl+!!f-4#eT`%AQwE>3JU^l+2`5cm(L>|*scqqH+~m79i~d09JX$AY$HtB z{WTC63=3%>7h;PAJNCQk6PdZZ(jE9FTmBYi;DsN%c)t zP-^FdP>rnd!uT&e4WQRc)bZ*T3a^bZ-y5pVotL|H`C-7zHgLWli+}z`I|2ZF zn&6nIvAzNF=$YJY@O=^H_1`4#(y}CqF;2Ya2)kssLQlT7w2(PJ@B`2)X&(9TvDuX1 z6_z8=c}SlhGHR-(e?8hiV!2XDUN|9&N<3*ITNNX`9&;%7MwF>*tAemIXPGLnGa}j9 z$rruS*~g)6IwnoLq4Wu>&%lw;5ud`wM8;Vi}=0Hy6{Is zBTB-Ji+l;DzPum)hK`>)*L4Y+ydMOz%Y8m@^e`rO1H!8?Ww8tr)(4Ehn{dtA4HtN= z_(m#i5q3G??49;9Lg2Z)i&%?Q4HWh(6y)S@Q-)73X;CdE2a1A9k?IM0>*~x0>J{WFk=#vXi>&CF5e0)4Wzqm-k-h4uKp3?alGq47v2Md)2%xMC|;TE1~DCFoA$X@B3pt-%|H(!#H3oqd7cYopvyLs zQ8V+J?}t-c^`^9)e$dQ!tU5ZL5iLA~f2cJ@a;yV;?T<@&CR{KT*^)`7zr4g3bVZXH z2qc2LpehsFNgp~Idiu?T7ke&ZKNB1>_ob9o3ldoKJI3!yBa*njjZFBm7 zh7#9S;%ccy&vrpe{_L|w5tqeISa_cE+~9qbzJfh_p_AnxW-O?3`Uw+IJA!0u zukJ;z6_p!ac>8>%V;>Rw(D;g{$IA+e!M{HbiLedD-n=o9_@1aRK zcl|bn_vz(GL;SH^#jBhdkJXTq2Sl;YO)iE9vmVKL%s~Hlp?OlMYkZg5`)vigI{s zKYrmZGBA^l^YWLGLOyiC>OCsj)}mQ7%2(qkf(3%;K|EV_+w&ma=tx6dwA-?4+!N%^ z%-V=FY{Qe7L~v}dirs5&;MBi1=IAWS{7Vz_#c69+Xj$8Qx-=zQrus{nHuF-H!ZWkr% z6{r74(t6XXU_`d+%Q?XEPqYlyrDS^WyT|S*d{FNneRX4&4Z=**Wage;K20y z+(ASfSM9SW&7P}uO?}M?mos^U3xv$3pw~DW3xlTY*~l%EW+*m}(ZEJUUCvbh?RmgU zGg%#+uEN_Zb*#qnl+@L#?o+&Z=_yUjhX;`Sbgd6@?GL+?Kk=G76%U$IcqM-(qiFq! z@_j12SA;B}9WM5Lg*nTqJX-R^a@YwawQIWQ+e;)*+{&zMm^N0h-vJfy;K$|{(0dx+ zPCOr~6R7jy4~aSME>|`dwz6-UrusM>6k(k)o*6VMt6+MtySGzSrc%`-KTE!tjR|j&3%~oq^>6ZU z<gQ*oJyq<(<}iqFMp<08ccHgBzBJ{k5`#?i zu6=g8D5xCXf#DA&3rZW=Psj;VrcH4_;U``8%(CLlS#JZS>P)M6z2VEdZV3WJ3&f`` z{FgNTz%A9kUA+5S;gb=!;OIC(wbPd>soQsceif!Sw0dz|a(*7IwyZL|`9iPp9zTEb zTFSK&Pi4BmmO>8!U$dZDHc9h1$L|k0+8p1V+U?r&%4nCX^55RqpalMVJN<#d3J;09 z9hRpE%MQ9a%VH&AD|1)mYA*O!mVD6u)bus=8vr}Yf>&e-RSkb&4r>+YWY+K`yGr)=XKKy=2**Yq=dA zdzTfb+tC*}c1-4UdB&J7TwRM4UDB+Cjf-hh;Sl{5dINj>Bn~Xo{12wg#}{$qCg$^o zktRD8rYmIVW}rGLzSA>1TVXRZa3Ke_#;dfYSXwRfTJE7Ax-Ifro;%?7`TNk$w;L)G zr3z8syPPwKa)FZ)gyE<=KjktZ--yN&gmDYv;6(=q?&V=vl|g5U7#h@51#0X8_W`OY zq`L3LRrk2$xvGR7L!;&@2*O}s0C^g(|9Aw?dgJb8!jgTP9z^z9GO}Mfv{YX1T1Ocf zzZ|=_hAhd{ewndT!nH>6C5*``Ej09#w+il!3ZZ@zSI_1%w@Ei;Rv9AlJ{|k{PN#1v z!%V}B;|kwxSJdtG6HGt7tRaJYZrc4O;UVzr5xbv7hkZV78hzrJ;5fei^6yi#BydGZ zkXPwMF?=mw9#Nk0cywVs8+NS4B*XNy_QPMbwZ*w?@IN_h7?|wz(8vjrx0(yCMD3Mk zodezB6rJxx;$%-H{_l~ja!qgiQlR}wO2ktdwxzh8rc?~n%9qQD-f`URpuk|pZhsWT zjMh?22EiTADw!hhx)pwrS$n2Iu5;fu&?`BJ_X^93P zj&G@zHPEVX@y@_ID+ooE#Z|?<#gnjx;v*Uo5mt&~h_qst{k2uwF{X~uIkgm-XjxD~ zo{ScNzZE7VI|NAp2X4*X1kc^1bpSy4m^*679CH3I^FH8y?h*8P9dIp~N-6-RCwIOi zJpzE-ztsD67}k`gZWRmqk@?K&+dkbgI2-D46x4`mn{EZYWg}?)o1V;CH}TY1P2Q~y z#rt=S4xOHewgvom+HEi?mmSXR;A5j>?ZBsHrfqe;{v9i4*2}%ysRgHsP2JT2O-$c^ zS_*-wi_!1;qI>II`OLA)H8XjOcy_qESM&KjWn%_Zgih=-a~`7j^gMA6gM_-QCO(^hicuqYVJ;D#0la^owjd(p3yP)Vv%-R)K3A8~Ah_JR>#`(WF8I z|4+SjFQ|URTmEVF>oGkaOiTyflK|a{O-#wqa5UQK-FIF7MX7(Otn?<9?jemMC2gS# zw%Cu*Q-zoke~OT7sc!J}N>Wg^2fT!GHu>es7F)Aeq@4Rc)*}#AXqj-~&jeJjZ zCdD|NK4Xmc^40p9E_cu&_0y>|=Pn9f&v_@_=aj5!s-XbCKRHTEWV+YN%4oNVz+|_v zJ|M(<_#HZg=c=R|L?-8o3!-D(sZSA1z#|16j7_Phkepp&`!#JA0f}YQW;0*Z#?-{+ zwM$Y?!D*~ zT#;@}ipK|A$ltWUGPNTuNrJZk$nuv2eiCmtBQ4531Gcgx?V!${f!b9(9steqRP1u6 z!j(YmWHdfe1}nY?nj&VKOj<|eeiKoI@^&!l!GdRlqz(D4tk=&71Vqh!iNg-R1vHBS zIF)u;{dqAP zNVM6x7}4Y2`sN}^^&tAnR_?QJt()}s27UXnd+=(tn*i9a zMLe+Mh~Z6Rht?euUUzrFx9fE}aVGFV1+QfOYe`Yo3;n?Q`a{_8?_b;d>6EpxHE!P6 zIsj?U8){;Xsi|YeM8%ln6uA1xSIF>4|3Dds=G{`~fdbf?M^<~;rM^!7Y}%W%^-{@P zp<0X3MAs7p-uU@>=gYVpb>6VgkxqLI78ZBm;|=K# zUpqV!T)ATKL;9BU&qgB$;e5J}k}ZEp5@a%t=5)e^lZ&s7EJ24nH3vD4jpsPh5B_7h zp+aRgPWuOb=tMSwh|o{G2kB>j$qu{{PfRp37*(V2%6R4rg++k+1r5_e8kBgHfSrJY zcb5k|{TU?MD@)my@{}y67s`4yX2~RTgkLq)y5|=ECmB%OrfPI|)(S3pHzXZb^1ge; z>n(giM^y8Y^)UdN8X?OzLIJfI@^ZVwr$59gLN;)(jVk~*M;Fc7SXN#d1_XYA^crwp z4TN{M^?Rg)=hUPcQNkM|?Flxj#Dm;s(E&oD(VB5LEe<(r#I_n*CVywPL#A5Hn4>gB#>p4$72c@^};v|=c_8|l2+V+&X>oT|Zg=<^Voz3sD5&Djzc<)F%mFX-Rm2=UA0tpcUEp@R1KFv=ZuL5Bme z5uQug0?W4Ma{OkV%8_B!qP!4s&fE0vs zh9#$nwp1k=B?QJHpI;XI#S^W?OQ(}Tpsor1q31@(K_SvcKoTRcc?tc9f@Au>V`n;acPsR#?iufY* z`isALND(@N4e8VGL+nqY(I7p#@}I0tBLA>1hZP7iCsR^r5$nI+cz~2ODM-R717-+V0v7Q z9m^IgcAxuFt=AGU@TlQwenwdv4!y3zVb=((rZUqe(~s03#P7g1OuN+HXQ=aFG`T!c za6SQ1tM%MK&f7zkhk3N49rgs$C6V)_eKax;BvfYv2&;)@6%!n3_$DxCiy;odkUm7A zo>^ta%v0Z~PeR;idC??Wyed(B`Gsa055Lrz42j!4-MKOg-*yI$+3_2NeMQOxrx;hnsn zU7ofA5(reJ$4w)H%DW=t4phw;2VU)gyxK z?WW{S*9knh9WkgVPuJ@>T4m;g|`bYhBW~0~K z(91$_HI8I;cvktG%;n&Ya6Syq$eN*|2hg(~egJ8b_QChWC8MP{yu!PSq&&&X^}632 z22ysI{2T>>$jJW54R(iT6V21mS2! z@JGN5QJC>BS9cfL1Rp!(d1GOY4Yi8c)!>=eKr2iyZ6TrA=P&Q7;_DxEy<6i40!&h} za6A$(_8CXfFb)n&NR;Z_=e?p27aw?knW5kf&w$48*YCW|NMhLc;uaop8pqc)5Xk-ojXI4acSneVvm)r*Ws zrk({`Mb?uVO0;Y8WNrz#MC%8ag@Z%x9b?y3(SnZI`-1-Y#~H1fcvBCu~lvpm=r1 zk+2bFvyw&|Ou$<@Ruty&rqv7UfjvDwu>~irwS(_oGT>>^Nb4s38*?IYc@{NVU_aK8 zws^hD-+Y>PiNoD$LwxxPdn)XDLz6LduC00NLSVo3rJc2FqJcGYkHE8ut44R~x1?{( zyaN?taN@6XQywxqfedO-7gU{3MPTSIpz>5p04zxxY@yPOK zUyDkz{fWWfX%e`6;#;S6ZJBV4%257txb01Syw)|fCSUOGni?|HrqHYcB~3Sae{z1Ou{@ z2uuG4qLKU!$_%gXfRy|2(qa?OPJr!}lqdh|PF_^S-2eA&ugPWHtBoF2OG{qXw@dd0 za@8_xT&sSLY$vw-7&=JL6NrsdOXPsHHWQEBIktH?x{KAQub}hf!#~~imG+G{J@wh+ zb`)*?oPe_mz;iIq95vuFZ%@aB_inF!mqLnZr^7~PLB@1aPCyKMLlNh6f%oxtCojd= zRQ?gC$r1TM{j3M^D1Uh2VjJwp-wfBHzm|VPoKm2Aowr5m%Ek_zg$Jc7Oa>fNNxDaQ z$eQBgeqG29{CN8822re!&b6+SfOYXIhP1a@%wj<-xftU@1q5_Y3s|6=4%%V551{a7FpmhBn^o9kzKNfbM|;x3*Ohg)?}dEJi( zCw5q|V@LKL3+o&(&)#hYk9^HcIgcyyJ>Fn-^j`%k=vvQv!}O3fF22f5gh zWKrzE1qawI1#Ts1dwP3sGIJU2cqWWgiO<3X&?vBuwW3ue<6l0j7FShq~GkzV)|F_oa#}DOn2@NOw zWY2FQoksGp+}^_@fdPYqAkQ-X@?gY190yWduaBHMb=fV-p-%YS6J2ZlV|GGK-dcR03s05-{&EC7XAE3q;7a1Ol9s=_V<{h?B=YDNYm;q_CS4NCEp&qoqfc|_~e9- zTZqY@0t)T-_dv(qWT^&v=eW}$Su-;}t;8gZM$GHkY#KlN$2wbBjqa|u#6~=bzxYp_ zAB`5v%y4t513V`}#`D`m(|1kDWU)kl=E}I2OK|ffg-?25p<>+4v?7N{EiVmz{jR)@mUd_;IvGmgugqsRvHT>cgf+)_H8FW7J0E@#a;1)>{A9g0vZ z7L|A=@^8(0Vg=09yWLAtwddQ!8?$8B1dl~K%+K{Ce0jg3k43}rjQ0x1ZRx$=Y{py> zJLg+t>$uX#7ZEKuqxG92$sH38hE}e`J0M8{D%lAUSiy2ymGkG*tO*XQ`)?Gnwg2~# zxZo24xW+7EkkumaqXQLS#;-yMaCpGbOeCI*yqYs?xNTHIh8lwX=)((tjZJz3_LllX zuDnzYpORSDyayz^n8aL3tnK>wRrxlw^g`8xs}*fyT<%UFZL0LiZ7I2-x;)9_ZfHEw zvy7Q5rFXB<;E`khzRXuj&D+a0R*1AhL*5x48Gf5F>3t?sGAp9@W}^LGxC-j-gA;*N##bPkqk(Aym}fYWw-y;v^1Dnc zvhzbcUgq&?3qe{id3S}W!qtPcbG~XR$l&my0Ym(#oHh1J=@vqp{h5G7=zLEtoLd`)opBiBTz*1v$Bp z;0`4Th@2{)UC?9@adNynUtpEyZ^KRt~;x_$cI0;i;R78wvhf#`s&Mr zCpV;4UAUB2zrbCrnd?C-sL;dkO?E3NoZeqbf5dxKwYT4mh{-YFqZo}_O_eC^lG#F{$g5s$h?M)a--_Rzv)_iIg37N2pLm6vlaM$pLfNmUk?wVU%zUOXs z@_@qqzZYsq-t?e7j1M8NSs^SbHI>xa^^s=QUTQLtic@VR?rOP!M4~KRyV@=zxQJzN zJ}!f!*Rc9}xwwL;^6O+YL~=;2y`^CS@cZ`a#D7VRZ5Ypo(pQ$PKT}nJ9|u(T%=x5f zA6Pk`q90EWj$XsuPFJn#jKVxw^+>_Fq_mqChlN-Mm+)&W7l+_w(O0G1AuA>X?()?z z2ffQu;`nU4JPy8(%^YW0$9LfGWF~ehgS? z3x|l5yJYiwO>DvdWdYQ`>G|ig;(O!F&+nB$@z;zW;UwGl&f8V#o#1{BscJuparE_h zZ;)|~fMV0!OP+FtJH0Y0y=}PKmVI>fXu82hif+#Bx04Xipx$8_k%w_F2`3k|B1bRH zDw*dmC$cihhCHvOCzkS#oWU4(3QMvw#3X!Mn3kuCRgH`x)&Bs~K$Bc49}FZto#R!w zyV!c5sf$5glB}Jy{}UrC;k5p-hDrFSUW`I0r?_$}q?iX-T{@|TpJWu5C6u`~t5zJ; zN9(BVTn%eR2CmMFeF~l)SL@Gzh2dd5a+zmhqdP+)R@tS_{zMRbGMrDz!xNNQl41=? zjRkx`02B_$p7!thQd_Di?M*egmF9sMhx|0jYDPRvmOYgbQ0$qU*Z z0YWBGDrp6}0iR*MzAKWlIqwM{4JCZLIb)>-7UsYty0BuJJR-5k1I9N;aMfZHK!^UL z2%wdjOhJWfwd;)%x8c1#QfFs4=)C0a||+fl@#0%2Lnl0HyDEXQ`uaq4{Gd z;1T#f7_$8Iy(!8irkKZS;a4*{0-gvVLykr#&4SdC>`q&DVP#tEk#*7VL)b2DjINM< zI^?DXTlkb{=4va`RPjbVRCj;)-Gif+?3ZlS5NxIYv+*@anf0F^>o$6rAAr(smJF4f zsW&G7SR(8ccbyf)byId#BltsVH>d#L>S-j&PJ9URYCuGXjLGb>Yv!V`kfsh$weKCO zU&dguj=7Vd%}mBs9o?uq2d<|8mY*Vxj<|d@ebVD*q;#G_1ZCpFVZk4~iw@LK1OcR>-GU$D zO_{Sd5djulA)4r8T)4D+{rpQc5C<1UHbIA_k$?LtsnQK0X%cXJC*2ztQo3|@&e0%d zmi;#wi89(s^Pt#t93D1PBYga!Ry;+0%kI#?+6}-gWz;$;4Z%v&*Ix1dZs@ga&Cwbb z`Nhr)me~2DYGJ{+LgPgO=hVQb*2{c5e!&2YT{N%@xrE7$?xB5Xj*KphuwT==?ob#Y z|9BlYA72F~hgB_D{jDDp^*-3gb@iOnC_mq5nO9r>(mr=KT-i8F?_E}+B-Y04#8~`g zACY0fb@_6Ct5)u8G0#Vauv!GiU-zf1ajcRC_1U$U-9fe>Tk!=jA^!Enkjyw+giVzs zO*fsHP7mlMJGQ|aGvyi&H;H}g+$%Jmnt}eox$jt*i|5|_#!DGic0eo^T}_myYali@ zoPLRQfZI?49e?iFre@26*SA(f6o*t~6+&AbizZ3`-1@BukJCLY7bjV1Q7JFT8B2bAuJ5%FT`mEb*=71t@T@!0i8 zkG)?M=?+Wl69h(NOjdmS1ht38(&k)OYu~2F@D*?W>05A(9!fT}yS$g2nF?c&0)>vi z6*&)2Z2=}biDy-*CU&i&1)UAtis`t&CDy!6yyKkN6r*I?4aNj#;NtHGZLUO%;-RKUh34znjvMIv)Ofip@e?(u!HA?Vq@H~ESE ze4g^qVRJ%@wne~cIFu^uhk-dsZNat9AkfA@r9)kzTP}|e#;*PkNBorIn^#}`i4CR0J@gMWMbiC>w0VP|zo`cN;7|>siimo}s>@YqRSmQhAeVxXJ57 z=Bkmf=TXQvo+H)&*=Y!0wVJ;Ut3r_ppcJA^G&fFWe%)H!rqGqT657I_aZN8iUc_k{y7tI_xMIv8rD0el^gC#^i_A;j z-j%mD*%nWDXu3pdiH(}sB^}a{#_U$+;cS9>R4wlAH#I0YL(^4guh;FX1%{-!BBPI4-*@et135^W#>=j)%7Q|8M2 zl+UPZGM9#b-yeIEifpsjInT-MXQc085;_P}0lM?3kssF-s}V0@IVTm({{ADKBk`Fm zQdmI*9FtIt<#V_a#Z@ny_1)iw`&VJ=0+<_xtdh>jC#unLgJQt|ooC zejsgn5}W|v@_K)kAI=nw4p7+zqa8_cVRTW*$o9wh66UrpuA4y0%iR-HKOV|D?u#>P^iRWjk{00NWVe73biTV1@ z%nnE``~rP=v33?p2X|ZvwYH(=E-t*+F85s3cxbKu5dj+_8+|fNBW)D&7<9G+MCt*X6ff@Kr_nvP_3dwg-x0lO+>fIJb@ff>q=go*b9I?wA%m@HxY5bB>vv6k! zYP0o&uDbhd+&g1aSmbu1G}0UmWj*Dd=MNhRdo(}FnnsAwHeG5p;f;Jmk1G8kV}a^1 zH9f(ZCKHPP2`=f~O;n<}|Mq2mgcK{M&ql>GN*PbpvLoJVhshmWpCik(bnfPva*H6R zvqW9Pe8PtX2I}@50m+ZU3TCX)3{J?c4%((hbDXcsFH${s%G0}!lI>o;H!EwgF6Riever0pI*4lW*MWd=I|2IJqS6dsmEcGL;Q-6N zhf8c%WB(3;g${=sq=KGF8RwJa!thB@tgK0Ye0h*q#k>fh?||va`~z7NyIzSg4FuCL@JM|ix0|tiAP9jItz$K&__}Y84eM4;Sl+d zcn?)Z<+N4Aa?3K$#SO~EJfYbrFFeF{r^;j~QF&lL2xjt|QpW$l<26RbFNN!IVlC+v z#JAQPIBp-#WWTt&7Thab+4N+nN4En)%0-8zfil-1TZNLNzJoUfGnSpD$lvd9G#50*+1t&uMb0#9(?%9_v+T6 z`U`woQ&ydc>!~#si%08?wryq)I!z`M6nV?kzE2J>VQLcv#wEcs+sG>LcxW(J{3r5} z?^f4w3for4zx5FGv(%v%h?#`3C^ic_eynpZvr~{l$4U6);>1NjO0$#fCuZAtfZe;f zK;*j_NAu_PfbczMBz)uC;oh*d zAB*jBz9gtGi_zODN9&BU-@0~tOV{8@4#3ecu_MjT%zyeyGjJ`}f<1sC2nMKaG!N`6 zAGjTd7TgoX!&XFr$U!9HwD>su>%eCR!p}Ql`7dQozh}pT>lSwJJI}rwh_chaHb?ST z>yGfLQH_lK4GkUCt&ygAa#TBdhVQ*AiC)fsr;OBd9|D66%*Jgo8Vw2-ODJI#jxOkp2ZrTpvx7p_aEE-PAq zG8NNm3fBj8EAFmFa|b;mWfI$9A%rqR?qI=-h~cdnrD?O0f4-Ox@IvBtddzd-x0{fa zds}U=hthWjF)-_`G<6Z7%&=qmRY==byYII|dXB=~ThFml6*u|3-WMJv_nnM1j)qd6 zd=rlU(Y_7r_gZMpIymhYR_uCC9${u9iaV0rKL#i?pu4s$S)N?6NxqV_pKob>F&6AH zddfQEZN3>j<4IZb5RNr#%tgprPdl)*dIAGmW>gLiq-zwyNTSEfUL4*ied}^hm7i3{ z3l_CC%=Dt!jb!Gwm?JGF&#PpVTfbzt`P>h4(gB#x#aH5u(hndhNa_x+>>Dn((~H6yIGbQ)MR>l$<)xTeHQ8_{2aYuo4Ea-! zOK(bI{?)V1aOrbWuIBnGpn>~ibC%*1F*)$nvUU$Ne}~rr zy!R&AgRznU>HUf#CQ4f@1MabeGZdC87d`gSW(W-OaSeW~?w;whSJ8j(pVtOkc3{nD z)aj!2{Wc!&9^;9A$1r$Z5-y;6Ix&`dazgu15|Z*BtErPC_Grh2f+Q@>9}+U0eyvAYY*|g?m?SBv=jz@lS*}M~_cCHyb>fkN zQRL|1KTJO5z$}y zN4IE4MBi3Ix=zjb4HBNz1DT3ydgY9FO9YD{g1dGY{YfvnIlFR}459vtf2Ukn)ytE@ zIN%ZVds%1WnewO#pMGKHu@_Pv7=eI6i*I=&h%cw;52oMByI}u4zJ_}cd z;^7Jf!g%z+Xt>`ZKNY1LX0F$BEK*9Qa9}zY2Mxms@<3+vxZU84Fx=ci6(bn=J;aI> z$Im^qIOU8Q0!D4tD=3YhPkP8b%n}(~>gxW_5{4do@n-!=H(pVWT4_|VlP19O;3{yh zuJsMafP^av@v1kCA%TwvGb>OnKSAnxO8ZjW?%Y<9^H!NxdzLR*Vc1o@PG~R9v1)Twx7g<)6O8cd0i*3A!(qwetf}*Oy`-x zW_w0pzs=!`)m@5zw$cvCZuMt=BraH{NApn59Xs=*XwL6pzE2xM<5E{xkX>}Az5D2< zB6QIA91zxvcl8Ngn0%tJ;noG}98fCxkv6H^jw5ttz2%UV&C)I=DvtA7t);CB3rPI9 zwwv!-v}eJt%%IUa>SxrO^^n5mX%h5txWO;wA{w28v&Z*{93@=0{ZfAkbhRU>A4 zN8yidq0|oPBs$?go`R*SNo2-F;mKS3YKP#7@%WF)J85b^JDd{lr8EdF^v@a^s?z;| zzp$keP~U;SVSXfKRBgFIA{2ktw|+*g<6ejU{Xk8l7)`)eOMA2q6?y=S<|7LP1M6l! zj0oh-RBx7r8%jp>iu(I4pkfWhVvt_2UZEqP$Gh$3LdXOyT6}QUa)3WmYn^HAxMl^qzO3|&JM~9RC)qFlHzpS}@w&BlMn>_57v46Mqi7GXx(z zlWj$;Y0oSwOC?u|FJ*7i**{{=+U)3uu3AiN0aJut zS=#Cd1o7$7VXOKr3+#>Ck$ly+N!+oo)B!NNrk%(G8>LaAfwJiqi91~Mg$fZ5A4ftb z^2$=E%5yc4b^x1It$I(?)e|nOln-(gyBjXUD_%X=DYseB-v&9IxscWVwD-Pc!6dkU z-Yo0>e7-I%S z{G=tO^V-JdsEw78r=M9=1YPYk=}Me#SF4>-FLZ#N5KAh1QU9#do)gT2wL3O=ZnzQ+~RB_?r~=iFg^4wzg*upN(&)R_;R zA8V!QCci}meB-GfNIZ~1z`;c|sd>1#beR2X(hW5TGX5+wH0F9mE4NFx zmz%rYEsZ&u+bnZ}$~|%l0gbmXCt=55aQWB>bNP6+7ZRyYKO=HKHP|ZXYe2YTZD9dO zisdaEt;%96_0O-ZV7}w?`f&Czb#qrJ@m;ukjl?*6vBI_0v?MQp*LP4jTT>QD2}53v z4K#p3bfn8y;EPPcD&ma=u?^<^eStl5KYe1*a1|aDsE?gt3fu&*JU7>W?D`d_0?`9G z@VG(NtowuHo?4ep^W3rZ>Sz}wk{7NGi{fpzL$kPftpX}f*0Xhq2`{W)K5iA9PVrn7 z2462hxa5`lObON0U!7)Numx%;bsnA5+0Gu6n;@x}AD%qbX&ZWAig!_*G3KzaNd9Q+ zimVN8Z=yReHhNryT0j${gXm(9RNz|KzjFP>9(kr4JeQHJZs`%RniS4Q+B;7v84zI4 z$I8?y@t67ZvCAYplb$b0<>wvFeq{+ayf~pY>&t#IoHT#ug0`(3u=ZDq8$Sa7=S6q@ zgMVJpy3D=Ckio4pKDSgS-u_CIr8r)x;;o2{`h@NpBTEF_NQ{0nTz2STNba_Wj9?C* z;(=`BhTP)?$+CAcf;~wFL6g&$>YKwP5GrB|ui8+GPytlR7V=ebQLub+RFSNAP9Ww+ zg9s`+_Mt@+xoSZSRU)+mz0R=l&9m@^>gehNZ z$?Is8pZ1fvE)Pjxx;>h;Nel4)M1bhO5e^`W(Fe9#;>bu1^Q^GXJGCt!kCddNa#M}w z_I?U##eV;&K2UJcCe^j4wRIv2rvADnn_GK!4NzFr?_hy8e%>yR(C>x?KAgg6JiYc_9Bk+y2xKYNSO(?P*`^R&${e+{ZYlXJ;^dizI50>Zx zpfncFzr4)9-iy5Tp|`{{uUi$elGojIVpg;n$s?4vAfmfPV*q)VrGym02nT8rc21Ap zOf4GB*j6lUlkm_b&GOB)Kwe5PY!Z0>(#AoC9A6~sEJ@HGwA|NIxQu{1<>OkRiTz=B1R95KJYd%3@*Ms#YAoNnV!ZN>p@C z4tYVf4P5srE})T=^GvX(4RXqaNo*`QyTM+~IZ1kG0nbep^o}ew?_5imNMEr}4?yNN zOC(!Su6&ehbVhk41?;E1v9qU&4#;#)HCRV0Ey=RW?4RYIk|^96EA~Bh2Z-aV`66s$ z^Hdb$5Ph$~(+FcUIFj$4+r)UqS;l9qtU z7w(F)8GMtuTmMNMPsrFAkOBCFV-OAyb^8T$Jq0WR0`Vnfj6Q9<*Zd-P64MPK)R{9Y zUkLK+u6o=WQsj>+RO_*#|1J*uenAc9rc#42@(3r(i%U*o7wHmMd)mx$SLzLR&g~G=mMX-;%f40G_0(es5_J>P%4S#~{aOSyAk%572JLS{ z-jGKj5#89LiS9mGY+!Jxy;DfBjS`g2f41_G2Z zU-!aN50JA3<++nDNjt)pE8j6^Z#g@VIB&p>NX%H!evdbzEEEvPfaY*Km2H`6sO6IrdbLw)D*l z1w-Ah_&sm7nidNGlDfT~iFoZwqo&IPJBs|~=)_J43{}%8s%^;y4f5w5Jb_j%mCYU* zFFplGOu43}kmX)TQiP74u(*VH+?g^So$~b6IqI*-uOHi(b+SLBE1H`s^eTq5NcAyX z_{8}*BX98!Yh<{_q`Ryqe+RokQ0}$%6Dh@i@{ft0=R?w|W`C7xu3Rp{%k!8W(U~ap z<0s5-Fj&A~&hudy66y0?;55>N_+0LuJE9MO%2hpozv)c0Ec^TM+%NcZ+ut3}itVV4 z!+f^wL9TNTb_q{96ytQhU zn?*CzQl98-sK1!qF|~qtWU47jsBMJ zg%O$k#aoE4p;iES(tEan+)B?S%yi>E1z)5Y+VRAQD2{*aV3l_uS`Ym;>G&O53u;bAAh)keC&+>v}%7A z27Vy8BI(0JBsT+AVc>2}R1{N(m&gN$76hzU(sE&FH-mr^xm)&3+T`ivA5Y=%=vHQ@ zFVd;|JXcVS;g0)6Y$k{Y0GTjYk9ki(^S;1H)ps0O22n8$_5tj;RS+_;6e?9|l99Gp zGzkk0THqD@Zw~cgN?tC-ip+;CE6o?*UalQK3AF-VTcB~!87CqIk1PBSDU7<-J$ zPGia5BtbuXthX2-VHTA^+FJ>s=RjTaGk#At)+}Pfayja>2+MNX_6US7MJ5$ z-uhbS@HN~gPL%OE>)?ynwgO>HoCfdqQl@7AaEXQP6?AZwdx;XV%m_#_-_PGLv(HNQ z^lf$WNg>qDPrC6H3Hi9-*s?`Q_pYS<#7z) zQ;6r3l$0K|U5@R{K2;U8k%%(C*iH6vjhzv16g)Dc@K~mIxC&*uUhux$?wPaOY6N9X z15i$1a|W}Av#hx0USwf&C(2OV8H1rR>&eS()XnSW_EVHMzWi_)yRK3p80P2tKx!45 z46nPJ1+MeY#V5?BRLp6BD(n`o*#sPDk)_NPG$Is3PmYch^%P8}YE+4C{$S6FO4xo8 zI5E$22?Ki#I|VyXpDJ$;l4%l7^MX%y zO@_$doA~XYQ`f&(v44sc>(5J%$@=T=an-Z$QDl5XCum(|L;HxkPmHQWWn4ak3D}-_ zf=!A~mm0sM$>bkE>*SNW^WzMO@wDGr_0)GAmbNg6+)2CRGZI;`V)iFSchHJ}>MZqR zRwGq0rsR^3LM;rJtACsO7;@MVgdSXVrpai7Lq1dZy$PZU-0(-naxksW{QnW0a{0Bd z(bhYS5(gf689I5d>#}nMLm~Q&#Q3T^S*ccFU(tt-&6p2ft>AD}MTm*l23o=QGbTqF z$A8U}x@GQ z@G!8oU8#2{NL_B|sK8jn0hF!^zJ3`Mwio<@topjgAA&L~ef7|)5b*Mx?a(GWTp2K- z#D-h>N%zLU8D9#falv}Z+%sWxPV^CO?n8|Nc=EMsvi;A^8MX_fOH-M6Q_>EZQ|@@i zq9?3TmG|0F_UdQ$;Ye*=s(8x7j$29ZSjYBL>YqPG$KmsW962!7p^kkwd7hh^6#4H| zK*GcG_qqd0qm~Z>+`tL?;7=1sf_7VJP_Q6X@vt7~lt_l&%ie~69d$jU6aw8leU(hI z0MoItJ(07)fm_Is@A)#BU!+(akA%x**kfnZvvjtABZY~w+r!S8cx$@Q#YRu?F>$Jz z;Pbsd3vU1BFJ|B`g5j_1l~)5%7N5Cg;eENOsB?Q(AvafmL{z~>U!V0<5#CNTCkAeR zx)BwyO&~+@p9HOOF7)jh?@{p(0lVgp7qrXZ>LT}pf_srWsBRJ3C#gQ3qYDV^_w_gg zyJHQJL-bkI?G+F0U5VtFpGwd)C)F4k5fe7l&27mNCo$m{OC>(E$|u(4BD)1iWmhwT zeAr$rKEQ^j_QWpB2+k4~nT#b@WuhtW{XxEzWU2_-o7}wVL~%QPrT;jbDm=m)En_|2 zJ+ECZMYbq%=m;RH?!+Q4aafs7@+rn_s}p#r21w%|i3o9iXesoeMUh7`;|p3t5IxlR zbt`lb6IqYH6?5*vMiiHYu^1A+9Gb$`3D5xQO8x!c!hL6}WbN~wg6dojJ4;ZXpNp=d zKE>+_yv)N0uMn7&HPFmca-1Pnq?9V6s7keO9Z4@3J(yIu44&voc z(Qw)%o7>+n%RUE-Q3pv>h{vq;pd5wQLs6LNyT3Ag8>+O)v&mf6tp!#jH;9ya^{=&M zla1Y;pUlpj#}J%3xU7ykgTr1zt7uMse*eSrG+EZ{!GMOFug|bXN`9c)zbUsgGK|KP zf}~_J(^F0AV7*Z#vQCxyny5?i$lw{~2_1-D#-(}n4h@9}m2~?>IUR8c?B7KtyIM-& zhe~1iKlHLxPcz&hHH4U-I;=vl91r&OEMiP-RNwme~@Mg#&p_+u(1Up=G3n;Pjkk=8a(v zHC3EM!OC{^O}YsFd^64bUcdcwn~#O%>OwIFt(2sAnU!8-a_yQVyb308obRaK&U^r* z1gP3yFDo1J9!pa#1tK4ubED{>Ql>OR3T0L?%-mCpg2Tf@^JUs1b{MJwvI2&g>1Qcv z9q*}w9BXt;wlhPh-50T?RRXYZC;9jVpJr6O!WmQnT#|=~wK69zm+Pdq>rLdD1 z`J7xJ+@AY)Wp$I!(m&Y0cbFKxU!1-2syDpr+#-(ResJX(;CR3q7ugf7RhczEdxvLn zkDJ&wW!e44)0{cwNpf&`BhQsqMzRVA7leKDCyl&8H*DP4X0NA`7`uX=+CJ-H6y=#c zK``jA$AS{$Z6oS)EH9)d$|^dVR%Y(^Zz=U5g!^ef@v6B4xyZr8&_-uAyLeaO&c!;8 zS)F)+#^@$+nd9J+A@ZDc;W8(wMdJo4Yg}GR;0}7B= zC0X{q1o29v>r&uXT`uC4|77sRGR1ov5AJy=6nukh>2VoWZ}(?K(On1vgBx<`!?c-OV#vQZSr zZtX0QD!gk-$u;jFB`G~;#YHBci`Iy3qn)hXb1U(LKb-!GY=xsm7Pj}$H+8I0?^=H8 z-YNu)s(34JNXG9Sb?Fft21kdhW_0wzv@tZoDD>z9J_JcEYuLE7ay26VnQgZ{$8_x} zB_em$gzODVuVl~PF-2PD`-iFjch=>@lvqiR!23g+)%>5vY+K!F=I~mV@J9LFzvIIS zJJC0^P+ax_W{MN?Diis2hI{sL)B>UpQ3<42a~8pajbMy}`2GzqNbtt}wqe~YkmJJ+aRIe%O1n0mre-M1m3ZTat~ zu}ECrjRmZ}Iy3H{U@WGVc2t1wR>>v2f5k7;FeL0Rr?wxB)x=c&GvYBGL;E8#R#W;S zr_V}P>Q%F7zK38$DBs;XP?!5TS~hI6`z6d|L(sp`F3jJ*D}sksELGn!R;8#cbe~prT>7{dgvj^wWNbw0&>Y zqAM|Tx>6~($XcMRDT7En@v-2>^k~W$aVzuIiXIQI%P57PqvaMjq_bKRHl2=&wJ}TE zrPOuhm%4yXS-7kJTl8&q8Z>P$d-;yJ#4S+Xx%*nz#kJQZdv8i{uUSdiTzlQJ_ssa*YZNXbbt@XiFJFmoG|X=@ z64EeIxJZ(&84{9we*Sy^{r=;e_xn6v=lLX9DoG<6vW-WTzN${B z*utU{lie>KLC^Vpjf!tU1wP>VksXv>KJ>d>TKN%zPbXjaHtl@Q0dsO+*8Y>y>)eTr z{SkpidoiPfTyPRUz8{CnFwf-EXZ=b7mAp`Y`nFW(NsvYx;4J0PX{YldZgBW3VgjP~ zc!lK;6m~gB)Hq7z2|ginN!;$dVqJL%#u?^eywmI)YD9xGZb6uS>`!AiaphV>F7jprn)d)-6#~QJJZ9H;zjjl`uI}( zsQ%1=Knj(5o_QgN5=;$YhK5nXsS(V`C`vRnh8Y`2iKiwo6O$;()QilNR7x5(oe9z? zbZQ1OGmDZG)flNj|rGQ$SN}Ur<7;ZCT4RBrIp&oZ2yn) zoZ7*B(Mjo|b~AfkQhKScn0@_}0qSe!;2X+Y>O1E9A<8gygb9v*po~#JGCz$|Ca9B4 z))Zx$I>Y=tOZh^bV}6~de4{Qfzq2We)FtNf3T2hL#{98P*`RJRe{NBJQMZ{pyOiJ5 zJ?5W%%3tci4M;wgg}5VfCtgb7GKT~5xG=)LhVOx%Kk)LFTb-$~PzX9^=|t{m0oLpu zdvtlD&N2i%R(IwDCe|MBZdKcKdqSl(U&V#?>x`p$uz2UEa{dYy>?H~~*_=>up*|)8 zak$6L@7ra4HAm2a&`|Kn!;X;KaMb^2ZV*?OO0TQx%b#ff#8>>$!bf+_e)zuWCHMwa z-bXM#PF(!Cs5-9E*;LbAb^lpa`}TfZXz!$r+>m_&=y)}Ea%h7;YelGReUo3qa-CoH zOe?IuV&L8oyp0KOkU65G~X8}RZoTMuMw9s_pTPbOjs+&m##7d zfwLS+x0A&R3e$ngnE6_gKA+VyWPIpd$#ARUke(X7Y_bv{Y|1vzfEZK5sMj1w#<4J! zkN^^>5(*$NNo*Mi`%;Q@*D~(KQqkx8nLSnOsoB(sw}qVw;lC7eaUy8Ox|EoJUCvcm z@`J8h#b&#QUF9E60(MdbzSHz%yK04BXG3U>U%2e$^@#ZIspfD7Ms`@pp!0ao$KVeyJ0&=xwU+7b;Ean==3$vV9{r>uCLe{gzl`}s} z4jfjbb5L@Z48a>60`sYC(>IJ<|LO{7|qX9;?KIFgT7##OO z$=boS+C*CAvqMxXB;BPZ&SCs9ywoJ(xI|B{Iq!#)tP=-F^SKj$A?Ld)?l(@h0=*~p zIh!igOKRJMCg?)%Z%knKsk1eO4F?`JFs=kND&|UoK2IlFFflR{kjl}e$HYGkz)xL` zh3lIA%B@dg>`1FB`|s64L~uLKhuwVvVpnnn*KwUf+FU8Dnkm@F=efd2H71-s47MC( zK4-qX7)Rz5-gfrwq^dczDy_l2s|wP0<+trT`mEajQac&5-@K$VdLPtZSr*-fPS7)A zuqR6YW+WoiWq|*9{D9ONRtv~OCBiN|tjMry&OeK$olxyh$I`*QUrCy7cpE`vL(xrl zv5x|%PCK`zbvAQG^?m*e)lNIs*9l}5N+MK8D+OV6{$n(m9(f9c$_^$X4$4?x%Gly% zZkKlGFe}9_Io>DiNcdXWOw(^vCksA%_@*tJmfeQu9s7GX4&H$HbmV52MURMCsAHC! zr=HMhjY(fZ9(X)8C`TE`7nP&xAGDqJH0LN+iFC0LZODWlj&lV(nn8?*p z@qugg=Z}hyj*}#PhRmnSWm-Joy5%FhcF1nJ%4B*cuyewVEWtMh?wvIlQ?fBvE-&P^ z?zQ>eNfIR|^A!?feioqfc*5&O7G6>^d@tWLcdB>}+F?xMpj7_j{#}T9XTy_8?Bzj( zLC9yWC?4TwbGHf*;p@wzK!#dQ-Jq$Mn&0sQ(L^MYoP&|IX>1PGT%Hn)iQ$?RaZm}? zOBmKfJ#qK&EADa7d0=s^#qM9g>*%9=%n!~2N1)AhFZb>r9E-5tA6lmuUQ`e)ANAFLh-3cA#@Vw+#2HimUw%EP?< zmU3VZyQzq=L%#8Sp&O3eI)X;szUW0shQ|@*+o#o}*-#$APkc(=A6_R?1iL|J z#T@x}k!;hlY@wsa!O>)W`_lyY{?YW>=@tF~Dej8dm;iP82$>d?7;NL0UnbGiQda8= zQ&xvqTv5Djww6)U>&5; zPiOVZEkZA7_@=icY2>4)iBIK+@HmZdwd83*jI_F;n|te9BXyWvBdF=ziLjG3fCFXM zNSt9Pz7R~)G`vq&qwtxOyt=$ly|vK|FSo%&RowGys~GxUM39cY$pgK@#AO7MRQBW; z+=JH$H{zv%Y1OMo>U9s=jj0zS{4M_6b8-Q8UX3*Mbe+{~#nQg-V`%Tkj|>xPuOMDJ zIkiY{cdI+IS}!?(C&M^kRr($rChG8y0vQ~Gdf4tbtnfChHH&*t5g#v<{g^}YEizM0 zp!~kahn;RKt0rE?%8k}M0@sH)$gD+*kk4w9IuOeL$k;cY;n5#9-s3m^yBn*LFZ4nn zSAi&07%n{bygmqB^#IOFgQU{Xg%7TvS-3)(YDQScoH(cs^u$17ei-QtNw>1y#rLf@ zsPsS6dlQj4>XB`nyAy&NO{ib^U+`tW4rTfr9>G(27+!4}aFiOi!@q^WgzRUh$3J=T ze(MB65IM}pN<68o9xN6fD)QlnoOq|!;h0nl|5*>2_lEXm)o5rD_Gk2U<{U~e7{%+k zu8zF~x)$0zSwUC!u-Z+p`*`s;L-g+uNdIv_H%$dI`$&fXu|2L~_ApC!T4wSb=gI^w zEn#jL_%k{@WQ}{Vos8KT-a!+$CLkYVxQWNp3?N9DbDGx{emTDD{O6` zT`>&s(^y#+7HN1M)S-c?_;k664SP5@0nUe#IAy&34GpK!kP&7XIe{i&G&ljFCAhr* zlb%$%>d|nNdE_^Y!|D56R*|wh%R9}}GivS^c(zp)@z2*4rUjq7DNG1*1b%&mei#CO zFp45bh3D{gSz;m{(&C8c$tE^_fjRP|CXI+wlfn^71`k7LWDe*kvUFoDM%s0n#Nm<_j6s%Mj5w_7ch7S{`L)+2$cHJfH`On!!-zFOr?A`48(I)KY z*+nEV#PUFusFsR{U?Yj6;-ClDzMkTk8)&zMx(XOKlAGf1PE-w%?As-87Ac^RlS0{4XW@#TBp3h=CAas{UB>@2CU?@b%_7|+TY2N)!hOJwiQ=e(*AU%hO# zwo$oYQN9ef+MoaXz#2YRYpuDHMOL6P{W;`yKdf-;SpdYye*Cwwta2>hT*W47D|Zw9 z1=pUXQb(BNEtgAUFDdPd=l+&}hV)&^+Y1UO?cIcY3o*@?g&7HX3u+vEK>t)komT39 zc)-rG?!p9!M=5Q-(9_;_1--KR*aZG2a2tb!G-zt+fht?z}J{==?M z5r+BR3zkcv^;ALqI|9GHPU5=Ye@oa_EQ`4I7$kr;=CV#&rWFC#0u18CTvUur+Qp|+ z^P#}pK~#{Bg)|Kl=IGcaDnekg-Uz-?@T*0BC%`lnNQbEm0B0r zKVvyugPkneo@L;)oT)(0C9C7s&7Pd1!`Dy=GI6$?o-s%FU~sop3*)n0v9TvV_o$dB zKdoA}e0;ZbPGQ*IeNNWRF@rPCQM{62IaM;dv!L?dzBD<5?^z1QzQ0WM0hm4)o0z*E zC8B2kfg7HKLEz0EY0n^!JfD>wepW|Ub~+X4s|*FvTRSB$ulwq`Jsb|AgW6>w@+(~H z*7oJS&+f1iVwm3$w6zjHuJAV`z2BwB!)LNP-v~C`@IlIa)=JcP$r;@R&^bqC&@$+} zYDJ6;mj2$Xu2PwqMkGc@6`+Y9dDXcLIFDLKp#^5CakG4Bn#ZQCIf29?pqWpH7zp?% zsa%8sE1Sx|e6YB-BOJV?4ZkZRL+k_;{XG82Yv}}f=^02^eGstM&SIUafNC^lE z<;FYfYoya~m66}DQ5wb(41}BO7&{fSgSe}{cz-vsGD0%SSu12lE&*mp!GyNH_ z;stXH$x|kTu0({)Ah6+%95%1mvkwc3h((hu9;<%<46G&#WYnjyBLPu){HdXuV^B(M z{xJiqsWtoUQ{G)a3YrU?uocA0Gper9loX9wmo-^Y-5OQ&)FC`9wlUeIZ)B})R%@PU z=H+#sJ?@p#r^StXR2Tc}8rss-pn{3UY>w^TIcD?W-@Ynviet54&yaO(c0^TI4JGpN!?A$ z#<);#Nxt<41r=w(l}(j2_CIMwc;91r|Ea?SKCch~%V+_7{R1_w z-?@*rp^*|!%jRT0OrpiCor2-5RIv}Cs*9+NV+TlIMJ?<&btl>QXNy2P;J}vHKWIUs zf3!qi{?YJtyR93s#xaEuVsZ0b{%@^q3;|%ej}`aK;AvmM^qlcEc$T1o&Z7POmz$Py zpiHx()gGc%tzN8oUWn;bK%--uh7@JNx`m0M z%KK#v7l%-cx3Bf>=AuIr_vi8N9tOqQ^jb>JS+y=KULQJk;FIzA{>!0zPTvf=7 zM&!U(^NU4VNWqvH%YSecWyZ=EEiVXeaXebc-2~!quWd_m85(w9<`@rItK2n&IpGo} zUDp4nxxJh$Ev}!?h(NTp!=B@wg3odsPb6t|a&xcp<(>b_!P7RW&e5gCN6$_8%@C{> zS^SaPuNo{MjCwC60b%2QH|Vx?BI3`loxcdMp=27Fw*?UMV6MT;Hmq?zP(sk3O1x(G zpw=!2Y~4J$2<2vK%Xz^^wI;brGh(iybPY9Maa)O5vuUhfad!iqxqz54$TOSE zISc~O5O9ya8G$nVf+J|^v7L{wY9lPpL<6H}I}<{;b^;+|@z=9vV z>rb!w_`lsO@jo!mBu8xbL6B4j)Jp|7&Oulvj>dETW)>% z_z`>xqkTb)JehCA-l~K(lTcBj*lR~li{3o0rI}|^r2ud=&ECw8DO|cUnXrOv9-jfS zfXvWIOTnHKO__#4Qwq&B3C;{!Y>~QKdgGCwgfIHnB@l_yzEfh0g#g%qO#*E^ zcFH_pz%Zd7eP%AJf607X5q*4WW4?LIAyP=rdp%tGSn+(ZjFJHzJxWbT&%HZfk;E&*Xp>`*V;@@PJ_yM)Yc|=IB>SqX7+>4#Qe< zB1N<^wX@;Kx|P1?_G7kl)x+)K9+Ek7?n2z8NmG8*3^qLgDJ*wr~nNAe`?@BrZpo zw!H@?8X_Un1jH+hsTG;E6Vs-7Q!)3AhwRoQbk?I>J-qR@dxXFT3};sF*y*Z_h=Mo! zmObS*P)KSxc-9Ge#u5}XCmD!?rO~N_zG?Jhmz1Etd zma!l}J~+)@NiW(vz8C22oW|mW&&r%=TnrUaRLN!U;lX$nu1B5chR;`42%5gu&DV8O zg;bp}C2*O{;`p;4QJE9>Xj$acLlCILhKWGhqHR_tZ)VViFIXU-x-~gVSKyl4jV|Jj z#HnEAA7r-HU8y-@@W@&WgPfr{I)c>>x}aLf=YA@^9bH&+L(Lw|cl_ zo|Oa*^|YX;7Owl;^vvx_qXm?;Mg|)SR=$lVaP|VFa)SffbaSXKSW_{xM+7$6E;y?O zlOeR}F+0zj|Ne0+*x>469e-FQ?iAige|@eLj`|i1^!GFO+0%kCJj~zKQ{xB(_#0uJ zmb-NM_ln>f=5Osf#ow_0dw>rnxXAZv*zf3{LC%b=z3G?XzQ2<{1p%8~LDoic#`l5@ zxcq)kUq089W`KIDcQ;FVFCiiFr3mMx>SllBT5N{btTUmf2();1?z>akp?}Qqt98)J z&h#bZ>Wf9ba_?q)VdZe@P`9av6Nomeu~S)!JN_e#eI&jp-5Eo=#ly0XD+w@#FpFr%0$ zKeM|NCg>cMacwZ-=TBq#`mva`PFa`;zdR449}8&666&h z_8q7DiRw?{Ujty1$&PICO1JaQ%Bzt353`G{QO?nwbEwhk!x7Orkw0-VPs($yslrix z(YEEX`qwCS!&ux|4t=Q7aYxomzhYBMaAt;YQ3&Eju@hjf1n=S%a|MtgL}yKC94Kp zFz8OwH|a@OdyQPxyKL7fV`xhe-xhC%;&w2<}7e>E`oIM&~u9*=> zJaq#LDxcZDy*&$0<;(U*ig;xyJhf-m3cj#nfB)N2vI+u6=1l#I%>V6J zUwS*B$ssc>t-U3q!($#I0Vz)!t;k>9A3nb_?6M|s*TWlk)>(XDdf2Z>=4`{TID)&9 zGsqY7hNvnfUS}s^qCJ8T(B;v>SZx^Hi4Y3`Gc&75c=a&^QZ(@yM+^5B;-B|>H~%iW zAJ|Nf1bYj|p-=q`{bYQu;CSBIsATz29@nRNus83}q`cnYr;2M7zgZc-ViM4~r~XoK zC~xm@X<^)vCsRCayI1NOUz1bb&*2R{m0Csn7QcG+Q1Fq6I_QiWXTlS?XK&i|4B$#?GA z39j|Tob#FfCd8_~O9M1O#j?ulegqN>Z2<)E0rzuje8?7+3sq0n;Gb9GeToRj=Hd1m zj>UGea$_xL@ebFgAEEJ@H7$rI00(rJacS-kgE^b?hx}(u)90j43t7qXa95W6oX8ok zUvQ7{pEm5$6kqu%_6QE>y2paNHMw2biMW`7zL0+|6mH&~>tb{WdpFG=K!ukUAeDKJ zktRmr(N{a^HH?iHxhN~^Q}M{tDOc{VCAcvBVOBqhd0^yb2LM|~T$=eqP+VH+&db!I z$t$j}#~Cmt8E3Z>sU0K`a`jCdUbB1G#I+*Kv$;=F+aG~N=vf<2xx_T0L<}>qyCa;n z!jiE2?YXex`y98D4Lyz$G}yr_3LNC_w*MX1UGqet`KvZ3!-T(Oc@-M5PgM;Jd+97C z#T_*Z4zv_q3!J@t-Al&iGR;9_B_3j4TnvW_o4F#F^w=e9L~d}cBeW60m=AIP0?7Pl z7Sh5)YA9)XXt8sJ>hd)1C6jJxNu6uu;q5oD#5L(^PvSU|k zKKJ(y)z1UM+kp}yuL2p_W5WkU?iE{s$OyOiH#D`}`^|D5KC%cMg&ZEeu@<<_$8|5b zjg+A$JpIacVRsk*2wVQ)79(L>ZvE3s5poxNnwDc`>m*3GVa#-DKyD5I`RSqWo})un zV4(p3_y`K=vgqG5w;VFAHb?QuU*{GrzPt`6@k@A+56#y-y_+-jC-<&{{*u+!qyFYw zcfvwM!o3qcWV6Z|>}Ad5p-hit%zADXMp(mh9tiM@4LpA#C;I-y()2QfYF$&_@iK|J;7ahT;Rwn>gvmtf- z>;ZrevpCE3P1HFw_9pB(0=Ry2g_9f5FgjJjUF=qL5_L!VWCtN^$)%!B~*>;+xH!=Fjc5GB{ar8&nPl93l14Q+$(yo7ktE!7jD_Vo= zs~?sYFhbJ}(F2Q!`(SlX>5J#V?bXet&z=Mi*Zm4b{X|@`z0s?8Z?w<$QKR0*=qhDd zmkXg}{1<~--`U9&{)0vE{HBM(Un3BuLGGa%ysH9;($4)*LEe8;5T!}+!7Y(>NnNxEt*kXT#y8E^kI%0|>=!d>{tLMsblWuHb-pb^Hw;$#E_<0^=-E{6>7D zw9IKUF5@2F-ilR!$-RDV=1);pfUu$>KQO}!#PtCR8dOsA6Er3*SAfAIx55!n&_mGE z1i_!p!jbnq3Qr!8J~QZ#A8pmWJZ&`16E7?iYty6@Ez*r^--JQ@B;)au#eS! zCNKnXX#{|>@^+rU3!TAG)jR;@ECKWvw4;0m@D8umY0-}3;Kc!c?Zb#HC`Fu)gBK-+ z)h3T*vG5&8oIJt2)a1=yaOW{&Z{K6K4=H@T`wrw%iPQsu1A z=(i`edC}{Tk-H3+F}K04yr`H6kIOo8?~q|cY(59uVf{~7rt+`TRUtJ3|Bwz(M88+a zjh!2Q5KQ=cD;~D43vqB6s-6?XlOQo^5Aka}65Lw_Fri}bg*87GD*=Y9FEzov%%d4h|6L4=((5fCGAq0`RTvU~f@Vpzc3PeFo5xkz^qBBg6$NU<2 zOk2WPQ9&G1N+EmL%3aWnwFm~eg}6n{1mJSbL$KZtFvV=yZM9-^w*#?4$3uurcKVtI zUV>j}0UQx;JK%Rxl+i7osiqTTrn{v@E2O`G5U;HUH~$81;aA&dGrd%uty&27=sT~N zo}^w=t<%uKnL$|FAGC&-Vls?XrGz@stb(|jy>kWTtSa!gCvOo}-G+_{84~*}2fK~L yB7Fhp1QB@i9P)O%9;X!A7dQ^fLmk!-0D$qAwEvq5ODHjVWP+K*c1|*JV*dvNpTi~q diff --git a/maptest.map b/maptest.map index 0a8626f9..7a96c2dd 100755 --- a/maptest.map +++ b/maptest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:42 Executable Image: maptest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 137a:0000 0000f0f0 +DGROUP 1381:0000 0000f0f0 @@ -24,40 +24,40 @@ DGROUP 137a:0000 0000f0f0 Segment Class Group Address Size ======= ===== ===== ======= ==== -maptest_TEXT CODE AUTO 0000:0000 000001e1 -mapread_TEXT CODE AUTO 0000:01f0 000008ae -jsmn_TEXT CODE AUTO 0000:0aa0 00000919 -16_in_TEXT CODE AUTO 0000:13c0 00001e80 -16_mm_TEXT CODE AUTO 0000:3240 00003308 -wcpu_TEXT CODE AUTO 0000:6550 00000058 -16_head_TEXT CODE AUTO 0000:65b0 00000405 -16_ca_TEXT CODE AUTO 0000:69c0 000003fd -kitten_TEXT CODE AUTO 0000:6dc0 0000080a -16_hc_TEXT CODE AUTO 0000:75d0 0000158b -timer_TEXT CODE AUTO 0000:8b60 00000235 -_TEXT CODE AUTO 08da:0000 00007bc9 -bitmap_TEXT CODE AUTO 08da:7bd0 00000605 -modex16_TEXT CODE AUTO 08da:81e0 00002554 -16text_TEXT CODE AUTO 08da:a740 0000010d -16_in13_DATA FAR_DATA AUTO 135f:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1379:0004 00000000 -_NULL BEGDATA DGROUP 137a:0000 00000020 -_AFTERNULL BEGDATA DGROUP 137c:0000 00000002 -CONST DATA DGROUP 137c:0002 00000076 -CONST2 DATA DGROUP 1383:0008 00000102 -_DATA DATA DGROUP 1394:0000 000005a5 -XIB DATA DGROUP 13ee:0006 00000000 -XI DATA DGROUP 13ee:0006 00000036 -XIE DATA DGROUP 13f1:000c 00000000 -YIB DATA DGROUP 13f1:000c 00000000 -YI DATA DGROUP 13f1:000c 00000018 -YIE DATA DGROUP 13f3:0004 00000000 -STRINGS DATA DGROUP 13f3:0004 00000000 -DATA DATA DGROUP 13f3:0004 00000000 -_emu_init_start EMU DGROUP 13f3:0004 00000000 -_emu_init_end EMU DGROUP 13f3:0004 00000000 -_BSS BSS DGROUP 13f4:0000 00000e82 -STACK STACK DGROUP 14dd:0000 0000dac0 +maptest_TEXT CODE AUTO 0000:0000 000001e9 +mapread_TEXT CODE AUTO 0000:01f0 000008b6 +jsmn_TEXT CODE AUTO 0000:0ab0 00000919 +16_in_TEXT CODE AUTO 0000:13d0 00001e80 +16_mm_TEXT CODE AUTO 0000:3250 00003308 +wcpu_TEXT CODE AUTO 0000:6560 00000058 +16_head_TEXT CODE AUTO 0000:65c0 00000405 +16_ca_TEXT CODE AUTO 0000:69d0 000003fd +kitten_TEXT CODE AUTO 0000:6dd0 0000080a +16_hc_TEXT CODE AUTO 0000:75e0 0000158b +timer_TEXT CODE AUTO 0000:8b70 00000235 +_TEXT CODE AUTO 08db:0000 00007bc9 +bitmap_TEXT CODE AUTO 08db:7bd0 0000060d +modex16_TEXT CODE AUTO 08db:81e0 000025bc +16text_TEXT CODE AUTO 08db:a7a0 0000010d +16_in13_DATA FAR_DATA AUTO 1366:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1380:0004 00000000 +_NULL BEGDATA DGROUP 1381:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1383:0000 00000002 +CONST DATA DGROUP 1383:0002 00000076 +CONST2 DATA DGROUP 138a:0008 00000102 +_DATA DATA DGROUP 139b:0000 000005a5 +XIB DATA DGROUP 13f5:0006 00000000 +XI DATA DGROUP 13f5:0006 00000036 +XIE DATA DGROUP 13f8:000c 00000000 +YIB DATA DGROUP 13f8:000c 00000000 +YI DATA DGROUP 13f8:000c 00000018 +YIE DATA DGROUP 13fa:0004 00000000 +STRINGS DATA DGROUP 13fa:0004 00000000 +DATA DATA DGROUP 13fa:0004 00000000 +_emu_init_start EMU DGROUP 13fa:0004 00000000 +_emu_init_end EMU DGROUP 13fa:0004 00000000 +_BSS BSS DGROUP 13fb:0000 00000e82 +STACK STACK DGROUP 14e4:0000 0000dac0 +----------------+ @@ -71,576 +71,576 @@ Address Symbol ======= ====== Module: maptest.o(/dos/z/16/src/maptest.c) -0000:00ce main_ +0000:00d6 main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) -0000:02c8+ jsoneq_ -0000:036c+ dump_ -0000:0868 loadmap_ +0000:02d0+ jsoneq_ +0000:0374+ dump_ +0000:0870 loadmap_ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) -0000:0e72 jsmn_parse_ -0000:136e jsmn_init_ +0000:0e82 jsmn_parse_ +0000:137e jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -137a:07be+ _inpu -0000:1500+ INL_KeyService_ -0000:179a+ Mouse_ -0000:17f2+ IN_GetJoyAbs_ -0000:1b9c+ IN_GetJoyButtonsDB_ -0000:1e22+ IN_SetupJoy_ -0000:1fe4* IN_Startup_ -0000:20ea* IN_Default_ -0000:224e* IN_Shutdown_ -0000:22ce* IN_SetKeyHook_ -0000:22f0+ IN_ClearKeysDown_ -0000:23d0* IN_ReadCursor_ -0000:24ec* IN_ReadControl_ -0000:2d24* IN_SetControlType_ -0000:2d72* IN_GetScanName_ -0000:2dfa* IN_WaitForKey_ -0000:2e30* IN_WaitForASCII_ -0000:2e66+ IN_AckBack_ -0000:2f4e* IN_Ack_ -0000:2ff0+ IN_IsUserInput_ -0000:3096* IN_UserInput_ -0000:30da* IN_KeyDown_ -0000:3122+ IN_ClearKey_ -0000:319a* IN_qb_ +1381:07be+ _inpu +0000:1510+ INL_KeyService_ +0000:17aa+ Mouse_ +0000:1802+ IN_GetJoyAbs_ +0000:1bac+ IN_GetJoyButtonsDB_ +0000:1e32+ IN_SetupJoy_ +0000:1ff4* IN_Startup_ +0000:20fa* IN_Default_ +0000:225e* IN_Shutdown_ +0000:22de* IN_SetKeyHook_ +0000:2300+ IN_ClearKeysDown_ +0000:23e0* IN_ReadCursor_ +0000:24fc* IN_ReadControl_ +0000:2d34* IN_SetControlType_ +0000:2d82* IN_GetScanName_ +0000:2e0a* IN_WaitForKey_ +0000:2e40* IN_WaitForASCII_ +0000:2e76+ IN_AckBack_ +0000:2f5e* IN_Ack_ +0000:3000+ IN_IsUserInput_ +0000:30a6* IN_UserInput_ +0000:30ea* IN_KeyDown_ +0000:3132+ IN_ClearKey_ +0000:31aa* IN_qb_ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) -0000:3c24+ MML_CheckForEMS_ -0000:3c80+ MML_SetupEMS_ -0000:3e38+ MML_ShutdownEMS_ -0000:3ea4+ MM_MapEMS_ -0000:3fa0* MM_MapXEMS_ -0000:40c0+ MML_CheckForXMS_ -0000:411c* MML_SetupXMS_ -0000:4204+ MML_ShutdownXMS_ -0000:4292+ MML_UseSpace_ -0000:4470+ MML_ClearBlock_ -0000:44f4* MM_Startup_ -0000:4926+ MM_Shutdown_ -0000:49bc MM_GetPtr_ -0000:4db6+ MM_FreePtr_ -0000:4eae* MM_SetPurge_ -0000:4f88* MM_SetLock_ -0000:5060+ MM_SortMem_ -0000:524e* MM_ShowMemory_ -0000:58ee* MM_DumpData_ -0000:5a48+ MM_UnusedMemory_ -0000:5acc+ MM_TotalFree_ -0000:5b62* MM_Report_ -0000:651a* MM_BombOnError_ -137a:0920+ _beforesort -137a:0924+ _aftersort -137a:0928+ _XMSaddr +0000:3c34+ MML_CheckForEMS_ +0000:3c90+ MML_SetupEMS_ +0000:3e48+ MML_ShutdownEMS_ +0000:3eb4+ MM_MapEMS_ +0000:3fb0* MM_MapXEMS_ +0000:40d0+ MML_CheckForXMS_ +0000:412c* MML_SetupXMS_ +0000:4214+ MML_ShutdownXMS_ +0000:42a2+ MML_UseSpace_ +0000:4480+ MML_ClearBlock_ +0000:4504* MM_Startup_ +0000:4936+ MM_Shutdown_ +0000:49cc MM_GetPtr_ +0000:4dc6+ MM_FreePtr_ +0000:4ebe* MM_SetPurge_ +0000:4f98* MM_SetLock_ +0000:5070+ MM_SortMem_ +0000:525e* MM_ShowMemory_ +0000:58fe* MM_DumpData_ +0000:5a58+ MM_UnusedMemory_ +0000:5adc+ MM_TotalFree_ +0000:5b72* MM_Report_ +0000:652a* MM_BombOnError_ +1381:0920+ _beforesort +1381:0924+ _aftersort +1381:0928+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:6550 detectcpu_ +0000:6560 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) -0000:65be* wait_ -0000:660a* filesize_ -0000:6670 printmeminfoline_ -0000:685c US_CheckParm_ +0000:65ce* wait_ +0000:661a* filesize_ +0000:6680 printmeminfoline_ +0000:686c US_CheckParm_ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) -0000:69ee CA_OpenDebug_ -0000:6a3e CA_CloseDebug_ -0000:6a6c+ CA_FarRead_ -0000:6aec* CA_FarWrite_ -0000:6b6c* CA_ReadFile_ -0000:6be8* CA_LoadFile_ -0000:6c90* CAL_OptimizeNodes_ -0000:6d40* CA_Startup_ -0000:6d90* CA_Shutdown_ -137a:0930* _finishcachebox -137a:0934* _drawcachebox -137a:0938* _updatecachebox +0000:69fe CA_OpenDebug_ +0000:6a4e CA_CloseDebug_ +0000:6a7c+ CA_FarRead_ +0000:6afc* CA_FarWrite_ +0000:6b7c* CA_ReadFile_ +0000:6bf8* CA_LoadFile_ +0000:6ca0* CAL_OptimizeNodes_ +0000:6d50* CA_Startup_ +0000:6da0* CA_Shutdown_ +1381:0930* _finishcachebox +1381:0934* _drawcachebox +1381:0938* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) -0000:6dfc KITTENGETS -0000:6e5c* kittenopen_ -0000:70aa+ catread_ -0000:715e* kittenclose_ -0000:717a+ mystrtoul_ -0000:722e+ processEscChars_ -0000:73b4+ get_line_ -0000:7426+ db_fetch_ -0000:74bc+ db_insert_ -137a:04a4+ __kitten_catalog +0000:6e0c KITTENGETS +0000:6e6c* kittenopen_ +0000:70ba+ catread_ +0000:716e* kittenclose_ +0000:718a+ mystrtoul_ +0000:723e+ processEscChars_ +0000:73c4+ get_line_ +0000:7436+ db_fetch_ +0000:74cc+ db_insert_ +1381:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) -0000:777c+ LargestFreeBlock_ -0000:780a+ _coreleft_ -0000:7886+ LargestFarFreeBlock_ -0000:793c+ _farcoreleft_ -0000:79ea+ LargestHugeFreeBlock_ -0000:7ada* _hugecoreleft_ -0000:7baa+ GetFreeSize_ -0000:7c04+ GetFarFreeSize_ -0000:7c4e+ GetNearFreeSize_ -0000:7c9c* heapdump_ -0000:893e+ heapstat_ -0000:8a7c+ heapstat0_ -0000:8ade+ HC_OpenDebug_ -0000:8b2e* HC_CloseDebug_ +0000:778c+ LargestFreeBlock_ +0000:781a+ _coreleft_ +0000:7896+ LargestFarFreeBlock_ +0000:794c+ _farcoreleft_ +0000:79fa+ LargestHugeFreeBlock_ +0000:7aea* _hugecoreleft_ +0000:7bba+ GetFreeSize_ +0000:7c14+ GetFarFreeSize_ +0000:7c5e+ GetNearFreeSize_ +0000:7cac* heapdump_ +0000:894e+ heapstat_ +0000:8a8c+ heapstat0_ +0000:8aee+ HC_OpenDebug_ +0000:8b3e* HC_CloseDebug_ Module: timer.o(/dos/z/16/src/lib/timer.c) -0000:8b60* start_timer_ -0000:8c8c* elapsed_timer_ -0000:8cde* ticktock_ -0000:8d4a* time_in_seconds_ +0000:8b70* start_timer_ +0000:8c9c* elapsed_timer_ +0000:8cee* ticktock_ +0000:8d5a* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -08da:0005 __STK -08da:0025 __STKOVERFLOW_ +08db:0005 __STK +08db:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -137a:04c6 ___iob -137a:0944 ___OpenStreams -137a:0948 ___ClosedStreams +1381:04c6 ___iob +1381:0944 ___OpenStreams +1381:0948 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -08da:0042 fprintf_ +08db:0042 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -137a:0000* __nullarea -137a:062e* __ovlflag -137a:062f* __intno -137a:0630* __ovlvec -08da:0072 _cstart_ -08da:0145* _Not_Enough_Memory_ -08da:0277 __exit_ -08da:0296 __do_exit_with_msg__ -08da:02f3 __GETDS +1381:0000* __nullarea +1381:062e* __ovlflag +1381:062f* __intno +1381:0630* __ovlvec +08db:0072 _cstart_ +08db:0145* _Not_Enough_Memory_ +08db:0277 __exit_ +08db:0296 __do_exit_with_msg__ +08db:02f3 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -08da:02fe _big_code_ -08da:02fe* CodeModelMismatch +08db:02fe _big_code_ +08db:02fe* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -137a:094c __argv -137a:0950 ___argv -137a:0954 __argc -137a:0956 ___argc +1381:094c __argv +1381:0950 ___argv +1381:0954 __argc +1381:0956 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -08da:0305 __PIA -08da:02fe* __PIS +08db:0305 __PIA +08db:02fe* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) -08da:031c strncmp_ +08db:031c strncmp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -08da:0359 _fmalloc_ -08da:0359 malloc_ -137a:0634 ___fheap -137a:0636 ___fheapRover -137a:0638 ___LargestSizeB4Rover +08db:0359 _fmalloc_ +08db:0359 malloc_ +1381:0634 ___fheap +1381:0636 ___fheapRover +1381:0638 ___LargestSizeB4Rover Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -08da:7f0e bitmapLoadPcx_ -08da:806a* bitmapLoadPcxTiles_ +08db:7f16 bitmapLoadPcx_ +08db:8072* bitmapLoadPcxTiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) -08da:04ce strstr_ +08db:04ce strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) -08da:05d0 atoi_ +08db:05d0 atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -08da:066c+ __open_flags_ -08da:092d+ _fsopen_ -08da:0994 fopen_ -08da:0ab7* freopen_ +08db:066c+ __open_flags_ +08db:092d+ _fsopen_ +08db:0994 fopen_ +08db:0ab7* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -08da:0b41 fread_ +08db:0b41 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -08da:0eb8 __get_errno_ptr_ -137a:09d8 _errno +08db:0eb8 __get_errno_ptr_ +1381:09d8 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -08da:0ebf* _frealloc_ -08da:0ebf realloc_ +08db:0ebf* _frealloc_ +08db:0ebf realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -08da:0f9c __PTC +08db:0f9c __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) -08da:0fcf strncpy_ +08db:0fcf strncpy_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -08da:100b printf_ +08db:100b printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -08da:103a+ int86x_ -08da:11bd int86_ +08db:103a+ int86x_ +08db:11bd int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -08da:11ea __I4M -08da:11ea __U4M +08db:11ea __I4M +08db:11ea __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -08da:1202 _dos_getvect_ +08db:1202 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -08da:120d _dos_setvect_ +08db:120d _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -08da:121a __I4D -08da:1269 __U4D +08db:121a __I4D +08db:1269 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -08da:12ed* _heapgrow_ -08da:12ed _fheapgrow_ -08da:12ee _nheapgrow_ +08db:12ed* _heapgrow_ +08db:12ed _fheapgrow_ +08db:12ee _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -08da:1366 _memavl_ +08db:1366 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -08da:13ab _nmalloc_ -137a:0644 ___nheapbeg -137a:0646 ___MiniHeapRover -137a:0648 ___LargestSizeB4MiniHeapRover +08db:13ab _nmalloc_ +1381:0644 ___nheapbeg +1381:0646 ___MiniHeapRover +1381:0648 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -08da:1485 _ffree_ -08da:1485 free_ +08db:1485 _ffree_ +08db:1485 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -08da:14f2 _nfree_ -137a:09da+ ___MiniHeapFreeRover +08db:14f2 _nfree_ +1381:09da+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -08da:15ed+ _null_exit_rtn_ -08da:15ed+ __null_int23_exit_ -08da:15ee exit_ -08da:160f+ _exit_ -137a:064a+ ___int23_exit -137a:064e ___FPE_handler_exit +08db:15ed+ _null_exit_rtn_ +08db:15ed+ __null_int23_exit_ +08db:15ee exit_ +08db:160f+ _exit_ +1381:064a+ ___int23_exit +1381:064e ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -08da:162b ultoa_ -08da:16e9* ltoa_ +08db:162b ultoa_ +08db:16e9* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -08da:186f write_ +08db:186f write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -08da:1a9b __doclose_ -08da:1be5 __shutdown_stream_ -08da:1bff fclose_ -137a:09dc+ ___RmTmpFileFn +08db:1a9b __doclose_ +08db:1be5 __shutdown_stream_ +08db:1bff fclose_ +1381:09dc+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -08da:1c6a+ __ibm_bios_get_ticks_ -08da:1ce1 clock_ +08db:1c6a+ __ibm_bios_get_ticks_ +08db:1ce1 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -08da:1d08 kbhit_ +08db:1d08 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -08da:1d1f ftell_ +08db:1d1f ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -08da:1f05 fseek_ +08db:1f05 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -137a:0098 __IsTable +1381:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -08da:20fd tolower_ +08db:20fd tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -08da:210b unlink_ +08db:210b unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -08da:233b open_ -08da:2372 sopen_ +08db:233b open_ +08db:2372 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -08da:239f close_ +08db:239f close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -08da:23a4 filelength_ +08db:23a4 filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -08da:2402 sprintf_ +08db:2402 sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -08da:2431 getenv_ +08db:2431 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -08da:251c __PTS +08db:251c __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -08da:254d read_ +08db:254d read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -08da:2686* __clib_strdup_ -08da:2686 strdup_ +08db:2686* __clib_strdup_ +08db:2686 strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -08da:26ed halloc_ -08da:27d2 hfree_ +08db:26ed halloc_ +08db:27d2 hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -08da:27d9 _heapwalk_ -08da:27d9 _fheapwalk_ +08db:27d9 _heapwalk_ +08db:27d9 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -08da:27ee+ __NHeapWalk_ -08da:28e7 _nheapwalk_ +08db:27ee+ __NHeapWalk_ +08db:28e7 _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -08da:28f7 stackavail_ +08db:28f7 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -137a:065a __8087 -137a:065b __real87 -137a:065c __dos87emucall -137a:065e __dos87real +1381:065a __8087 +1381:065b __real87 +1381:065c __dos87emucall +1381:065e __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -08da:2906* __exit_with_msg_ -08da:290b __fatal_runtime_error_ +08db:2906* __exit_with_msg_ +08db:290b __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -137a:0660 __curbrk -137a:0668 __STACKLOW -137a:066a __STACKTOP -137a:066c __cbyte -137a:066e __child -137a:0670 __no87 -137a:067d ___FPE_handler -137a:0662 __psp -137a:0671 __get_ovl_stack -137a:0675 __restore_ovl_stack -137a:0679 __close_ovl_file -137a:0681 __LpCmdLine -137a:0685 __LpPgmName -137a:0664 __osmajor -137a:0665 __osminor -137a:0666 __osmode -137a:0667 __HShift +1381:0660 __curbrk +1381:0668 __STACKLOW +1381:066a __STACKTOP +1381:066c __cbyte +1381:066e __child +1381:0670 __no87 +1381:067d ___FPE_handler +1381:0662 __psp +1381:0671 __get_ovl_stack +1381:0675 __restore_ovl_stack +1381:0679 __close_ovl_file +1381:0681 __LpCmdLine +1381:0685 __LpPgmName +1381:0664 __osmajor +1381:0665 __osminor +1381:0666 __osmode +1381:0667 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -08da:292a __InitFiles_ +08db:292a __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -08da:2b5e* fcloseall_ -08da:2b65 __full_io_exit_ +08db:2b5e* fcloseall_ +08db:2b65 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -08da:2b89 __fprtf_ +08db:2b89 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -08da:2cdc __CMain +08db:2cdc __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -08da:2d42 __InitRtns -08da:2d42* __FInitRtns -08da:2d9d __FiniRtns -08da:2d9d* __FFiniRtns +08db:2d42 __InitRtns +08db:2d42* __FInitRtns +08db:2d9d __FiniRtns +08db:2d9d* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -137a:068a ___uselfn +1381:068a ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -08da:2e02 __DOSseg__ +08db:2e02 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -08da:2e03 __Init_Argv_ -08da:2e66+ _getargv_ -08da:3196 __Fini_Argv_ +08db:2e03 __Init_Argv_ +08db:2e66+ _getargv_ +08db:3196 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -08da:31c0 __MemAllocator -08da:328a __MemFree +08db:31c0 __MemAllocator +08db:328a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -08da:33e7 __GrowSeg_ +08db:33e7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -08da:355d __AllocSeg_ +08db:355d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -08da:364c __fmemneed_ +08db:364c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -08da:364f __fill_buffer_ -08da:389e fgetc_ +08db:364f __fill_buffer_ +08db:389e fgetc_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -08da:83d4* VGAmodeX_ -08da:8466+ vgaGetMode_ -08da:849c+ modexEnter_ -08da:85ea* modexLeave_ -08da:8602+ modexsetBaseXMode_ -08da:8654* modexDefaultPage_ -08da:8706* modexNextPage_ -08da:87d2* modexNextPageFlexibleSize_ -08da:88a0* modexShowPage_ -08da:899c* modexPanPage_ -08da:89ec* modexSelectPlane_ -08da:8a10+ modexClearRegion_ -08da:8b28* oldDrawBmp_ -08da:8c8e* CDrawBmp_ -08da:8df2* modexDrawBmp_ -08da:8e58+ modexDrawBmpRegion_ -08da:8fc2* modex_sparky4_DrawBmpRegion_ -08da:912c* modexDrawPlanarBuf_ -08da:914a* modexDrawSprite_ -08da:91b0+ modexDrawSpriteRegion_ -08da:9328* modexCopyPageRegion_ -08da:9492* modexFadeOn_ -08da:94c2* modexFadeOff_ -08da:94f0* modexFlashOn_ -08da:951e* modexFlashOff_ -08da:95ee+ modexPalSave_ -08da:9644 modexNewPal_ -08da:9694* modexLoadPalFile_ -08da:9776* modexSavePalFile_ -08da:97ee* modexPalBlack_ -08da:9818* modexPalWhite_ -08da:9842+ modexPalUpdate_ -08da:9db2+ modexPalUpdate1_ -08da:9e2c* modexPalUpdate0_ -08da:9e78+ chkcolor_ -08da:a190+ modexputPixel_ -08da:a21e* modexgetPixel_ -08da:a2a4* modexhlin_ -08da:a328* modexprint_ -08da:a4c2* modexprintbig_ -08da:a63e* pdump_ -08da:a69e* cls_ -08da:a70c+ modexWaitBorder_ -137a:0694+ _VGA +08db:83dc* VGAmodeX_ +08db:846e+ vgaGetMode_ +08db:84a4+ modexEnter_ +08db:8652* modexLeave_ +08db:866a+ modexsetBaseXMode_ +08db:86bc* modexDefaultPage_ +08db:876e* modexNextPage_ +08db:883a* modexNextPageFlexibleSize_ +08db:8908* modexShowPage_ +08db:8a04* modexPanPage_ +08db:8a54* modexSelectPlane_ +08db:8a78+ modexClearRegion_ +08db:8b90* oldDrawBmp_ +08db:8cf6* CDrawBmp_ +08db:8e5a* modexDrawBmp_ +08db:8ec0+ modexDrawBmpRegion_ +08db:902a* modex_sparky4_DrawBmpRegion_ +08db:9194* modexDrawPlanarBuf_ +08db:91b2* modexDrawSprite_ +08db:9218+ modexDrawSpriteRegion_ +08db:9390* modexCopyPageRegion_ +08db:94fa* modexFadeOn_ +08db:952a* modexFadeOff_ +08db:9558* modexFlashOn_ +08db:9586* modexFlashOff_ +08db:9656+ modexPalSave_ +08db:96ac modexNewPal_ +08db:96fc* modexLoadPalFile_ +08db:97de* modexSavePalFile_ +08db:9856* modexPalBlack_ +08db:9880* modexPalWhite_ +08db:98aa+ modexPalUpdate_ +08db:9e1a+ modexPalUpdate1_ +08db:9e94* modexPalUpdate0_ +08db:9ee0+ chkcolor_ +08db:a1f8+ modexputPixel_ +08db:a286* modexgetPixel_ +08db:a30c* modexhlin_ +08db:a390* modexprint_ +08db:a52a* modexprintbig_ +08db:a6a6* pdump_ +08db:a706* cls_ +08db:a774+ modexWaitBorder_ +1381:0694+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -08da:39e5* __set_commode_ -137a:06a8 __commode +08db:39e5* __set_commode_ +1381:06a8 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -137a:06aa __fmode +1381:06aa __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -08da:39f1 __chktty_ +08db:39f1 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -08da:3a50 __freefp_ -08da:3af1 __purgefp_ +08db:3a50 __freefp_ +08db:3af1 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -08da:3b32 __allocfp_ +08db:3b32 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -08da:3ce2 __ioalloc_ +08db:3ce2 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -08da:3e70 __qread_ +08db:3e70 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -08da:3e90* _msize_ -08da:3eab _fmsize_ +08db:3e90* _msize_ +08db:3eab _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -08da:3ebc __HeapManager_expand_ -08da:43c5 _nexpand_ +08db:3ebc __HeapManager_expand_ +08db:43c5 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -08da:4418 _fexpand_ -08da:4418* _expand_ +08db:4418 _fexpand_ +08db:4418* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -08da:444d intr_ +08db:444d intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -08da:4461 segread_ +08db:4461 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -08da:454c __LastFree_ -08da:45c6 __ExpandDGROUP_ +08db:454c __LastFree_ +08db:45c6 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -08da:46d7 __nmemneed_ +08db:46d7 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -137a:0050 ___Alphabet +1381:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -08da:46da __lseek_ +08db:46da __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -08da:4714* __dosretax -08da:4719* __dosret0 -08da:4720 __set_errno_dos_ -08da:4788* __set_errno_dos_reterr_ +08db:4714* __dosretax +08db:4719* __dosret0 +08db:4720 __set_errno_dos_ +08db:4788* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -08da:4793 __GetIOMode_ -08da:47c8 __SetIOMode_nogrow_ -137a:06c0 ___NFiles -137a:06c2 ___init_mode -137a:06ea ___io_mode +08db:4793 __GetIOMode_ +08db:47c8 __SetIOMode_nogrow_ +1381:06c0 ___NFiles +1381:06c2 ___init_mode +1381:06ea ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -08da:47fd __close_ +08db:47fd __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -08da:4833 __flush_ +08db:4833 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -08da:4a7c fflush_ +08db:4a7c fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -08da:4a91 tell_ +08db:4a91 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -08da:4aa2 lseek_ +08db:4aa2 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -08da:4ad4 __doserror_ -08da:4ae2 __doserror1_ +08db:4ad4 __doserror_ +08db:4ae2 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -08da:4aee _dos_open_ +08db:4aee _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -08da:4b14 isatty_ +08db:4b14 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -08da:4b30* __get_doserrno_ptr_ -137a:12e8 __doserrno +08db:4b30* __get_doserrno_ptr_ +1381:12e8 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -137a:06ee ___umaskval +1381:06ee ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -08da:4b37 _dos_creat_ -08da:4b5b* _dos_creatnew_ +08db:4b37 _dos_creat_ +08db:4b5b* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -08da:4b7c+ __grow_iomode_ -08da:4c63+ __shrink_iomode_ -08da:4ca4 __SetIOMode_ +08db:4b7c+ __grow_iomode_ +08db:4c63+ __shrink_iomode_ +08db:4ca4 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -08da:4d64 vsprintf_ +08db:4d64 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -137a:12ec ___env_mask -137a:12f0 _environ -137a:12f4* __wenviron +1381:12ec ___env_mask +1381:12f0 _environ +1381:12f4* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -08da:4da6 _mbterm_ +08db:4da6 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -08da:4df9 _mbsnextc_ +08db:4df9 _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -08da:4e54 _mbctoupper_ +08db:4e54 _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -08da:4e77 _mbsinc_ +08db:4e77 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -08da:4ec9 __ChkTTYIOMode_ -08da:4f37* __IOMode_ +08db:4ec9 __ChkTTYIOMode_ +08db:4f37* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -08da:5009 __HeapWalk_ +08db:5009 __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -08da:5249* _fpreset_ -08da:5274 __init_8087_ -08da:52a7+ __default_sigfpe_handler_ -08da:52fc __chk8087_ +08db:5249* _fpreset_ +08db:5274 __init_8087_ +08db:52a7+ __default_sigfpe_handler_ +08db:52fc __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -08da:536c __EnterWVIDEO_ -137a:070e+ ___WD_Present +08db:536c __EnterWVIDEO_ +1381:070e+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -08da:5390 fputc_ +08db:5390 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -08da:5565 __prtf_ +08db:5565 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -137a:12f8 ____Argv -137a:12fc ____Argc +1381:12f8 ____Argv +1381:12fc ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -137a:0710 __amblksiz +1381:0710 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -137a:0746 __Start_XI -137a:077c __End_XI -137a:077c __Start_YI -137a:0794 __End_YI +1381:0746 __Start_XI +1381:077c __End_XI +1381:077c __Start_YI +1381:0794 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -137a:12fe ___historical_splitparms +1381:12fe ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -08da:66d6* _heapenable_ -137a:0712 ___heap_enabled +08db:66d6* _heapenable_ +1381:0712 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -08da:66e7 __flushall_ -08da:677b flushall_ +08db:66e7 __flushall_ +08db:677b flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -08da:6781 getche_ +08db:6781 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -08da:679b fwrite_ +08db:679b fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -08da:6b14 rand_ -08da:6b56* srand_ +08db:6b14 rand_ +08db:6b56* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -08da:a7b6* textInit_ -137a:1300 _romFonts +08db:a816* textInit_ +1381:1300 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -08da:6b79 _bfree_ +08db:6b79 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -08da:6b9e _bexpand_ +08db:6b9e _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -08da:6bf8 _DoINTR_ +08db:6bf8 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -08da:6f71* sbrk_ -08da:6f81 __brk_ +08db:6f71* sbrk_ +08db:6f81 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -08da:6fe9 __qwrite_ +08db:6fe9 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -08da:7049 fsync_ +08db:7049 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -08da:706c __setenvp_ -08da:71f8 __freeenvp_ +08db:706c __setenvp_ +08db:71f8 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -137a:0718 ___IsDBCS +1381:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -08da:7256* _ismbblead_ -137a:131c ___MBCSIsTable +08db:7256* _ismbblead_ +1381:131c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -08da:72b0 __mbinit_ -137a:071a ___MBCodePage +08db:72b0 __mbinit_ +1381:071a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -08da:738b _mbdtoupper_ +08db:738b _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -08da:7399 toupper_ +08db:7399 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -137a:071c __8087cw +1381:071c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -137a:071e ___Save8087 -137a:0722 ___Rest8087 +1381:071e ___Save8087 +1381:0722 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -08da:73a8 __GrabFP87_ +08db:73a8 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -08da:73da* __init_8087_emu -08da:73e0 __x87id +08db:73da* __init_8087_emu +08db:73e0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -08da:743d wctomb_ +08db:743d wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -08da:7488+ utoa_ -08da:7529 itoa_ +08db:7488+ utoa_ +08db:7529 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -08da:7573 strupr_ +08db:7573 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -137a:0726 ___EFG_printf -137a:072a* ___EFG_scanf +1381:0726 ___EFG_printf +1381:072a* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -08da:75bb ulltoa_ -08da:76f8* lltoa_ +08db:75bb ulltoa_ +08db:76f8* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -08da:7769* _dos_close_ -08da:7777 _dos_commit_ +08db:7769* _dos_close_ +08db:7777 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -08da:7786 clearenv_ +08db:7786 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -08da:78bc __Init_FPE_handler_ -08da:78f5 __Fini_FPE_handler_ -08da:792c* __FPEHandler +08db:78bc __Init_FPE_handler_ +08db:78f5 __Fini_FPE_handler_ +08db:792c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -137a:0744 ___FPE_int +1381:0744 ___FPE_int +--------------------+ @@ -656,6 +656,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00022890 (141456.) -Entry point address: 08da:0072 +Memory size: 00022900 (141568.) +Entry point address: 08db:0072 Link time: 00:00.10 diff --git a/palettec.exe b/palettec.exe index 90ccdbd59078bc5c3da4c4b669d461ebcd604f1f..41ac28918e26244088d189d0b7a94c804c90bbc8 100755 GIT binary patch delta 35396 zcmW(+RajIF6F%DuOUKf%bfuQl73p7Q__P%0cCX5Ft)69|Ifoct(*h_zO-K#Uccnw;X%t_@b7T|?RAb% zuaCRGEH?tdu{*SXS#ZJh=mQ0q>3!gkCJZmoJ5)c0z&! zf?Rv+Ti%s?S!Ol^0Oqh46ca@RR1z~(Aq&L_4#19>nmnf%PGBfzz)5wSQjttaPdOR) zX#1osAR;zZ$w=g2(l#+N74Qn;4UZf&JDF(@Mw({3rxLxwrw@juq#dr0=Sxmc)=NrG zTuCOSDbdmaz+QmtxR@ajDvO;6JQNaE@DWl=#w;5@^n{Odpx(Ok>F7;v)zwM z#Yn#TB1{&U#toaCH#>Rk)Rdr75%NnKb+mx&0v(lYNHv4cOs)~xh7DQ##lbt%JUUSE zSq1=m1@6t}Wba|J5R2hZ9-RwovCPN_5!=gLbc7VLc1%fl`ehoNu{{1#sxf2g*?5jV ztj*|aFi@$Shua`lo5x*b_vHZQY@5{c<^k!YZLDgz?u8RU1t;QTl`;v7aV0!tK{2{( zhFtn{k0hO6N8^24u6aXYsXgYW(mxOqb<07Ced6<+q)`viyn^doH4E<`SBszjK#5zf4 zOSx!K+s>g_aR|^G>NcOkx%* z&C2qWwtoUvwtVOb ze*)OmX*f4W<(hDz^v7`qT6Ciy-eY%|tP}s5OkWBK$frB7A`6Qpv$PvH2kjagY(+&3 zY3R1fsjlrJ!h^CXspX2TYYUmF zXBsVsWoofgkAWO@$rWv(boslRJhKv?7d*mLr>KJ0N;9u0zcWdtVgHr2kBg0gs>)t6 zo8wB<12oSxb>47FuQIEZoJkk*##xYePk4)4mHl<++&)_@@u>N*)K-Juw%pJ46czNhu5I$@&GR z1$Z@2p>qn9Uw23nd81egH|8Dayh~Y^YD_s>Zr|`t*sWdDplKscX!q(5v0&ce%JdzI z2u)&Fz1~e?kh0yg^=DvF_@hd=IPKHKO_r)*Dr{)wQ}A|$!SL>wNh{z9Cgu z2w#>0+N$Dg3RWgbsbMWdCoU^2Ipr*VRi4Lk;pi7C+B#yDwtYNdm0AeyFr(1ac^R>t zDV0`gu)^K+-Q=or1-qj=A`43U5h6Nk2BY^cxiof3Bz>1XW?hxnmGpWO&)r(*g=R4< z+j+kt7RMuy(25M*0-@SDo_?|88!anbizuks(Tbqom zO(ZxCaOP2*5G$H5CVD|Yn^zv;26mgFrv%cD*p3Zd4{{$^omb05Yk3B3R?r2K#>NGH zJ1ZvBYRkRIZ90TA2gCFU+!Ujh&^6-vRTa>ScaCE=h6=ly*cH z?dL)8)K5-EnqNj?z%HDfyqFHnkLj!;-`;wikkMTy`qkiV+8Nnw94v1K{u{FZvC70thiYUEdJQfeyPHxW*GtM5lSW zFp{)MUYH5E_#&|!uce89X7=bt7`_NNO?@WMk&R~rAxQlyH+Pf+-u zJIxY!kbZ(N?-U8>v5oSa!+!{K3jK*wP<7~_!hZHH%TO9&n?cg+axYxFG!v4 zT7=lgV|BlFxx~kEA+M71aW^pyZl1HE5o}^(0(ctqmHT}qzsne<{CiWr{#HWFy3Oj} z@rAU1VKcRUIo|o-e_}uM#(mSJ$=fhme=$DUD!yRLS~T$dt9Tms!mm$~8&-BC;nk%> za6Uf?luM~Qt_k*Pyaqv+5`pb&$C*gID+e}fn&q$n8a)_q@x*CDpM}< z^3M{pWUy57V2ku0h`d1^c3b)*C^V|X;uuqG4TlQ?(EfYfSyxK$=KTL6}7BKqf~=y{~LC$H(tn71bFD(>OjIFzhkj9cx3WSZ>*&_ zW|$|=jr@R88Z0oPGc4)YS;hbrAI6p#;u%v{Bf6` zPM^n?oa&aQJ`T~nVy#mwb72_{U!ss&SYfEahsM|CCDCzG;xnqC+l~%FnLJR8O~hvj zC_u5}bKU~%HnqDd=JVyye`YUZKC73nE-&1+JR4Kq{uxE{@r(Ja;{rl4p`XLktraHf zC3Iu3*I~=Jn9qhrrRM}8+vw{eC=My(*=XzQ63d@?);`{DZ;Zaqcaj>-n;T6?W9eni zN9vrvZekU!zS-%Oy_xKz)Fbzy zu2N4<^e1!>UV5MW*3P>AH;leaggO1euYnEj$fj%g{F=9SBTB9ND@?>x)&?T_!jDb0 zQRyQi@sIlB%(u6C+@J_Sl7R8HYhE_XKQque9s@==;~H}ZZBDeerJGNbwIXN+L9NGi zo6wW}cQn9@Vfg0L9g8^GW|tr9aQ(lueb_ic6e9-kO5=xRKdu z`{8WI5);;ehdhmz*)}qpi>&=5eR^rXJjhrGUdAjNm1r(Q%r2%rPlGe8&Yi2s=t$lN zhlu@##n$}>t!vD8+y&Fj25dW~tlp|_Kk@q}FIXjgcakL;jJ%u&xSkK>&(>4 z%Rk(&#a+DeIr`y%&pQ*s5iuTAF|+ zmTA(D6vseT|l-=;3a3Otg`PTJa%dIr7~B%7bkH#im1xUurXPo0R3_OvfX_hsS zf;c4X6QYrqq(w9sCGgHPEE}TL|8cykC9y*DVz2C9Ss>PyU`w^9wwSX6OrCR3A9Rvw zYbS_hSP@t4Yk}_P?giDg!zMVokmzK@o-c$5R(Kx9F}4z7o%X<_ztv7BI)S4o3h5b* zVIlh)LpWp@Ya88O(Q6w6;4iF9=WZG#Ww)D{XJK=OGZE@!?k_mxv8%hk647k9tVqLi zJR}iRfkxZTyOg(;CBvQu?%>uJF34 z=eU2-iMM1InV03wIE88uK~Lr7tefj8Q>u(fZfj`5L9l>zCX zK1g0f?JNcdb+BLJ%jkJNG}`>ru@Sk2}Z5?AhP5HBuluB=oYbqVS`Z5LFo%w=%~X4^>CZRJ8FK#@t+y z6q}HiOiB%poaRU+L`3eU5o43W5LwAdgjDgEeRO0-vv_1g8lhJ!RCGTqAt5>ZrJBSF zftajVA1e`=8s^L!6P8pLVF~=w(GMUh{lv?&62Y((XQMPeD;;~+H#WSxX_3SeiQz8E zd`ZNFHz(sDz|}Rxo-~t~Vy`K&VoI<}+)ao`QYKm^rYP4F#O;0dT-~*F4P`YYNVJs5 zsO)1m`&E+bV~>-_Da4c0ih#eX7nB&8XrY)u;>>b!t;;{iU+dh5Y>!VYdoQO z(e$R&hhg7Dj*tnuuIKAMlYgBb3JUuaQ5SJ1js7T&gF1F1$-bSGBpJ94rvY6zlEn?8 z8Qdp@DiZDMlVWXG!^30h0J&&}a2PE-!;*YFjH|9PbrPqeKg#VTI%V27fg!qU9f*!( ze6`Jb7f(n^))bH0rpW>}*Wy2gCY=Pk649iUIeaxKpdiYzLxZ4TBtuAvk+6TO^DA_q zu%B*geCVAKhp8$#fkEW4P%X`Ub{IJ?VWT)q_AUEFrkeTmrVR68Qcj~dy#T)mYfKhKb-Qz z|8#`xTZfYHB8jMj$&q1cpaA2YM4(Qh1&_n1usbz>_Jy%+@3^Ge_1E8VQjQM{^4lr~ zuGNNj-+YmgVww;e8KFdF9W_sk-`6)XJ7AQ!fi>tgNG?oxly*ovAyux6m$OaKUoJ(r zs=~HyujN!iY=qrr-9eP{fLO7dZ{VxKXnJ^zgy#Fzu*68g#f-!>Dv0*KP-289J4O=M zERK+fMeUu4y49n9AKpG2nNb*1H$gDHVkmlYY9x&lc!Lf2B}y?E)G8g)d-F-J>dtyf zOy$`MuP)5BI11hC_)qRH9pQ)3^MjEQx^77kRlQelz1?q0>mo~SytvSBr14l>LL~+J z6FZ9W&Go>XAf+7=xB))xSFbNl$T1gH_j}YpN{#F(idFl8Bev_l(JeT{DN%mpsE2AA z!SjeKa0ZWjfI~=+5o*-k(1%STLS1pi5B0C;N6p%g{yQEz9&7cpwCb!#h;d3{WprFT zqdi?bETJ;*9q)9lEbm8vE@4;h;97k&G1RU1Yr~xf7bnZoz9xW_2xFND&9&B1qSa$`?ouXx+uKA&zN((ntjal_bF~0In4H=wZmq#o zbguVFV%bDoBfDJ+D_Sq{lVzo*JGs~sj=a;aHQOEIZ#t=i`zA$Tv>y7!6gXCAeuAsnw70rQ@PD} zR1ie@ zyJVH@_m?(1L1nhAZKP5WihGsKUu+M{>w+`54x-83aK1It&yXW|CA))^j?zLkaA8{p zUwS1G2`*2K2TUHAf03mqWpl{I^a#^c04)8SDvG$r)telvzZd;Jw$e(F&! zKEI?OUr`!rKwl7d6&f^1lUmLf&)LQK8K5`Y#eG{us^yYAby>Qz9wC|f(x3$NiHzt+&vPCy`m)I z9h(jRy2*ltvMW!36SdubXM+>~7ts%K#*XZ~ zU=g!eFrwq=UkKi!bNVY0cb%`DDKS~-IR{nq@J*cnS|#pYp}Rw4Gpg=LSNo(@5H354 zOcnDOy(M<>idZsi)Hzc2Pt6{C~b#S_{T{yCN+M10`j> zemUeHtd-*WGDU!hoTKo7r3PIOcHQut*rzz))G*ff^nuzK?tK`1R@bvWU`*6NXV>5~ zRy<00xm4Nx>XyFaeO-@Esu7M9A|jkuQc(7kWN%FHpoV?qa#}uvhwLl6jXTXA%TNUW z$@KDKirKq-j-N^gV+FSZhy~%-yu6DpNPq_Wo(lfI_aPAYoogs2w*rXp4F!Mr)~L+ zOh1S1t%-TAQPfl}zS*RmgroNJ)#?2Vt}^hfp~a>^!r{+?3!uMMYsKw ze85am@`Q7^Ef?F_`*_ZZNl8Up)LC`+I9rK3Q|c~LzU>pB1jn!^?i2Ey!prUl_QghA z4-`f38eQUbKw^wv?aDHP_@nX<8C9oEo(p5Eka$A#- zNG~gE)gylQ8RGQxbP}!J%#sswzdNK%>6hHTs+AkpSiv}JeJ-`MKrI_@|f zt^3C+U-Y+ym=~0CBGG#y%e%`Qj%XEF=$IbA_xw|<<&+0S5;_G4OxbIQQ#t?ROniC zd%H1VYyS%e=Jr6Hehq^Z^5uS@%QFTf{cCZM0DUj=^*H{BBjx0`i7eEmnX-eNNADx= z8A%;;RU@JKTiK^9eaoJevCowuA8+!%r%1OLEqXwU1sUIhUn~*Re>qRcdcIuZ-~`LL zKjq|3hwzfs8(V=jBCH?vy20h_A+?*5mM$qXG1R)rj+?QSw_CGx?XItp$2VuY{ID#pZTT zutTjoQ&{R1(mM)GejHep~eDnRR*u>E$2*qgcL+o{DyG zjOxX!iZYrv6$b(HMYSY1Ti7FBFKNZiJ!4h(W`vw(!B6$q<`Ph9KfAcKG!$p1Tz$&_w9uwm4 z(Aj9>>QAiX`cCF)6n;67+C8#o>j5FR)=fInwYWWZFQ|OCTbE0EquKsnJuK|GtQgr* ztz1_20-yQ9pMY4MDfONwvL4?Z;nT_#>(Cg#{c@F+rZ-~#cAkKXtK%|OCu(rOL>3`x z0^5Yj=$GO{g^7ysMeeCdx+MeULEC?A%3U6ZDPgUo`fLy}t=Z*96=t-~0njj~Eii{8-Z^yg~aGGP z0IIf$k@oHXarPH|)j+IhC81*8>E@y|%B^&szQ~*a3S%R5#HEZy~kO_>eelPMQD}R{Wf|9ShIl7k+5BboGXhG0RL}pAVswH zDf*hQ$T|uDG4R{PLIjnBkpJL3fdK&Uo8UE$F<`Iq6hqm3-LvVrXl6f0kBdE8g0qqg zT9(7w_=jLY)s~RSP&%44Z_>sf&xn|-iBB$csD!%o37wOZBMzK;TKN0EWw(kh!$ad1 zTnn+`e%7*W%St3rUTux)CNkm=QzW+%;uJ>!`5l&Lr2_|4tE#NbZQbVXDUb>7mpL?0 zrZ{u^lbvfs`U^bY&y@zh(+hgwyS+VFN`42c4%IcVXbb);*P0xf{jMyh_2aRbyno22 zU0FP^W(Ms}c9T_3{Ks?~#jF13#+tFGEb+2Bt*3ZSW`pIsf&X)Irwy zk42mph||qzM+4lMB|ScBrxv?FcGW*g3&k^)4=>#h;`kZ2nxJ1SV{cT=I(xkiFgF|% zUWi*dn2q3@DPUMi3$}^WlHt!Z{d03TB0}Xak&qW2!D;F=nD_q0^GfA*+N@>fE$z-# zsRiGq1{&z#rTs;3XzgB=OK1EWvh=+BJ-BLTeoTCE zp)via%a*CbPIy8FG`ptz5ieuLfcAr|igUDlGUC51aa3(^E(1VIMC5VOy=dtXhcn*& zemd@9E({`@a6BwNECq}#9^SXLorn_alskB}_xS_)@7At84Q(^Nq-pKus|~U+_a$zg z{_niAt_{}H7%t7N*Ea@6brt_e?w}dyW-zexh(IYfrbrbxOkPN|dl8oJL-)~?r;M4R zeIEDPSlEk@_r!S`mVCWOAZ4i&x<#!zb_@yWJ?fneh+3AI15$yO8)bL?V{toHeX=rX zh+{F^++Mqj!hl(!L;{h=0u9Vz3umjg?eHMtZFaJKrH{g(~G!g?+?Y2n(t5F>Ew*wR{BQkT=3VKFq;YAbj5- z|5|vrR~^ctdL}JOmj7Z2TpQwa0mfUp9*Ivipcj7mLbYoGpGed}@4+tLbV1EB5O%1sp zc$n{+U%bZaGyOo;L%oNC@bTJwt*p6(#f6)YVnn?b960tvF->a-2;YtiOF&tVM4XiA z13B*lx}o*Qux^JTFLxVnC*ZKnw40;WF`eq?Es|$%%kYT{h>qxe4?)ZXxZ_`z_R%~= z1T5~AUVZ<8i%~L|zEgyv|K^YB<;LBWq%6x-b5{SySA=C>e7{9mCiG_L!Neh%qW{jH zd@zo^wLHs9EqSCv)yJqybwAlcuK(Q?K-0D>HV!-%yMNVL>VpaQt?KD!4IO-eE*!I)ckd+FJu-8b+tNi%|&PwU#b$E;#ZdIguxd}PL4wb^JR*zB1T zq)6W2(c*CxIz%b8X(Ofxq7M3Et7@E*i%XjqNF|?Mo%E|K(QY&R;0M^Gu7p5!HSvfS z?rL{X57<0S!hxQpW#d|Se2<4%aZ}$ZGgQ@dX`%J6YM^hTWa#TsqprNWb~a1kQRl*y z0Y)YD`|IAJzG#_^^c#z@P*gbBaRPQIQjC2oQs`=~(&uoGWKni!_Wo*)g(k_Oz+$;b z(TYXcwaFYbok(7@Nq6ePXu{%=j<7aTgQS0%E+@Z|Wz5stjD~<~Y;51R7<>g3CVdsN zm@6D=Qs&z8TQc^BU(0J+XN5_|`g6g|)3Hs0VCkK0cFBO?;OYRpdIdb5)6BagPyAVJ zD*mf(m{Z@8L3o4B(+?fzkZn*VzLVP`obBv#-8G6oi~NuLujKZn9!=)SMKG_9>b9!G zF{pmf#NS$KPcBT(O4Uq~Pqa#Nr;wqy+Yj;<1C@=n3W zYV3P04o8dND*msFIvZ+?tuRcwb<=K!CqI-V#(!FP>kCDS9#9{slv zF!K2-X$xjDTSzB#2W=1g+m#CGLz2kjcYhn2C#CVR%)`>u!&XVEF+0rbi(i168Es`2 zCzD-fPE6x8Ppz$*=H_PRq;nB59QMgL=8?s9GGLzZykRzJ&F3tBv%&L}a1tchczF$T zbTxtv9=4C!V3gTZ&%rD8`ALxExfhGN(3Uxwr0brITU3J5F{@b2qST~d6lsr>Yf<1y z;6(w>ci2S?B!^vfTijz4jM^I!2<;}Kr&<b53IZYV6G;K?yczw|AJs7cOt4iNQG?JNr2R8mnRu)xauf zRlQ4_2%d>j8iDw8{9_J7!$QgNW2^Uvz+?9=;ILKH@S$(3Gf-@FuRt1Y%P};Gtouvd`io>F}sJNsG%(xwsX#NQoRk zQM|?h4by9Q?tB-2aLd#4h^K?Poi%!PB-!l|+@$NKnj0nw)Hsv z`wZaYb+FYQu#HafhJEG-bWqFkoUL}XI>I5DoKcM(J}_7FlVq>tsAmV?1x|Xh4j%kZ zIcqy=$`Qy3j7W5G)LQhTl-QHw7My*aF7=5)VdVD-i!(`*V#Y{on7?76akQR&lvRJ; zT}`*hus5k1x050ZHTf(+i=^axnXm(AOv!0`1q7c=2}5zfrF%pQANKmbq@hGx&IqNS z+fG{C^N!bm_D0SMz5?^uk)r?p$q?)cLwaBxHb&{;|7ItpS|j7F{!Qxfr+(7hLe;A# ziBMtm6L`PBmYr02m;c-}*A`v2e^9r5?!#V0WOWqN(IE)0974wLSe|AaZPVa@wf;aA1QKUG_L;1aFUxms|mByJFqe6DN9Nifm z%pR5Ak)9wSW8Kyhx|qJ4D{nUNrmH8VWmb`CDntjV5itz+D#<&O&lqFv;1MV#+0LA? zJJoHE8}qsF}hjRIQ{4cyD8o({gfvIi5GH!lEg$O0Yhl z6wxvz=kC`**t<1-ss297ffgg12wg^4dvqLeK*zrQoA8C{kaGQjBo?-Ayq)}Lx=y!# zDJS9tXg6orYEbeXMdjCR3f5=hKd!=|+kVg@G4#1f$N@yJzto!!%GzxssV?TogT1Sh zE%^es#klUX&e>*EINVvv`p)jFZLzZt%U-ICGAh)#^*XvC3T61;Jl@bY|uZ^^({xj&p$fi(Qr_22wHrE1ub&*ZG=($|JvwPpqVb+YGz z@C~Hr;bUZ453=RGxg?9kK#;vwMjQAJ-7gA0$wOIFtCF$t6+~PPJIXVwcd{Euos$63 zz0Tz>g%8&%8J|u;hgAh^+9lei>4%5p9>|IxR}UoZ^U1DvI`xrxJC%5nYN2p~V@uU8 zzu}h`yK+5qB8qdgTMA!zUKtvGmAeZGl>2JdNFAZk`SnIBA9@e?CTufRZGEtm2~TqY6X&_GsQ;~e}M4%Z{9 zC*}R%)gxBvXGvrbC%GL4!|yH$XCQtMwI&?K4T=36UTfhxemcv8sEXIg96|?R6+oe# z^{rTORu8=O6C(Llb@k5*5bwO=Fkf-Lr{}HKJOcB&4{LCPpk`Yco8hk0s+yL0D%@R@ zVR@LkQhz>SXgo5Cr!U-YnPwlMn&%NO_QocuwY0Eb16dhyn?JW&c6`O!rp0ikNB(L`nxKu7y;+U9l+!Z z3v<4c3okgV`7cult|io3UCJoZ9X!j(W7{Wk{1Hzy?EK;@8CC#e`@}bzuezJ zNN?0}p4nx^AL+yaqLz*=_--D$@H38d?Be&zHg z?=?RQr)H!1Ms%_80{ig~idA;+9kzw$zi-rT%)yfsXld5hlc zQNovlBG8-lL3RFK`_%FXSx6LWN^eVFOiw_K5G?K`m`Nev)A_~`98wq3`IV{)_Ahw| zOpahg3#tU8^~>$+@|py7tcMlDXJ~Nb?Q7B_aV~$Xa-{fg$8f`LV}fL43yQcSZE}!& zw;#vDEpDN`3`JB4=-WM6GCTkvKc;K~R~YC*EbfCBMQINJ&$DU>1aBXLk0YrFQ{c`s z3BU5@2|R148o{slKIcKTS*skVR8fVbz3{;P*j6e-zcKYI7*Pkl*|oI*-0i|V){!bhhPBg`4Kxg7kbc4(J!HkG$!H4%i~sNe8w{N^z2?_=e( zCt%Gh)o^(Z`5a{bf2xnQi3dIxOIOaoOE`#BZ`hTOMa{G^zL!$mu!G_2*c8*#*X!ZH z+`hygk+PXFbqq&TCT#&BHhcHCM~a9C@=()F9fzImo6|{~H|@@#B64SGKo$>Qo7c5) z<+Hd%ujxrQb)>|-OH|mj*Mh~84>j4V1zfl}8N7BAsrJxd>z)S8fWhaWCi_c1`~4BX zcI_YRY%->r+8{Lg9bIQV1^{3Qz6RL~nSDr;RR19DZVegxZ_&-rf8nTf?8~p1WJw}i zMq)Q$reWh0S_f#lE9b=%`GIi60m+U^NKTgpw*KBQER*Dv)RhQ*2>}*Ap>%K?g;)X< z*s7|{Xdn&MJ&Hk(mo69IxG?zd?ZFc?_=Q_^u>}llOB+UMd!vSgTcMkfXaJ0^9WMhrZ9 zaa<94Gui5g6ggnj{X{jXx z1UH|R!{A|pWL=til8RMwL}Y?fxVxc}Tk+-8 zffLlu`<$p*f|AO3(Dg=~M$38*M*VBjgFnvj>hrJim&b!nv>Ys|7P4el|GFgbm5S;&U z5Bx2w6*`H_%Hb$8a~W%O~4#&p7j4ywzt#_=H&u0Y}b)_fPm1 z8uxUAYJ+?9UkGr4Vb63i#XqIk)z5vc!dkSc@z13~c^*$4l+Z=_%g^}ib$qK|@Zq5x zul*-tp|s?Nc2jh|xcr|ypF3i0o^$>0u63b9F<&s7M*&9TV!qpx6&phDDQsCIz8ZE> zNZzZow{WCcz^547Gx@o3SasDDhi&<*P`ehsYHr&T)yxm-+(?x3XMfSu@OWo;(fxvb z@*()vT;qAPw{|t6$3Go8(CgJzV}N-=S8Y!kqKr!mb;W2<2f=_|++c?2U);x&j%V7i zKWJ5b}Xx)|t~RjN34^h2C{w zFgQqj{z=t#d_{gNDkei=t$L!meF$jsBJy;C9|OZ1)&M?}{+P7Q88xf^io{Nrt~9! z=})Sn5IThIhN(M>n%z95hb1bG?FyOn5`}oAs3Jn~kxp4`Y6$Y4Ez-7aclT>>`6lS) zaW)Mp*tSh{wphB-Y;SXOFV(iRYl827n#~o;p4IWWz$;cM5i>rT5!Rg42^pgC5lxp# z?Q+}rOr78px~(_8B3^bICOI+dYu8_!J{@CztY%d5FqfN|mPfxI4hZrxDfn07Q}5aC zI!6!>%QQHtS4J@7nbb_@ys7@azK{a)W-`~9!m8`-nx?yjTj{4@i(U+fvT$bE%ft7Z z=x7pEGnd6J{d+Qhdw=>fop3NY@bpQe}- z7q|5ty>w)cNP@~CBhdsBfs3#7*R`jLCAK|BR+%=G#WViK+F+>bdTP)s(TvOerv1^d!B(tDAOfF6gTEx8RJ_x=Y#k`wx>bG zk;GCsbl{_*nMf+;!v5I-!!4upBM3wHro#g?wV82b>T*uTY(M2X=p*t&eX61Ot{eq^ zqDWFiX5lW28Yv|B%H|2?z|4IbN2E3G0pnpH5`nZE;IXOv}s!od-AJ3VAbeDxrt& zyeSQX5L7DN(KMY$E!^7=RsD2>k=(Beq4IVi;wWS?3ZhA3Cet6t!VX_*EAF-8jFPx+ z1`4CyB^;XinZ6R9rL)cK{p1v#{%3}HH((?c4$T+2Q{V=itlqM!c!$0JH%9F)T4t~m zAoOP)JI^?%DwiqNMM|@kv|v7^(lE>+6=C6f)ykREX!UdDU?X+Wt{R%*Qm2>p`iLPb zni`LHu*<~;J2~|`)L7FRr~(F94`0-6cX@!VFuRo~{FS)WE`DbcpS99-lC8Gv$ebsh zY&rz;nKAG@vx3aY0t}Bkm-WI4Zx7T{zBZXm;0fH`_%>DqE6!qkAh=fX;TqOrt_Eq= z*ftXf1_wUD>*k{>pIn}bWwRW1N0fc$)PpbP`~*4vrK^{7!m5(klHs#b|6}N^znbv+ zIKJMfF<^}DkQgZ`HChFvyFnC@5;pKrU?XLeSQr?D`XxkR!YGw42M9`!7IkBQhzLmS z@gKP7{&4R(_rBxxiILsM;j>EMg?Yi9C%+GpkZ4j146;^_+A91|3nI;jX@cTsKa>FQ<~Xh{ z)#b(9?icKq-q(|0u5S1XQ0CNVyqoZBcdk94(!J#OQ+G4H%oyorJ@bpaPZoX`VtSY1 zJnY<%nmvQ%Lqfy~mr9os(XIQ_IOBeBC;4KxVXUmJr7}BGemk|xn5WYud4nL3g052g zG5MNE0x-SUERhZ7O@KkHV8Avv{vF3bhTp}E1n;uz48GjJhc=`g4kd1Gn?SIMOtFc-hH!*co;%#f}TI_Q2melr=YRv zq58QtpvvPMW0xH`05{+o-NjdV)UIe`I)=66w@UnAmILWAPOMKSefSPy#{5%~{3z&2 z=SCx#07v(AKjUBy@idmV<&C9o4nX=T8fL8GW*%FU82~WG6A*!9e1n3rzn?sixOkcs zJZ`L7EmbULOth{6chUEO0q`D{Au?NOV9J6$_6z14a^KK2)9(AJU&f*Fr8Z}#+Ff&R zTV&0BHp5M_`UYUJZoFVcI*GTWH5jFHqPDV1mbaVX+hm$QQDCPAxq51&|KH;lrGhI- zAA43ifmA#X6XDhdJXe5Q|FX&Fa!NYnfagH`E=vv(InWr*2Hk+lW9@`P5NGz}34|rC zT7Q8wXdU_9l}ExU!cq=k4UWR?TaGh~xnVt{>D}uOEqhp-F2&sN6W_MoL~N26Lz}?U z7iFt2WDtyz6XuPAt}va_PV&lf7s@9vDmPTM6VY36Jy^8rzu)g-uH!Ev(60z$5T~63 z*ZV{bUG#?ML;(pq3j*ASWz{*gwnO2lo9?D33a=viK%I!#zm20{HbDyriDJt>JB8s! z@rvNH_%ZZWlGL}Q<0hHi*cI-^c82;T)|wRD^#rtZVJ7(PJs5)bPNe6&I9@?Ceb4(= z=w&H8!43y1C+Y!*K^f3N<1aq5OT1H>I5;l4EZCFKu3 zgRkr!6yjk$;%|aj=?4+})qP_KcAM(V&L|u#f!#+aNiR(fZt$AJ6uN;8A}d6C5T^hn zW*ZDE=jqi&;6LGAKV&@Nur*EBEskk!0o8Q@dIcPzYQ zZgS6)%H58oV`dcTr3f%N=_?wuP|sx^)4~j9o6Ft%3>pfOoe6WZlyVT~EX%Fkn(^ej z1%704cvmg2Bk$lr!I?Y2&bO-eP9WgK+oW@JATaW+ms2k{(W*)vcrwc42_t}B$h(*A zdckKg{nIi`*o>=Ga*Qq1nz!wW;X5TtniI(tVn)M?)7M)4Wfa-(q-4ElDuK5o8Pel> zZ6WYh?1J)fc7JN)0cSiWjijn~>%$!wYx9&IDo!nrJm2u1^)vPOofuvyU-Y3$%szra zV(;}rKrCWk0F_a|o^q0+7$vwmdrM^xF^;;&vPavlz4Z^FF|NtSCHh@!l04;qDIU7x zIdqX1$`Fq7-gldCHylbrJBG!?h%@}9RwJ<1OXX82i+EDTkGqyYRXHn* z`m}qZC=JtdoB2x~49u%gmj^+J3U_@_&5P;X0$qP%#-o6@Q@TR*$`-Vd4cF8Ic zS@~d0n^+a}&^rB}PInfx-hA$p#UBG!i!#~8ejU+m;>~}RyjQz)<;6&dYDvqx8G745 zPll4kNS<4n66|o?8l-2PI)d1^>5|vcH-QDD;bgXice2}>ZMOL=^aDLd$Z5ThEA@5` zE_}C(OWEc`Gv)#Sf_>!cGolO&Gi6JNfTUKw)#6vIIL^#iD|M`Tegz@`yDb zJa|@8PY*mPt?l92s^Z)INk*LY*6H|WGxI;d5*B;J&sTB#RQKymWD@KZUy)K%ho%hp z-qmd_x+ac(#s?F>i4V@LQQ?CK3Dpatyd=lD2~q$181_C5Qa8sd8b1qlB!X=1T81@W zYM@JGd2RuH=K2!g#$_Cr&DdAK_w@H)E-3cA@KYc%(eT3<+I8T zNgmQgUhJboNB5{1Ky>bf*DtHj+K+WP)a~OgMwH`5DvyPrQ*w^t-~#gIjtzg4r9`IM z6ugkeyEIscIZp&1|LenwarZn}3+8}B(q75lgo7T8x#ZAzIsih`SBg{$$FI}mvrY5* zTS2eRy#L>z%b}xL-V|WDApPdfV4*O)-Sf?BH~z-y5vc+5MY5UY^LMWQ<0cpjpq0M8 zl(edS$K$)rK`qE%kb#u_eEv8p=|+y9P$Ax8j!cjx)R_|nVSrwg^q=%zOhf4YZuk~t zct@HsZZ)-O1z zWs(=qid(O_iqVf%!Bk0kqg8OcD(9_)|6Rf=F%0pd1t!^zUY&+JT?rqQYZ_JZZQbk^ z5=$t2;+;0fLEKzuZ>|zw|I^5_z)xr4`7e?_5)Y>g`v{Kd+&(wlZFyY4^UHluzRlJt z6gQA-sISMC6Q-CtfoI#A9_@`TeZqJ#41c3+E$c0c&3O$s<9D91nCX^1;#|*E&s$f& zE!l)hrvV;K_omM4Ke@(wsMT({zz1$n0On^K{EM%Zrz$*H2T>;d$d_!hGV>O2idsj~IWdbNSLdL5`n329&8SHd8xAD!@+ zwUkwZ(mon%J^4b}--2cyTv~NdLWJkW1Q-KAhf<{@FqCgP%<di36-&B7!bgnR1Z!ky)~H{?GJw0=31;KkcJqDkCNdQlofAa zx63^_J?7i~uiZEEut8!-I#C-=7>eT%=?LT5b8=~er3a&gu;2@|J-Qg;sDa98k;WBi zns2vzf4ba0D%h`zo(WO7ZPvXj;oFAj8r#1SrcmC0QRks+8NZumM~bxIpF>#{#AkIRm=z5RO#L=LQfDh!wTkL zUX^b`0P7QATod1HPock6Fe9DxkL&T{s!1PEmpajmEb(h8=oJjOT$%*zByZinCfHZ) z%46YKaU~Fa;>|&7ua&XZfVHBPQc~-;Uc`b*!@3l_iSXDAPh2{%>a0?z1V(62qzLhB z@g0nlO@+hCQ8LXNzBCLZ78Wdz*Q6T{Vz99C5=f=fFgp|~%Pm%p2N6hHw87*D*Nxx` zM?u_rS$lwyH;b~YwfSe#xCCZCd>!wkgVz9@)X{* zzYOt9Qe{{5$UBU^$`XX7x^?Q2666Geo(v4JEdjQpN*d3hn&jY3Xc^S&<i+ z#sgwh*&{@u8kBgfi32VE#g%w=0%wx3jb35{uz8Mxs*{?6H?4QO3NI8? zJ<*HAu2|rE6&FaUh9rJs#octLWSP-4h17<0o$P`ysNnLQRwTe)Hrs~Xb+W`mrG3ts zL@H&6bje#jiBj04z6azl9;Gas-N5Dut2lz?~?ynaMq&npuuNoDlV-(8e z4C&B3lMsMd>)`ZP${Fvd7weF!hzm@HKMH7$UiA0Q8n(w&|22osnu-kU_z>~X%Ye89 z`I@VHO(?CB^QW)Z8{4Rc$!Yrvu*zlz7~L?Z^v>4J3RvEBP-S{O4z8a}!|RZLr}0&V zE6W34qHSgRTtDXPpky{iq36B3OWy&?`2|cR?zYPs96{0&Os{mEd_zUbewd9OU4o>> zjr_MLfrJLkK~GZkyhyrHIql-xR>;2!+uJ1ckei@?ApN|%AF0YC%DF3_;-79Z0 zWJYETPtm>7L}fk}kGryiQ3s40{e_A`r+iAACx(R@H1=?s?85sE#eEBfE$8ESTkoX! zH8w+l{ctVs*P`o2rea<3cG9c(vp2hDzXEURso^SqeF@4NdgK;QG)++YaqNe-zaAgP zTRq%m1re|t4cz)60VmWgfRKM159-`76yW9mCqV1#*NmcYHS# zk8(291muC7cIkSJM6LG5ob-DYyWqF#Ttn^v!q7al#gs+Q{RRU`KUXe3_5shJa|-%> z7deN%uLb(l5|rBtETwV)&OM2DW?XhEyRE}9w%MtsivalZ(b}^_fGAvH5f&||PzV(1 zQmc(MHOvtgT%|ZHE8Woj^LQh(er|t(g z;HEVy{B{nyC_<(DkJZpoAlet->T%_&&B~9HYgOU9kk{$9S~&TJ9La^Xsp2{P;x#E> z8n@wz_M6v8rrVHKB#;KbvIl9qh$yCiE#9712f-T`caR)5J8TR`t;+X5wLE%6@sBjF zZOA&jxgA;t6Vrtu=HR_toKF92L50B2lKVU3`K(Bi> zf8N@f{QfC{AuIEXUe;I^r9~`jP(#+Hu=(hdoKXEp(cvJx7`sK75KzZ0?v&+c6xq+KD)nf-`fPlpRlXa>+x@BbA%ZJ$MzY5nfO^?Hi83 zqG}`AO&$xb#br&kG9zB7lG>Ho zF9I(Q!mOjKTTw^8W=-ua+2;J`+oqLW(^LacPP+I#@N*)b>CTpb_UDqlyyeTY=33PEtMYND>;;qhtm`8^ztep5SOVY4o~%Q@+y-mL4nQyB`G}LEr+(oOm!ZDKm^QN!7d_1XCX&1@a98Bdjx((%=RSsH{SS51R^q z-l;Y4)$t*)Yx0bDtUzd8~G^_LkV}7jIv67yScsl|WTdyo~aU2IAE=73d%8xdP)R zn{sKu@EKyaBVgCGg@ z;yZCJ5ll(u>xI(2je7{aH{)IGx4BcjcT;zQ@V|uZQkf-ht=@88FAW$Gn}v*2AWE%x zXQg-qoTqxO_aTHtSr2I>$9n76uEOPq{&o!=a&gR~cR_)IK$lI}g+>C$=VVLY8AX0KKpbEO# z;(KidP#}N+yh`O&dkb(}-uCEQHdeSNVW*!Bmzv4PalWjv43_{s0 z;gl4}Ph$|nk+L%Had_ki*F#&ILna@aCCZb$e37rNg;(H&lTZ$kGLLq~fN-Lan!eNoi@GW}AAoAr#D&fC=oweWyZc4!c}h>qE{3Q-4LST9C53rY zhdo?mEwU3(+LbmlJ5yZ9GQH)B*Ui-BlRBLqLCZ3{*In_S9Yhv6=~63L(TtNT)8A_) zzL$CncYTe_JoquU;5mz)G_*8pOa!TmUk+LhA>t)x`Qu;j%nnu>Q=&87%?&nH(viad^DEvHfAZtMM6vvykeh z9zn%C9*wxBgSXIo4fwRrdeohW(T9Z-f}M#P^F&ihYN0Ph7kpz1qt5eis z2?={rj10?>Rdrs-CIPW&d=2l7ZY&b5hnP0Xf!6dZUxy+#U*(mKiI#4-Mi4eSRijfK ze*sye32jZDctPWHvxJcgnS$Zw)BzSiEn&ylhS26?30;G*%H@P1MfaZ_NPw>biII;p z0od2sK>Iev^?6Rl2`gwdFveRAFY(z}E}~K19`YR6;}+@+UU*%o!g@C+9BKIt8U^Ft z;eUsvHJ<0NAEfHBMxeHAL=x*Io!8-}(*2$kTN&E7d zJIUViJ%XpT%Jgur5GTt491?4eWGVIO;I9N;FN`qPv!GW<6Ns20ZwwK0E}NI@4!L$y zzqr)X=$zM8P1X$v91>p7x%kQVNm3e4EqXnj$T>Xb*%A)DXDj5OLZ|V3HRt>1r>Wy& z{~=vJvm2aa-Pg7HJu-kby6w7yV}f2Z{RUv<6wXYH+OkXdxcnmXQg;JP6p24^8T z)f=t;jh;7y(gN6r{)?g>(@ojcC{Q%#uRHwd`M+4REa$F9EK$)~U7QRrMJf!LvDM^0J?%E8DB;f%@Q2=9$B~gf~l70@gq~{P7-yseS1BO5O!~M35yB#cJKf z1+f@aRU{}3Rm6|>#zY8n4J3ep{3Py7O{epJtBxp)+)np`uQ0jM0DI$t!%X6-vGD`T zGa&&xGMWd(T&eVLw#-Xne5E&7)8i_Y_^U+I{LwG!5XW=|x?Lq<#G~r@VGmE=LCRm% zD&aJ9;jb0rqtTZG5W^;Hy^_AP8>`)y4qRj<6A%@HHu%12VKl=^I5yf^)fQnrxr+fi zp!s!BeD>3b8fum(AH;6we^=FWMk@$UgV?=rL^n~0ocg72WcV};-3Z-;Av>BjU7z7mu0e=4B07xE?!PI}gBV!TxZ}vCJ%SSRN>z;Q&>9&*tFzz5`f9!Cq6* z4=$}fi`1iY-WaJB4Y|qE2=h;P=*RVu)ap|qZPSng$fcB9wsUx6H>J&r zHM_MYwG1&d!D%B0@kns98v(3;xMf{?uL7JGeU;7Je89ZS)^JL?&DzgnaqTXwWu-3w z#k7tQnL$&!CvP-Oa~$UiB^Um8B+Z;Vx~=-shcc?Vn;W2f##K|*UA-wW(1<9No&+`w zg7i+^l79RT(TqyQo%WxV(*W^}B`>ISsLDm004puYXb6juf2<2ai`IBKLQ>&>zA}}< zqTE2e6W}m*yua~3zvY+BZI#w#-=A z!@nsp=BjFK@y(yFyge!qbEiwSn}{5jPM-E*YB0AFqQoQ`1>5l0V6Nuo{!F6j$t z^q)Mxgl2Xa>$|^9kxVZ3qUHt8MnYnoN3)-U4WIS=#* z*LVs<$l>IrC0ByRbunzP)_W;VM)u?L{HI1wEtEio7Ogl_^d>o_p;etsg|qY?&>1d= ztLB!BCgr4jpuTn-5m4c%Tbl<2pXWc%eG%4;cQr3NJDdE_+|!9CInVx@R_AjJ`#Dzn ztLDYtVUYHa>6_<;&sTM6yoe zP0E>^&YnmTJ&J6MYkdBYWC!|ke3)?C*cM+<;2ve6(UMiTZ(DrrIM^siH_viyG2{51 zFXqf9^MA+p6IJ;U^O;&d)OEm>k>7R9hhFPh+s$zDAurDv^BqkPbHvLy;&o4t)^z;| z_^!!5GkRr;n0^h2DyT^;&wdph^yA;p*a-LWFmx_el(~_*$FaBWU3VNLaao+ht(IMW zuau%_%Je_3J>l%4^-2Zez%E0%j7ZB!g|ET#(7w7{d4;5}UaJb6KS#gbUj^m;$?Qvt zP714*3K6s^?MSKn#~sl@BA^jp?sIA4>sX&MQM6aY15za4VAr1Wc0nwwrSq0VSlKCp z2~zTs+U^W9_A337YD_fQeyrwT{1%k@;ye5`G?QbobNArBoq6Y=`WE+t^yYBH^Z#9m zJCJkc7Xn&GwJEtvx;SaaxX?zwvcc1-U2`*e10Gr>`f09`fg2BBJVH`!AT;AMaJVi)eZ&Vmx5x;;pI!v$#Bjha{CQ>9S z<}Ds3Q6wqlEgdFPBrE49--QyhX71br5r}d85*mD#|nv*luh2lzc zbH{p6JZWCuSRaZn&CeejKnbJ;1!F@fp|ta1*b9`4wD3#V2+CzzWE7SdO^Kn!Uctsu z;%RsSHi42zyZS%uHOh5bQZhD$LZp#Wv1ycaT1F-|i*kdOO~&R>a%p+_*aFH;TH!71 zZOR?m-Fw&~%6-~{huBAyV%p;;*r$|dw31S68Ks=|`~~(U?eNA~o ztF6OQC~s-?4Ol9X(nxD+#G4!6EE0 zGIl;5=NKiD10E^Y5GcAs)UJ3PW3Q;6&Xg?R82;piulwTc{zE;o2ez=B+m zC=$SRyvmVbgxQ8b<4hvnR`(}$@qS?;b7U3v-5*(iRzIPjo=(1g(}MQf_dI}CD*)?Y zv37h6KQxF-O_fikW~TIFwTZRG(ipP|MQ%q7XaJSys$hfIYD!3i(sv1|<&IPjTb*Sh z;o{~;!R&(SZ!HqPY z<`5RB9MH5;I9uU_vZ#~Xz+&0H>s`s(Gi9^rOPYi-A8vS==L1C!|5Sao=EsHE-K8CZ zoH)ZD4ekAsBdXH-N3gMmMqZc$~&D2bC`-D*->q=WpWQv$?l6} zyNZB^sS1iGR_L){%X0$m4F}3AODSh}>+ME4T6+nD5r`1JQGAp$`AHTk+r}3i?>)g$e;7jyCS5i@%eZwALuCDJxuN z-Z?H+tF`7T%$gOQ5qNYN^&zUXm%uAMBP8x4_i^TgdgxeLVUPkk&<;O^R&nv&Xw6;LL2_+`aznTo2pm@xZJ3fQZ)_?DWW!A+zey8U7Yo=BZn_Tufgo z9uX_9%i0CSQ$6Gn2MP>O@<#FeUn;a6L|;Tq&lk_h=1;|(dWr|l)q>?7;5)j^v+4n( z)fnP1H^wHL8iX$4Z4%Aqv?j&Isg6bL98P5$+lZNRJS6va$#sJO7WR@#PGXDs2|d%~ zxQsxMW`Eunu`g3Nab8`bbJ?d7k8Kd+K%QuR!y8 zTs$8*CYZ+jgB17%-|BMFu4>flwds5fFkF6X2VkL8 zF!xJtN3_<-fz6YRk^LwCu!eKhH2W&~4Fb^v{keN1?~!!si(!?P*RQ5Hs(iF6cGgHY zKBB9(N3yEku9fG_c&MG*EmDS~iGml$tV!%YavFU*gx?O?KY8iw8|-kNF4}ZaAvR6R zgVP$oHyLo;?tI||z}|vykklq0IG+3l22}VkxA4N_?Xg5;91=T<dsU|Waw&%U4kVWtt1kT~1B6))Kx+s!YTBcL9Vr($pADIfxaw08npWNDjZ95< z&M#A{{nEB!TKAx5iq})LrR}6YMO&Mtg8a+~m-u8KKu@Ol=9+TqoOD-HzLy_pRo7`F zl;|M;YwB(xn&uM37epnqOYSPI96+1&Q>Kh|dIFrx7t$8Rk+G4;vQEwR_yQFvk3K%uExarv?4z>yq+8-dMiGZ2(dOK9$y^P-lIbp_?+J zMYyYSCzBJT;FGfn+$N-V!uW_T2Wt`B}%ks*qmMPIb9Lw$P7rA)=4ovte>Z|&Ymut0y(=?+z1s=I?Oa`U(@th_QL z=7qj^x(=ayr;&ONef~N`d8J$|7JU(4cc^?PpBjh0dVNoM<$sb11)WM=;My>OC_SYL zKgdF{qlu9*`l2amjLUsWSuX_L<0Il}pl~OvBr`xiGnz=u^iijdAwndGR5+YpJD_X! z^C`Yrh12}B?(is?h&*9G>LOxZPh<}63CR;Mb>_tyCkrrYTu;zFLC{EH?_NXKiY9PW zY(nhrl!Wdqd@sFR0&is03QLeOjKX6G7P0GwaA&ptiG@n$D!hNzFKO4NDMgC z&zU7U0T+^8KT`L8_E9tEQVjQsOkl>yvOdgtK)5%GoH_^FfTq zXbu{07#S&ZD#}^95n&+H055q{TIz#11s?p18cc{J>()y~I|ew>PoNMnILtZ<2<4^f zcqmQRSR5;{9g#hT!RB^tJjXzrXk|E)SYI;xlo{f?w#F6FNmmtg2#1mK8P*t?9KD9m zD>5BkDi%p}tOfS;Pv(nTZ-CTrC+wX!c-fV@m8Wl0Z_#9nB3GhpL3wiG6!AEJa0FI- z3$hrQe|=?J&d?AeQ*qaXv#rOhvD{zYKLQ)@79dwBC;~vK75Gm^UXUB$p7=#96Wb%X zzEsN+1Z`$3w^t?YHGg!Qga?@aw0XE{arpcbauPs2pP#muk8$IrGPjO8CVs7mz?-Z& z!PP0tI~_ngvSKJ5(y8tKJXZ9D?uLC&q0-@Z(g2Y40T=Q*yET-6a#dX{W{X`B(#rmf zY_dw3i-IVJ_K0d`GEovA1Bhkb8P}m_91%Hu=f~IpNxrgAez{4}zaV5F{mFX&>H~Z< zAmW%_Y&=lfg4V~p49%q|U%}(7@_i&*Y;%#%5_?}A6prqXPJu^_g0bArQS6)0^s@@F zAqZ_gYvKPPi*Ew90Zt9xEZd8SU!T+^Sf7U9U>Il7kSq!A(l2osBI3S8QIq*`@?t)@ zD`QU7tU6SvNcATlG>>b*%^Q=g`~$9b({osLsGVc9EYG4I@NYB}0PsOQ-861}ilSNh zKwR0~x7+1N5>~HUqT(Q@9upY5uAZMav$0;UfT~0)C#F;=;bLknn$-D&+4L55Ox{H9>Y>rR|1YC`!5I2p zyb3)JBS79!)Wj4B(U)sL|G;0M%=tfdWIKpy5EQlpr35L#}4!-=I*BcIARl1e;%9J8KkrOa9r#Zu2II=C>_*s zFyqMjg$zrkFOLGgY>bZBB9C_d2j3mt(DY(g(kWHFKhO!wZ~JhUvs@n5vIo1Y-MRS$tPfAzPTh%r3}5=3pqFC%v|#u^ znTVD32O~UJ>fe@FM6qGYoxq15-IW;9Q@?9++WkE5msedQT{vF-cKB_%`uYl8VKe8C z;#a{_PY1sN!k5PEpjnz9zW*Wqrvu^9ec$q0btT)hwna55_+uu}>)(wI9#AhqsFt_MTE7!pL`txb*NK0R-i-omF^Q!&x?)weL zQS}aC=BllxQJ3J&r);Uxi2#c^K5gk+fD|Q_YsxI6^U46jOE9f=*Ox>s+@hhuZM<-{j&o#%i@}I)ur&K>7dCIW*Yz8hB;1jf<_LVPcKF3-^VJ+LHVxC91(UoKV zQRF3QoG!9F*6o?{YrC`;x*5I~TKTAl zn1naX0mStodW3TLawLADL!MT=ymXac-MV`XnEer4HC`Lr6-nH~x!d*cd~O+|Q8_oO zykrmcqi!^zB~Jfjrys}?wwge^<1O7Y+S@-ttz7Mg0!rPu+!L}^8f~Pwjj2`?LHMzX zb$F1l1c%iaV?UEWf} z>q}>CpN3Sd5u?LtWcZLIYU0DF<(RA_qRU?pymfU3M~0snyt*eSb7!ycr}E8m>~?U6!pgSfbUut-AQ$khDs$Y0tZVs1dwpz_JoC%c-*A4ss19 zkJb)K*lcGHrL~^+*ohoDVb1ZIGNO<>^pU8GJWKLzJ6u5xSBJ;j^;k*t+cbY?-Wxe} z9H_z{)%j4;!!uj63l9O1dPxPApL5~eDk^xjNA_|!;cdq^8uG3fih$2L}7M0vBvH8?w#y%IL4~ggTe@wf!;tjvUxqu!kVE`VD9 zp3S1}MSR?lUQ`Tc2tU7&Trl$j(d8!GWkp^RsN8~MX+hqxs6a~Q9%`xzqrZEJE^{G-}Go{ zRPF*y5v<=$57^?K{eVlY9!0#mE4!Y{5zV~{VF|{czaGv$ruc%0d+r$BIS5iAgMEgY zYy&ts{_8&TB0IfQ1rf$A?L@8aX(GXeGQNib&9U%V`Or9+z_N_?Dr#Wo6KvLaCAP>e z^y%8l^^Nn3jGzbkq4Vys#Q%jZB9Rt`i(lurQGnXl-!nsJXHzku8yMWYXy}Gz;o|p| ztFi`ggV?j7BAi5kh^RauY2%Z*pDrnHo(~$K+V2x6%r4b$<3Yurk}i*$BQ1t5TyZ#D+R+_bYd}m;{c_$6e9k43F>cjOS%npG)OB5hnt2f6$42 z@D)|5*aFnMlHrz5s{Ilc(LUOL#9TPTaW6_YcM}esLH9@?-@+Coac9c%0pm_NppGL* z!x!PF#l&9VDfCzIO<@NKse9@B0-IG{apgoJ{0q?+B8JqRPk*gmux`&&e2|?jmvohm zKhF{TF5#lqj(M4ARm9NmPCjCeP!n;CL#5dRQ>8Xy^QuI&I0s4079#Bv6C3p*W(jO? zFWk%~^%$@Lj%(2X@ai)f;j-K8hI77XF5Sl=K2rk!x~c?ke|~aKas1$6t#!#QtMhi$ zQ!RpbeFrw8i&_TWR9kvh2z(j$*d{sBbA)U#uiO5YG=cKi0(&M-+)!Ucyv?$6Jqh^8p;i%ab7UX{cDtM)Y-ifaMCJ>3G3- z-##GwMmg}Kv}$Im45S1|L`&_!QG^_o_xD`5HXj8%Hy^%o(kVowN7YJ`mezb>S{Xkf z`N*+QR(?neOw)h-=t)jGHPay@y@oIJ{UjRp+oTl$c=fUE7VJPm#T`p+R`IRCS6Qh& z-%E6Q^x{P~03iIUHu8z52Zh1!$;xm1;Id0@BGp0OCQ6{AZrE`dc%t+1zJ};Tg^_o7!xyWz5MSWt^{0d=;%) z?~zT8kbB?m#&2TgXRr1e(4U+GhZpHnU0^enu*bkpk|Ll|zZ?g#MR#3J+8r&+Fb#wB zt}b9K7EtIkr&APkK@X&7KXV7_-V~9t$Ul&n1HHsq;9U)ZJ99b5QF#82(PzQnzlfDp zgSFRhga78A2>OeB2EaX>QJ(5l+;8cQ>i>&0z&-3l_*>~g<8__}OYKV}#N6mMik^xK znTKq|`*XRI1QK|N{Ep*4AnOKpp{CvADXX@-^-P<9v^i%X<;JiPGY+?Cm_><`jPadM zMUue2I~zsf#6x6DKirDJJbM&r@VQmjbHGCoXqP*q&{KPvwzDD=Xamx>#RbI6RAT9^S3t?DPM_O*rNB(L60Cz#3?j zvOJ%7LdFK7ll=Y3@7sQyPTubwY*~|P{RsCu-*!u$h$K-}Td4&8^iDcZP|+wdkr@5^ zw~<24I5BRcU6$m*SrY3BNa%dEj}mg5c zSu55XU|F!VL*CEi65Us5^>s{YoLA@P5a?M;mQ~ID2ms5zTF63l{SPIis~I0n*IIuh zSt&@h)H(PTlvrPfNNqU?LbZ#`o%;;{6#abFM^s0ReZZ#7TnmSjt4hnqQ3yd3;EBZVX*L{0mVibcN8GVCfWq#Qffd2Gv!MPeo^5NenipO zFBSR!2gV6G_Uu3h29EZ&cOK7x)#Yoe1hMo2J7Qh1G14GM>^lNESu%?*;Ric$0Y-X4 z1(|{HT7M1V42upQiSUW~0$LaF0_ry6BO=CpL%=e}t^r#XH42U^0$l^hWHf_^+04Wpp zC-yinZovn(geHmD%m6KfCJypJU@~^vF)!+}&3`XKAzk#5F)?bw|C8E+>|c`6X6(_y zR_-;PUb+rW?j%knfYIFp3or(jg zKQ;-s4D016s-B^l{Z&4ugqCkJ~icY|JlxsFuv;iy5Fd9HB(H1As?qOkI z8h`rtl*?C&LHZ=?#Ml#2gBy7E#U5I{0lU-&h(&`i%Gd$`jRt~{FjEqUTp=Fph|^U_638-1b-y9;s_Y^5e?_^yHKo&`O#1T6JK=ngT_{w z_5bEOE@g7a3{b!2Av7Xx0Xrgz|2qVO4}t8_7zE?yB)pB5lXl zs3FHg$peD!nWn%3fg=*Q!0Hkp*eLqs<{+PY0svtYG2{}5h&o^*fz>6zPF;NKuYb}8 z5c{aO0{{s>o{NU!`umHP;QOqs1r>9!L4rksRIwW%3fOfupWBNu0l1lZDxj#w`D)qVgsxrqfv;73Vy zDPd9lrFK?}z+x&yFA-1R^8UU60e=IA-unWKCiv`%gH?FtuI6@;vJnm}+27;pia_9lc>fv-bX$g=;W$uvRD{zMbT@-cykCy3e|9?@zw{K?Z zlIUda0hjJ;vD^cTYK!4jL7{|dT@6;W@;WL+3eiGRKMW!7Q;5UROvj%17DEoi8a6)oaqqFf+#%v1?cNQ6+Z z0|LQ_x-_@~rq*6v082~3yA~NoAB%SOLhwz*b{N5m(7^;46-p`)6ER`lz)}dbICc2} zz9d5CcK`qg13otR2MD5whyDFkxG)ilzC}w$5CuYQ>fK#{Yy*j&QhzPtM)V=`a=w56K1FvxG z=u`h`hcU@#5XOxMnAi#c76S;#yAT%^CAJO_s;oya{}3B7GBY&)H8wXmIWR3f>IeyO zieJ8Td39;qZ*U+D|9=2sb7W>`Xlnm!Y&$1l09<qRR}yD)zM00=)DAwVPlBqd%ZDkv#mw<~{9SN}y)R9RI?Pf*KyeL>PwL@@tIN=r;S zB7Rbf|5{i>Rzglq>^wd{e+U);K-di!M=!7d01AHr4i6nFIe+##J3Kwr|3E=PLqu0u z!$us9EhfNEQNIjDNKi{nVJ~a|p==>AB+&d}Vq;`wG{0#!z-?|9(Q$Iob9BE?cX)Yv z00maQQYsFS5g|8-x`H6yEOK>Yss;h=a{xvcRYL;BEkWwOh`}roJ8K!05Uewp1_Sm0 zm{$t03;?+}CVvc0SVl-d79Vz)05XUGza0SpU;qJoYy=N0x>B4$!Jygi0R!O!0y3Qg z|8)!f(*yfW`S&#S)AL;k9}jc`6U;X|$pfajn&HQ3!=|yC!P#lerpubGt81P&2I=Vo z#irw$-`#23rq`O)(`oUn6>A0a1FucDpefy1G`NtbTnntJYD{Cpmhfy!!L!c00stf#ssee&4woD zf+Jn}DSrS}Tmqh!Ff!i_kPi=_4-Sb8u~sYJ2L}%`BLmq5g#)kvCJY2q09g-10NDTn z6HfvF0%Q?A0{{b*Bi00E07Ae3B1UHY0Sg8M1+V}DTb&2|BnAip{}f_XK34+DJRGP7 zTA>r724fr`ny9*KaH^*R_9!qgDIzuyF+P71qkrPp1q0-y14~3Vp32JW#0h<;7A0ZGq6B!XU{}3HG791lR7ykj6I4$HZ0dJu{VSYe=B>`ub zntzll{~j6{Q&bco58EIY05$(094%KQCK(s@PAg9$9QH&h%>e(kRcS?;KwAG2yWA_u z22d&6HakXj|3MoYY#0x}PU0>~M?m78N$$2F6b9@}84Y&N&lU~b_5?U5154Y;90XFg zr~@T|_ReNV`3HgPc3Tkz_O2QUQ~>{Lgnt2Yh!!sYbS6}rj_gt@w z|7t2Jmtg@H=l{770q`>k3pZ`<6}<@oa8a&^AiJ3blmYOw6fO|I0x1Xx9Di;S>VE;> zkqiSI7W_dARx2quFJ&SoAgvW~{17t;H!pl!awPpDDxDZ3lDyd@04E&>I{z^oRVOAJ zD0}@uTTLWqGtE(7{R|ci8A20pNh%p8+8T}!--o)(t9QoLe$>0uT}xLS_b~q_870F9iUy1rj?r1FRhdUjQq~D*#g8&h7uD9+LV;g1n|E| zE>KsPCkW3%@F6X@tw4b#!Dm7G~5L<|l3AXd1g5O-5c>xT|A3R&?>+bE- zY)L>Dbx_Bt@8}#Dpx4j$Z8w9{T{1~?0BOj)ej+RnWDt%~d#NAkU>35aOt2XOfHyWF z{QNf}MB-s8=c$z88yFC^P2IS0^@`}7Lwbx}#o{P%CpgG2&}pC}xuNLe@>DwjoCG~$ zq6mO$Vmhd1t`xxy*btghN0h=>aZ2e>CXq)bk|^!|kAiEo?QRkf5u2)fQ_OBZi4d6z zc+kP{NYm;w9NWmF`>v@3kMIt=(3G^3@ks*7luc#6*!b>v#`rqY2d5-{^E=nl}?~2sO~ue|e-s z8#tGID>gdD)Vd=O@CW>WnNvWs?=Ij298Zc&^!AS@MENTNO>Tz(mn1*HY338)2%MnA z%Vd)Pdz%K|ng=hE5&)~8pCW)`z@#aVI(9mxA-*OqHa;fWKgtTd3?yIG0klCt3(y2K z00neAuq_M-0{j8TT-~%~J-x)5Wf5Jjt^;7s3Tm%41OQjm7h6LCDt>FH0Dpj{P&;|E z)(ZNVYlQ-4;4C4)Y>pV&Oqp{=wU(#Tc_8QJB+i!fBC!L_Ga@D9mDbxR8rBl!%9>dj zA)s?C@+tz7ctF`PAz3Z{@>`U6uol;f1J%P87xsI_we}BJI?X+Wb!NQAIBHN$uWo7P(A-UM&;o!I~ zZ;3fGy)(F+vd6Y>0uSvRog!kd(2Jpqb(1wyE$L4tSF-dWM$$pRMpa4qe_QcyjK(@JA`r(>IxaqQZ-qB)X;xl{T@g{LGp-5=jidAD@@;~ART zw_$dc{01?hC&f>AI+c~=CLWXHdi`Vl>s(OtYp5he^UI3HPqMZS_3sq*2RY~W0TVP* zbS3Y)Vw#H=ixMPKk{xs2@cd!V?eE?8mnOM@#3p|aH>sPLx#FNT3H->GN71lj{B{Qa z*}YT#-!ZC(MVf>^6TkX;t1PFYjT&BqGbH>b6;{$Xf#4Vj*XbNIMtA#=CFKGh`n0?{ z(4}-ygVv{&dhu&xEcN1ld+;mG`HIB_gLLRNr*PkyQwtfHt>fO98a&g?H6py-zrDJ7 z%X(+06#`uelzXtzvHN@zJi-BwfQLb^wgO>r#fczPIIi$@i|cpc0FpArwF?~}F6{>v zmT}xB^ny?Q0-3@iyhmGId?1u6 z+$2p(ty}7_UPQ@AUf&s)q>pc?kpp}j%0o}duRcC#Mvk(pC?zj*QsN1hO-U=Ebd3K^ zk29DJo0l7g9G1ZuVXXc}i3~x&89s8R&iLs;l;@)HgM*$XRHjajs^Vqa#SZC*m(Gw6=QYBT0M@?cYi0tMX zatk2uy>extg!%G3w8|DWB}B#I$7B|S^GDC0=%yWKG_jJ8)7Z6iF{712TSQ@AWUHPC{ZT6&4G&B{o%YLWXS=ZE_F5XjPyCh3Tf z%kEy>oa1}Xs&!NQNfSOp(XQkf?4s>NM{zm7VvtHK~< zP!dEu;dJxe)1K(N2-Y_q^zES$&q=fzdHA&FM3={evS*?MCyqoHdW?K{>9hpq*gvP~ zJfWTztn;T!E!$Ywk;hnJH-&9?WWQxmcbj>Q%Y^Wei2pa!Wv9%#EG1zlz)36!5>#;xS2uasFv*hVluEPt*WE~G2@Lk)TP?hzh$cDsHhZvqtYPQ4b)n{u1fDTeI z+qt9H6frH7R_QVkdp+>cFqyKbZjN}Y9eB2KT*zo*Qiyz9DVg3~4;g)WC%X+v^MpATUl>1VlE>$}2c{1>Yvbxn@&sC<3-IZ0LN z>H$@xoc9E0p7M(|cd&Bn;@d&V98A+cKYAV}Icv%0*cW8xfY8);)kYNT$7Kkx3!x;l z$zbY)_!{)7^NR$tUVrgH$yY5GI^@xioE<0`$pUgd9B=_wA+Y*8IE4?+Uy}vkL0`pba1n>QKiHrHPJa?l#`GYi*XaBZlQDK;DOwwg9W%~< z98DihEXAVlJN%}c)1sIDcBBg*zc1%R7c?urAR?7U5k2Qhw*W@S##E!uGp`2pc~0y; z^gnLY*VMg#@JElsHj)>ffU#Fh5^=}o*^37uQvIlY8H*k^c%T<6KDgi*AB%uFb`jAx zxtbq4&+#5UINFk6LGc#+>6qIi4Hy1dw)wFsLH`$SZHF?+O8Z+oJL$vlv|dO(Lb}n6p0;-&v0-9mvZzWA-W^$Bp@X!0;rKE%}5K zhTB?Q(`!D3vrQVmpY90v|9%o2lOB92gIU6psbLxiI~oH0^Kxc}(+wf&#=w|F!`{0A zJ2qC?1(arpElQBq|2F$P4!F?W*4&hJ(yx>ok`^{w5Qi<%~=HIv>Xv@4Y* zv(^yac8r!J)B;fxKNBmSeL+%E3xtdjYZI+?qICzzrQK3sbtcrpn( z32)YLImD_ti+)4LSys!82hl2 zRi*o}H;b@|(~laLoUVk3Gc(Ttbgsh`xr7V}rmJqv9 ze;HqS-?v6$-Ilu;QyTgnI_2dPbM3tnSR3avWr@~=eta70viz|T(Fc--fP2Mt4J=eGJ(zzA+4 z)%cuKP9{e)!ygyVMX8QJf6ZoFoeu3J%YH3kKbEabMU@wQF+bz1;S$rup z=Rp4pUF#k>OVDHrc@j(Q9CE8TJMOWn6xV66UVLa$%JkflZKgfmgd=hPE5;(z>Yq(E zr)gh*yf{Yj7?Ty0v$ArtNDEw9?pfByG@CFXuDk_OE;&_iA~KuVjQ!^FYs;?@c|Vt% zQ8`^D%wvs>`wN>FOSdIJb|6@~DqRyEQiHr$9o}?p)}JCbzgtfXS6a-G|$5}_R9)G?sxv~wid7?Hrg*&+nlvWQeS5o*%{$!7H;2Yhzw`H_LShz|x= zIa6ARl(764IX&X@bH~E8dmPNcEHBldJ+gNr~ zjz#28r1?O(trC+w%=3%r_%ByNQ)5gjYYix7^vd^z+HMh2j8?^t<{<{8_;UQF+GL!1 z8TSibnKyTXQ^iYBGA#RRO+m`J48n7}0$>p8H1KlIU@;cKix+fg5&v-n|F0_JxaSra za#rz#_?o8u>yD63<$4_B2 zuOuQgese#MBXSryqgQvYvIq?*@#5g=t>wApD$HZK>bInI(rH48fY?nPf8EM|0<99e zAkEx8Fy3Uu-ZKypblf{sc=ctl<@f5eA)Bm_=yC3X_ps_{42Qd=B3M|iaebrrVf5_A z@DD+`!rkwnO#Uu2$J~ks#suFkQ&_wBoP*x5K^EcwCa=?s^#%#k+p?m^;6)}xf=SVY7+i7`Za*!Vm>M40!JUeWh)m-U zVv|C-c9N5DsRl8-h{$xw^N|s0xU1To;=7><3CZE={!%z5Az3LlNh&h6>$qG@Xi`L? z1@KWyJLl#MvY!a3Yda2o}r~6bZ&b-F1wjJTRYIMbm7 z_&Rwc9z-UZDdzg)XBb{fd}p&s$rWzo zEsDW)t2EZ7tnYLSE96Wz+WQXK)d)Xd!)a^lv=Y)2R-IFUt$r;}o0La+f{FR$N-otG zg!uZj*Jcrs8umekquIMG&4 znK9$_ca2Ps7$u^<8I&6&C-6S_enMv=RiTJq^n!4$!kk`ZsP*23j?IMFh>M$ciEx!F z2?dcF|L1(s;k7YR{%xUCiIKwTBZ+A=KHoz{N!S(pNWyxC3z5(zNaI?gB%b=?csr6m zJ%+Cl*L?J9qWGD%&uKhB1gidrE;W8cdsOQ1yU4?#cNS7&F5)X6^kA-irq+3cec=0; zAAXW=F|s;B?{-o|=zz|x=>0T856N`nlJ8Kmrn97>YKp*j!5XAD)D3f*_~V3-!Q)De z!lZ<(ba5Y_2d9atk);JA>XdI-c9&kH9?uC;DV)noH}^ElYL}Y;7KWb;$L zPo_pNoWu$p_4T!)x^4f~goQN39{W&SixF!#PMNHV{v6-fMV9PNs6xD!n~{&_2QG~p z+LRVMg`HxCI1iRLn^&t$rAZHtQzKI3`nBrj!ozNsCmeq!b?zoogCemOzH_5T3>Fm& zJtL^;nRm2o?gm#x{Vl%0M2simM<$b;+LRi&nIh#IcIT&5*LsF9c; zu+#g^DUzotZ74~r&Z6EylrK`=yIxN{!?AlLlvHmuZVm`EI4CbraN^Pg_U{1Nf)pG)EhYm$+Q7%TElTdr}vJ^N5o z8UwtXJWB?Gj}L`fs0{+{|M+KxhLuCm(B$e=hJLo@7p3D#UP+vs2$JsYe?#S$s<{Js zBT`3u`ZUX2tBIeMBj>rXhS=|hQzeeP@}-Z)TqV0rsk)xA6c^3^s*PkIZt8bp;yv%r zWgiCD5Dt7%x~IgS=~B&T}komDS!Bq)>0s$9<=-r&9g`huCK!*K_6=V255FTb;YIL zivO%V^-lSbdh2ksDTjJ>9pnd>Ks1wJmebU(VwpO{XNt+3;-|sc-e2}|c43)ZV#A4d zR*sa}TtRj`X7d3B511UVel4S|iIS7K1|j-Wc8dDiBUQ@J7Y*$cw>y9(d7O2aasgaw zO>n=^7LwBgZRE8>toA|$W{KZ}@8%SZaH!qI`RWI(Z8>c5)k&m#d0w)rMS-V3N+J8u zN063}2WeMrXF)oqOt7=tO=7f7#OWULJdPeb_;|vtRI+c$0bg0%X;90OyeVQ(O-VCd zk>rMO&^`uyo4JxtGgizEbla#%UB=ZK;U=;fE7S#^^A-kFwyBcn*bWkxN63BqLQd$5 zXI^G)^>&rfem3z71ca=fd zRo>@XO4`oVcG-rV*Q>YnUJN|GTG*Xfwk=qDf@k#ejxUF>%YhK=|I~ocOx<&XEcA8x zS#8MLE2x}2gu*ND@dKZ0*C50DaT*5_c*dZ9OU?3b z2aTWRCEmpWXFs95&mC!q;oJX}eQ0jM-7jfEJYLs;a}Fi>LG=8Difj3rzWu#$H(H?) zmKdxfiY!7umL}S!;HpBg{o-XSFfGs)-<$H5^iYnf{MW|U!1OUZdyKkCBlGGGEnmx| zh!fyk_hRnjx}0}3zne^tkRW-zJFX+Wiv0_$dn9 zR7#LQ^D*G=xomS>!S^%k@+`v(Lw`VVLY=Jdg-$T)&70RU8e&>);|>df%&OQpUB;bQqWah@&A&qd-H*3Lw%M=t z^MkJey|!&eC2bqBC{RH^vFys~ zvgzO*lXrv}`H};ZaR%|P`itbd=3Kz}$MK!3YQJ+<BX?v>w&rs6lq*VG*KEGY~2LGC_Q)cUir zk~LZb}N}d$=BX_ZPn~HKZ)g`utNxc6m>E2EHm%j*xOnJU*y-XL3 zI>Bue_I>J~t^@TI1Q;Xe9i2|Ms+@*j)wuk(M7C{Y;n?3))LH5~0Y_q<)gk%WmA8u* zGqOI5DyU&RkbVK7imInyvn~_bD>8pXLUze)qS%|L_1bzK{8pWW-c~s2S$#bpz zxJJ<n0z!v*xY{h^1y{bt>Y>LwOLQ$ama$7BC`%=IDstW_d{{#Pc z&2I8ewcV{@OK$WRu}cPKk6gbw8(J6HbJ=6|2DTW>7SduBv-J8Gs3;KoW<|neeP(A1 zlH+uuN1Q+Afd=G8H>JMlh zAW}JMp+X%p+1AnBBr>G@HoA!P#W^|oUz;zj!?H_u%1$Nz<>1(L*8lM&%2|6nhLgQioSp~7I}r?(Rm8~&e?C?4J!tZA##Pk?3RW_8v- zOUvbT?Z`38_fHd5H+;waF2%2#n2Y-##kgwZ%T~VR)^Z-I<#xG0l>=nn`)k_d{avCf z&#AN1B4lWKGXc|_&FJhBD%f^GWXrF39u`pH`scER(5Gh}w`st+2VA=H;yD4Sl9D9& zObf#v{CQB@8>BSNC9+Mn?l~s1x}Fvko>bYD%8S z9F)kxHeCd%8L~#1Y&VddxBjK=*%E>L@%UF8cfTcWib$!{yKG2DFh5D71;|=wL59$G z+?qC$g#2XhW=&Mxr&P;j;eYY3WcBc?r;tDUo#gKE(;QN-Y1f2lB@AV2biXmkidtyV ztP|k*^%*O4s$u-zT3^}H*vczMQ+$mcgXi$etDRDynMnR4!O`p;)N9&R zg6BTXjU>rR%3J0OIQ(M$j)`SVzqlqZZ*N}&ow-f5%#I0KRkf*aeHrP?>kiRzvPbFG zjzkVG%JYVpAiHLvWoU^E!Eo4^(Wr))k0_&_|WCMm^Y8F4%4BklC zC&X1Q+TWCgob)j{#H0z=1Jg0d^&= z{OG@ga^uUyeZzKFxuSs)HzvgR9~dwtz+PyBzk-pbZd9b%wZm?w8j;wJcN?o!Zc_v- z+~Dkt3Fv+DAlz~PUaA?%ybV!JFl~ZWu^r5P+EtW^g6E6UtZQM^yGLon(<|-xLquHYhw;QM=z=_yB+*uq zdXzNOQp!B6iL*h83owO`LL(^=yCu-}W2&!FQc($+xvAl#@JU2|)A@FjA{7gs&sAx1 zD7j^W<&YkBVZwGj(EiBUAK6Yo9awVOA1Z1Jn7lZIlJ-)~#XOO>M#?J5b8*Wf#X?^p z3sBKkUF)3!DaN_~N;7k1J>_+uWskS2YFaLisNhnI6a`F@|MTpWqx^TIqK)dbn!AHr zL+RxbrMu@T%euQu@^?!J4DTvBki4w6MFq*E|!^m!dMf`k*M_T&#q8EGnt$20 zI?WmV`58pXlz}r4`kW=W^O#em2%7}eR4(()TDrPZGbouQ_-5*KUUp3Kp?X>u!@ccS zanNgtKzEJpb0fv1*FbHZo}~F~P}!dlqmazkj1opfzZ}D?UN__{vTve&;IlVdspncIe4OX+;u&42zi^f?5;XI|8I z#mk)CLpkvMi!oQSa(j2*EfCi5ea%q6P)>>pc$!d8sF-D=bdV0gh+@5OofIVzrf_dyVC1Rd0#3Pawt2|Abw+2VyjdRNO60@DEFkB;I$6$e@m}5fa$|T| zPw6*ihl|M-Oz{tMp%x>MZ`9!QPpm{6?@(*?6)!DC+uIWe^@rcA?wO-W2a=fPNpJNy zP^IMb8$E`&O`_C+EbYz)NGmbSqR_H}ZsX^37nDzhM4Yh@Ozc9LZ|o3x z^%yp3FfdT_7)V0FH4@>L^{O+C*>Vk8<@gr|@LCgLyIn2hFjePWRj$6M#aGdaxD)IVGX^`VtP*fOb86Z|DX;}0jd za}}dVG#RgP)PoFGXt1xBREvz0Mb?8{#hciN54aI|Ji`Xo*YtXs9&q!zl(Z;;e!-$_ zF)+^&NbKnGkW8XcVA7qbioz!nv)8yqqOyUqIZ<`wkVxkJc!jFhBs%OEFKD%^-DUNiF6Zm+p;MrX2``8*YatR0LW^oN?RvzynYeL!<&w6z7v3u2!D=4!}t( zXZzpU0qCbv(ieZ-mV;CZea=}>;@TPVbHx@jKe&sv3Yiykx1E2(TQt&4-hmhY%oiNU z;;XycMO~@GJw?f~MCEz<7yd&7$ivwX@R3|DQ(6E5+}+Eu_!pf+JUCGoKx_PdQ0Zie zIL$uVNwEsS|1|%j!O>_PNjRo4e$KQR@Hca8(lxmddBVGQNz14V?ISXJ)LhDKB!dlV6d^Ko-6Jd{ElTuHKrBr@6IeP(Z*W$b-NWB zeK>1sNv|!=x8(c)KA0Ab4&H9jvyF6}&*b<%V7(k>R?&zH1Aj-O<(_ZcSYgM4;p3+% zA!?B}2S*|=i7aMMgcoXwg9mRj*RssDh_&YCZ3Rkqj!}09twxR}eqCR)a+vKwYH7hj z?2U=dioRu?dV;neEFKFpoBXaFKqS7@U_~@RSzP%%JNuc5;DpmpX+HHEe>%45l_oEK zi6#r5i)~F7F21upe^4}heqOW zd55|J?ea;HlXmY8eIAdx*jaRGTT2=ttk)0he+s?EeKUuS;=yl3-NKn~Axi5Ve{XUr_Mmd?kwyp~1g zMHX-uqYsu{*d)L#-Jm&fZhgoLyS3?l7Fq+2NVlw4?4OD_=sQU%-jFJ>I#&nv4Aa*J z>!hC@u=PMQ5>fA`E1IngPLYu)B$F*89MwVO;bmVDLSGgl^Pt$sTo4uL%zm?XfascS z;Ueo0?A%?LyLJ09S2xDfxgLGI-7o5YC#LT}P(N=;$Ns$nDV$`LZ0lgEIoTr~j-ww^X2w)0t;2Vw{@=>jC%rwdtO(?m9@HvfydWG#G9M`F)sBQQeL-PFb0oG zQ0MfK6m1XWM^CZnvI>-MIt}aUEi4!S6TrDW65Lg!8j2-WVOQ9A@c!pKksTLDoK{s` zTtvwlEd~{qvH}fFg=syB^oK@arAN$LYtiP;k+o6)tWgq=$Wm_hN;{4{uyc2JasP&o zu>mIMk{>@{*!LW94wY`>3r>po9GWO)7(s~5JT2p1A!=sN&v<-Jj&gYYbSl%`&JNJ(1N@E-aEI_nR`rm$&4((g*O-&$ zEj;dxj){R=p`--%>m)9Ti!c-fZ7A^G`0$GDvB?}^Esk?!XsV`1Qf;JGmpL+tQlFdw z=pJ!Cnl`le1ouKJ+z>e4dsYVf?1jFKVNU1SEb36DO_i*>hsWFD^^H|%2>+e?-jM&`bYEvO265!D=+*6C7u7*eHT|jBROcAG|@zGEZFEw!9ZmK?v|s{GL`6e*23IyIR-79$d>r_fYjR!wiSf^0t}qh$6(FPR_U$({v!bNP<<<*f2Rd)NM#u}x z;y-%)b?9gM)oZ(+a7n7@FyE!DIv|mnC?plFnlj8%Tp~QT$Pymh8iB0q=M2?-IHKIK zVx`9{>e7lP`01)%`(k~G9$czFH=M+2lS#$6P&v3Nzarp)_B z(cq!qR^I+%*v!G7g6ehZt*G~;7tsyHhMXSLyw*l}!N5P*=E(4*33~GvSlfY_G?B?g zn(`QHek^d$4Sfdlmb&gOhf-$IenWGWNGmN89KP^&|IjF~11S|HhzNM7CXVR7KYxxOOwCHotCM zvb5ZMyoB+unQ8m;C?!M;#OO`flg2H{fv|{R=fVh^2xak1MPfvYuB25}WUO4e1i?F8 z+*c)1g;f<&Mkbt~ws>nLvz`{jBzCb3D>KW_EwOUm9=+6V&5EnncqG4jSmFtGi8(#r_zB*K^Z!T@r1ji#pD-Flqvg(JZg{pcyQx0;9)+16Pf`hjnR%4^p-G8|)ZiMj!7P;Cy!85xm6}y70pZ z@`AzmPby1h(^vW@ac-qgzW6@fuEpQ{WE{5Mk5A<_?0+sW!ejgnpWJ7EN&qh*!uvkj z;7>092#@5)!xFE9_{~Q?N=P{4`SFzZ!XtM!=1;H|84{T^LAJ@3z{t(_#-W@Mr6Jz$ z9V2_ENe84oZ}KQfoeYT(Tk?lpYye%U;|}I9fLw9-84qYnYQGUq>&!&(>7iT?|D$~!9cZ|3OsTQTWOyS3x)14-)gtYEhxf8N4yK)$j$fP;JbqeJd-iDWn@MPZ)9Nj4e7oN4Q#- z&pJGa4h4?iF2=-pHvc{*1G$am%M!Sq8Yn03S}-1I1%uq?NsEUL-3s>*3sVCUZl9OS zng@Wx6R9RpryhZ0?8_8!`sZpu;bMg@*RKy;z_p|-VjeI%rS>x9k;>?B9hXp>FON-K z6GK6{@=q;{{!HMXZ^|WzoR>Z|c^a;KHk~OQz;qXqIb`!VY4*k);{31I zaaZ)Gm>3);^0-yE1HW@~CTTPG<^^5vY-c(kaDGL=Ln8dbMH1R$YQ|Y(5+?O8stxkn zgY|jIH<|9iqTF1c3R=HueZOkUPZN+acqxFtC-lRpZP5kL`3pfMXCP_Kf=&yFh(@^{@fcH)+zF8gFD>`Hg+St#MNX6i!C@4UQqDe-WT^?Yn->^Jjq&UG!ar3XhdY zoQrKTEGi-SCs`i&lXjydM7mP1vm>MiF#ir7kSW`6F5u9?y_;$XU^NZii%dimKU#Tw z>BY#aayvRy;+B>~7K;MWflxbBUsl*aK}0Kz6i+j31_F_3c3oBaGv1jEL+^xQ1Qp`# z57MeUlr9F6B@UJHhK;{9{jt(Y&@zIg7-x5;1g#)}vwJjqp67dQJKi15Z%I2e!V-+K zd|x~ssML#E9Y7~1G{UNqWtU5qXARi-IenLWm`agdR_h<|TsiaN%5wkufmZML1xam) zd@YfC?FgOPg9XcTwTpZ$Ky~T&RT>|F{<@rX`PH2(jao+7HUwJ9JthhnwWvU@PD zuYyNQ3|}q$6otWQqZ7kRkc#3PT>xBW{TK~1bAqAKXFA-Q%9oka{P&!$uNJdOPJ}iI z?Rf=}I!&KC04;YMDZeMjxW=C}o+_C+JYIj|11MiKQ_|a!4ZJCI>R4OdtHu4^eO^oi zTZ^-?LsJ&H)F}%oDxymU-V9p(u2yF}d9vzKuWK-?eD7d2SQ+ea9yH@h_5EBT>pr~0 zX#*fL9(*{QIeAVf`y2>0HX^EIor6`F2lIV^EK3)fE7?%8B$bp~cyYDD0*U3YW^S{j z;OXpd-~pm?2yV^Vd`8?6oDOMyhQ}`>tNDDY@xOXj<=|OO@_)b54&L75f#Z}_MJI=@ zw{&QBWFa*MvDFK|pQwbQxgUuK`ctYpP(>I%cvUMuLhzJuhba8Wsuyi_rI}xvE{2hS zk2ZrU)Qh64zFKgJ^r}57Y(*0 zwW7~AN}2y{5|{J)McdeWW^{a)_Hkb0-EmAVNtXXS@TCPk?(3F!t9ZN>B=EUbOu*`u zw%%eVVd8eKHJ-|pjKdnfll3PBYEPW)9vE1Xqqx73b=3F1FF=J!iDB+z=i{$H&o`UF z-KSiOybmUg40Ymi{NgUScRHb+GavAJiyp3T9>w#Ges@>pwMyaM7g&|y?<|_^I(zZ_ zLl!vxYftPXVsxo*A^I;O-F5ZgDb+i4w?l{a)L#YzKRT^CsR!>>^x8vwkzvujFw_Pyv3~QzOR0!drIRbS zP`CIludDE={3+ap;q_fF24S`iLFl}%efQ-1M)md-wg8F2S3T4x59ukd1YwhY?_xwQ zC}yMF{MGQ;+vT#LHdd^5+g(7loq8jOqLAc!pp~jwY%_n^^^)R=^U$sNvUiBex(u#9 zUs(I_fJeQ70TQp)-8Gs*os_NW(X{m+@dF3YBkAJk{xi?)I|c0NS|4&I3FrSiLL0b% zWXp>1@zCMNLeP(v==|M(Lk~A-=$vsRU|BY%lfg_{AFXRwV`S@h88nf`o*kxW^iNN` zOO<>y^L?Dmt5MuN9WNkb&5{|zyUwYYY z%e(hA)w(C#SbEds<_X4`T8=uU%hvI_%pkoYhR0My zZ@i%cak;7H*U`+!%l#kgs%9|D?aLO?r%p=xt$3LHRWGV>@91*ENeX9hpLuo|M>54| zt&n*%rrPr9RQ_ek`pmMZS{GGo_tTNBv+WSJ2S}Y^UGQ-84*AkOMk9%5?;M>YNMzVT z;SE05-01d1jO@GFdt)9)?>!oK*emS}doS|xZb6D$vN$S^S*)zA}k zaUw2+H}^;4HKh|pLVf4fmaW()E-;J}4#%mLic38gtA6r_bkB0Fc9lVra5&c5VY-x_ z7T{&eWATeDBGRXE$lUNm<_Gq9P?qt-(AvH5vTIIj)_-Ub=B4PPoTX$u7jJL)#QmwS zp|mDyjzzf=GvsUcw`D*i=9dwP_80pGxde{>Y%N(=SA5 zk7>7C&p<7oCam-4df2D(3!karOwlOSd9Q@&|Aj}Ydc^PGiUHcCI2n4R-w-qvOGRF~ zIBdAKWmLxX#4zvV@G#wH5>QPfu#3y?elEilvdWH^s zoOe*5jTdSccLQ?h-a!dpav%>6k?-Gg*ce8 zrQ}RYpVIOYOkM;3l#VP9b;xAbxVj0bmUFq8z0nYzip|*pCGThb*TF!rw3yS~nzKvG z-`iJ1-0)7G8B&9TIlCZX%w&vmfFMek%#cJC3_jLWG5~)g-qf3uqKK?%eCx7z){J{` z`@udsSvPOU&{O;dTJW?hBpxZXqFcJfO4lVu-nvzG zbG$Y8;dx97w3V&b6S9%YnLm`10bJd#!E)GZZEkBfLC? z$}`5eMq8e?_JkJ;ICSo00FR*Zw;7i0C`ry6+_+P1mVfhlxwY16y)|Kdcx3pUXv1QZ z^rPpooC6MiSLMkI4WY~HS>Gv+e>Iv*dC;{7Y0`62G79H*ED0{Q6%~(1t8e~EnEQhm900c(OdJIaoF6jR)*NUk-n?wp;Fbw9uV;r)0&Uhnt&^?JTRu)tjvP}GMX#Q>9HW1nvMh3p<~MP}dVn@M35 zUi$@BX)4Mwv+sMhSRmk{(;vu|lTmGYIP{|?irw(PKlw~tADoSe_43~j*FGWy^Poh# z?M!=K62B$T{3u=I9z7kg6f-?prbNIFT3?vWfG3f_6_NBp(PdKa4GF-cyD}Mk^xJ?a zZSItvP1fl5)`w!tSH)t6N@r*s*+-8pcL8T*{8O1`_9nZL8Me(HX_@cfFFr6AkAN>? zxR|fa8txu@2-(#!3Cr7X`ggYbD+vhy5ojMV;6$E{RePhtaPi3IMmx}PG0T2oR~Ik{ zdK$(dQqdtr*E1Y9^XC-*@WChxq93c6`hxUp4@3_KaDW!-N+)ymnqgcQdOWA}{aBV# z7{g|Ui!1;MUEZOFadTph3f=nvpdU>@9FwCZD`jb(5~zifvkX6d`Dzi@qFH?lFfpwA z066CjXXwb>$h4d~k7I5XpYg!9>KLZ`{L7X`3PkO@%#sF_DZ8P*LIYRfFOs zbuG43ay@L17Fgg}Vhh(dM_5XTxQ66v?~@B^NA)12rF1L8nD2G#JZV_I&DY_&kgb^= zKR|{*^Z+FCO`p|Rvp2Qp_Tw-!#-?0;ksti0?oRQL!@9U%5n2kYW@- zfQ5$|8Mb*8C>)UH6mKY zMNxap>8u?Tni6C6tcbRQwBkH!<{jdJ3L^fVi|^cGv;zOWpj-BJei3)>&Y(tQ8cSzK zDe}7Vzx3kn#V*)4U*hP}>)wW%1KXh0&@`3b*l%h3iuotm;yfnp)l-a4XVurcF>$mz z%&}%(oPV_*X;R)%AI9aM!%8?sMnwBiAjT50i)S|UzLaNHwW`d+m-q6cVbos1w+x8D z;enHPf3XGgM1E!$4$h=09GJ+!C(-Nv>h9`JtQ8Uf-0zc`BUhYBLIxihv$T{7?R7anokhk%wl4R{()lsQ7{IULU%YrpAr)xUst^XzhL%gqR3UCOm6sLSfeRbeQNm+G}1P%R7V z`7LtGrJF_aR^Fj)^Ejt1obNjpI_e=rNf#CYN1#R9-)Ra!OQbTnT&Vy#)wyI4Zv+lf zZ6}X?7wy=|Y$hRSoTR= zkKU6)JUP1^K~9p){xrH;x?S-zblShki1Z)5+^^a<16tdP%)2e(Lm>N7<2^oE3PhW` zkNo$nxmlDM#z9{{d7|u8sO9Ke;T2@Jper?<;(p8WItRDOj?lNtl}7~kUoZmBXw>>( zjerwiHD_J$b{m4ARUo?WGB}*0gnx`czNe#z%n^VTFh`;d4RngTnyGdxqIx8Ns{w=Z zA#F*2mQWF~+qa!ky**O5@jiYexr2?S$JO51$#r&%%^_3z)-(G~eKyin5rrf5OO-P- zfZ1&4H`cEoSeJJf)*g^P1(zjP6rU(VYs4?>;_{yQPnTa_A6p-7woBtbre)QBR zX9}3t3%|WOoX?Byc>C7VQL^dXsK`B&4zkg$=MHiI<0Zs#$=Ln07AC*EHfx9}XU77BDZ~i*AyD@b}BNaF}Q7_aQc?5;!Jcz%XREhHUBxh8A zD+v_i9*oG7yw1pOb}_yW1q3sP+Lf7cZ3Ms=b!@(eh_UAuI;*J3`%R)@*uT9rSXkF7Hn7qm<}@#RIy zyh9l`d7VXD_&hrg&rP`Saf-OF(@(gR%IA)D%42&0p6ls{if>NEU|4}XBmGucNH!_Z z1dVBxc)UN>^;yw%ROb)gDx*HF$V5bEGwRtIgPv-ZC5U?_KWO3d&Uh1r2S7EZ`#^JH z|Lrgyt9E3}i@_Q$05kcu0e0uCQcX0g$)H{*5MS09t7Kv(RQec01mr(vY-{EqhKe6m z@D%je-yY8FRykm1c9DgvQ?MRCFEB}lClGL?fquH}#NRqJ1J>RhDO<=&w1+uAV-EJqcD79D(vaCGYi+)Ss5wc<83B2m7zX0-s%b#wW@X^0q#S9gLId@f5FuViF>}W=oJ<&E~?asS$-NqP<}` zCfTQy@#IRtXfGWplNE+Y6vhfQ>nB zeDB43Wp>kGq*V&%?@fb#utw-a3);B3HLp*u?Ye0Kn4Gr#@Gp12vjcy+i|vw+%%!)7 zeIWBwzgm{Hx$QpXXCG$XDR|8g-n{a}h_*;PBv+=LuK;~ht4iYG-xmM2OE%>FScW%i z`R*}=1Jh;OjT8hW>tK6;<*3&dCvtcaBer^QnhE#mlHR~D5&2><|c8I z+{vlWrfRD;(WHhUlLibu-pXQ4zbR-1f2biYF8q|@6@zr@SNGyvR5l-7M>Hq6Fdci( zU98A(KAv_tm(gne5DiLL160X(`S zc)OkF4=ZadC@4c#x)G=nrdTJW|Crn67hwNyr>~F3#C~Iktou5nE;eQUllO^9%kCGP z&vZ|%O?nSlS-_>X1pW->w)hAHp={#`4Hs`iu7`vqeP!v67O1@55z`(@98&`*6z$p5 z%T@mQeTPAM#>N9Rh- z1Hn1~Nur%hYVo1ym?RY309WS_{EF``Tcw}@))tr?X7`oiYB|zu83}00?CCydrWY#N zxX=a&%5N^t54AG#Wg+IThtr=QBYdSy1=(rZ|620>LE>yHt!(Lo;{Lu^=x_=~YlfAy zGfX0P8F9=3^8x6OnXb%#dLIE%#VoKJ$HxC$86f!@rzq#p8FjrrlpTvo%DFXf#A*tX zB9qlwS+CV85^4J(5ngE1GP~gA#`@_o&iP*o%CMPlaJN31h`bJ1jyYSsx!;WOFCI9% zTd!~F{82o>Lz*#TagPQg+jhYzCOsRJqfLKI25f;{=)%v9F>&-y_C5vdfVY6 zzdGJ*y%aj=MlJ!wm2q+<2;J{6k2q$Dfh64MzWNp&D)yl{Y;2Jw5?u01s6!C?ein5` zGelh8`hqmksAp_J2!7!C=et8&r_+*-%s{}bU|D44Y+l(HDs;b0=LS85r{7NQ~g zQS+Mvvm3KZyETw6rKHquBzx?=B+r&p2iE{v_1znO zs-B@h)U6BnZ_(#Px_>kS?}REE+bw!yh&zXZ&RG!@mgU4~igX$4qWK|1H*U zTAAJzfd+mZ=jJyz`{0j0_1$sKvba+JMT*y}Y%%5p#(K<^#iOztzAlxOwxh@;0hGId z)|^u%b~MSM9~AGgzN4U=)Pdt8b2!5l236rFFDT`%Y{-c_J2vZjo`-lU@|TuRoqOkm zY`dAZNEWcyV(#9I{cg_-ixOO>NU|XSp8CATLWT&q4wpPdCvi*W!}-vP9TEFFS%S_h zp#!&)qGc$+Y$w>oW4|t`BPJ&W#3^Z`(N2{6Tyi?iS>LoZ@Pa0=NWdo_>!+>%o&>Gr zB)9E-u;fiJCVWm5z4Qjboeij5YEN4HlQ%V_v`8ELV4^9xgJ%?9uFFVZuMWU4X zf+s2AQM4dN=$<(hqNhP9f$klAX$GBRRCW*kf?nwUP?v9g1yVdIQSD>BFTSgQakN&N z47MIu1) zuRA?#uYa_k`iMxD>vi>qx+pzNsve#q~23uG)V8t(E?#^FHPUj84 zUFPPal@>9@zp}Yef}?=yvCwY|oX49R;y0D(kxInWKy8WG#KD#3tK&FV zgPg)E6XV_&&L055Oi&@ad!;4HN#+%Nl3?Rr{P4LM6N=~E8%iIHah#8_Svp<`*&#;% z?1?!7+H)SJ{yG68LiIr7G3=GSC135>YNJbEP9>DeDyL+RTZrMw;Rbf^`MQ<4E#j^| zeW)|SLt}4vW_lw3AecMcc98tI9Szy%BZ*j=nl!8bX} zzvSaWADPBzO4W@m%jM5!RvpLoAfBmts>u>r*M(Qxr;28^ibSS7hFGJdlWz)>40l-2 zF~A}CkA28hBBF@;qiCA01cEPw?V`CX*O)jKKe>^=Y`&V>#E(NfI}kXvq5}#u*V2F? z;H`})Wbw-0^aY<6!vEXM8Es*=c;ly=p1W;T=sN=&Z>uC{uM+nq*!5Xr8bRiON?T`& z5fi|!bSxt^lC?BTrRE0+Oxq^}Pb}7H6S(p(B=SH-J1I~;XuNZa`gX(iui4KuG111Y z(k5!DYAG>P5gn4mgv3lDp;RsYPrT7E=2RcvnZ1+oj#IQv>9vpqNzXH=3`R=RVzaj$ zW-?$d&gaZ6F0l$AHAJ26ZleaAsXZ|^XJWL~u7&() zR|#o$?p9u1bt9+Zj^*)>{eR;UnJw5tXD={;$R4LyPBSEPw3k;q?s6f=X$$;oci47N z2H#D0_-i=;N-VcU2@XVikG50p}LB@)ki7lLyaRf220r-93 z1LSYe&-eO&R)FtvGU{|f%|uHrpWMcHmBKj;nN9gsS4`?(Pja%yiL{is+&NqCuE}g6 zs!aRt=8f4I1Ro`fEYX{@Y%X=BbV}HI$To95dhLf=3Cf#x_duX93Ifb#?)&q!qUI`5 zbsR=Up@luM`5Z;8JE*$+Wg~pe_+bk>GriyDbX|vF`YUy&ZvKt93gjOigL%BBv|~Js znj>1pFr04fnz9bQSv}rZw&;E1+2=&M6B7>gA0Th73c-wwrKLfbxj%yI`QG9R3U-;l zWR4RP)}1JQ*qXP&f2ul^<$AdjiGP6qHTHey6HCh29oAj z8^7JUM<{L@2#vhlWaX&cB^_bVgu5#)v1tTM&R!O8dduDff!Tr4#@1CTvP6Q@vCDBM zWS$Ed4W=jf1>T%&WR2&Ap+<{+AfJrgElOm3;du=Z(fkw~voZPkrt09mACEU1^C@V1 ze=yTWC^gPuDh;X?11Hp=)2~$vc|8pCi`VsNl(!N%h{jg_VtE`Z)e|Rv+Ikk^cpdd< zr-?t-I7Ylwf@)A=)*?;U8wx$!TIpHW<& zQyRn>DzJ|4t(mg#uJ;rGY0WlJWH)A!R@OiiZkK}^G&d&#ThLl=P zV!DOyRYdX@HB(sm_;l~oOMDVI@HfwHn_Z7rbkcOBFN+K}p9NZ@XvG#_{Z?2yNj#90kJ#rOVHk?+31% zYRZ4xWWL+Y?z@ja&28)R+9%WK?>OFp;>)AGVc&Nk

$`SauOGmt#zCd_M@vzr}dW zkTuu5X0uy6%uUmvb!6XYnA#V>Dr9_MbOthO|B38uPUDmG4u-yO#og?f5$8g@1|)+!6yI?z zecNg7*Oz=DWUtkkxhs-uoKjJJXP$%Ujb^-uC^zSNe+nA4+;re9&DG`vYIf0- z^Sn}stl+S+OHU}vw=J6Eqi7F2-=E{%Ax1y`k4{vVD)MJ%e`Di9YK3(V8WyyDJG<1Pv< zle_e~yrp{SOpUtpqa}nlyF>JszKaW{jUq$K{GzPOyT59zeg(Nj@KS%IAOGar69=TM z6>qsf7erDm?q6^^oXF_}oLCSA@U&piCR$rt&Y-tUvLjwD+M0_b@LA<3GD=@3HXI{( zBG&8{dh}OqIJn#!I+hEJ@6Lk6nrB*3uZ>-~RC}q$`$pF6#I|D73!wcG*$csF0)F2~ zg@Xim;3k?!Rwrv7QsiR0WTk~{i6VBkn)v4;osOj!ngsNfrU}-R5 z{g>{dBW6bG$OpbS=G%`ie^ANsA2(sXT70fhd4#6;tel?v6)k%0V|<61QTl3<%^Opy$3SJV#1qUqPh3;If zV-GjQooFF_@#Dqz?h93U_b}||i2Bcx#ezJ#xI{%1@Ecc*=^VAuE zq|raMwZms!#DXMR3p0{R*XIYZJ!~Zw0WpU*-hL2ovl$7-;HQ71$sdrbA`N5pB9M+3&5AH?^cnKDpS;xvDU30pURYdQkIc z+VSoKqLuyj@a6Om$InO9O(=@qJ`Q;eZ1^B12~vk6BOgPV{@)aCUY zQ+3U}UK?I>H7Ukh(i{FrEs&7cajGFmuFOtla=P5VfRjg}r$LxN&H^mXoi}B6 z5DYBl1gEoN`TohZ*U_>goXUPA*Nb~Q=!+kf5OoRP4lz^bymvE`RI-U8sbfE9=mH#m zCA*Aoa>3rwM8jP5uNNSfT2rwd$p1zwohy!1&H8-~|H)@mreG|8$Z3puT=#y5Fvw*V z7x$N}Y+XCV-V%$cM4Yh{>Ys*TbwL2g6S7#ns=_bezans5{~#brLEkpZ zhtDEPPXC)(v|<3V^yXE8Rvj^FO(vWZYZ^_;)=Ol#(9uZNrVDf}8kElMGZh}4s$Wb1 z&gZui;-dfQyHcb7+7Rj-ZZDq)?a*r?W|GGovOVUNAl%yXM4g2RnSI!P;tAbGOH7+l zYka|0g$;n6=Q`53BxCg7rw$1}K`v;x6qCjDSI4e42Kc5L`>6HWvyfbB337Cm-(P&1 zq3q@LIK^|}qmp|$e$6rq;9v9l>JtIzwZu>ox8E)){d+0$?LM%!b)79f0*$~|a|uK~ zE;rL1r|~oqJ<)*6k>tuD$r)$^v;0b1xyIIpyv?_0edMN`-i6g>RGNT=knOrZ;w5+O zCIS%pc$*{SK{3&dfP95})tG!+w)YoV2Wxrm3(c{4J|e`9)PyM=NT22%ymT4A0wfW`>7^yE z3`iUInU{-@cNdo??aPQ-Dhr6k|K^4UuBNd2`kUo~MM*O`)b ze}@>09$|s?(KRKHcYyR?!1LHrckZvQd=YE*8%Iy0p)dhcPDng!dnn@72MiY|6b8|% zL_h#heD~9~YX2=+Ntru_u=K}!nm|4B3D97jM*Y?`1s_mHh24|Ez^iGE`2APDm7i7r zyfDe|+T?Cy)1^R@E>T|qHsiRd)3kyyB9oNBZ%aTSuHYLt*m}2)XJ~7Cx3|!AR5MeB z4_QqZjg359>4R7I3r0Z8A(`iIoXy+!0v+8U9yvItkAl8+CP9ZUUfXvdC|kxW4Eu$P zAZeLb131xRdGpD|JaOj8B(}*+B(O4qF4=g#7u0p`;%J=hI!#t27s#d-`IQJL*Z5Hb z1oLt7vGT#Tq8%_n=bI8An{apACSJC_$r|xIotga{udT9mmGmiRdrd*7&ACvD+^!}y7v>)@F@+IEv0g6}0mX^tf z@&4x6&0`u*eLR}&OQutj_gN_WZnY<_Li}BVP72I2$&wJM+jOt06KSmDYgIWQ7t_2H zn>mVb7g+`7q~kPxpz=xDu7fM48}&Z|SAKy0rmIpD5&~b}@*m@_DMM*cP>XTYFh8y3M{oD+(uhn%ifEjN5nN=lc$E0tsANj zHSRz|U;KIvhnBD`MC={jeYk0oJ-nUz2tU(;ko})veyLk+RADWL1vhcFxpoON77SZ)Pe9nTOip8|iKz>uJjf^eP45MES4tWJDEqMI~t zL4N=mX(URcWTru~fpP`%3T}#lN(IU)ZmNN51!vUVGy*jXw6xuH0(A@Y^xX^s4GWBn z-An>a3(U;jECMYHtj@Zf3p`(N!P@O&piRLgTQ|Ev`vM0?H>W`70vA^|w?Ov-4^KC* zK<@${UpK!%|ANZ_Zh?VU3a$pZT?-5@xE|sb8W=__2#;{P5g1tz741d{j46nXbNgT5 z&4Ty@x5U7t0wT#RIWVOlHO(zOFr(mBrW-jhs~|haEjKW);C8;-oxr;V_wKtr2rMXg z_{i;XU|~Vg6Sw~YpB6kTb}I=iEhu~L_9F0Q!K-q&ionW(*Hv!Sfo}@l*0|LM))l;~ zcWVf2EFd;DyR`(i7Es>1wFQ1CXzy_A4D2fC?s4l4>?`OWa2pK#STHo~HWK)$;Pa^4 zSm2j}uj6jt0w)S4r(CChErW)r-xX%-=7#1M6c+22hJGw7{H*&m^qZzYuUi>ntSYS4 ztq*N%Ds0tl5B=Fu_*=I-w70MDukK*z@JQjf?qrC_lw6Af|Hs?NPNzFKX-jasDJV;0CA;>r?`Vrmt5DWbYAGq_BsNaU0gZsnm) zAZB0v*x{Tf_V#BG8{3^yHrnIs@X1c=CnD|S-BEJVk-5CLQw=BvxF^}6;4VCeq*-u~ z9v5*$3q6P8sp><)iseLQ#1qDP5HiJ1NJbTZ^T}f0zx`FdT~_XglRX?prDVqYtB+Z= z@lJbff%%Mzr>f+kjWb=WjKVPoa?IKdVi~}N|C{FD8Pc~xyYFRBi^z)BTbzd0IB6b! zi&hq1uIGcDe3Eh{7!yQo+`2+7Gjy$cS8e8drtP&Z0+}@!PJZMmCFKQ~Q*3#X-y@dR z=_r#`=2pIXc+<_oZqJkS>7={UlHNEQ&MIA9z-)EGBIdg z(i;n`-%@ADT+os6*a8&@hTo)#GUcN&sqdm&+w)>5*D|z5U-FSu02E(JLZUC=qI*&c1E`sPqvACmQjhoPdwOZuU?k-ltDu+e@lT z^Xd1pPBIj`EKnI{{1G!33#&078cO;m+3`Fc5zre9*7JB}?qoLYY`$g8rnw z@%3?hYAzqbOKAZDX}KHJ1V4_`OMdu3%2LnfbBxS%Z`J5Ho{gj}6#3t^dP^ z7J$K#%(#qG$mdpo0(Y|F=ZAHOqoIX75|fKH>;e!l1_cB0wztPSU|c`rQUStexO9p@6s6M1uvb3{y*3lMKt zW2f9tlVEO{nK9_vZHhPIoqYWRX;m$@>m2p-xvly*IMVBxV>$e$} zyGaJgDUpiOV}&Vg{)(c2_iTQEg!eZA#5#Nmm(XI#3M7Mgg9fRzQq20BeDL3z*?Ev6 z*Az1Uks|+fE~vW%B1YzR=iHkMImhxHw$vZff2b8dL4MPoqIKV1oFgvCeK- zJumpRxhwILDvm#M#urP{Zw|_oC2@B|R*%2lo!ZLO=M^|)c}(sTX9oc|5Y}-rw&4r8 zG1Fx3!8A~U%0SN7(63W~2=;G8%g|kvcZ0LagUKgm~{^KTu5TP59H*U5e+is#6DvDu zby|S_dd843>eoESRs_(MU5h6c$X3Jn3QY-0NrM~lEBNW3@WnzPCYf-tA@R=N50Ss+ zmX~pC8ung~Vhjx1sLk#kx9-@Z)hg#T`z+r8P&#ija~98W*D_f>TS@|}(b&e#fvQGz zV)<<0OY%j3@5RdOgYp`s>~{O^GgM8zF>GXo+NNKZ^Pc)W@Wne1RXqH$V#nFj*`GcB z0N^Ew+}U5VJ1MGX2G4Yb%nzJljDN~*RO#n@p-sdNX77u)8S7G~UVN%#ys2XGt5m&S zzB)q^{Aj=PI>Yqc>$2LM88=1ky@Ka(TcXp`aSJ9`e7voHm+(hDbHk9zWC{jpbq^Kf zUr|8xILlZ7EGFYm?0}D6098yahuZ=7e4KXP!XOVn%*VWNJ{b|JiA2|op$*d#gz8-Y zUWMu0{3X?c#sXvZY*V(}MqwEzjFxwVh!PEbfC{F}ES~REGbD|_UG!C_LX)C3(I``& zVK^vM;ML*)$((u}()~$~EoeUwJ!a>=LzZ^*U_O9I-;nrnqR2DlCY#qY#Q<^O%fjKw ztKq?^YYC?f3dnafd1Li~N6*E+QKF4b ze@wWl3{bXlze+9Z@nRe6JxDgOLn$?F9EJ1F#D3sWnYYFQldeGIpBZU6m}()YD&|rOi;^1D@QV1)%@#(M z-qmC26)T~&bwB?y)?UHaBBfD^NxENln2*z1NSa#P?e#Wh(xRpGl5P@bVkFpRjgwZ# zxRVrrC!zvoy6B5+i%b}bvUk!xoW z$t2Yv!u?9?bQw^A`=$(ZXFRowkK@=LL5~B^((|5X%_+(D1G`0B!?M?&W&+F_@Jmk* zHSYJdYP;!f41dlSC5E2IKDEa5eMWtfHJ--WZpg?E?%kuBV$}_L@?;n9^|oHux7 z^G8Bmu}{w;`ed7IY^JdR8xYz2vd{?Z)99l<*<72*X>8oazHI*g;$rf;%E0KrPOe5x z8P8(Q`H)KnYx>xyhT{O`(_BB*AM1_{a9NVP$6xG?(+a~8iS9V1@hOCV3=uvB=N$6> z&iaMM@%{eU3qwI1jiSN4YM#^ugl0S+JjMmWrD|wyprF6YMRRD9b^(PzLoU1N@H><) zf*T@Yy7z=GYrrG-Q*(vB=;^`MVnlQrqH&X^5jqWU^QM7`ibQ%9kls)Ws+r)8(@sas zc^_%X+Y)a8=XV`G;)Vij6c9|r>48*l*Ex(Jg3QQh=;5prIy>abtKWl>r$F72-^F`B zgtO^EqX;@7wW7L>c6h{`w&(=>ZAyAc5P|_7#{3+f2_b8Y2~#e4+e(LG5bee|46qT( zu1bkS{{VS!s*2N)TcM-{voTY%E4Xo+#wf(_(>Wxth=ZT zwc(5CJy)n4DnTLCR6%^>#q&FFVL>yt3K!pg5nJwCeixs7M@%WiZMo43B(5enC^+E7 z8vIUh2ojQBgroW{7TCn0Ri9OJXus0Zf4HO^$3&gY1OnEeIJ#ja?gf z6kQ$$JgKCH=?|7*SF~`2m+KT|d!vmx-8F@+RPKYow{>-#_LqT67=n5o-0hTv84&pi|&#^<+sxbwwWOhzngNu)K7DCQ+p&Jl8wQZpR|p9|Xt01lN>4gJ^Z z{8gSmx*_Wn-eWHe`&+M3ET?W0ZV&d8eQFOHr^sg zzv26&&r{-K@-J+0r-9O%-7X;IX-kHE=vkJBK-x0}!zK`yM+%WeT^SLL5YPcqThdsi z6)_XIbe16@h*QAfJa!VIJt^irbAabRJzayXeD`J$(}p$(-Vc zi&!PQ77^*h&n?M^faJr2>F^hsP2tG>X=*eC`ZpW{V)&Wd*V)kD0ZzeH$WBkOZT|2ek7{xqJLXFYJ zsK&~jGnW{RTj)furLhlyuVbTD8|dBt!S_bT_TKYMGku&qG(AF60D#~LfX>TXIo%;6 z$Gb-F!GgH|rT`S15J0!mG=qoNFDU*47CvcqE0hL&{cU=A;s`1F-alMx7AAZGXF!P4 zFe$hv5}>>SnqGLqt zqyRwGPZ}c881IdgTW01wn`4r|a(}V$C=<0?NNg9kfU-xi7kM`kkG~O-3&T&aE21=! zI+o?>2Bm>46c58|p>W+1D|}rn0LQVah6iNt$;jQ5)pHGy1rA)(RCR!=5mliEqM~>b z;F6z*QM@+*=V3>stjR@! z%9={?&g-gA`1y0AX)aX($h!No|e3qan+*6-R;FmE#aIKdYqE4Ky1vDSPH=DbmUgFuo zM}P&NX#g&NRrpJzV^*+R;Xvt7MdKZl3yI5La%XA*;qXEas~5a!WQRfX!x^ zE#8u{%J!AUn*WO(zETTC?y_;HZOgHp|7)BZGhs5tGTP#}jOv+M>$jY^*zxBDrgKH0 z%B>crYrLc2jER`^`*-(@+$$;LnkcDfFLGwaSk|WYOM;YO(7F3NQhSoAe6s>Mbu$y4 z&L5CO5}yRO;?XE*JX12?PCa?oYVxw>6x$EWnDQk>U`;K)p zjiXba_C|*WhAruXD!n!uU7tOxmp=f`$bE4i92p}GuUhVmIKbANcSkd&MJ+W)&1*-l ze9T@NMY$aLFY~?r6m%h|H`TYv@)uog^wkN`$HElqYW8@{*#+jo2Dv+UMg=J7cITB{ zB=w>mCTD6#`Yrjl$Y0Uxt8S^ij`z0@VtpI@nIU27PF5oN=3DXmy{0U@90yY};K4A9AsU#ewe9MeH+s zYF>iAhCc0m`#iSm#6A3?1SzVM-?H`0HY7^I>FlHOQ`BWG=O6CnP=}RRap<5-x&5|+O z8yY2_AgTSoz?wI+OqVhNh7ZBz)zU3=e2G}AjkI2kJPNBb~ z)a{$6JKW;=E7qF?gXnv&D+~T2f5PDG1Xj4Yq{o+pwKlo^P%~NG1uU7*0=_OZ*BSsK zJ#zv|3V#_#fN22j?EtXNv~YQI#J4 z3ly-S&3f?VOuK5kl{+wS>uxfW>XC|%!DPcWrNCODE!z~<@5ae%uMzzBKZ#{eu&}PL zK)9Qt0)m(gCv^>=eLKYw$P`+VdEs0@qAxhq=pp?olea`gjuhBS#G}l5gPUK!UHo+! zU@P$KJIiH3SlYmn^-7xBZWQeE_hrEX&da}7pDwTO2heyQiC>;`^5hY|ykM4(&Rd9^ z>)8P)SpE{d9Q!>PdO3aFIAiW|{`&HQ&hk?+G#nklc{u}V4C6ls5XtzAaTvji$3RTZ zch|Y|@Y0xCWfATyeFwywmC*wSHYX&;IowJLF^Ei=d5RhNfbnSAfn|aq zS8j@oF;WEj7<;Kdf*=YT+lW{0d{?+Nx^@G6>e(#m<(}Z28T7)_tf`I?N3)-)jn}jB zpCnR;EqsVQa~XA6UCa0T|HJL(O2*Bu zpuX&sOh{i@GIi?t{^=~12980S2k3soIQeY`<i(YrePcVj<%*;!;U4^tfXsr9q-fKXakeZXH$d*qn$1)V+O zLd=mJRPhufC)0{%?oOFKqTK^n)^c_zHzHb8zbIT0@WMMbCNX`Llxnm*z)^B2*Q{xT z7hYxrRH#&XC*FBJJBM^pB@<(b-K0hb!2iX?TvgpouCs9b7WgNPgPFzCJkBEL9EDSK zDzPkfwV`z>Uu%z>%rHfD=v`hf1P)HFKK4+^jf}s z^S_>i3GTGpX%ecA)ilA4!tI;N!3GL$6MQLHMLXU*#O5NPZDA)TF|@2ljyU8KS-6`9 zr~%+LEd08kUvFczy*WS8I=_%Njq+002&{y7pPBicgnZoN@jC|~6hR@egP0xLh0L53 zKwQxzDgTCvC7ik*Z=DbLhiE?0(*JtkEhehh5sA-Q6ylXeW}Y--2y$|N6$Q!)J8hoB zS#-`AXV=PeW4bOQub#*f1EMG53XSqJ^85xU5kT5pl#G-=D5y-NWA zKGwCH^WG!KIo8;;RC<({j$s<~_vdX3AG`nnF#hDOkR%spbTj8i+9sndtsJ+aK1;uORc|I6k$^?y-+&N78b_tpubS) z5q>2NCNp*x=znwg4CMNhIw5Mv>C7ifJKWlF|K6YUFH^47AmOaT3Ij@NH`oYj{iK+$ zae?nb2{}uka)FpvS~sK`Vy65mwVM|hrT~D^1k|evuE0Qpns;Y{p-rHq1<;^P7Ig8N z?YAvZFdRjXiDdidUi2Ld_WLKea%+`)xZs~^mGnR0z%u~XjHF(d)B#V=>7xSRfHqf` zC`$7UR6XRWi1 zgU`U6np5k8s$U9E(mP>q>e8Cp^YXedXYb!Le#k?_G&QWeM2v-{d>MYtS|Rza%$~Q} zw&J;JZ4oj=O0oZGOeBI!I8aY(Dax0H3%o4>-UQer55^u)j(WYf~4&SfacjcL~P>t5v8#3M=A81|YsI;v9Uf?|B2G zGKnvKG)iBeKng9rhfn2`q^^a%1F$jX!!y z)sgFO#DLDF?}|w-VE3A;gA|^ZY%TE6n14h;CgYLSgIY#1hpz^?Tgg_esNRHyFEQJV z1dDRrz8uJ+&NzB%Vv2 zH)X!K#CoVF`V|l@$eD`8pMhB(OI?IfSVIAp;0Ob5dp2!w16=|_Aj4}QoaVJ5TXte; z0Kun(-Pn5J&#=VTue~5UWRU-?mum7CE4UuWUuRg&M+uJ717=&Ajw(FfM7W2uV$Z(Z zCvTDuFz(Hp94oa;;X|N(&!ZvTh zH3!4pcC&-t2Lt>MPlW#%j3i$&e?iEp9D6RNiTaS0!Q2pyg8&Av9uNQ!DVg>;_Q8g3 z2s}l;uU4>8h&12e-gQ74n}2%v-2qf~4)163K#e+P<=PI&~OYge(f0a?y~(Lh+ywkPh3VG97%`uC#C zx{CS<>n7MBJn0)7_QJ&;z10EQf7AwuEHEC*0sz2`G!cTx$cBSB+T0}Hyvu?C4nkhj=*8Tuudi-RrLg5HF~>1G4}L z|DKD6;`@u1;Hvw;tWj4;e>g!XMJ2I8y&wv8HI1K(yfFcW+yE#kJJJrjvH>sZ49@5d z`EtiC6?}d2cb>tEz7W4&w&eeghVbV9D&$Sli-nFZjrV>~15tj71x80nbpkc{AEkEO zR*PZ+jK@I~|9JAg009HwhTi)qPrmGmg@Z6uZ>8{bHinCf(@yqff4aW^0Gr;??!8dX zunX+EjPm>gAZpU3vfD>HR&s!`qYDuVj3aPG0KI)7BdOQ{u_H>EO6EgA!#y24DPbv1#X7`8?1G)|CZ|MZT~p8EN|-4=uGZ_GVc3p zu>*^0k!shFxpsam$0oGlygNNvQZW(kw5PV^ljQG)R z@SNE)f++9>wB!4*4hXn`@Z$h_#>(iU2yTS#a-czxC#1lcELBOZXe-v5Gt|fgyWRA@ z20>~!E%^5^pQUtUdb@>32z9Hs2 z0003H0^VT&1JMYGL!JIrxG)ilzM_rhf)Jq%b=_SRcB6@&DK&9M^bqlrnT2g407v_Y z*cfd}1-FC;e-|)PPjL(gaWqm=WrJ;X=yO%TS%%rRY6t=-IG+um=(b)916OeN=u`h` zLou_-5XQy_pzI0&76If4yATVK7TXRGRZ`~|e-IlnGBf`)H8wXmIWR5tJqQVLMK4}- zd3Eh+Z*U<04FF+tWM*e*|7vS&J11ZOT>pA_V|HS0e{C%ME-wHo03aY$cK|+JEk!GL zOfY`{VE_jk{~V$2=*@k00B<{4iEkvDmgkkJU!plKtV!7_(WG(MjU00 zxGqmoe-{)*NbFEcO)o8!04*VeFwmc2Vq;{6Wxs}Lz`|{A(fV<6b96UXcX;4=dH|}* zUPVE|F$~u+7b06AEOOO#V-?+@a{xxF7ozy0EvP+SQ3%W;Ckt{JptaM0nBD^b%9!>F z3;-86B*_fOSjb2M&L5b@0Ej>r9qa)B001v+e*|R@cS4+4F`zr&0RuVnrtzBZ?P=?# z>6+)|Y2&8(oBsm>WjEcq1F1C8)3;5tp)|46$xXexG@a9%SdKS0*#k|r(=^Z1%}vY4 zG{w`yP2mM#YcB(upQmZnrmvdUziGj)0V})x2m1kGGi(E(>`41iM$4=8&800I*!>;eD+ z5r+c+vI8TU1i)noSO5e}2@Bi{?FIw|0D=PFr3WMi2;%|&6jc%SR{}gx;;07Dp_rmD zW8@&!Mb!&%RDYu=Ffg$xBC!xL{eKdZ;s5~o1C-=801trwf06&Y?+1T>cQGKae|mQX zIAVMUp6{8e5#2PA1qI)B!3(m##syg4K3Cyx1my7jDJ-u05$(tYxfI>HyC3A>X_7CE ztk?huYTE`Alm8VTArLte84>?B5FI!c93vb57Xg?!E#xi%&~HDwVL*Rh&u10^l>aLp z8W~em6d~;oAQu4tH6R=hqp3p)%p zCH)y17!45{zXS>#8XyV#8EgUfr0;vIJFX^wFMe1g0qp%$k`^o!65mG+HUM2}|0*e$ zVF4HCx&9CV@G}VuH{0$N33~x>QHWh2nRf-00kh#0E)W8KDF_H0f87%50l$$90~{7X z{|i)zMe=`U-FMJkq|0E+SofspMZ`mXOCkuHK|2i=oRVOAJDE@mv zTTLWqGv-lW{tOlj8A21cNh%rbCK`?qZ-=}?8vh?#8feDbdgFRO4k98wk~@&*Gi z?qvE5_z?Z&`Cu@fPY;hQeAT(`AE5+!au1Nxf&fBbL>MVdJ0da)3?s*qw5W+;u~&ej z5YQb;gk{6M5nv{g4`sn)jRzMA&jn@$RfRx6_?HM$bO8YMASzH*m>hgb1Cb~nVJj}g zZ|?)reh`}u0yrl4=S-=;r>|cQxTM-zNx1%A2$bY6`C4g)ZrZg1Xd)KqHd%aHDQ$d@ z_KpDubl*<%QO~wfyGkbMjv$oIpVv-Ciir@ikJ4s1N zyGr45N~sJe86zDZDOKaC01}Ve#RCy1l9H80srK=t$Rr@o>&{Ggr0HoDyT(WpV$w2+ z>J@Ik9hR7UI0jEiNYUGiPl!t(Cr>G-0B->Auw%3MlL@DjfqEy=Dj|)Sc-1zaM8H8; zj|9`5)dAedaVbJJFBnZ<&xqF4Zug4eZG( z)8^EtZzeMjLUIg4ZUdEWIihPMv+$F9Dw#I`Gwa=?GP8h`;v6nCT=&$zmb_DACzQEz ztdm7j6cusT>Qsf5XCBi!zm9zIZPWGM3rp%ZJCo9FHKi*lzfF3U8ShagmV>z_UN`s} zq~6#cma{+ji^lJ~6zwV6KiaSAls{>EprK+saeJGHYs!VdPcaMITynZGtogCsoj=J^ zks!I(%j3o-t~g}HL;TIKSJBXENnW$st&i47Q#{D_BpID>(t{fIxHP*I;dC>_X#?? z$t`r_Rxd+_=XgriKCPT?f(c&U+;m^RnP9Wk0vU!b$u2)!+Xi2(g9o?=Ks^xjc~c;` zrPq(0i zto3iNd(k-PM2@cEM+C@-G2nCL>43jcVn{%y4Zi5URR+HbdQnOVH{<=BDc4jgq*`)n z=S(SmHV&M5Q&f@wMTZKB(8g`UlM!@ySORB%T>aLjA3w$Z%L|NbEI~uk1>X$FHArt{ zF`QS)a+@0}1#^B}@lbQBub)@vNYq9a*wbO4jqExUtJ#&a7M^0Z1YtlWn;&V8<)WO& z*NkOo~}@X=}6j> zy(SciMXg*a$+;Or+Gn~=31i>_mA$%7m#!_X8N6ZY=bru`vZg4VOLBvdPS=z?+Y|RL z`TlTExA6{IJ1NJXZ2iRhK*znHuNO#!W>KvX<^v_)k%O>d7}B${x7m};UWOp?H=gXC z3V|3esXlg$?JLLs%&9C1tsHwS%2`4-X;n1?OnIcJY{}FvqZ(T2jqVo+boZ5hw)@0(#Ntp_ zkMmSJGr@Uq{1ih}a;Xrtu#Zy!H9W{WALX5BwAhyN;m%D%vH9h%D#l3+^x2ZmW${=w zl_#?6lgcr^x`Cb=H~47-vMQ4elr*YPyLX`ZcJ(RmW+aFC;|cHJjQ6BmquS7^bnnhv zUdorfXT@_V41v%mryre?FUN7upJHIV1)1N|PnGh{Fm;}Y$m@A%dlsRru6A|g!4gj~ zQxYoj@&>EbJuA-BRGP>}<2hqiv^bRW+%|1>sX4LK-0n-K@Ttx?{k1PAg|1L1e~5GW zE5CKortL);@!J}1vy#KK{*AdmLoDyNcf(II-d#VfCYb~op}Y&(9Mu0f8aVze@xhcY zUNi=-x()N??t{rzoXbs=HB=7b92Glh5tfkHB~rF>2gf{eoQ{;!TM6E5IJQE!-6BUJ z*XXj?t>3DlbnSiaDcj=-rTeJzM|MS-%DZ}^VjPLFp^Hk*3zG2*_`5;=IlYE2*F})+ zQ(j1JoH?<|=ky`fMn&_C6~Pp&HHjB7N#RX3-gK>elgb^Mt4gU|APz0ath?+LIc&;x zC{Q?&tok@7B&LWa<}bb+|9k+L(@s^Cbn7$SLaqoTyNgU*yB<7rj`}@W9XY9WIk-ZT z>@cyiDB!%Ql)$KiB#vM#`A{o#Z>Zn~&dD6&R-u`q(|*Xr&~fIVsYRPxQVlb88+OZ- z0b>qwwy&C{o~sDAnoEpMRPR`JXNf!3z&8Tp5JZ z#c);0pH$(0M1}=$Kjjq7Fz56@)Is4x+(&`V3H=FOyL-BeT$Z7&U@e?AZX73<@s0I; zuS2pNeL?zcFALl|c0%`4uS?7cEc^;Nm-hy$Da3PLEMkw;9DA1PtML1BZm$tS<@dT` zrNrX$Kv9{HcuSi~#rhM!rgH_GWH!FUHa|s%Mo(ZnM{qywbQ0h^o zw1yJQj)v(;v`Gh){c5(A+XW%(48a@827MMmTj!|Ou!2O09a@pzQy%jk4w0?vghGmg z`tA`XC42O+o6H+A*mN`YD|D5iT>Y`PCpHd{+R=Ak>e>Z>A2jY^Hp=^W-}%*Q-*7qY z7?(0W;A3{xJyxFoKOuvjCp&ASpa8njL^_6i|Hb~J8(GTL~$Z-$phn~`2&X5X`%q6tEh-irO<5toNcc=8)nMHku4 z6XmV7G#$pL{(JPa?^kl*OB{?Ja2TE}AH-@yN?!5(fm zMh!^*^&_vO{UblB3nXZQDQ2-kx62LifslgQ3wQ}A#J0Rj;34E$t+!w}0))becc2x`Fuu^sBFV7Nks;;VsBTw)7-;Hts0Vd$rp2@-A_w% zc8K&6PSILf?gcZFb0RfRnxoSt{gxwt)<(+Kw`Mc0jE_f>zZ$`&=C8}XP2bl~guEsG zY?rz~Ts!Fj`GB7&JZ$SKF3~G-?o687^N+$$9?fQGn`M%af_sTwxXB{y7#3e(*qIWq z9C$6Aa|G}BPd{$ffhN<>Q?!hH$79#{bL%^!78^6e??$Ex>)&4&Y*xB6?aLGvZDvSW zMLDz!pIUH5TWr@+@hu<_?DpRc=g-_;t|ki*j^jvG=!*gA4Mj_nGL+)UI3$Gxt_bf& z0yXeae2GgQWo~O^;pl#d6z$J9f+JMP69dW@YxwTm?-5@KRs@StxOr?+zAZ09;@2e? zv~41Gu|PB5Y)*|IIyBoD9O_zgy7R!A$}s~d#_e8M)Y3}EH%?pf#$iOk zA;}MQgg5lTt~tMSZVzSctF9?LsSi))N4W?czk{tOUTjc7x^ANx2d&CDj}Cjz%A)C( zjr2{1S*8{Kbv!cR(mDDHA>ER;M}t@GreHK?C8c0N&^_a>WC7Ynk)&!6c9ZUnqkWCP zL}h=2;rv*WYMt)*Pv!e#^sc0nBj|Pvr63%CptC%qmLkci6&sC<4>BFWD2N{yHi(X{pq+U~Fq*F!6$o+@c0+VqzoayK8X z{uAGecZ5k?x60Uk$pKpTwxx2PJ$fJrp}fLJ^b7ByAEdu2=~Vu>qw)$jt23_HZ5U<3 zy8`cCY+4gd$TsY=TS8_6U*U<5L;k6C(L~c&Te*8PKZSbLoMS@$506=Y9;Xf++L-F0 z2J^NOzgkMFtSF#&2cHmkbn_dpM>Os!ldKuv}COErkksd z!7bWqg$g#z&%}<;@qH0GtVC`p=Yf-T=FnYTrCOIff=OVgg{>djG?jTERFt>TN&;*b zb;Q4xRBiqs#wg!)-e)atLajBl+Whd#*(cS-hL-1Z86PWR9_P6hzm4BPe+7yr9T0|C ztU;~K6Nc|tlL)b)**VNIYY&8-eK57@k~#8d?#ovPu7_#A+lFhib7-Eaqg6iAM(0j! zigec(L?>2v5a!2?m4ub`lKH$U6%Xz9(R{i2&z7E;J8S>u(t5?H4q7i`GrY+T(iOb< zVan%jN@q{&(S&s{Y$d3n3c@+unO-bpC#}m(mY3P=mQqepK;|g~z`IdN`(xat8pW|l z+zGRawmU~Nx|F(5lKMTb#U0!X?7yVBwRK@b4G626k~{*BzeDnC<&Kt3@ogFQ|X3zdXAg1}3obO+j= zLMl_mvDdvB!;x(%VMHjMXX52bFW>Z1aQ-`WwneC`<&U7H&T)@}wm4TY5cYR^{fDdp zbE?*X>M{TUbZe#ry0-tF;u|}+^1h1+jM#E8JmLJ$9Gv1sZqv^Xx}4!r9Phl0z64eY zo~&It5R2w$s={la-XERvq?}js7y!OYqx(6KFW5!ca@%H&?>vXRNw$ls$3zZ@F$~1Z zOmZxB7D@}EJQg->|JmzEPbO^g%U^fL_DEA3cxNOf9UCaF{PP#qbe^Lnx!2cGX%ZWP z&mZvq_1rA$o6);8^W3)Ymso(2=^@&?zMNrqzQfX?gNlQPfAM#?Rqs>cgxQBhjf%QS z6~wMtLB)=SnA^7s^$I2;vsHhAh9_u4lO`R3W1`SNo9>5Zw}T1x&}%C~G|`TUo~3On z&$VxM<2rLhzjz)iOiT51`Rl)K>)St;j`}Fa1xXlaV?a|!r9ydt{DgR}75^yu(AlvA z(G|PZWlCoAv2g(tAH;Mr^v|LvB!n5#s>CbiZjSHod7Ppf+K_vW&I!*Kg+C#e*vSbU z3+s9-uq2lUKDPVV*Moc1ElcbF7v*nCtw)$-eWlS;u=#`1S2N z$D=ELxL>in-}JuuPY&FvkX7z33Ff{Uz@RHW-lS_ih$)E)q#`O!38zSYVfseYa@%fa zm(NUrxl|vV=tEaDBI74LQSQ<}Q>EL_o5R*G%#wQ?m{Si-ef=^z zj5*{t9lk|YkabhrOwS^Sl!`4+`TaxEG(V@sv+vM={}ZavCaZQA8EyGskJY{>as#7= zPGv5_j5u;9K@RJ}o^qj`;4HOKbsIP-HoIa*qiiCXozMV<^(?*nrboAor{0m|OE&k6 z$I1T?FJ14L|AhhRG3Fg=)qj>kW$SqGjW@qz^$~Z!CWsh!l6mXscul>Z&qMTuUeulF zNd*0${wJXR#&QaEuKx9xT?+j()Y#pKqUGde+FB&C_I9&H9`_wlvY|r4G$x5Q`L~C^ zYJBDRPD|GFctYPTT-;Z++G_Wq5ZilD`3~QUA%1uX>cuwNk4*^6JY={(XlDHUUa&e6 z%#K$7bev%=B=_}|#_+#WGLvr>EZzR0-D&S^TyS~T_vLRc^+=sNpLy)_eKqTLFLpqf zVjk|bc{#4N80A%MxExGHC#?qEXUYXmkn#`a=hLfN+(hk8ct1ohY816=&sK2nTqg@x zSBVAX**#{A1+w2`jKxn#DH$vjiF&f%#Nsyhy)9lAZ12MTT69>U*x!C)uQFr>_V*VohVz*E;I~W7E2H6tJpTzn^)2k$t766*WfvIXY2! z-G4mbLJY^)TrAK8N7Trdsp#a7&F%IF`SL>9j8PN1d97-q0M zKvo`y`sK~@MN-N~=V@EdfJGjmQptB&khY`z6pdyelf#4z^4|7nT#FY7khzmNQTc#+ zUpCWWm47L-mtQ?G<#WJcp5B1{gX&eS`{A0ggIO9~-TIkP3(fm$@R-%lA_7P1$M3E5 zmo1Jh)w-BEROz;3^j=-=kOa+y@*fjMvbNB#sr1W6zumG|y7EnaPn-IS#-GaA5;-S1 zf!ItC7D`hvuPYJVcc)q?k#Su`=@QLsT30gaR+-{OGwdhD6LNm}4%`=6se2iMvX2+}|bb2Z(j6g4|=&n5bsfH%i23`&b^BOBrLE2yFc6 zqi)0l-RIrs=)=&g7oDGmFIauj<+eIdQRJ{27gK^LiC5HI>Om=W7sDaF_T{w~?rAMg zPxHFYV#q5;*`3>L%~lQNVZe-~9`9xYdC2c2qqM%;uSRSwS2&!azff!jGLxu^W>o0PgNfHc=oKa{C2a_&J!Z~ zqw4BVV4|E8?1M^|$xvR$RMywdEoIYD^r?%ABvosywJRoLore!66$XgVU7kygf@&g-n#%g2BK;rQx1^H=;x$SpvWVH-&ZB>d zkEh7P;DVz;(3lF82;9(1Gb&!;>-U34p4z(lz2626-toXF{XFusX!p)=dDiEiJ#i{5 z)ldgH&bw2vJD#~+mhAl+elFSSb#}5vT~-wX?(*EED)-TxajWGIcyy4!fn4Y@%P!Vs z2#ZUEvf7{ndB2tcinYV?jbtbIk4woWKQ5Atz;d!dD@V3yfaEci>2@9t7~)wA35Z%a zCFT0vWcvj8tt7)1ip!liJJsA5zFac)gXZ|gYp6Vwk|JJ`WYR)uE|t`KFBfJD!%GU9#8ROVttHUbS$t#G z6eTJ)mzxw$2}gd;f1Tbsp%QB0Ta_Gk9LC<%XNR&1XJhMtR;Owe1C7pBp*_4&tD_AW z)#@%LX0_D%cTtYidMNiZuC=u@ANQnlKd!N}7N?3oo|9YJA-6PsL~bcDoIryw*Nm%FRPzl$!aVN^51bEJIVYO^5_-oapnD1-^2IGB`K-l4N$dsO7?!d z>hqkNR8_+GIa+TG+xJvOmXwk@Lf)g`-0f-ru;7hMfQ3_e?AdK7?urLNkQjp~D#vPP~IZ@tLXn4T<@``iL!+Jn zaW5|$RW7yEUSi*j)n4)V%JgN@W}hZ1IEr^HYB(qzkGZnQEQ#SWk}X6OP2vLvEvQ58 zbCM1X%=Jv;R8dn6o|}qevq}x}TbIi=SJfbIl6oChzkHV0wCY6>+d0ufUw*v(Y{i>3 zZvEw#g{S30$X3|*T8UxiNJ_2CiiyKk_(Uo=Kd1Y4zbs`6++|qa*8-lVj(uDdTnocY z001>2@)-HJmHB4}_~XGBI!GBXN-m5fcPuQ18I~AXwQHwo6ZKwP!TZR-nP-Z23-Iaw zro{3HEqCe}KUYx2WBinEdyXw%o1_f=_8QnrFUcIF^Ik6jZ*##q%MbFhcasf*HZy0v zSi*p5L%t-b3-=WXpjBh$H*F!IRO2cIx$j8eyH!C~`m`n$#uNI9ZW-qR%Ymu{lmF#f z#3iE5Ti3`~-S*Pt4$EK%OR$52J`^{I*sn^R<<*jnuIF(`e7P5BEC@fUDPL`=V<@%9 zZ&~^uZ66Sf-2PT0kNq<&kv)~k$V&2JeDX?>3qo&qTcn-D*7#v2hcJzAhsvOS^fCxb zI%#Htza(V*(d1^XVpJks8mU?RkjqRvTJ2-dY;{A)~dxS;&kPQbqwGTY7m45 z-41&Zt-YPLfy35rj#@v1M4lN+o%?o67A9c(8M)vBOZ*#16e(`};3-PlZ(c0$X1g0( zG@Np33nBTNz&F4L3BN&OfP6`MxI{s;8KrR-b?J&7pco%T(rMB{iMh(R8&a zIJs-;8?*M}(}&xypc7K2R5@{#Iw|Vd5c3U0< zdKQ<|X^HIb_c&1afppQ7x4O73-|80-{-LOOV1NV9|Rx-^s$I0gAAq7gGENR=vt(O{1 zra2qdPKmuJO%ZstqZGM`(Cv3kujtE|NTCQQgTHc$mE~)UUUK~{ zm4E5_nYJAU!`P3yTG%Lf_C#ZgVDatM&Ap-_i{T;YO3ks%)>(c<($AU-lV4R07+Xi1 zsaHUO!NE!3hP(**>k<#W7~%38sQL#OxBkVN0f29Supk$-S$uoxpc%eV?>tK z@J^V5qS~sd6ePQPdW*IM3G*|Ol~U`{segf50D zuf69*ymE6SHQ(r4pT)d^2T&1jObppwjXYtvPiOo%>^j}{2>TSd+RzwD+gq8Ki*r_W zcUBaPN~x9}u_G($!KQ-o1qpFxL8MX3LOpZ~&r0s8!~%rk_WU`i$TOy)$_I*lNS~xs zsO-izvhJ{u(&5}3>Gc@EP@ByHXEOqMUml4|A;eLw85 zR$S6*T?19uJtzwLOFai!x}X__teZmQWht+KDJb+ZiX|;L8%4%o-?0Sp${7L}G^-N@ zqP5(k+@h5};u>qK@FQi3^^&fPH^US>M1LP-yeHEXJc*O{QLPwqHRuDf*C& zN`sX-@DQT|;A8Rfc`LFOO8=v%Ls{b8bN=)^5nqTt0p5v6JSNC0(i#jzD@R2L&tVTf z=Lv1OyU1x))|`weS)oUxk0mZa!;;{dPa^}NQ6f^q<}KB{=5CSIk^sCx!U377+~kw& zEV5_s>FMtI!y($13QW!?JbBpe*n7Y&OzI8a(fEkZVR4cM5z%7k!yduez^0Fvy&Zsd zJMIk_6Y>Gzjp9`dI;vinH*E>iJnj>!X7=K=*XM*Nr`OMBGCb|=0nL6Oz+`|Y6eCgD zOW`pey!*UlYSO$JPXE_b5wj?LK>iRz|ACiaObJqOUZBpz`5^?w8-<92W<^Tl$oQO>zffP+~rxBA;<)c(XaML+Emuw=1Yt8yL9q5Ty^1mOLeGl=wnU)HD-@= zslhkw-UfACeo(jb^0ytuW|i~8a@l-MBApfCo~b#jEO(-vt1NUTS<09|K5BUHv|h!O zT!VnpH#XC5)_U|4>_x6(+@~&~4}G7<+0Eil^iQ$>6wT1$21LhVvO8Bt*tOzmMuNh& zJa>?53>{_nZX@Aify`lyJz;h3hX^{DH_9mcOA>X8IPusS;^+P5*H_3##S>evgv`U> zN~X7z9{rgiPdW)Nm4vKWa%j@tnW2p;?Lx0gP2wk0>&Aq1bg%Svv9tS&KEDnY%F#X7 zu8U9o!1F3>&E)t>nasutJXzJjRa%^w!AJIaO_ej!<8@_gDJhHwsc zj1T@gP~Jwd)}3;g7CBC;(h)lRMgBtYQR-99!J&9=aYN@*O3bWoWAq~Ol-+@E?a}(} zZ~O@i-PD5>?6;vS+PAoVAdB=2cDnR{S(5bYS2WInThi&h?E5=MW>VV(tZ!Y%a#c5M zDspchUz}dps~>GMu*+B!sWgnTNqc8TkR-K{+pP1vZ{nJ!C4bOq& z?QehRu8cs6ODjZZ){Wd=AmsoR(oFu>PuDiAp?!op+b?Z}@3$xpsg~={s;P_WltDab z8l+UmgA%13SC+<0+RZ8^THVT3b)tD*cON4*5G0NT9#u$EkCarF>rz}LM>JvQoPgjK{b13MV{w}#mu0rs z?EjmUftY4rH~VU|!oK$p`%q?y{bJKfWY5=&)@8n5WTgx9)8P*ZaLGCKgZ~mKE4@;Z z)XT?q7``L6_zc!ZQky>IFj-9+boWZn)@jojMnqPmCh$~1u2#VHsJ8H5IT8*1(071z z0=edAK}Dmp!}r*V{*n(`jxdzc=@009_bk+7sllZYA~&sB72z=8;M=h0 zc58YtCTW)k(rd1gb0NT2Yl3-PsdV6vhy%oNSYSbKFx6h5+M)2dzMZXEZzTX)8D~$w8n@N;n0kgeER}DjF5V# zn9#47T!|OQP?7Hs=y&qthY@@q#l=HX`DKPb7KuL!V8GS*OmZGDH>36{ z^s&mwPz_ArjX%b=rm$-~D9NnTQ#)`WuZr1nL&!@kM?WcX`ch7&fXET1nuT2`p?)lrriH zf2t|!@#ti_&ME=?)Atcaij9_`s(n0kZ!7V)(R9ZAL=|L|58}IOh&Dl8Yj1?&cIE%H zik3`hpnxk;3}!1#yjl3Ss3-z1^rS_n&0*`tZ2Wrejcgs?EVutKV)_!^OFW#KeU75! zH8bm`F$tHvSRgI=$BX%S(LbTdOB+2C!pXiN{6J!3a6hoDkH6%AFZzVe8c3=aX*qF+h0AO9+IYV#A z6Ee-SUK52l6k0)ubR9FaWsT1{tVBe~@3)`945PKIZ=HT$^7QDLf%= zN6uE;Rzt%hA;*&(zp3ecA};drr%f%nLgIaD*s6Oc!6$*=e3r;MfvCM5a+X_$xatM4!aWy@w>w8G9hf3V1UK8;|Ke z5?7)(KVEkTO)V-PwCbU9#hTtxn;JE6f-8thVdP~|@dQc4f#q!<`cl(dsd#DJx-6mz z+?nzkco&?7*D3Xg z%)v=w#K8ELHvY7O8q5B5hw=Uq=3N5=WMj``MoMnOzWB;)KHXuQ$WHyGR%nwA!gGmO zp^Jv)x`x@A1XCJO6)Y+80u8TlEjiVaNsy@OvitW#XS&n1+Q{_5(T>&s6IE1RbVIuFI+rh% zqd))Ic94)1HO3fE5v-V@6{-BVeJc6`l=B!DtV1eH`|oM~*e@Ae-c7vV5U~y2_*RCzWHYb< z>-UGB9UAbe(?5wSw$1MxnWs(4^g8S>Xj}>y0C|T~#dzPIcJ!Z;mmK@mG1*#@GYJfI zphz-8)cm~9&?D8n-lWg`Xu()L1C0l#cU?##wx*N3f9YU9y;Ea&<4;)}l>VAEr14hr z&%4h_5-z~_Y6@1PtLiI0T3Rh2egL&MRetW>fRFE$3Hr(&Y)bHL62X2&{cj5VDCPI2 zAjox1l^dEEno2k*{_gKe5(mcr13Scm7VHU9hmu#>vs{$hD7|g1_rP#Su*CPLc!8LT z+!KiC)P06*?Fpe2rk>vvQTy1}oxbU5?%TQierqjxLX9ZOiRa zX4vCug9^u>mk)`|93j5}@VhqfpzdwqPa&o2ULNO?;eu0}Bxm!* z%j0&|H+GV2ihHN=_lm8nX*)-bF$1eD6C-~5Xl7Vp9!$%UjNBbvXS7RgV$yU%{xfNB zctuq88N@rW8|znJnmn0czpa_7#8KHxt!#@U3x@-Oy^Qnz75OyAcDjDMEFX4Bzg(|G z&J;JJnS!~Y@uo4K#-GOKu>aFl-I`~FO9&}vAS|ybkjBhj^78QgA~qIJ7t7k3HX(_t zrgM4|m#Q5AJF0SDgj##Buf}Xo z{uPJa;`Q7P`}1LbE?6ij1R1IP^SHtfsJQj`N^D?%>TMYxR2r~)l4O$?HEUc zr=HJ}45ap>=T|O85BeYd*Zf0RmDi9$|0nVlwFr*<=1ydG;78_u!g>|s;zLm2*PJha z=@&Az*R;!{cc7YYKca(&b8Pg=&CfJ&wrGUrwo@_{_yr?*e>h-|dP$%6L~#Q2z*_^* zR5S^7;oOkH%7$SX?5ROs)X)&aZyiuWUW9FT4<4$0+zbY97IxoJaFHq~ka}&buBgyM zg%9>Ww%&BT$AA*4(AICXiA&_G(m9l-La7qy!PC*Wg8$rBX=mF>XIEgddna9j{Lbna zv5ar&9Ta#Y1}&tH*1;_7_oP(524gT}$%|)2VaE!T#bHkX!%`tI#z-!0lilT$Exo$& zb)zXa=d00?03X$(=pTPe+4l$AOtXjq9&*GQWK3$WhDJ_H&K9WO2J99#f&Zl~m%CbW zxb*y;T{Y~@s)V*dHAD`$4U)!;$Ee61vbgaqMc6Ke%B%=z2O2!EKW@|uBOz}>TiCvk z*4X0KyZkU(U&k(QvF`e;+#CqKf}LB_bop z&Yj7V!Vz_>U^Cf>V!TtwRvPdaD&N>{)v6%D?UoyNtmB9kx}TzO)x8U(NhUMXuJz%}_DSsJ-`6^KsG&t6NqicY&7dN3PRLA~Fg` z8H-F6Fj1k|nDbM!@K*UK_Su8c=t|&n+hV0IL!G@K0=t47VLgq?f0W&4T4r7i+&jY; z`DLxNdJrl})tQ1Ox85xQth21T&T7ojyL}qPdtX?6Nma_6Ya_O-C3`D!W#}R6R9!^J zwLfMFma%yW)=9+dPii@T+Db)wQ9XR1=-I$cqn#e|$$nn%F5;7~pYJfkv^r@YyN%}* zN^I4@iGAy^b7p*b=IPU9!3;G=pO33Az)XPU53vu0pFL{9E<~2wc zYx?5RMf9-)h*J@JIT~2E-|DIUJ3(%35Fexash(V%MCRK^1?LD)w%V0VEhhbK1qyzT zTNc92=qWiTGJmE+SqVTpq3ONqmr9>_q@X}IH^?lE$0(a!Ys){+L!$yF$N|k!57~!0 zd#n(^j6_Da(MU=s)mnn~LBTC2c9_{S4O!nChGzDGu&%8}NC=8uXe@QlPkP$3B;>g-OU-jQ$2=LjzEfI^?>`9D2-KzVqW6e9UfF6JSb12 ztb#|xwjLG|uy;jo2O5njwkDyi4m_JBWxn_Q((W}TO|-ptP=a{y+!oy8w;47wZZ@Y| z<{F?07IN;_i=o_ptUuNZ-DK8spru*%ewk>tFV6b;En(_?i1dkbuQ`73M6+CyXx#WI zjn2+q#k8vui8$Qp)wIFmXwUQ2lH%wk<0~QhYNuw#GNt!Jn}92P`NVJrA`>N_AY-Lc zx{5A=cB1G1#Sg*2MO_FcP+Y;pLIjJK6(&p=zAnvFNMI{Yqh$fd`u$bi|(dgnq$%iWdjXaWmoJA31huv(OcZTFo*bAG7b6@&lr`q?6Bupw-h{eHZoCTr(zT)vB-i%UN zVIaKd|OegO(p80UkZ47@Q z)DUnln^}g6&%132a((Hp8-Bg0lc|}1NAN}(;BCE?RL3r5!J&MIrg(A4HvfjRPP!G59x}ZZgGU*Vq|07g>+5#tz1sWCd^}5bUEAeq$-Y*i%S0sDBg`78fBz0uufR(y5=P( z6Q;&!$Ebe*86h0NX{rtA+ zntJ-_Dn%`ekRuoLd)zzroD5NedFvKVhBEW)nq&zJg=)q4ZU5r+iTeb@!F;ZGyNR@! z3qj*kdE%lMK|d`P%h$I^HAO9vHv%&f8HPn;v&57m3LHk$H=6#Vv9EmP9JRilGD)F| z&+G6e(c%31+hbU>gxGRH#r?)cz!*H_fKLSD{zxhzK5&E!DV z{On@sy3T%K{_xX0JPE5ORZ1@#@PScE>UR_)Rq!3cytxCf2R#b@nQD-n#b1P~j)RK| z90RhyWp$2r*5A2=#U7yex3W@tsrmGkM7%b`PG2paW#(kAbV0y zQVv$#LCB8v9E`R$28f7&)IR@-=Xaj_zRq<{8v$V-bT1SEb_|(?IG!p?l93}M z*IeZbQ^x;>213*bM;X+w6cYY`VJ7@x6h7O?*|K<8CcNIc%&@8~6Y&ZI!D2IJd`SaU z9#O~_zm^QaJ!VZLL}u23MkmLD1PbADw# zH=&RprVGB3_a373)by_Tx5B;F76m`~%uXu~=XN4(j+GPQ8JuCX-jGDr{R{jSO0sFe z=$e8_Chg4~6F^c|ggbx)hCY$lniad*pK~u)Qhd2Ypd;LmdRFfSf4t8DH z*c8)kr1VsMU5xs@aEK(!`ofP<&Y3o99Z%1*o>`e(Zi-m~xxM0TF_7=DR0saJ0&({gk+7_g5c?!N>wa7!oX1HlqiGbGohE(^|HcJO z^SHuRY>ftJF2rIXa=#>aou-X9oAOJ(KnFyF!jCzKnty;>q;6fKHgZ+J2dCM>4s7?M zq{jfAKV5$gY)@V`I5=%3t^Bh3_4P)8GoQ8}l>IwHq)^6)M(O1o+;KYvCXY#wS1;|6 ze7c2~$&>HExg&_Dz(+vP*O%{4Ak0Hf=$!4@;s_6c+ULxGtXt!aWa8m0GZtrl-$K*L zP4(bF&MZ|D(uX%M6{@Pt;u{fwuf;H;gbAf!-@`QLN^5aeGpkO4vq!~ex^F}L(J#Te zgKY+K;5fFQDb5DS)18xi{ksC#OmDUW$lmWqGf48RAG-7*+*bINEkGptI)s5t?~{BU zj^N}g2P!zdS0j&mP&1(AAlqTY8K_B9>5&AObjZ9XM(GyJkdRHo+=_6n5L$ip`F~nG zKT*r+y&&!mP#K^Ox=9eycY1vztp6|cVWvPC@3PKh?U=+J{rZ477rU50@wmo_UuAUPyLd6B@ zr2D_(zsTvd&a=Yd^(}guMS^vvT5<8x*mq-lGw+`MZ3^N%2PrzWqu3kUD2w_~)=vLD zc*?L(rF>#5j+GSFa~YC7hCKRVB;@|M%CkU@WCaY) z{c%&ur&~E<+h$9!2Y0psTh=50yswELn6SePXU8$mNN~1idSV|2pS}N};w-5ZcS-tk64W6Wcy#OaCIsY5L zbtaK*Guw3f_Wfsm4TkDsG+@}2NAL*5z9|GQl(JCfbhqwZxF%Zc@6hT}*oAT3uR!PH z$Rzx^886;HP?=-30E4}_rXU4gK|O)IUT1dfqF1d*2?qUk3h%*Q1lV&3%@)Vf%*@dz zstj|heJp*1VbB>8FS0&Jm zqC;JCxpC-BsW7k+#Lw@W@pvFqlbmlF)VcEndZ`?yuN`NV?||jvM1Ix%dz>rosN>pS zExv$5K;5Nu^?Y>;yMeOWrj0!^8y)3B{{zeKnlEz_0jZg!_H~FKW1hWG$ng<%vWdN5 zce{lF1QNT}9_lc1Xu4JR=D4b!z|JkT0VLkD$g=O^#V!tIFxq6H|M0kl!gm{X9_|*Dt`z3hF*7M|9Mu+- zJI^&U(6)81>(WG6`wNJl0_rK}YTk`m|5#)H7fykphZR2ig^h96vhfJCeysGV|Ncig z#awh|3!;6BX5^nA!eeB72{|6G(3&7OZFuo^Z`)vRN~n=nV~Ix%RL;6P5ZlXW6a7By(Loa2bkA3_bsk#xzBa&>Ww#&jkIYrWxZ2B;*J4f*}fS4W} zJk)eUbuETl;B#Kq%icf7UqnqH&4xd;tm#+GpOy-;@&FoYLo|tKE|O0(jVg-jw@jTj z60sb|?H;Td_r;}OydjIo!mKP6c!6;^1p)Hq`pL4XDpot~&FpF=DlC^ToSP=W0rXT` zlL^s~3re4dGk0gm-+?1pi9jlO8a}b~%)C>U$-2uLH z?0cvCDAp+3t*^xe_eycI%3wTBwAOncV(>e*ELqM8xhn7O%g&iki3h!RcMUniZ^LRZ zxiv`|bfM_J7#|ww$;{d**pCOKZ-B-nReJwm6|mzi_QZc~A>b$PufW)F@D4u(XV}*) zlYa(8zfAE2T}0$7C;ByKs#<{gI&BT6H)D;#P}C-L(a=Smq@C<8gonUzCjO%jbU64*g4%*CX_0;vpaq(X|L;2sU94I9KQ!p}95GHBnP&W4 z^;R!^@6e!!7OhmZAViy3SwzO!=8E(Je+O?=0jEFvu)QbX3&g%Nv8(~J-HV!FvoD%0 zusNHlFc!0z^X~8gKAi_2iz(U2M(8}V3lC$x7&64Mx(5GPpn+}9l#9Vmpg7XgC%>3N zY#E11oDcX{`bj|8Q0(icpDBB;S$;3ois~)a{J(HlPrWRjm=B9vqr7X488dDcgLZ=y z?EaRWS^5y9QJxEui8C0&lzWB@IroYlaew-m$$q2Q1;AkA3JyQXo?ID9WkWrZu>})$ z2jKV+LAqy1QbU;p`25kQAGxH9XXlLgtNpw-RlS~^WLHmG4y^jX(yP#@vg5mw2Xjqw zUIXTbv}JF!uTk;@z<3ETQ7=+w1}oRrFf%}HqqGJI8%aOkDoffDjfr&q zL08H5WZRaTCDp>!j96dNyW7w)VODixz6JdR^X*R#W z+O`AUakU<-W5R3N&oS{3Dk8ZcDaRJyluC1H6%}I~i;?t;GfLpa!nrrBpQ*>Mg=6^h6&^i5>_RZe>_N!VzZ_`cJfnb3w-q0f zcd+wyV`sl-^iF0^@Tsypga3Lm0>}mNXYY~4PQU;^qoFQ!?}HMd3<1yZjeu4~*bi~* zpvb;rlI$s2+qSi@Jht$FHCz68XX(myF`(P`EZ`eU+!&JQ(JAxsp+ii6&Zt&q#{itl(umS%ft~EGDa&oFse`xSI3;ZmlHYXZLgC~7kcas|=%ER75rC272$|OKBwn5Xlyh1irbqtw9&~?Du2~pT8O7 zn_HuVh45=N@nKv~OmW3Vc)kmKlL4t;Dh27I>bWX4;ck&{w$|}aONc=dbW6Ynw z|Bv1#nZ?F3nH$Gy18yXPCq8F9ZwJFC03~#Hq^MsFme1t;uc-lUDE zw)tP-d#=w;r*R+nFtifHSdz^XKxKVf-RFVs}%&k2;;XwIi$1uF4RG z-phIaIZZ1`v6uDHfdiL*%cvZHolxS4e+xa1EkmlGwOdhFJYha|MkHtLnO^I$GFU83 z#&8l$igw|WT1&i4up2jw(J3&-hQ!=M^~KG;Rjip;%D1vpJ&120TZvg7BYf03?Jmiu zdWT!1bG^@;gy$#SeMif`xD%lIaYClh>0I`f z6({iU;s>cI_mFFl)0pw5(sUDp)y?StJnYj+W_}`>qS3_fQfowc9}l(EG{NfSBNqPast^s%;VxAxsn~t)Z7a1vz~6{O(Lkg1Ns){ z_GCs$*?sEl?A|GgSa3#_H}EX^B;*hZjxsi~Rhw==vua>{>?p+s-nL>`cK)YEE|T=P zvL$<9L{64*s<;CsHZ8uM+tl4M5~aZ^T2hU>G@t_-`lK+yqt<^_&@;hcxKP?}pUX?f<2oox z*7ekGx@UP;pTNGP2b^Qr@`e$a8|>UQe$n3yib;y{oG%yRZ8H}WNhd{>aHL-h&*NlK zE`qFOG-C;obBcU~3K8@#`t9*B$+-bBbqOq;=SiD1A4+eGRzSC!Ma%!o%5DlM3HqIO zX%Q`7@{RO?<-+xCS9mXa{{5@I3e8&(uny6AQVi9JSFH@3Pkrs$CIj%C20L-y>ZS~M zLU~96dgM-?pdXTC(vYy;bbBxwW!Y0O=(KtrlOjUdlE3%2day2HQpxSQFV>WrusFzT z-mfuY@8fsaCkK#FDl?uQYI4!n+sx>;Ray|w`-ijJkgu0Rv4dJp!eq47&_WDD&^ENEV=XaH&HzsY+A$X^V|WmgZ#T$p^B z#Rm&?>?P@v<4*DVz43X^HUn6#E2wot)pLC}p%s$uPk%y>VmLJv4qNmK zzmmlj57f?9bU9DH9|<~LV3c#e76eGzeWLCyM4H~CcPqNbvl`+p7K8pAOU&(jYlE{n&n}}pDhJNDci>VeanTw-$O=uE1AMRRIlerEA zB+`?AN%F*fx77W&zycdUk^GDos$0XX284<>)^h>JW* zru1@S7rKFk;O}X!&3_Hw0ix$QY;TPO5kSa}Tf0G3r0#0g3=P_{S82dthH3@+(tTj^ zPv1?^9N3r?M&@wgfZX(n^7iJj8h*7DqB>jVp30@6uZyYyQQWU?t*2aUhx7o4r!`&) zB^sU-j*LFLFA;O5a$xrhun476O*EQvN+KN z?=*-McduL9&oyTx_uDc>H$^1h1y~^EdWb_60Vcw!_K#q#p8(E(-lo2Gw&zzmQOPx> zm+kdD$k?L$^y22`AiQ{Nu*f;bBDnseoNI68au`JHD26wOUwtQHLoO#{+n84dsC2gA z{enh!s}j1tY?tf9+n-&9JtpI^3m!yP6}^u1!w-Z!ZBcjZZj8%$S>RECLO1X5UuC9R0qveDqqpX*Nti4{f>k!ykn4;8{pU}iyFK?s zw8FQV8^kOS_be8~uD{H?YH=#0sZzNwOj}MkF%J0omxE}3ugEn$9fQ4|7#W*~ZE|+{ z2@>K>F(MFnZJas8P=^rL1^4kpIjXYX7LJ@NfqW(xjU=7h%iF2P*lC>! z@jllk)j?|joX#-UX6|5DT(%8)Kek%A{2kYY=iR0NJh54~=zR3-+n;StMM3 zbWij>{}MM;7Z8k)x>gF|j1*)3k3EWmr~}qxXY)jgZY)pz=rqNW3?V>^Zj*{v3U&?E zO8L9IM>^h(;zLqNlQu-RX=j6UB z8c3akA44L75T*2^FQqf82)N49VJ|w_l8t}Kp=5CI5~T}&M+I%~A>n~N$jK5jJ=v&v zcoZkbHump~EXn($*#GwO#@o52J|~|r&EKjlsat}Dym6w~b|Lm;xQ#eI$AQi(-F8(y z#RgEhbB;KeWZO53^Yp?1;Tij+km=Pm$0$)84Oe}RA|g6MwmS);p1%7!)iPuN!n2reI*0fxEPGg)+U>wNcOg) zESQV)=4o?_3*Ew%#zY=hkP5G#YQ3q;HI5uR&IwCY^)b$ucX0oGkE?YJnzKV)k#Xt; z)nufv<<3$NdA7V=(Fzj270dlv#4+YH1@Wr8MktBAf53RaaY0R#vCWz@`{E3c$UN-1 z#D*D=024qCA4I|TIFqJLB(X6vy4~3m9V>&L9kpoAGMiP<6T6n!tTJE)`_pH zxYw<+|sW(!!;3FE6OQRZFO@rdk@!ic(cC5X03HC#3!PLlRex0vHj zY~Af)iW(g=`R5c!AOYlcJVe;=k`^Y|<$V6nqhO=Du(cIe7gqb*DYO0`R#F>DD*LVV ztaFfu-ynPM{h`~>9Q7Ka#eC*E>$kust_8rQXLTdjuCx|%T~u*qkGBR~)N;&|M{6zX zfdy{J^qvCd^77woJqc|sL~vb%p~=OqzKRUTqZ6kgXwV8W2F&$VmXqBAx)3gr4HI(q zM)W)KRa5c&a4BCy7+y%1-2x{4?B)vO`I401(up=FGkVyZI{))?(9M9N0@vWN=uG1` zo74Bg6XA9t^2++c`!Trc?;MZg<@yt(O&_3^5(Le3WrN6qzo!%BlKfZ{Y|zso+Zt%x zg>Q$n7(&?93oi9UeV!2kY;s#S+T@ly>+!c}?tx|mJY6XDtYt@J_7hSCxj!Qp$LURJ z!h>Bm#bIrZWl&`TH{*Jcao3PKbg24j!ODn6B>)zf;NP6v48v#Fm6)H9q((yLhDtjN z{kb>G0C~bOb&YnblLN!`qQ}81>s);RU`7~?!)+zklBe^NA+fy5z^)hd`WRy0A2Rr5 zKh;85OvLIu!nW6uv^~+3bLG}V7KJoKF?Y=PBl4n&hr>EBnP)~9BPx<#DsY?D*2$G? z!X4XWo<6o8>{s+AdEWb-%2Ve)=GXb9Hfv=-hR2_&UxiEp+e>hR!IWh4T-xKR2fqj{ zd_N{U1?=P2nCSd6oZ5#Bxz9psRS>s+F&2VFwMDe>nHDHg+@9Hn5>{Uv`{jCLZvEhG z7`!Lum*Un0yV3Fk^12r>VgXWxFg3r<9VxCY!~OYxcpMX$x%O`0fp-+l;^&1um=?2JiKC5iJfXwLf>(mWH(?q&&17p!0t z>$!O=K7pa7AQDCsOu_XFLAAQYG@z*7iXb1BZ~wNZwo9B~drt zAr%c1!Ilf4z@@t@UtPbSxS*In)wJ_M<*PcURaWphe!e+CPl`$ThHhPs=(4^JSv}3k z)#6>kBD=njZG#JT(Q{`_ZIe@&5(>3tKSxvgJ0&sz!538)#HU%SGkgpG!*r?xZ!hTT z8bv>GiVUZ_NJs@P<)|k59fo}sC%M-S5tdk+OYAtz$n#m@9Zo-V_Uv(0NBat0OWT=) zypoAsbBOtPK43{XRQzj$5az?z?*Vmj-`%bYpuS|*AAP&ItBzP#;&#J$86pg<%-^^i zC>N4xvDZR z*9qWUVh%(*KHEHQcj8j%1+6+h#?=}ceM{vDkYrEsM0B%SMYq#?;GiZ2IPY<|)v?E{ z9K{YHrk)ETmhS$lef(OS?@W-gaI%qV?B$!{$j6B$7Ng#>?jkc!-O*;3Pd!Gy0JN}c zpSmE`SO#)wyOOkI@_7geVWPa<1e)M+TT1fcVxw|$0iw_Bq`(>FiHfy_GX21k@eHjn zKHjj?IbGsL!O=2C$LFFQj84beaVqcW#wtm)tG_{L$CwIt0fP2fD<$!GVOVf%mG5m+ z$Sb?Myx&wNt1O`{2VzE{vF8jcPI$Z&6_q3FNwO|Xu>v@)bZf z+(!s-z#wn-fb^rLP-&MnFOY9W{#Ju{%Y}q2Kms5~cV=wg@Sx>(n#>@z3;4*pbI@3r zN0#|d;eUjmQ;0RB(5}t1V3B{sV0z{Y&vmtfq)K?yxWGz#bOi`7pm>pCY%20qrk|q;d}WU~!r*Je~48vp#3k#D!PJ zykjaWg?~A61lJ!@uACrmL!p1jB+G1P?j*Ze4=5FB0P8qjniM|)ToAuN@fDAL!yPNc z-Ho3V_I(M6G?t(na^n+hvbjBPQ8qL5W-?vqHm>U3tm_g2(oIB{3DDfbBqBB})6eI2~zX zHggujIrFkmyt_=u^F&I;`%A65PA9`P*n(H&Oj7yR_4txc*yUf;;Jcpl@1d;=I*N1N z8{WUPFSG(tuNiECT({z8H@@)dcq={Uj$fG}=6fw;SPfwI|=;?^?L!}gx3MdirrV8hLC3S2~E@_&3GY<2iaxgLw0+x%Lh4TGE;E~ zLF`n7>Brt}%aX zW?~&~M4bU%W7g@<5}!}x)-0-)L0-p+EU^^!U`ffxOdDY-eHx2+woKqYfb+oO4d{Zx zsfT0TGQJ|b&y|kHzq%Je4}_tYlf%eFNgi_MLKPB@1J+YFPsM_6Jun$l~WMZyVjO5A#4@ux`7})=X<${APV2#GWV#j0_f%? zuzxa(nOE2#TXxb-RvwFU_tj!nclsi>?)-69CQ2t;D|v+DxF|Qu_ksj-zTrsOaoT-C z*(=wJsi-HXjC?XV6z?tWlb#f602vf6lHje(CxDU>9G=2(HL~fn+G3lMvWm1kG09v~ zT1^;hO!~ps0A1_hR*#lA++`|+1v<8YrooGW(Vj+^HlzIG1Jb1Nt1di47jN>v^9Gf` zoW+X!1V-UkuKi{3?|(N+OJXeU+0@=P0>(FNGP2eMkUraNpDNKuPHt;a&h$DD|mYyp-_!`(7n#sxTR)3ESkByJIzPd07uQ3!M zL8~$#XUweg_ToK3MJuGk2SEzs_^A|x>gAxz4*xW6iMj+|fAK^lBRfE!CuSmMv5b@7 zyc?Mk`WZ>&9Asw7o@p5XoyMO}NV@@vRNTu4hHFLvs{Yfd0}6nLd4{<@z+$o;(l1%G zCEquBS4fPmY7h_T_RrkSVj<_zFMVPUfrBk1Ff`(%*!r^C3L+eTKTn1X00 z(=fHHkA?fChS!b_If2!A;%gbl&?S%7)6D;69LKjQ-$i8ZYN+aK3qSwvr;UCUtb1Y# zDJ2@#X@or@)#Qkn^V|q2n(*lJTK%rhzB%!Qb`1uUtP%C7#Jc8m|H#{6#}VHh4$i0Q zFy~YEIQC}U>W{lcr$u<4vwbT`<;nqa$xP2*uIAurQ4N&zr1?8M2Fjtj zw0ppF&&vcm8oA|L40}@p{?Wq%A!1S5a1o~9oNRoL$MBnAc zxM2f%?(*W?_yX~F`32kr1BLDii@1pfirp2LaFYy_x+g8u2Z8w>G4Fuh%eQbUfT)T} z1my+-RYjGc)}T(+&?IOzXj64`33?6sRD%-)!v-U&@ktWlR0EM}VoES;FsE8r6091m zsi)5n&NiH*p0^=fXt1T)*%KTZ9RIC35?mTwsc!BBkA{m>PcMRZgAdi$kKo@BKn=V^ z2xkZjdN)92nA&;70K)BIRNG-ZaxYcl*dgm_TUPCeUehHzp;Q{sGBf@_TWz_Nt zLS@5a>XWC0XAM=<=hcK44KJxRuL!jbb=3L>LSsV{wYi1R+R#RA?;vzGyr#Z+OXzCo zruOs_`WpJF1A~O2hGFW+I|8*~l=^;*@PX7YPM!Ej_|z~-oth?mZlF6! z_2`(uek&Uden=O1DE3m0L*HH!#Q;M&aVl>CyeBIz*csxtk>L6+YkaX2p8{3m9+}jjtx?K2XrmWdGoCdrCWqT2)(!h{Jg3Dz^dzr zGEPZ3%rT$(hqT9;?ACV^+(}{!NQMRWUvdD{)_V+(vK#yLucX_ZM{uCatr zV)r~mErW}k&JZHEaSE^Qff#0KY@qfyU$1Z8ihihJ@F9^tu>=H1z!{Qk3%?jlV|+|k zq3vSWd}UQ{$2QfwJG5YEW{dE1;rk``X%U@I!G6!z`+Y9iu;3Q>9wh7J3m*lqK-@csg1=ibvKxMm{X0hDLwuH)mA^PV9 z30;vs4U!q}xgBfcW5_QvMnzoeoF`Rh-uk&yle7DZiGgIw15}ryX}Vy66aN~M;{qgB)Kz$szA$9iW-DeaX5<_9t2<6U1A*f&+p9O8 zTzQgjG>(Vcmv2}e1)i<=*6k@y%mv`^SYF~ZRuY~ zGgjUxks8gH>tOv$(`=K}OUER3>C931aVdSdbX>T!K5G{g-Qp~RIFMz4k~c~h{ag+6v1kffC`tYbTAzt zt&f0hPy(IYGTluU{WHEm!|0VHwC-*pwH|XIC&a$XvJY!Khas^n&9eHq%WV-65HH8iqQ5Vn!t$ngO;x{ z0S5iGd6B2fT!CD#+HI!;(K!0%@JkQvs?d_B0A)#RLUrzbXq9TNnth)ZeOuQM7ZsxE zy4joTtbOYfxaizHydMe2mdc&U{owq&2e4Sqm-{KVCtO2oWK*j(bXe;TYb;kqedsxl zzBg`UICpRS4VvEaWK8MbF#sAzwYx^u&KmjpJ6!elcvf}eT4mm>vx*JE|Dm}b2Hdca@XUXspMK1 zP_}!1@riTev1n)%nlORp&Pb{ebpi0VSY%pOz8JBEed@EPS=e3(&)PUFn~2_PYO+>XiA%*V`He9;5~GVo%42ulRTc? zb4RdqTjp4W)WYwkDBa(}0$~vfJy+?eYa!{~>q+}d*YX#o(|;Trq%AIVLV#UDGG0?W zc4IO+0MUd?p`dXkqP#Jo{XMoe#&KZJAiPLbqo%6W77esOQ+a%9p(tAz*!`dU5^9f*P z!Th5fRmJ5YV4v%8c}HROYXoj1& z4o^Q%X1)Y6o9jV!(*g97NMZ{x(El_T4y0bz}km;TR`vQ94n=e$2jsjZlhbzLFP3e!WGW|l= z*3?3JmH9%?2MdvP7&v!h1$y&G$y=^aCC(&5m?=T}C*^SwsTLMIYfCs^`%&ua;Mc~) zz#=KtP`9rwRv>9jkr9z$6Rwa(5h^4!HUI0{w)9s~cgYG{W96<>_{w=tnUFYw7vO{R z-$L18Kx#p_XXx8Y(p)xEbro}ah<4-PtSW6Tlk;;)F73VVK9`;F`U$!xYkKG;@gedv zv?(B;Kff2xO?tw_))`MHeHApB7(o&jYgTr8fHBo*t#lK0%{z}T3MuL&o*O7s==x3` z0kYm=1nMeO11DoKd&;G3*wqz&jgR1tBJugK9LQCFOZ9T5IeawO%dI>aXn(;}DuW!$ z2EegZjTh;;NxvXuiZ9*jVQg_sI3QMte%@$gzFdZ+C-?7^ZCzV=46(xUqS(AuF8V@z z|Iy3BiTw#01N_i8iy$@2zQK70CcET^2~bIUiG`DH0ImTP+&x&8K^deEm3LW;9TV~QTX?gjMTerr;_{g$0>d3^QWBr{J-7x`zcY6`d9O7YCwI!tJoXg#uDBG zC$vd+cg@MdXSV?H0M+!9bg_*Fbw250#ayR;lD!zLNvG_&x^#`I_kqZUY98z#*Ds54 zM0Y9{Ztz`&i%0x+^`u_XS9#N7tL7xQFSJnbb^uUXedwrg|N{-!G<+asp%Y}bTnF9xFp(Mr17L&EY zd6ig}nTaw#2PDgtG(-rF^Od^#=n#E+TP!zz*qv_m`U&tkGkIuo>$%7!wGXP!8_^od znOv8cs@y_32!7~C_3Ez~q;GOU-9t-UB8!6GC;MG8er=Zz5;wtn{xu~7+{rP!e@JvvW9)QHp7}6~+oyB3ffu_gCWPeRywoM)>% zw3AAKi)ovE@|ZA_vQ6aj^u85$O-tL8g5e6=2mB<V&RBKtWqcOF=s!r0d<%EpY{ta#+FrRfe>x%p zur0SMYqF6kJd-y&?FUqX61iUHw1kYO6V07(Rh6voD4Mle(%f`;x4-_zr_kzIYSg0d z=HNUMz%y=?=y*Y7%!wEKuipAg9YxHKsOvv3r+qkE^WZHR)^O$Hh}%TnsHo+3_WQKX zVCS9Cad{Jtv4(Nk-1qNv&^Ba`zQeDWu@}M7X9vthM=jgGGw+O_IQCZJ$?v@{=IoNK zJ`w`~kO#>HRv&ZW{YpwP=S$8>I|*$66LxNq)N@vwH;BWY*LSBbZ2&gKfmLW(=V|B7 z)U)EyPMvx%*s~M3Xt~*(ZK5Zy-py6OgLnF4gr0T#wDU`5^QtV6Kt{zQ_t zEK28HREX99q%||eKD)mTbpKP!qk+d=@yG5Q5xO$bU?Hfr+~^i2y2!~-qCy4Ct_OWu z5$^r8XPrcYp}AJ|3l(;Zw7vKzN;-FFklk^Swku#uN2fMN=e4*%AYEDA8l#ypC_8M( zUMYX}4mwyqD*GjFOQ)-R43hpGOcK@2VVJIDgRY5#D_Uw7zVl+%zh|>rcJjb!EfIZB ztm%+J6t_oXnd6%WZ`auGzpeh-FwM!bj5sP-W#`bUI2K_WIepd5r&T(+>bQ}uzpMF% z_ol$aHaxpP`I_HHiPL1B#(#jW)WBp4472%tqfX>|@NaV6OwWUHn4SMIX$NZWt7s43 z$_eRn=g3Y8__S2NWDI=wLuI++lg0MtbjNfP@Lmu2W8>F)#Qieq|D0^Qfgn36rT_UD z^{Roc<;qpOeN-&vD!;n^FmCMygyyp_n3_BFkCR$9?rG6$o|EI$j~j3zPt#*G=_%5` z`D}`L?u6w^3Nf>t@qQaiB=E^un+^X8ZDETOlvMJoozxb#NBX=3CbtVF2iEKNYTe?R zBPOT5s6^a$lbX%tnCDuBO!Gwt>;$uq8(Khn)hbS%5VS0t<8)|p9w2Du-WO&NyBwy3 z2^5ehuMHb$18@s}NBD!%Tsh~g{lCKGR!jp{C2#FKg3Tp-UAjxU=f7t3b$276o6%G3 zFSJm-l)2@Vm0XE1uwa5x2L{P?li1U?=49LF24yW-&GM0JA=R~#1=mM(GHZaz zCOOgGGkcvl0E`>|_W5@WNEy1oGIf@hBUq^8(OO4}0K_~X;AK>BZqED^B`YMAe)}uf zuSMeP%q{v&byBG{a5?ei-R$`9GA47ssDxp4n%ZG4^aTjCJhBQj#HC)*)jJ zLsYhuwT;J~EiFoDQ_8iaQQb?XkSOkLlSp)td}V8LRb+j>&L41I=a+NN>wI44_5NT< zv}BG|NyjOi7M-<6kM2uVe60QrJ<;IKvN(cs6fS@>skW<%vln~bOI9-sZTaQSY6Eg( zwuu~CgI+|7?DLRmvW5L|U>M^d5`2*czfCBU>_t)Nt2@AGw$oDn@1<>R3yR8Zc(-c|^OqH0D z?kp#-djeYJfV!YWETx*k`HznEp1cQss7gR zLqfAacCBTSpyMM`p?BBeV^vAPMHLuOv7E-mss2RVqXM;LcvrguI7B7VZYBR9yIW_f zQ|4c;+gCC@<)ig>Hdg8u2XBum zGa>r$*s}@$)J^#~ROb9|?ZxtsoOgbLyC|!IwY{~;SU%u z_&?!BA9owLXFY=r!B4sX)5o0cS9(AURbn>G9KaktoHmZ`y;gwfjI0W(L%qk@^5)~k zR{k{m1VNoE+h@h+Sr5uq_G|jBKsU|-8pF=Xof@n9UH4;GpmP=)owl-O`!MXG>ne_` z$#J1wBbGz)&0%O!LT1o75B=-}Z1<(8MB?$?8E$b59*;> z!h=Iz@SGgCHqxj`gV-C>q<6hDIbISMamI3@Gl4J3>0Jam79_vjPe~K5cLy(CKhJnY zt0Hm_$?)H>ohXJB7qQL@>(<7)m=u0MHZeU}YhAV%(otW@nUHP3>P8V=l$}(J(Q^7~ zc3!q|a`FjbERR^t=*+>hA#u2&qCU{8u?BwhV(^O>;a;hx_>~owEF~k12IC$nAvV+=qZ3e0@k*N3O*CQ`>yptdnV3o#0>68kR#T z@TVU?EM4x#*Nap$fCg&ixGyl@)38dHtrcs;#Rjb?wjyPY^Oxo_?EIdnx;)F|>cd^n zZ3wAhM)aMr_8XUWopQk_;0D8NEX}id&+(x0e~W>EmQAK?hmeO1~}aP{1fz ztBJOdzmUJm2spB!Haiifrh6)8w=wxhqeLLzv}T+|4>IdvJ5k}FkCA-)z-+V8PE*P= zVe*44++%26#RDP8$vK6D!&&EQElvhiZ{@j^MQw4+2FUkzQUQjx@lwK4)?qUBSgVu9 zwUmO`^tc+&iMG#NHR(h(H^##SHG~{cVNEHMBNN#$A9sK*tvsl}RaDu!I0+`XhEZ)pno}dbe-bL-4_C@D``3FIH0|6Dk9))-L~JpIER;n{yqq zu{dC^u+*KS{G=Xy{}7w!XK1yBq)9(>NSlp0cLr|ex4up-Xla)I9;&1EuYkTl{4{<3 zyKSOhzC-5or;u!GH#RK4u$duH%rT`->vFK~fsPcsR1umbL?0?FE3m$nQwsr0&=}DcVew@wsd?= z&>&5H?P5c;Cp2;6=)@$`{Wl8ZU?VX16F|Hq{%&4e2pY7KA56E2$G>p#q@*t@U4PnD z*k%y?*CeuDoI8c+OiI2BEiBgGznta`jD5_wl7)M6X( z8-#L4*N7&%pXUp*40$dR3!f9s3JV{)FNq@B(h+^lo6!ei6L5o<3aku3kCW z(b8u;zDcX=xNT8h-w{q6CSOgWnF-1>3FZ5wE>E-PO9=GOwrV@&MU5CNz6$J_#?5Lb zW^a$wu(v4{jdSjHoF>R6Cn2R)GqH$cGbXNLc6!e_5mpou-2@fJN9ld$VQ=R|C5!ni zzTHu8w|F5i$LoDRJjE%-fP?i-f~@IpC*?a6cby`aS{L;lUXc8ln`y6nROZWCsW@IX zW8n*+V*Gbd6<#b`)DvH6tjX@kDKDru)uWo&ngsNuH}Cn`b_lCyZr}FXa58^oIU+Ow zq1H_$gj*DT`RmZRN?)V!f_%==q?cUvqpCD+2L+XfWzV+2sPe&ML7; zL|8EG8j*Wi@RUOhHPx!&m~@{W^#bS*$CFmjZRZJ7p8$YP4j%fgjL$2u26-*N3?&NM zq;(HarAZ0@)&+rTP5IYd^c?bjp2ZiHM`%;ACoS@aIwQEc_izkKX2~CUWShuaUKe{6 zBBtvS8eQ7arhQROc$8fS6k9eOe4hlhADP~JABj{l>b*{Vjx#SK0(=Df23T;~npt#T0s z21kvlz8S2cqop0f8iPH3?Y$6hf8HJs?#WaGo4s}}hE5yKF0MON(_9Jz5Wn3%w(f2_ zcL}Uvx<3Gkg~}-#03Cof0*X_VbhhuX9NB3#z}XV^ciZ{;J1CORG;4TxqR1QePF&c< zEyv(KkRxCimb?vJ2-_bX@wPp3s3Z1pNZ--;ghU6A^^{}BPXN;XT~0L?O?J8Fs&koi z-4pRi`)d>!3BaEYvOJ2ao-}T>PR$iZ8(|^>>cQ4zf-_tEJh#lB(q(p{PhgRIY7JbEp5dq=u@^Nj;e^6yZ>mg838%_6zU`~RZ8*x;L0w+R8 z`WxK3SxK^5{gAqwstQ}NU)qgEVFMr5SwX)c5xcs-#I5}jhip58Gpjmj{V&M{An%&N zp{swjzJ!XOsDGf`^SINJ;spU{xqIS4n%llIN1=XgpsrEXqtsA-4BJD&RZ_ad$@NXF zI2^8=qQ2)Od@lzw1JE7hbbk^>Yq#@9P{I{;ZV3V)wY_M+XpjT|`5A%5)+>@Ab3smaHZJ1ZTCLubH5n_xxNy~>{V7^L?zml9lk5&Gs zJ>A|YQQMn>kt!FOt5kk=jn)$^QAhw5|HT%ogoU;ceK!zk6$W*?q`U;O^&@Q|qo}5U z$bw}SdXxI#+c@j?qa0WrJc!7E7g@^N=E`uBhjWFg4_5VRx2?YMi2- z_NzGHPhKQqU(N}j2|uwmda+z>Gs|{|BpU^Vm`yoKySu)#BXf~3vPVRhI*fIdejO?Jakvj)V6z0KahrhPjf>7k!1z2F{X`A#O-gm~ zyqAvV811!_5e1=0!4?4wk|h~2QC;6xrt0u()v(kG2@J;~qJ zL2W!<5f;vZ=V3CWC}TrHd|28RREny`AYD3RlWGFv%?(cJWo|Onbgs#%T^RUSfAf!a z$sIL;X*UjGNGXsNX{HrRS`3r(H#;+`{(7P!Tkq_)sZXYfPyK2giTt7#8;shJcG%87 zWgG~@;B#U85i*6}bCi-jZmN@ArDm55QlCstC{QyszyS9#G)oJpK?xHAuzFd* zUOFFI1BhEw3k)SL83AOFA^#);jYnqyyb(E6+8Oi?A)Ba-*?0dG5rLynKNV4y1gi^L*7NtCP#vr9V6=_o`%06Y^%D=Ld zED2G~7&N3I%RFz#dw;l&`?x>cAFty)uk&|J^ueq8;GkeCDRQ7E8z4D|_H+`jYs{`Q{qI8l-0pBJB3<8U~%B8Kpq z4`7^6_Z{%{2v8P6Aovo#$2srSS1(%K1xvXL)ab&1V^CBCDMBwQ`Y04Zjw9^>Pe#N+ z0047`dmw!vR61G+W5s6+i7eosicX7ZLgIkLPXbzUE=vBeqc08m?$NXlz%kj-nUVl6 zKmV*nNVByJe5(lO1%b4@UyW&F?@EWSx3(yVBbGHhwOr&)B` zpU9>8aW`&|kn%g-Y23oWX_?}&-}i5P!4dY18VO7?(h4WXE8OXg1dkhaHR2M{6%6`? z8^blSBB9ar76ox!Fx|c3g{b5tJ?O?n*e=YpD04pb#u&(tG(!|YM>h|L1%h$B>y6N#snl7Rz~vEtf63BNRbPXfd#14D#?u|D87MUEG@n~L=&n8v|P z8jMd(Ci@W^jNtnN_eJkb+XI~aT6X+c4Al+{1%iPfAg~Q+3IMqNfOA6hgkSI|>1d-m z;F+)saGweSTw6Z^F2KQ2`HUsN$vzegco6h_Dh{xn{ul*V1LpNWQuV2mv9TwDj*rG1 zYdC6alnP8=(F67XJY7Hs&<0eD-2gETfCq$|h#sCH3O>Gx2NcjAMIOPjZdho$B^+4X zJ>QZW!CBwTC-MY9T8Ciza(@5ha)F2iG(!rpm?cLy^@U+~pJMRC{)U3mXXN@Yn&WPb zUU42+Y78=Gc!MO6WmV%B!oLJ5h#pZG7k&zYu{BC9N)WJ2TMP)mC<;u3?gR%11qOV` zSMr9;84>j#U@ZOe^cPxRhI!Vb^mUT{F7Rf&{=76q>mB+rG(_8&qWxAqubuze zpq+-HAHc>}Izy}zAjFD;H3dYvAT^FHoo1k>mwJmkg^o(G#k|d}->4TLDI)op<#P-n z*q15u)ATbp#ni|1k;%o)QIvYJ+r+KuLj`%&f1Yu+)6=%A zFjPt;G$tshYB^bxayWW>iRA?CTHiDy6D~(G;B#>k)gZMw<#Fmn`aYO3CJeBsEX&%n zO0wuZ!E;Xsj4f=Fwk}u%QH!`}c(1`JXL8P|>f`E*N~{xwCKPvR*OUmAsVAQL%|8R5 z`L#v*L~JMZTb!YGXEYed+OLzIq$hX=No9#&-&^;p0@5*#KdXskNrvE{+VHIZP*Ic9+inAOeCn%#N2&Hf=b0Fk|mJa2MvMPbX8(!;6Vg+ng& zqGw-=Z3hM?i)u=X2aA1LCI|Rwkdp)^j9)>&R*7pgxV~P*S zBKE=holCSZj@nWmahVyj`^zQbVoXzvYCbsm0lv}3EpX%3z$S-h_*UgVoStezh;8U> z++Hi2w%crh!S63BPCi)OdIqgQd%1fdLkRMHoiDcNN)m+%##Fu_eisZ-F;j>w2tGhw z2rVe)PC_GliW@gjzi7OMMTpkA3|)cWnmWBmug-l)&FBe3kJ2!|<=#^3+gTumzv`xV z&UR$0RNB%{()(8a(O<3g)0HJ_3WOeo!D|i5bgQMX)qd`pH;sdx;TRYlB}VT{B8yMws^BhL?mJS6 ziYujPf^*e|`SQ8E(DltY8AjZymU)Q(y{q~qf!r!y6NbgAEFRA?i6uFkb14xFT(GNm z-sx54@m0_$mAU^k-wnd5lROFQ24|hFm3zY5>oYI+fEU?_wbn}tK$Ek4y!U2>IKC<% z;hXjLj4;(){PsOS45QKaD~p=FM%ha+ArYghol~!1NsAtjisO2WjK9$ZS7`5PgH`;N z34Q3R z>W)Jvx9_taz&o<9_mJ$U7up-eE+oN2&(V@f)Y>`B)TGR2tB;EH*sl+PbZxmMy**Ub zJ8QUE+5ecH5n5mAV%LgNuV}n7O=4jG7I#iak85doD>5h4N8Jn>y|jgmXam+76O{N= z@pPX?%dJxpKFR1==63<_!4{th+XO9e=+u@^r?@a_mja$;+|Z-RX5cn^x9Dp390DrNJ68 z&RevsmFAk0CF>5KCHOjf8uzcBI4pkkd#tqZWMvnf=6Fhy!PwGvlLd!q{p;ZGp$yg= zugDC>RkGo4Sts?KFh~ktw=j7q= z6I&69CkeP^BJLbBu#ApQf3r;4I5NIW7ux)M6ix3}+`IW&GoAi=mo;@Ou_Sy~Uf`M} zQ+=mjGMp1TddcBxvut6)oLvZ*krm$i<@p*xw%t1(&26ys@U1_+TXR~~GEI!yEND9- zfI3bQFrD3~G)m!ehi0gaFFl6N&nXPM@QC^w=^ZrAWOV(#_yaQh`n2$X=q1L}0YEQf zMm5o`&m6tX6is#y8oz!bbVz>w!*2A6JprK=^<>%c1%{}zTH-9D4hD|2YZ@D4=spa% z81BGVOPE`Z?ic;`gKT4`eH3#mx9y}_X3Cb}tzQfTle1-K5s^1nsqeH`StIzxre zQC=tQYR19a-@by(S)OItwY+AT*{sH)jh_z_dfaLhoD%c1Ao$0mx0%LM?_ogrmR{mA ziwcd~FAMg~3SOvv4#s`!8E*jjr zme$vbLA$T3CMZv~%A+Yp-+>OLO5A5z#L%-Y`x62`PDxebz+Q|TLl{OeWyRN&1ng-b znAGRG9vc~pFCl~;(EhDE&&YFO+z`8e;IAtKKVKwLDGP!(B|LsGtbhyDUYhY~p@D1r z{0}}H4|aat-`2ImGvKnSjKy%s4=mI82&JuWta+X+8tW$Sywb~pc=^ZsKDfk69XCXV zkp)C<$~T1_n2q3F7;28=fPMwP0SQs$Hpl#gsu>*f;@R{Tw+lwrM-s_j zjQTc1Hsx%E@?q@`*kXE5tUD5>f3~g@jt(*E1Mzg(n4ST_8RpGc{c{}l3#IBQrTRDY z<9nw`Sorhw9*0;++lZfD`lV0zZOF^lH+4@tHB!fX_gF-@*C<=kFsfK`wzHlb98hXx z$;aLs{d4c(wKca!t`l?u$a3AE=hT!pE}I<&wbvajN-L54-MCOVTwNqbP=J0zlvTQ? z8^@bxUc&5T^Vk;XB7-$uNlkg}{Yrv?SG*Txm& zcbR$srXCjUa>E{BCp>LTMQX z{fq)-F1JMkwJk`IVp`5w%I3(NfDUY1j`kbx1b3+Lf*jO}PJGRHxSt%WeJaDqv> z%Jx*5pAnZ`>~Vtf-#;|O*PB|>M=ehJas2$1!$q7Rv#wRGJL0XNtpz|amGXw&!Yr4i zar~2K)(_PlM7*Qc;pu}-Gl~A(9tvaCz#Q3`)JKMW!?+aQ;RpDu00TRgf(TC`($wzR7Us_pT zfR3pPCWCKW0;=V34g5;+vNf%{ududnxiD;Oly879WwPj14%(1?a6Shls?$;NaMveU zlEF9Cx!ppv4?^{CC-Jy$ji7tuvNW*UTD{K^8#{o??pXGC>fdveewAL_*{r@js)3s( z%Bpr73z|&{AiF;=tV#-H?ilwupwof$uf>l9{%Uo0(Na0AOfQ6S zVjp6iyns%w`rbVGl3+txt^~il8a&E)5#{WBiY{L1VDOBq3@UWXspC{Q=qD=308Fut ztGireqoC3|oW;I2?K^4nT}_yyvOSH`M7MmSmQ=TmHo>Fe_@0#tSjco_Q&Llhh zS1(1%&;+TYVN?>{XxD9V=ynU<5p{h^ zoF+M*)w2bT^BI1l>DSD7JWJrq;IAQ{_%HfE+x_`g-IaD$;0wOWp;5<|k0(jMp?eRZwuy>{{BC6bhjk zQ>Ap$ZL?X&^lKdjR-3aq<)O7<{+JyfwjVaOpMJPjb1aN$dxTiNAfKf9pXbAh8y1=S zb2u65MYCZaFI#&R0G$cg=8oaeE%PVwVo}p^Vjp>0pTASF5xi^jRhCfyz^EKTL+REJBls zbmsjAb3gx`4pR>Kb(WuvG>m0#?*)^BnP}LHl^!@~M)NOO*m#QtYN~kR8?2W*f-!0L zd8~Gx&P{PIEQh&(ILWa+!Q-$l^3)3)G{ETu%`M=QRO_+@jj|!c%!UU!GBcv?Q4epL zzHKBa7xOr#W8}a5&u`wbL@WU3VpBV`eupo1D8}$9mnF;##-hI3OcP8y$pZ8kkzm6g zVFCNK>Ozm7EP!escZNZK#yABLfAnh<7dw78R(4|&X<7W~xmL3*-VOofS?s9>+QGZD z>pkp*ZhyV6{dLywi!9#>FVx$<>|ND!tquh@1$Pyy-;sSLT#3ZUJ=+p_#>RK1A2beV zo~k*|W9&vlF-YIr#~8MnwqK*Q@BN)qcwIMVUG^7yoAx%_MLx&YS=nT<$23nNz30;b ztt{`1&p{EY{Bp0(l*+ftU>?*YEPkj zXwZrWH(d1BddclUoK-TTY5uwLxt0Iyq%tZu?*#_`gmyF`u5_^BA*jF zU9)Cf8oxWK%G!4RpJEs|2p(`%c2%kV@Ufw1eAVw`JMSxrxnWz<{!v78E&QJ90{hq1 zTFhuO`0>&B=#4SLz1UZ#mgry{&ZD3&x1y8I=SFJh6T3e%1u)b87B}|#{pgHvJ=tLu zFlf9Mm(^6>ezN1PsFgcfDOK6W5Xpy_KX29j& zNi^aL$?YnF!j1IqHUArsD>DXJ)p$G5;Zi;SLB}FGO+-?6-eLQA!>3njh)|D(@}umL@XbUJOTS|BODvPom21}c%RE3* z_EcKVW?q9VY9m-e{Hw~6Ww9jR9UXBw#tlBTZOMm(fz+HUl`(gK6@J4q`w1>_m`+y{wi+2v{!cpImQKJuQxZbC{gu}!F&kO z3p|Dgu>KqDn_LIFE8SoAi0AsMMGPL z?W@n+QxhJaE)}5ila~+k*qvlvnMxfI&3&{|&THrNE}phchHFHufE zR)$N9sCF}jDy_f5Uuml3C^*3lrzw>-F{sn$O8qoWqAHg(n`os28rQVdn>8?!Uh1?8 zr`g9MN8b+ra)k~Ik=M`OUqrBiop(3;y))w&BSVGS2$xHXHAlgCwNfBn#-ms{%1FIL zoZE9tTg7Z;4XbeXV?i23N&MDMk%CVmqkNGzs+ps&zb!t>0`*taT1ObyZVlc?K+~Kp|;qh zL8TL8nZFE?AHu<|{iHnYno`vpf`v9myGmC})>c0$CC=4^YfE1ZJlCR{Ja(5RA<1pE zs)#_dU%fp5MaBpzW&(Z?fkLyt)&aeKFIHR z$u{3JldbM&Fis#tpPFSSJ~VCB!yvUoY%c9p!XJUUSXW>y4>+r#4W4uOCqS`HB;HIu zkchmTY^HaKWTIC}Hri^PQ7jzryf+Cyc^(O4h_L2RsV|X~8;tT~N94I%Nyd~BmpgI$ zG;b-L13gi!)EDskzdoQ`w(cfbP%P1@_ekc*gi6-fJ7pJ}$!5p7M7R)|wPlQM4Nx_K zH>SL~`r*bkm)h2`VYL*SP89#79z2kXY;9C0F>T`3g zZ#1mn^|8svu!`*c?C@SzLEKIq&}yQQVHDPi6yarbwi=T~Oj@lwr7*4EBNh;?_sl*i zQCgqnicqfWh)^EB6gerCeM~N0xdYQ55r~P6n8C~!DnC`C9MsYgF+HM*m6O|*EeJx{ z@}3beL~CIyS&6epIeS@NJzEFoy~_?Gp{0yiFHy>UII9l@NZ;nZ!Z55OBTTY5&}+2)mNdf zNl31s01yMeRUodU8F$!UY*(I{)Ua6bYI!1+I>^`W;((UrrwxEs zC9n?sh|lzZmFWl-y+fWi?V!xOko2pVddk!^auF0CKChxNTylTMB7$7V|!bJb*pu;!PUKO_shTP8ji{!uB zi-Ya727A-fTH3AmzbU6)y!zB>d>VVkNeS{iDM|L=UMK0G)e z(C~n0y;RC98W6H91p6=hTC#e10e^9pYPOzl?|X(K>whd8?JH1Jk*($COBT76O*Vm=t$O& zK(tHqzj_?IzAArCp{5xv<8Fx*9sdyi?v)mM;0__S5D3Z zLy6W9B@=r&z8n>xIZfE3I9M-AoO#Y`FcrhL=_*@?>nF>qu-b=p8`;F8 zGjw?&-G~QE%+$l-zH!ZzV^$B~qN)jmhu8R|awHYul5L+T+y=kztUy z3#`7a*HwnjK*}Ylf=Jhc8FkBip4T$q4zoT_FygkG_hVIxUX3x3)&>wp@0Y(yaCb)9 z*yXdg=(f7q2UIAK!3c_h9Ki%CIeR8$n68_dW@t!Q?&`Yc%bHg9Z<{EiUwD}TA$Z>% z+9I|#^C>oXTp1NLH%nU_K(rgeK0=CilQ`p*Q^Cd6c^%PLa$y zYHW#AOYo02cS?IW&RU{%%)F^2SkYz3f^wKPR5ic6AlpLBw?oQ1MwLv&H!)uxuv9c^ zW6zJ{L9d$Sd7eavp{h`7ZtRJJsr9gy^oEH_aEsm1lpiXcoHyCSJa*}UFZTs}+{9dI zuWttDp#rx6vs@vlKR=MtQ)~XTx%EEKqDEN-<@U(APS}qc+mcQqT~U7GDm6m~UKbR# zGDi#NPq+9Nj_Gxbb^F7TFo&V~hPrCRQ=3P(CGX){wk`*I6&3G^Aq4b$9xZssykss} zQsE83n1 z?v1{TNEADJ zyd_br==R1pUg6NW;UV}`?UD4>Hepo~_@ma${%24Ff38#ZpX!q&ZBHwt)9n8tJHF!m0Ah+aw5-4|&Ny zP2=gGHA+O9f(B65vc5TG?VOX)()vuo8q6=xI~ZZ9ha3}w#Ulm-QtI7&Scz)S#~s0vc5Yuvg{K|5lhIQ zj<*OQSwAX>#*+D#v8QJ8QJ~Y-tQ;O%qiaqjN{_s zAPWlF;FpI0OC6e`Vz8J=mb}=}HZs2;>$0dosVJ2n%fe(s*ibiC_cjmRIn#`w>X971 z+$XnccVe!rj-?DKb7{Ma^IzonyM+=#`InNkM>!{K>R!K zYBo*1CG?yd_;8bhsh)jqa_Ll>=-%7(5alTu3!%3190;7~Nf7KsYIgnRSn!jUOOLz9 zhuYrB+hl(fy&RS-ZDV_&XJ&>^l3VeOisI(9qcpCV0{QDt8vo6e&~i$l_-<>G$b&tX z-Q8YX1-1YFen3KPO25e(hXk16B8K`>J-#p{nWkt^T>FA-sC({)D)~11(~FTmQ&JI! zA4yBcjkyUWAEZOi46-f;^oTM1F5CHle{PR*kFarl?>@ro2St zWc#5dwu{JIrQj|7Yx~E1fP!t`D^lvZo#asGWpSydBHvFYB_wqYKE>ocBz@O)7WQ!u z=F@vnQu;z?d4K|)Anu3!j7N%K#UDAOsnU!lB`XOYc3Us+`-w}sFSsb_7S%qEGFy(( z#nMm0Ya)_F>K{kP!H)*ZSsrX@7vQ=@%eDieG805JaJ|X*gEL{v(aX!-i+H)*9x;0& z@! z&`W*E-yGqa{=kB9+B@;6uga_HsVy%@M?e=)jy2=cP3J#R)H}gvIhgq}En&iv|A&{$ zWPE7ES4td&S@b&DR4NYZgji0;zGS}G(Y4yFPf;9fKH z%x!k{jM3k^ZPLfZ5Y8Uo!bkaD8)3dC;IU;M;a# zbJ=-`Ozgg^9FU9+&g>lNX1|O|ofVVd!=cZvGTLvtn@Hg4!NV2& zc*&XW?}1pdzzq|Dq|nK6JLhtgzt_*Tudw&U$2Y~_SY{*DmT#%u`AwbxsRtyA!(Ogh zchKa$!r@;v+Qm!Wn6*r#j1G!-8ie(AvHSTAKdpv};|$v63~XoKslCWqHM_m6;IxdK zO4cOG@0YgS5+eJgX5%m-L=neV#`5eL4g0=whx&1mS6G-E$8r5SpPmg0hZo!*ArMr7 zQI}_5>F5ad4EA8>{R#%{*&fFHE5(aqo=LxHX@kW?cem$ayBO$JiSY90#6JdkwWDhL z?oqdVOd59&$zDtcBIDylMU9SW&I~b~7D!eh=^7t?4Z}G5FoQ>a?saV&w>6lQ`Vw@E zRHyH$|2gL(_3-0;L!AlS2BS_&)pWH%WBG#gDUo;I+AH_Jh8@9!%LXa?*g0>~m)man zLt`w`6Wr;&HmgbUoEJ3CyIb;qdfE53qo-!uL~o%5W4M)eUn}sp?<~ymlIz<%#vIZX z0xOLxbjqG(py!4JHqWrDjYW&uYK;EoR803zEa-`jZac(KhUbzzz-H6j9;xb$jV~qCcvdsN^zC9uFOn4{!Z$5KrtUv!tj#u13``_;dmLss?q6_eTnh|%o z7udTD!kYhrwf%Y&W7>PA1I~;E9gU)}{2Idyo%o}j7*tggiBcmA$<&lwO&u$4x6n*& zwTe0_gpPq3cONMr{L@}Xq7J*sMB7KHOQorjqnh<)Y%8OWD_)c)`9(?vsE;D0%$R44 zFOUwV()=zaPB8NuV!q5UJBJ0e&@*acYxI4EL+8PwHd)q^1+O_^nw5rPH{Y;$ZL-Y1 zyDIp=a*_RP#fGr$=S}N6qocc=%m1Trjs#rEn)@zH(ng$fk|h-hjS`3N7%ccBib-By z=7f52E`NrUp3F)Kut|d`hjP58crH?_< zgD-;Hi|TT9V46`o?jheDTlF}MTaoX@*1{mlLf>b*?-H+v8UICXClM=CU1#}{Z7duL}_us|Bme! z&i?l%^WISURf@3X@Ox=#w{T(Ne`4V9?bUAwne*+^Y4`Cg({+*IoBx?aaEH|f1%I>+ zZ^Nj?)a@VCNNNie7A3h5jLfTtJZNJFERg`U-0&$s^pVoKJBr?sh88lwdhE(^?S7(W zFEB*h2u%Fhj4J4u&p6l)Z}Am*+3xq1Q)@5kRfey{9QE){m z$Sj3xn{qq_#q>?7xS%1A04kzSDGtsx6+6*G$oeS^F-J#Cx^6y9_gR)$$-(`6 zktqx~?3ViN{cpW~&jiXNZv_bfwzvAJiV^v?p?{Eo@-4Sm_?a?6?>I><8=+fQOBDZc z;>2+`Q@HcpUJPrqT8aVQ1McPdpb-l15K3YRBDkD#xha&+i$}=Kky?a`%WK$yT1vYL zPrafB$#{Af|DB^=jDA7(&v~p3vwzmn2FP||rfCE2jM^HflH*^FVq0!)vZTwU-GvUF znT)ciZ@9^a|Fg-s1Y>C^b9525SC7KKmfG*Bjxmoo?gblEvfg+8EKJ>kKABy0iJ$ z-5JTd$jdFp`oztfoauzMo4J|%Z!$U=z&Ux5EbmBtw>-5;?`b!NHd6MICqlN>n|Ws8 zNus1T3d@-S!#FqX?pLk{=>YQkUx*OzivBcyJ?{?a{e@u@Q!(@=yz3k$szC_23KT+w zI^=+Pce31?cDI6uut@;4K4y-_qNv!Q52F~l6%t&rbt|YTDHRCU7r3KR?!6eTeI#Nxo4WE>~gD(H33}SpI-`)D08@1a|T6-qb<^OUbA{Ogy^i(PGub=ff|r zIx^tWWxCSoOc16Aqje;`D7S}0=r2f0EZt}V2*o5jc2@42_DicDY!}rYh|qF+#!D{t zR+A5{n$j*29Lw)n`nlLLsB4VsG(mN=hb_tj+Y59j{+8`cu3r<>L)oO0knBhI!SGnP zLEh2&0fELL4nVpc3^#ceKKo&13+|T4P7OVD=?b9#|t1F2BkPMhZu2) zG^ofCq%@)t06@I~8>rjCzEl3^V?A|}-F>Nf{t+Z7GBIAsUe8{;KwCEp@**eb`W%ms zmXO|9*`ws)Qy7u#o7j5tbds;DgVMI9y_S;qX;0&29VO?wh!M>haTD>ThnogQSI9O=5s?y zLf4v_D$hwJ?IQe{R1~LYOw0tris;J>9%OSBm_SsIb;k!5zcw7JJBV+SDE(p618zw* zwSbjaun;GPs+1t1C0|N|vZ%dFzVyY(re-;LDozpA^bmU8?yF54|FgTqLwNUgdy&<| z*Uo0ih9=lH?Dz8klDUV@iCp6YuQ~~v-X30sAHL?d)SsJ_wfq|_rMMA9U)_FsGjfZ* z@?QI`Gv>CrLi0Hwc0^z?z|*b_?EU%?A_;kvPb2HL)OrXrNU6IXQwc4?Q0hMIfVx8` zL^`6_T>}HAPrOX(&o7~lw(x2rG1*PHB6ULN6veh&giO!RJf2bKAG9sB3v z(ggKSR=vO6xn!jA<9eJ;Wbm)_?L6ZI;lc^rC2`BE%yZJ8b0puP7cAOx!2hr`j|S#L zNlAWRY;-|=bG{`1)*n$Pl-=hJ5i!ViyNDWA)!$n#8lCD{sQkloN$LsBFLkR!kNzZB z9)~j9(425V^;w#4qgUja3|}0rUQ+Uns^LNsI!WNrVj1@K@2$uBGUJkO`1>adFyW5a z6cD!y#tyNvx@qKa`8eErL}3+Ts^1BiY`0CH$x&bw|u#wX6c(|Ck7 zp}^m}Psg!sF=#+wIAv2x;LT~Lj!B$s!_Nt!Vs6$1Fn|$IxCe^w)BGe6m?=>Csa)7z zEW)r}Tko_BiNLfspE&%7-cRq`(jH#_eE}X%XUz<0zxn$6ZIaC6!@w9-P`jw>YpjU8 ztxM29@_n*2Z|&`XuV33ZeHk$+w*Dpw@3=eZ4;2YferlFKpz7Rch7UJb#W#|ho&n+#7zgrNa8pq zU9u7kKEPX|A4b{%>LD5u(;vc0yzhA?n;`E@lAOCO7ha4x2yTB#vg?icl2asFBe?An z$P}%av_APmr7A7P#_zu3H`vkIDEmtuU51M4M)2dVBhXf9@KkQ=~FB&1Ol`sh*R^RZ2V!C=q0w<=c+C-qmJz zJ!_9_D^0e%1g!6X8=@0O0;;!xh>s@sz}lDh`z&a@7sBk89B;?SjInIs@P-jbG(o}2 zv^OUbqKm^;O*^SUz!Ap&o7%e4_XN>49}KC;C`lvDgV=M&$x4}m_@DLH)HDm7`&X9P zwk+*T6IhMmi3d_P3C|=;AAh3UMZC?w5w8Sy4E)??p5m44JL|x|!l8;+^zRA;Engnw zyknh%R@#5o*?IYtooJCwhZYnTkX5JTG}T~TTTY=yUcP}zwQ|R>%L11N?GE?+?f+gS zVB18Y|E>9Iy)g2N3yr6Dh>ZL|^ulE0!>E|AS)X};`DZfRyvxXQK))tNXAhqM=c9OA z*(cg`;m9V@)wwz2T1_@6rC?<3(_A?;9g?$s`DKF>lCd-S`3-VdS&t=n$iz zX$^J=U9PY-sN(z5@h!BxQE*2^B}6V?&T-aM)sp~fs`qC;5_WCB%Mb_O$W zi42b+Pk3$m-q?;_?a-{Op-t#f8>WEO1VKf@T`h@O^xW;59j)lH_{2d-3zfA6k)To2 zQ4md*HvKkDkx&JhK^24Ts76o0o4OK@&_dzN7Pg;I9H*$2x6_oUKj>>@n_kzV$#>;x z#;;X4MZ1lvcAK|zCgyT8!ql_qW92!?Cq|B1Usa@g$%Dz~<=9p91PptmN7FH8fba4; zR{Q*PNi%(sWe?5RYa0~}NJ!giehg^tYAcZ*&g?0g;s?2nI;fnbNf6E&Ht4*^#F__} zNqh)h=tSRcB%E79%I0W?Il_6vWt5N;$JQ51VwkDRI;Z5vPt+VCWGX z*9b?caAmmNO0L~C@Zo=pAdom4*hzBb<}6y!#@1j1&E;`TvvyZeWbW6^e}L(7qIV4V%I~2a=kLS;Cy*` zQ{(M?%(45>+1YJ)+oBlsB(HvFSEIZq6ix15#j(D~Tw3^xJ#rHjRmjtp5j^$FJD_vh z?OPkH>Ry*uJC`K$24#_tx2|eeHy^f|uv2a98b#204g<;sH9^dX3u3plGR&0)vwY*7IIc-18EFzOD#N#8|i@lKs zgxuj}Ya4c7(;LH+B5uc3=zKj8dVvOg$YcRFHKfoP80|0BF(_Q{*(VCW9DvD z7W&Tp(F|OazP@z0Axg>GOnXJYDA;3rh7VJqGMf{6fsdacRWB3vk;gFHhVwhb+F~ng zp4^;Hzx!XqXK%E8y@x&iu1rQ=`KI!(H48z+bWBz^=Q=D7;`l1ncVfPi*KDtbGuvNs z#4|H?H>ErIh2m^)MS~Jb*rnrnoEd*ri)_6)L$kmnoZl{=|=}S6rp#5rWt_MR7h56?v)@?LOu!V4HC-$$v5ETI#c)+}*$5qTY z1VNDvX_9C>fsx*-_?_3JEV(`}DA3NN>|rmKD4z7y2>J^WCSHnM4##p!{X4#Pk^*El zOaloy`xNUWI))nf`Bby+ri*`e-paA<>g*K!)lu=S$G<*&(_?UPB3u8b*6qg|!6iIZ zf@D@TIL7YqGvNABR+tx{yG{D~J;A=80l$P4f>?Kxz71KH!}k&AMm|z%QgsElEndZ3>I|HPT)Edl{G$ z>BqWYHnkxhFX2;Qfua#!9~ylZlZi8;II|z|UEd>)7^!g+b-v!PTTq6Hy)KdqOOXHKGy)UpqhMf+`WQZFT%(|lhu`yTee9;ob%TmGS?CR{ zqNn82iOdTpY)BN0#yOx`H4go;D0Pe>c;JXo^W8;TjUv8^biOL}aaqF=Rp~S=$)ACK zle+kNvE=yhf9MOB2P+q`eYkhO)31XspQfl_m>I*1B~7C3@$=CWOA`q~mY$uGAIst< zRzV2A3t!hOI3%s&__n8i!jj_ex z+HNZw<3F?P{Ny1ki7eGEQovgLI9}a2o2&n(U4CfBh4vx1bRpfFmij2}sL%pvZH^(Y z2S=tcvW-WNOlJkYR^c$db$Fxb#1J7VYsAECWC@Y}aIxAc6k8t7w8qhg$Vi%ew z|73giI;?B$F@BzOD1VRtDBbUh{6MLymbLUCJ7Yo?1}M~E3#0S_uzHDF)@k-3vG}^_ z=d<}DxxW@RlldwMcf7hf8E6Q>!smC}@}yqQykotq4DXvb1c&dbnrub8fC4|8sV>wy z##8?FvOBC?wZh_GJ1{s+D6b(9iObm)FWA#!poO`yl~K8!gV`6z|70vWRnIxa0&DQ0 z#{B}uoK;gIe%TJR(DzZHE6*KgMea&5u$7+`r}b9056XVXV*Tl1mRKyWdfn2M#V}6H zGA%2CxiEMvI{V4^{}?(GM<)C~jPKrT%&^UU-;7YMked>6%YCIJR~WvfHipcQZl(G; zIwZo}l>2PuDEHh+n;}UOvS0tj=kq+T=k+F`OVws$kwEV~$$n_Wh^ppK(TN3Jnn|1F zbC!h*=-}lYmh(B26ce)bP}t=ftI`PVxGX@g&Y^(iwFiC$x_2N11m~>klr1iue0i0m)s0V#EoHQrSg7R6*d`v zWY)wTH_HxKE(&?u2xTjmYHl&fqNw9u6I*$3veaRx2v9vnza(^YBcQwX=FE+BzziJ^B3Jx;Y>@`mZ;D1cu6JZb{u9 z?RIOU$x1&$G^3&zg8IIQtHJ?pnhuUTfSc;!CU}f7@P#vZeTT7J#{DJTFl2(kxdst`(p|+!Rn&lF1T!V^X_W8~q5{ zBPo92FqQ}SD-TWD0vgvfl5Kos0xaiXzdzN>`Y=_RDD5*6E^tHsQ?s`6>SgEN9&;D-Pn1O5h;D;*C<$RBrp zb9xKK6CMk_p9T7OvL(@20p7^5mVNeM#{G)YI1LXf}|RrLf8PUNJ~8Y{L) zydVU8z$;i%b@DH#j-!%+;t7lz-;j{r{4rFuJIqDT622}w>s=PT8MfPE&K^2jp48*_SfUltF zFPH>pKUk2WwhyEMRZ`QUpLmD~zj2*7IwZ)Kyx1uMy4DN=KyN?^DPrzDUv74X{UM~U ziwMDW(UnDm_W$}1Oukv4;km9(z55PD zy9V-#?ny5GB5UFLNYTkdpZ9EnU%Xg$ZU^bc!$j&AUERi6PLxS?t-elc!Uen9+~0MDcqt$44Qdxga1pgc-S1qmOCN3sZ}+Bky?+Gh3O)UF4qUT9s{LvdJu~b1twPiMXghq*jy|k4pv0J-1WJ1;cV7#9Fmjk?fr*@pbD=J&s{UzO}J2~@=&x{B)hYQ zTQS3Vrn+}+Gq*OXmvSon6Z4Yu!&}93Y2{Jl0}Qj z4piejjK`qFwkpRplh+HmT>IzHTe|3MMYpsE_6Gv$El{l=RF&7xqgafuQQ&WmDH{Gy zTG^_35e0yxllc1AQYtE+t755?cE-PH_P?)aE0SGV< zO$MTK3N}&+Es!q!6bt;Y0*5x-+gEV5O1{sh@7qH%&P0Vwp<^J=rk*d1%<>H2L)NaV z38S0NL9&ij-5Yrl$BSxXZ*Lv4lLLn%FSOHU&rfM=b=kL#=tlOxmRG@qz3^Slp1vAl zQW4_r6M7I*ya%DwoUBqzG>bEkFE{<~kZQ{I;O>i}Z^4v@UeQb@IfYm4`G0q|Lto=s z$ruvbfV&Elk(Og?6mxIrVRSAVWMO&tU?(t{d(XZ+9-46qtk~qwotM}yAChdCv3#re z4H)Ny`IlHK2g(HYhJ8}_ull~Dj0exrPTnnPD9t@y_i{VM0(UG5h1*vw2Rse}nxf`T z&nTGuYL@yRfLF-ue>-G8nJ;Zzt|z!g`Uc74EhRn4pTfoW7-8x$f>*0gh2bOklkJRu zNkC54XQZz7Q75%8&CW7Ks8`2KuZj^xsXQGW%njvOE}0iY-DLv~`Lx-idw(AfR@peL zaTw9cx0s6k3YL)yJ8WIejnDidte) zlLhGtLz3@z3Lz^#qmAmvzhOmAFRvi~VlRtH{%?slyVUyPuUQViu^RXZZn+C|k>4%23oHPVjIB>)X6(FH&q-vH{Fz+(aPvs#_x6(QqS9tHTN z(-y;Lj6X%V62ye>EC9mahZ9-(23n}Ed1pVh(_(HT>$y{!(P8}Zu~oxBy8;>~5=E$= zkzB#~N48Vb{w#J(B|?iu6btdUCjpZ7C68$;g7+`-plko`{Z1HUhfC{|XfRlk|Mt~8 zytFYpy&MzThVjO+$qse>-ERqp;LI>E>tavEV7))cky)CMA7hg1U^0vc`0xhtHbMJ4 za=b4Q3AZe_(&T2}1IyqA-TqrU7+rE#+O zk!e8uv`s3e;4*x&phoB#`5bVMDNMHiW+v$+_?<0rD2N)ICS7`pO|#hO;EJTCW}IDU zRD*(gbLLP`)C%_Q0tOmiNJZPMJ(lZ5{WayQzCra zi^qHkTxDK{w+%UV1^@03C>hS$#jfh0@i^VpxV#1PUSrw?FD!e zTimI4o%BG4pPG}bTW=d~H4K7&1VKtc!}pNyU%Z#l`$1gF{Mc3JiA_{3H5?``Upi~&)a=Ni^Nx}p^)^xKUk~>fccky==OzLu;~OB^ zl+LhUQ~{g%)CXqNpPj(Z%UmEa3M@>;)){q`E7(PWE>2VZK+A|r>cKO0s@6m>Zr;go zoM-|jL!&mKMxKAPNX~KD%E@PVjS`Pw5k|Hz5N0#B2*D{N@{`D9e|pU)fO`KW%Of3g zB+dEDfVrcf#Q%L;LHp+GO#X+)-!)rIkU-*0>@}Zu?#>+;PR8++dWh{zjw~S$6P#!g zz@NflrND&oKyGUQJlAZe%Z|vgJmTA|1O6hZLx;`8jYV+SsP3x%{VIxJEfF)kk!fD` zk`|f3d2M8Z<@E0UvPScHbWwfXEkUFg4bRzPRIaNMwge2PoS*^vB8e}SCaAkz8Mt#t za;#}OKM+xz3sU;)$Y6m+DnOTFM&vt$)XVPIE-8TvBq$uDV8ydnr?`3Q>rGSo{hpGJdR4o* zD!5a%yrf_N{s{!Ih%W8#<#Wf%xd=1ek|sL2R))VJs=GLIjp|UP5k;$Y2*k4mT6@X& z($hzkeYi&M=R7m9&~1`;8xC%*m5hj3WS5DFD^7JkKjazGMoQTT#i5KxSOAj+Sapts zWjgE_Qd`6@Z2RKT-jI#&i>W`y;46?a@6aW*Lh}LLYh<juUG} z=-pF31E+tEaN;{Yx6=pVa>M0#-F1m}KTE;Ng&nTW7yfE-g=lCUp`v3#O?_Wa_KcyU zZ~HRy%#E?GmcF_kXU@Yg{pNE9Qe5E%k!{yt{L5-5Fna~wk+22ZBX}L5{ zT$`j6ONunswiyO*(P=X~%xx_+q?p z&QoiL0|b{v=JrBBoIaSt4l9@IG$q|<3N8lx3$4dG2J#!24;tR#pek$~b>xAyZUC&*FSMK%TZK-nx>bT@fM-k~*!^vbp>blCP*f=hPCxG@1>CLn_D-m%^tv)RrPbUiQ{ zruJeKbBf*0WIU69;uZ!xV!pth2c$pF@)0*SmV@9ErxPyHrVUaJ+ySH% zr}Op4*P&opnf(wcy$o>o1T5B0#Kk43Mz)7j!+)!3gZ&affQ@trirpnqdMn?cZJ%_M zi>d`8oVe|>d=FUL+RUyAoXS>1PJB>0O)X47=H1akTx#!e-q+D@{6gJXX^Qii{NqIE z(ixD6!|0$^XUkm$VBsD0KW7uR;l?};=G?%`vEpqn=GhjAj_xSmOgIyYwsvk0c8bNl zno!ZQ;Hftx`kv+rd?0lr5{!L+r}6)TRsq8Woi~*ul*s8ORyEeGX8-%|?nHcpbmv}H zu|&Wdn*-{ufb5$MR)L|}+ARn8jX{Lb4|eLI;I^3iEeGyk*qZZ}dp&R}m}yFWGRh9} zNbZ7G`yq30@&^#Bs7w>n!5o|T3agKf|C?!)f#{(kp<8#j9~HGedV$FU zEug}(N=hoy(xm2={8c>+No)BZy{M3>wd=a8#ORLus2E@n@DY;nPpfET_Ozo)2x;~+ zLSNZAQ~k(U5{r*~;ZN7W^D`xl=IqF2!T>cEWaray)&tg=Hj?X<&}Sgaht7NGJ|h z;6p0+!dkZ=JD1@THddRRR@UnrJQNAcnnjK8%<)Wc!9+qC+{E&hb;)>T<(ZDqPwTIfJS>@g^ZJ3`(Q zO8CI(7qD#%xAABXh4~Z$<_(bIZPV`Gl74N7u8uu~&vBl9?VC$vesF!8FU3*> zN{LZWy_2Y1CLlhbSkj4m`NY-Ua%4~#OosN;}E13TCXG? z#365exMc?=bzEh_760;Lt0Z?})i>ne*8;W}8t0|uX4~V1w}b_GaUHzFbq@|b!Hb#Q z`UL(Q1o}*a@25k7P>+vLha23@c527T6S~@F@3-c3Fw}m)o?oTnYs6T!epzc*O4`EL ze34Ad?B=Z=mk_PZ{7Z<&e25>n$64vj^Nc{8PUs7g9u{m`BZS)sVHv1+6UIv2Dw_s0HJ+L?r_gSBchjrldEYjnE?Alk4Fk;yItX?E^xsi z0DR|GpYJx6=8rvISq+(a)gufFbdJ4wAiTt@mB^D>toqgg(jS986>t2|JqZN|8vgowkG*U4S4 z%sY$pA-R88%&t6LH;xM-Y*`PbbZj0%;&lhyE3hg~rp&wV%k;Gq@-B} zfAV63)0)Ls`QpY%mYZSH2T5*7`+F?&GOuty`TZw zYr4Z5R!U-&I0aE(4%ioCY7@ZJbj5{s!E8fnwXX6X-mbiwQThdrH zKM>{x>LQJNY*#XzRDhIU%zKC6l(gwH)~UPrQzXdRgZ5+H)ma0=UpuLK2NF4+z+9`U z1FYCBU{o>V*;Bsg&j63s)yr=^o(a1VOL$-F`wO^SxMJOYXMSqB zI+Fswb%U^XtPcaduVS-uy?i8)qATwL?t82Gd}*_kl+J=V)9$>RcG>AZZH>3cG)*}H zgFk~+6_4Wi_rh#;dddac8;FLGztTT*2WM&?)Za zHjk1koHa}PPfjLKb}|oK>ET;r<&6mgENL|~Wr5ksTe)jS9NL#XgK&~CIZ{S#TipMd zJE?huRgubd}8PG`JG2Yq%Sqs_^7Vj8bt-lG%yccnJi$a@`7Iq&rN6{{8)_kpxoU z40kESmilp9^X}L~bSHpv1ApvyMR$TR#pmt9TkRXI<0t8W+i96$>>YW}?&7$Y&`fDj zKqJf+M3E5V=^?sntpF~qjb7p94S?1Ji72cQsNafYP33JW1Z0;yepWoj1Infa*K8eQ1ALPM1dlW`U6V`U3-cdu{K zsA2_4j%{=J>q`P)N8N8`OYYN1yyB_#2_++xZPGO|z{qi_mB+9%ep>(mhAp9TFLn3H zq*wU|%R2^zO!}--NEj&DspRYW>V?ixyh(TV`1x`FiP1v+kSFpRL;E`Z=;OxXW%^Rb8^ zz%+3>+whk3;gBp{u!z{Z{&WDM0#spY@Nt<)Nc%!1!EigPc!L0sHfwT{US3-Hg_6qq#>Yg7O$Y_KgLgp_Z3YcH^3Z^s>aG!+(blScR z7teE6EpGoTpC0->1G>G5gu8d(N*`tDpN@q$2cs~BtAD0Qrvsi#{kNGt+{ADF=GyV} zoGsf&feWzFcV*Nf1Zh`+-|CWS)yc#MEJ=^qYYinX`-55BP#mdUT%_@+kSjk`kAdn>iVe2M>v4N! z+C7pwI5qc3vg?|Xz6wC!nJ{c-7&(KF>*Dl*1M~DawP`e;*s2~=~C>WHZagVJ@UUj0G`u(qhl#TGHUMdVMCC}o|Z z3cMj#=q3Yy?XwJc{&z%jdzdqm@ueJKjygD>%H<^)0*iryfdUdMYv#3^SaQumTvNH9 z1W`_a+%@TQFJ;_{*W%?;>gs*Lj08hT!%c$a9Zsz*bFH{(N1H>QU&39~I1(}xKyw3Tl z^;u*N@bP8f!1kqvJP}{-3T|OTzwZS%5oO7vo%(PQX$8|XAWd1gK_MC1kcScRC*!1g>IC3$G0=UR=EY3X>Edo6f~F!IK*fiFt2Rwxjc!mg4}uiy#*j&y)=g-i z+n3yd?%)ytZ|IMZI(!`;ULf#MCQm2-837;mKR1TMTSnronmhf5zo5Ea=z?=to5WMavd;*oupSI?Al8>YIuk-^m_qB4&%-#A2z6)^u(VWb;`e$LM8wtnWkz>%!Y$v;$xN{zEXVt1wuOCYdg@iqO_ZOjbI`?cNX zWiF)Nh2(~5|2*+Q%#TEYKzfXO{2C4fK9veOGNobD<}l9%EAA{aekoIOJF$2))j2@r z2~-gmsf$=2lzSj+7T=6UJ(S_jvIV%D6tUd$C4t}7{6bcr;ZQvgOlD%BxA^$$H8ss! z(=-SQr+C^AAN$d*1p9Go`*#Kli%>x9@2-&;8yoMjE=D_Gn>i3;VTrI@wL(0jDn7Oo z_S$<{3vZh-Y<~nd1Gr8x->}pR%91qb(gg&jmYt;~-D~Am=W!iXhCQRbIb&qjb+(N2 zzoz-a_3joK03xhO)?7FU4L=37U944%ZdZ%9 zj}Zb7k+fptj13c0qj|*AJc)dygBQO(IF$OERgVB0uVz8Q5;HT`e~+BkJ9D&3{ql&< zWAQv8;rIoa0*Bz46bxIYkTOUR7-Wn7;DiWDFxquR6a|RR=az_Lww&@ zlXy{D0eA9hDlH&iu}*^i!dt@fv*{_M2ap?4`Qi;SfMcli8)7lJM|L1V5UC#9wa{Wk zul8hr5w1853poC=*cyx|`mI`-r;rIA)T8Jyh;w|*r07HA6N8O2deOMSV$XUgL$JzJ3(pR5R8z)q~)NkVmqP>yT@%nN} zNqcg%EawcT?40n)1QKG?_)5GNwjp1v7ILCN9<-*P^CkqbS)N@qDps=L8cy8kRF6tI z^8?5kNoZ^IjK>)}&Jc&srz?e-*H3c*8n<_hZHR5&me4f_r$k-^a=-L{`;y=!V8r8e z0HG=qXwPI_okOxzt)Q=g(Ow$ylAny_!y6RrADYWGGUaK^KDR7mGsfYv$ON^ku;)PVQSKNSD;@a-$n4+{`dx$* zx>Thl4F8a-NE#2JhVvCn@rvs|QPm%?sh&y-)aHz`>za!T1K94-B9Ejqj?|%sbh1J^ zYv-C*UXQz+Hkc={obp@?@KpK?wNrZKofJ`*KLuZ^7gP>dFfp$;;>T|~X7ab(p>FE) z)TDbhIG)wwkZ+iY*wm8Wj6fToB%8_2#ZXAdS<;|qvkjDL>r8a`2}r7xGf{BWR6%!^ z0J(gxo!_P7#)UL(jX6ud!>Uv+O;5uU4k^ggjHw3@RC!IFG`aAYAMtu|!FjzX_Y*VP zT+s<7bCBkG6z!jrPCWq)Bd6xejIcLhdA2S^1gf4>8SL+c8xNOlBk4be%qza$H z$$icj&e2P#EUio2vV7?52llChjq1uyQcsz6plSl?5W?0uaK&XQ1Q3XFWKzAjy9DUD zPP#fe0)(LpGO&0J5%Z|8VBoMIFyjVN0e3He&T5KwhqParl>fKYxix%1YCb-;pBWMA zzhh;pLzAY2ef{T863{Bz=ZvZ89!V#i$PxRj2$?-Y!L~ykKaF{~KN11^7nAmHax=xtXe4jL zX|_HK%EkpuM#oeBg_^+qyf$J|{2$}9%=ll9M7rzEWjoL|yDDZnWyF={Gp`9TPf9Rc z7+2Ya?Or=%JL=0BaH`Etn`u1+2=7~iuAFf;H5ybPcS^xozPe? zBX~nBJ4OOw?tMf*_MxTuu|Sq)gD)D;7fWTh+3jR{b6?iHbF<_7t?sxQZ=Ak`lf1B& z-k4S_o*PlUK|;9V&Tb;edJnfU>#Iuvk=aSyId(q#5*O;kO}@>^=AeGh`(;qb^YRWs zhv~fHx<@hl13ZT`x#gh$$*^bto>PD2T|T1zj^_VKRo`A6cX~82H$b|bl00b$>D9X> zqg*0JfLy}}`su@u0Mf<-=G9`I`N5thwSHIz)p=+u9fpO-$zu79xP&d%! zad2B`oS*Ue*u{{)1yS|8Ate*Iwy?|m>%-DS=1KB-hO z27RY*vfB5ZPR*vK-QP|`f8>Gnvh@`IZUfmXKs#)zchTpwqA}|(-w&R~Lt)|;f{?4c zZIKAl%T|mKC=v$IFGD~8aiVwAepLTivQ@Hji$L{V^)-k3x2MM`zzScCc29^yuOguNw@Y}>S^Br$Nm^jEx z;?PA`V%m|b5rm*#=^Z31^IVJ|YlJmlC@gG+Bwzoxr6CLVvK3KwJrST&8V*TNDafMg zW)5&xCl*k8LbnR24ZbCKi}!!9cvk_~dD^wh56yjp^sd=!UFmxUdd&@fHYlTIOu7&_ zDF0D@_0F?A%T?dr+OA`Zm}a-XeQzB8a@fNAA|A>c#uo~TdDC0bErX_QDh&fu9u~Hl zd{++so#=tH8DR@*orkH!Hf|_$)Aw(tdeL>(&z+H|RG0FmrI+aD} zQHkJ-tfd;Go;{2wgW5hHB-}P;k!<60SKKX_M>6uB?G+?kIW)q9v)xXtr5&PtI-*ap z|2yPKysWN)NZ-)bj5((FYNh*_#G7Hg_%WoFQKWIAz+aMgmPDq)%`v%=hA#h=F)gm* zNblqoUZ6$Aai0>o>a3J+J0je5v-bztvniL@DJ{D^yIytGhbfZf5{fR|PEtvcN59e@ z+wZVL+1k#bN=@Jxx7hsT8aZ9Ia7{GZ!u1O(k8E&uV0D_+@kM9#2k2LR`g(G5XxHmt z7H+cWy57t+nHOqgM8%j3YDX)cGo*#7W9;XU1@b?H?`~_yOmdoUS)O`XOteLpT>R_s znjKS-bg^DNn!0XZvF~sTO7eNW5)NlUd3+as?<+ibYcBU;VXHGA`lJ+5jp>fv&vq8{ z14iOi{<=%KIO(*xFh;(Br~amN{m0B6@YW{Jq`FGEhYQFx>^{C(YkSceS%JD(Pfh%` zPU6MXBS2`U;>}T}&;O)<`7vOweB0d2#G>1u-q)+pyl9tc(U)T6NY2p-(u|KgfUb`~ z&_1Z^Lmo5|0=f@{dBH;w_j!GOE^^IzNna&c&NmE zNhvSsP?`I(a$fQ=3SDN=LF{`V=0|0eN(4xiuEtO&5H#qTj3ZhEZMqKQ=rMvWU5}w} zKro~qXBZh1Oi1(-3{x|LIo*O`X+^N6+c0cT5>C-G$-mMsTNl zFg(u^yy)HxA76qW-JcNEVn^5rjy36eBu@aG4&Ir> z=?RR)B*K66D~zkjglqKc3=)};LQiE-(g^8vQU>EjCV@)NV$iY)IrLn{%{;;_`fbLY zyM%l6`-}(qgopG;jDp96C-kR`{}mF7=*5hZXN2eU7mSysgje)3#_MuI1^o@9vWiem zr!#7533c>(MnfZ^iQdd;c}sXlZ)LQ#6FTUfjIM4%551Ss*H0Lrzh^K$5I)ieNsOUk z!U+8nEC3 zHwi!JTa2IEgkSU>#_wIiANn3+|A6q9e#qb|uE&9&h%`TuQtIMKb&=u&lbul(ts{Wr zCls8yMtCGN*2Jc!RM@SH#NYmzvy>$_hWD~4)Ep_qxO+mYlcwATc08Pf%Sw%Iinsek z3j)vbq13HTRwNW%C=Pf5g4qUs|tS)&}f2mbvuznkSd&eW1T6$(?Ax| zH+$wX3uf48l-)SJvsS>_K-POcRMdv>KV3Zgr)({5hZpx?qFcbUn96y3;UTYqjQgK= zp?REFPqnFx@Bdgl&ZAUUTqdTk%(o1CMVb=FP)qr%O6%q}dAmM{~Sm=GYQ2?DVV8Nm9p! zkUeG=eCYiGP{RwNnfsC$DiexDqyN^FD9q^Km4xKOZwTFv;W{2bLK9hS1?Ax61&&d- zfbN&AfG5-@{cLReOO@4!P*wiot$X>{c1(?II+bV+*1FM6VZKdMnRs4w@VYpUedo|k z?}#-CC72;DHl6zDDh=NnS=3A9mzfrp@Rol+tt`X0I9i++s93L4GAY1Ojf?OSYBKOP z$ajKXsK}!IFY+?^OL!E|u$4m zECsHmC5Ns24qAEHJt8pFue=GlQRaOq$IloKhiVqt{9O)xTm02e8iD}U-7Mkkn3|-d z#^RM(k5cD?HDm#Ed_jO@|0@8Jmy9`&d<+D+LzwE+lRY$DN-diix? z6++N31z8p5%{kcVmTdL*Z6^}*%T!Fjw1m!FIoRO*{J43_`G2R`xCK*DhXu^p#RBmH zRK{9^9#jRMkk9Y1>Jq69{8XBvlyudn4(d*zKm04rI?MUsls!rPpLDXM3h zBIt)!fA;6v&y&C|0g{>E`78>?2XwUX6Fx83gjSdj z`P1X$)o#Y~^S`)!nOOIHSZYoWZHLT3GJ%xkcE5S%bB{wwz1U9lNIQBjEm?Bd6Bu8g?iOBB zHvowE>~d_<$?Y&IwICm575rm;oFMJ&Jo;u0{?4KI3#JD7WN8OHR|So0tHgDFH5GZy$z?V zC-W~{smPJvrF=UyoY!bsgaF$VD*OfAB@`BPf#XT%LG8ovt5g5^{A+E74;>Br66Up4 zfU3KZt0b&~$-#5v71pKkBnfJF$$6gtG7E1X%s6_=FQ3 z6vig+=3yBwfdYZ`RBqv2wR6JIM#JlqMms(JPNuAQC8M3|R}vKX8qBV*#pAA@{&uYb za;lTP$hXLap;~?)wvAqA|2Sj|qf#RSNiU;Zt}E;rE2IoK2}0|S_W)K&n zcgyUHR6zH=H&ysBqm)ijfxj*Yb`p4&o%=LvPE)lH*d={7injhV6JS{|X<=+VjM%2J0~|qFOCOVxGmG zG(lvm7CdpR!JeCksNRra#$e-34zg7*KZ&iuCe81v`pNuA$nCTNOP-<#=|!senknp0 z9W`MZV^5fjZmCN11y^IeZ9E-$?#LDv`RfNok%GK6BS#T8f=Q8Zc($N_)y&{00qV?2 zt7=A=xNLZch_A*%142)<0q#kHgb1C$TArLY7Ghm;RZj2(L3<;-v<=H#HNoOB3BJGe zBz5)SyA+jKNh2doSb{XuFdpw`5p&fL?smF=Jnso!M$kAz zp9tCG;?6RW2!nN5cvvN3Tmz8{ZvBAy;1@xy(3KKD<6rNjjA0_o@cS4blt1#AN4-jg z1xbZ_2A$gzTK%W&VEkI=TKG<OZ+Aj!U+-n0Qs#ydA@*5e6AlS;=KGaFK4WnuYH$haz7)B z!fS;cn@LV?dapJC_AmUdsz|gra2bM60DR8nm?!7pNoxGgY;luu_;RF_*r?-YBWYv0q7r?Nu+F#zPW0<)^*)%>ui# zk&u@m*l;Z@+brROzgHIK8cyMioQf@(3vjw3K=U=BB{%+nP+?4L;*8*|op zpopX}rmu7?q{^o#KFdtOH&V)Wl!oRec9-Xk?9Gi#f~i51gkTMB;ms<$D8*nPOyi_l zyFd)-CLBIOdL+wJ$>Y93l zXx{huE{Eb187QsM!FYht0yp0bdVVAeg^gH?T7>~mH*5g_*EA_7&>PisEth-)RriK& z7pXm1t!|;#BYDmF0NxGF>;{-jts)VFKCMb_*ucT1@jrLY`Y{ZGneUvA1iA0sDxF(v z1r&H6JXf*#*Z`+=SA&kOYvxKR)@%0h-tnfof~_VyYO1N>AmI8PiR@64qO~XC2jG$Q z)j~j-4O{}POc~-SCFJZcu*?8wJ?2sKRzA~N&Zp(qRx@qp!1zd49?smOC{rg)#x&}p zS>d&GsFlPYveIpOwCFIdz$sAIEU>xWX5*XlsRsY=fIz#^1M@pY66cQ$X?kqLX{)F6 z`m;6p#j-#Re?MuJEvJ!YmBiZn7I-BlMCV4kJ(GXz6!qdZ_jf~{KkDHo6Lr-*GWXYj z2|QKGEf{lAg=!gROLOwKKq1O%pc(i_zDQxlJh@u<5WXqg2Y78+K|3%LtST+~@qJ4( z@Wkkb>oAknQH|@olQWOA^f;>a4(~@+8{QH~730x!^8oF%$dCYHyxmxh)QZfO@GzqraRz923o+8*?~8Q1zugI3FZNYqn4RhZPxgzKC=e% z_@m&}(85ZM5P>bZ!g)utoP=>VN&eyVre(9%{>eU)&G?MN&*wl1q?ou@d-b{F`~(kk z_;1)B(W7!@@iwSLC7$P-+0!FW)^{_CQWwPi^0!$^zZFd+nc~^g;{;q_XDQI?mIr8f zSYEa&rxfz=k_WR){hXFVq#h{1$9-dYrL3)sZlOMDov3}Mstq10fj#;d0Z9lD~ zZUy0H9X6=D6o);Ygz!vr&8qQV3>iesF^@~En&><-a8^YnAs-w;A;EnSe+5=|owz;za zv61$L4dAWIljwap8OurpV`sMo!Np6(Y?am!Lp@8u`M^_bewWPd&sCt$dQW>Mo{p45wq+zfJe59*o0n*ENiN7Yi@WHXxwI=S@*3}J*tPGWT zckw=tE=pkMgwmZe**Gn*&p1l7`V9M4L27WA^6FPM?}uTwM%$UuID-| zRqFZ%USfss4hDZ&d=)2TJh~)hzljS%O=or8=gIuvxz7uY)h2*g679bz(r4?p+vA$! zwZP|IYv51I)twJj5M%nzF%JR9n(ajMjkS)DpUNwhR_bNu>$R;KJxN##hcGAS&UH~d zrmfXYZq&o{M`o0whZEe%!f`(FI@crQf4UoJow`>`lMQF1-uMJBw17`IZiI(qI@e%V zWnm)CJ1JbI4@D*cLxXLSl)(CtO#VU3nM5mcPoFw>Ef9R!^a1+m*CGHD`d|P|Eys>+ZC6X^6GUx6qDHXC{nTO6@NSY&cZvzN+E3zSpGbzxJ z^bNC&xsbe#)`;Wv z{MvJ49=onc7;<>emCp-_@wjQLgcv}kPCvtZY{mFYZNoA_kn>lhM>whCE1chyFJTbE z_btRrZ_)EOl5YRbxqwu6ka zx9<7@%&q4)E?Y3Y6Fn)aNs}*fXAv$9&Wm?)wy%!v0=2V*+^R?8yrp`CoB$h<|o znV^+MXrpj%0E^ea>Oc4CCpH^ZUvR+nD^e)yjc~~0<3RNfCwHj3J7TSy5>l-QhyOQp z?*B|LejNXN_Sx0OW-i0TnEQ3huv*33I~pUGB0{R;UJIQHA2SmZ5lOm{a-SffpUR{J!39ZzgxPCG9rypR(28_K*f=g}f4dZQIsmo5? ze7Efg>Q7E?mLq2*1FQ6o|&?g)}Dix zR|PwtO$wU7;)|8ZX&$%g|2vR2kkxRlD96a+xZVUV-0pPQ;O}3EzdZ4!1Nw#$=j; ze){()4^k|`+0}plRk5Rz@ln~%O!Zcj<$0|b{x&^@dGf%~icdwVRH>GDH+<@J#RJFe zPy>OBBq+1-U)gKuvR)ei3_Nc4lAKVns4EM&tq~fq*2_?H+kb7sin{SC03a1?iT4Q( zdNWD2?D~B75>@HrL4l{xW!M=@lTB_2^lWnp>G$t2?=x;RFH$d6Xgz7wGt*7Lp2OLYI*+nuV0~TMGEtwmk87P_i>I72!Gyez zK!fh22k&yjsky=KHj>dPNp>xkDR;xfv8%X_4FH&@{ibrVF=3pA)3-v1edXX{A1ei19uK!Y#J<8 zbeSxLbtgpsz;PLyy0UE?ZeutNXY~u0Qj_j?H}mA8s3i2vzA#KjAc2|#=lRBd%v_lD zuJm-xmTG_e!{KbRsE80l5r;k+=jlk z&e9B*gSXXhQXO4x4^P!F6FvHfmszY!WjFi_wVH(RCHAq#c%}GL)@OB7MGUpScJhYD z_eB_~k>-j>vmj5p-?Z)Lrw8G4#R>!Jppt`7Gv@{59ZAfoR96#a-jH0j!n1lCjUXk6@|U5ZW9G5=RTl`82P0yRu{@%!P#Z{K*vS6_){It(oaYAg2y1E~>LmMFA< z*UGpAn;#>(NV#X~@y}vIt3Xxb`<+7CwjD5^kj~z-6>zl}TgY3I5_Oic9LvG9%D3j^ zC_?&!bi-oH0|4X}Sad>;KM;l5HN8)HXoOwCyx64S${AjcsK2?`h!-zMY@uk=eJZ~J z)W2Uz9}ZF;0|n^Z#Va{`0v8(K9pYqqY=w;99n_JSS7n@2B2qli;AAowL43u~zo>9N25kB}jUx zrY~>lxE7D%n4JmSzt%+o%+(f57r3m%PMm+XNuK;EV4ZEEGRKctojS<2ox1+a*2N%f zzL?F9?LtZhvlQEp_3l#ib_UJZpABzS@tHC5G0yBAfk;Yf5i7DZMxW7Q7za$%H=lyB5H4j{jhtOJH=*Px1yQ;Euu1$C) z??y#2nD@6NYqRAwlYQtZzq?g1c_^L80@=}uF~Bo?gdOKG#o4*3rG zJ_~1dzPyK^N5*?+gwxN7QpJ&%b3b9aQD2}gb7sbXc?s-l zWS$IGH-0v$FnUDb4jOu_n~B3F_9+4J z>ugTiHFaz73!bT{{ge(3=Gx{C>kY` zyhGZ1k~c`w%E(80yAx-}-eeVf5~S}hE~`lK;*Qz((Bs#St6lNgq8U*o$+V!u;AB*t z_cQ*s!2!ERmBwR(^Y^esN57>1SQB}7f9xEHL@0H)iG|Vm@2&qlUv#TyJi2mNp7Jz+ zK=8^IHbAJ(+*VEts%9V@4gR?M^k>F?-dh1$N>PKsrDNW=;3o^`c;7~-&v}%dm2_)iUv__q&DpG{l>hr-u523 z^M`AXoJ=sMVCmdEc~^qasu^cM%PR5BCGq#3_b-j1CrsAutM}fHwto}bh)3}|suL<#e_C1rEuOl(5*ga<{|KJ=em4FCMGQN$%*rr)Fs6wf0z}=@HboOdHj=clo$X&y- zUXLf#?KRIRQ9El2kFCIt1EE%6gY{>3%S~-8cx7HEx`8tjF)|J}u`n~=-fRi`1taeM z0b2t%`U;;MO6T`&cH<&me|T?NYnb6*f`n{w4RY}jDAsLog7KPwvs_ur)3yNslweSW zyvkzD!dl+C^{)_TzKg3{@Yeetx&_uY9ln0;>h4}b*jo^38xK^)8?cOC{)~*;5xtYs z9^=rFkVxy@E9%{s+#bCDuLB1GOi;AHg^C{~@^x;jA>*x;C}l@&edqK(z=IY@>v~|# zpUTMPD^z(z?cXtHH% zAAGvAv+_+63VJ3HbKw4T!Jz}3{rxF1`%v{~prZ~Ys3(rC8=*BZku{z&SLW~;h|k&D zFA#WJb1Vn+9F=4GA$P%!?VPawP(g4YXLJAFEyv@C&!{Nx$$2Tg@NnuD1sF6@x0V{G9 zY4c;XLnr{HYM_7sh*^Vfh(l=tpc(-+zD&fTF+c>W`7+MvKP(fTcUo^SleMh zr-}RmJB)Y1My!%UI0QVQp>IH0gQ#h%I#5<|H4$AVod%Y3t(PWjz$7QyUS}9F26t@#iYYp6TzX8sI7ZUx4;pL7nPB5lK#Jy@Z+ zLo?4Kg^$qb@ml0|ADiw!JjHXojWdh{b*y}owzA^I3r+ekTER8Nc|U5_Mf=w#LB`_e z)4RNW;1*tkp{kgLdL2RlfW&2kqFhZIB-tc@TvMpoDCz1j2-@-B(Bg&JD?_|`6I&!>ixH>@IHoycrB3zgRCZ{Oi?uL65s84b zkGCn{tlcz$==h~sg8+{InY&#TyrFKBN)-CNBTa+m_4< zLN+vEXf%r{cJNocqitGJmJ!op;fxmZ*qf_WVSlS3YuUPirY3Z=--r020;An_ANGd- zCTWtiUDTSlT4)d27LrG#cew2r^h4>T%G0`}0*A=S^cAwnQJjG~9U TPs#D>bp%%WO3T5MDmwoM>QOhi diff --git a/palettel.map b/palettel.map index a16236c7..b2a4d361 100755 --- a/palettel.map +++ b/palettel.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:42 Executable Image: palettel.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 119c:0000 0000f110 +DGROUP 11a3:0000 0000f110 @@ -24,37 +24,37 @@ DGROUP 119c:0000 0000f110 Segment Class Group Address Size ======= ===== ===== ======= ==== -palettel_TEXT CODE AUTO 0000:0000 00000160 -16_in_TEXT CODE AUTO 0000:0160 00001e80 -16_mm_TEXT CODE AUTO 0000:1fe0 00003308 -wcpu_TEXT CODE AUTO 0000:52f0 00000058 -16_head_TEXT CODE AUTO 0000:5350 00000405 -16_ca_TEXT CODE AUTO 0000:5760 000003fd -kitten_TEXT CODE AUTO 0000:5b60 0000080a -16_hc_TEXT CODE AUTO 0000:6370 0000158b -timer_TEXT CODE AUTO 0000:7900 00000235 -_TEXT CODE AUTO 0000:7b40 0000765b -modex16_TEXT CODE AUTO 0f1a:0000 00002554 -16text_TEXT CODE AUTO 0f1a:2560 0000010d -16_in13_DATA FAR_DATA AUTO 1181:0000 000001a4 -FAR_DATA FAR_DATA AUTO 119b:0004 00000000 -_NULL BEGDATA DGROUP 119c:0000 00000020 -_AFTERNULL BEGDATA DGROUP 119e:0000 00000002 -CONST DATA DGROUP 119e:0002 00000076 -CONST2 DATA DGROUP 11a5:0008 00000102 -_DATA DATA DGROUP 11b6:0000 00000591 -XIB DATA DGROUP 120f:0002 00000000 -XI DATA DGROUP 120f:0002 00000036 -XIE DATA DGROUP 1212:0008 00000000 -YIB DATA DGROUP 1212:0008 00000000 -YI DATA DGROUP 1212:0008 00000018 -YIE DATA DGROUP 1214:0000 00000000 -STRINGS DATA DGROUP 1214:0000 00000000 -DATA DATA DGROUP 1214:0000 00000000 -_emu_init_start EMU DGROUP 1214:0000 00000000 -_emu_init_end EMU DGROUP 1214:0000 00000000 -_BSS BSS DGROUP 1214:0000 00000ec2 -STACK STACK DGROUP 1301:0000 0000dac0 +palettel_TEXT CODE AUTO 0000:0000 00000168 +16_in_TEXT CODE AUTO 0000:0170 00001e80 +16_mm_TEXT CODE AUTO 0000:1ff0 00003308 +wcpu_TEXT CODE AUTO 0000:5300 00000058 +16_head_TEXT CODE AUTO 0000:5360 00000405 +16_ca_TEXT CODE AUTO 0000:5770 000003fd +kitten_TEXT CODE AUTO 0000:5b70 0000080a +16_hc_TEXT CODE AUTO 0000:6380 0000158b +timer_TEXT CODE AUTO 0000:7910 00000235 +_TEXT CODE AUTO 0000:7b50 0000765b +modex16_TEXT CODE AUTO 0f1b:0000 000025bc +16text_TEXT CODE AUTO 0f1b:25c0 0000010d +16_in13_DATA FAR_DATA AUTO 1188:0000 000001a4 +FAR_DATA FAR_DATA AUTO 11a2:0004 00000000 +_NULL BEGDATA DGROUP 11a3:0000 00000020 +_AFTERNULL BEGDATA DGROUP 11a5:0000 00000002 +CONST DATA DGROUP 11a5:0002 00000076 +CONST2 DATA DGROUP 11ac:0008 00000102 +_DATA DATA DGROUP 11bd:0000 00000591 +XIB DATA DGROUP 1216:0002 00000000 +XI DATA DGROUP 1216:0002 00000036 +XIE DATA DGROUP 1219:0008 00000000 +YIB DATA DGROUP 1219:0008 00000000 +YI DATA DGROUP 1219:0008 00000018 +YIE DATA DGROUP 121b:0000 00000000 +STRINGS DATA DGROUP 121b:0000 00000000 +DATA DATA DGROUP 121b:0000 00000000 +_emu_init_start EMU DGROUP 121b:0000 00000000 +_emu_init_end EMU DGROUP 121b:0000 00000000 +_BSS BSS DGROUP 121b:0000 00000ec2 +STACK STACK DGROUP 1308:0000 0000dac0 +----------------+ @@ -68,562 +68,562 @@ Address Symbol ======= ====== Module: palettel.o(/dos/z/16/src/palettel.c) -0000:004e main_ -119c:0780+ _gvar -119c:0838+ _bakapee -119c:083c+ _pal -119c:0840* _i +0000:0056 main_ +11a3:0780+ _gvar +11a3:0838+ _bakapee +11a3:083c+ _pal +11a3:0840* _i Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -119c:085e+ _inpu -0000:02a0+ INL_KeyService_ -0000:053a+ Mouse_ -0000:0592+ IN_GetJoyAbs_ -0000:093c+ IN_GetJoyButtonsDB_ -0000:0bc2+ IN_SetupJoy_ -0000:0d84* IN_Startup_ -0000:0e8a* IN_Default_ -0000:0fee* IN_Shutdown_ -0000:106e* IN_SetKeyHook_ -0000:1090+ IN_ClearKeysDown_ -0000:1170* IN_ReadCursor_ -0000:128c* IN_ReadControl_ -0000:1ac4* IN_SetControlType_ -0000:1b12* IN_GetScanName_ -0000:1b9a* IN_WaitForKey_ -0000:1bd0* IN_WaitForASCII_ -0000:1c06+ IN_AckBack_ -0000:1cee* IN_Ack_ -0000:1d90+ IN_IsUserInput_ -0000:1e36* IN_UserInput_ -0000:1e7a* IN_KeyDown_ -0000:1ec2+ IN_ClearKey_ -0000:1f3a* IN_qb_ +11a3:085e+ _inpu +0000:02b0+ INL_KeyService_ +0000:054a+ Mouse_ +0000:05a2+ IN_GetJoyAbs_ +0000:094c+ IN_GetJoyButtonsDB_ +0000:0bd2+ IN_SetupJoy_ +0000:0d94* IN_Startup_ +0000:0e9a* IN_Default_ +0000:0ffe* IN_Shutdown_ +0000:107e* IN_SetKeyHook_ +0000:10a0+ IN_ClearKeysDown_ +0000:1180* IN_ReadCursor_ +0000:129c* IN_ReadControl_ +0000:1ad4* IN_SetControlType_ +0000:1b22* IN_GetScanName_ +0000:1baa* IN_WaitForKey_ +0000:1be0* IN_WaitForASCII_ +0000:1c16+ IN_AckBack_ +0000:1cfe* IN_Ack_ +0000:1da0+ IN_IsUserInput_ +0000:1e46* IN_UserInput_ +0000:1e8a* IN_KeyDown_ +0000:1ed2+ IN_ClearKey_ +0000:1f4a* IN_qb_ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) -0000:29c4+ MML_CheckForEMS_ -0000:2a20+ MML_SetupEMS_ -0000:2bd8+ MML_ShutdownEMS_ -0000:2c44+ MM_MapEMS_ -0000:2d40* MM_MapXEMS_ -0000:2e60+ MML_CheckForXMS_ -0000:2ebc* MML_SetupXMS_ -0000:2fa4+ MML_ShutdownXMS_ -0000:3032+ MML_UseSpace_ -0000:3210+ MML_ClearBlock_ -0000:3294* MM_Startup_ -0000:36c6+ MM_Shutdown_ -0000:375c MM_GetPtr_ -0000:3b56+ MM_FreePtr_ -0000:3c4e* MM_SetPurge_ -0000:3d28* MM_SetLock_ -0000:3e00+ MM_SortMem_ -0000:3fee* MM_ShowMemory_ -0000:468e* MM_DumpData_ -0000:47e8+ MM_UnusedMemory_ -0000:486c+ MM_TotalFree_ -0000:4902* MM_Report_ -0000:52ba* MM_BombOnError_ -119c:09c0+ _beforesort -119c:09c4+ _aftersort -119c:09c8+ _XMSaddr +0000:29d4+ MML_CheckForEMS_ +0000:2a30+ MML_SetupEMS_ +0000:2be8+ MML_ShutdownEMS_ +0000:2c54+ MM_MapEMS_ +0000:2d50* MM_MapXEMS_ +0000:2e70+ MML_CheckForXMS_ +0000:2ecc* MML_SetupXMS_ +0000:2fb4+ MML_ShutdownXMS_ +0000:3042+ MML_UseSpace_ +0000:3220+ MML_ClearBlock_ +0000:32a4* MM_Startup_ +0000:36d6+ MM_Shutdown_ +0000:376c MM_GetPtr_ +0000:3b66+ MM_FreePtr_ +0000:3c5e* MM_SetPurge_ +0000:3d38* MM_SetLock_ +0000:3e10+ MM_SortMem_ +0000:3ffe* MM_ShowMemory_ +0000:469e* MM_DumpData_ +0000:47f8+ MM_UnusedMemory_ +0000:487c+ MM_TotalFree_ +0000:4912* MM_Report_ +0000:52ca* MM_BombOnError_ +11a3:09c0+ _beforesort +11a3:09c4+ _aftersort +11a3:09c8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:52f0 detectcpu_ +0000:5300 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) -0000:535e* wait_ -0000:53aa* filesize_ -0000:5410 printmeminfoline_ -0000:55fc US_CheckParm_ +0000:536e* wait_ +0000:53ba* filesize_ +0000:5420 printmeminfoline_ +0000:560c US_CheckParm_ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) -0000:578e CA_OpenDebug_ -0000:57de CA_CloseDebug_ -0000:580c+ CA_FarRead_ -0000:588c* CA_FarWrite_ -0000:590c* CA_ReadFile_ -0000:5988* CA_LoadFile_ -0000:5a30* CAL_OptimizeNodes_ -0000:5ae0* CA_Startup_ -0000:5b30* CA_Shutdown_ -119c:09d0* _finishcachebox -119c:09d4* _drawcachebox -119c:09d8* _updatecachebox +0000:579e CA_OpenDebug_ +0000:57ee CA_CloseDebug_ +0000:581c+ CA_FarRead_ +0000:589c* CA_FarWrite_ +0000:591c* CA_ReadFile_ +0000:5998* CA_LoadFile_ +0000:5a40* CAL_OptimizeNodes_ +0000:5af0* CA_Startup_ +0000:5b40* CA_Shutdown_ +11a3:09d0* _finishcachebox +11a3:09d4* _drawcachebox +11a3:09d8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) -0000:5b9c KITTENGETS -0000:5bfc* kittenopen_ -0000:5e4a+ catread_ -0000:5efe* kittenclose_ -0000:5f1a+ mystrtoul_ -0000:5fce+ processEscChars_ -0000:6154+ get_line_ -0000:61c6+ db_fetch_ -0000:625c+ db_insert_ -119c:0494+ __kitten_catalog +0000:5bac KITTENGETS +0000:5c0c* kittenopen_ +0000:5e5a+ catread_ +0000:5f0e* kittenclose_ +0000:5f2a+ mystrtoul_ +0000:5fde+ processEscChars_ +0000:6164+ get_line_ +0000:61d6+ db_fetch_ +0000:626c+ db_insert_ +11a3:0494+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) -0000:651c+ LargestFreeBlock_ -0000:65aa+ _coreleft_ -0000:6626+ LargestFarFreeBlock_ -0000:66dc+ _farcoreleft_ -0000:678a+ LargestHugeFreeBlock_ -0000:687a* _hugecoreleft_ -0000:694a+ GetFreeSize_ -0000:69a4+ GetFarFreeSize_ -0000:69ee+ GetNearFreeSize_ -0000:6a3c* heapdump_ -0000:76de+ heapstat_ -0000:781c+ heapstat0_ -0000:787e+ HC_OpenDebug_ -0000:78ce* HC_CloseDebug_ +0000:652c+ LargestFreeBlock_ +0000:65ba+ _coreleft_ +0000:6636+ LargestFarFreeBlock_ +0000:66ec+ _farcoreleft_ +0000:679a+ LargestHugeFreeBlock_ +0000:688a* _hugecoreleft_ +0000:695a+ GetFreeSize_ +0000:69b4+ GetFarFreeSize_ +0000:69fe+ GetNearFreeSize_ +0000:6a4c* heapdump_ +0000:76ee+ heapstat_ +0000:782c+ heapstat0_ +0000:788e+ HC_OpenDebug_ +0000:78de* HC_CloseDebug_ Module: timer.o(/dos/z/16/src/lib/timer.c) -0000:7900* start_timer_ -0000:7a2c* elapsed_timer_ -0000:7a7e* ticktock_ -0000:7aea* time_in_seconds_ +0000:7910* start_timer_ +0000:7a3c* elapsed_timer_ +0000:7a8e* ticktock_ +0000:7afa* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0000:7b45 __STK -0000:7b65 __STKOVERFLOW_ +0000:7b55 __STK +0000:7b75 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0f1a:01f4 VGAmodeX_ -0f1a:0286+ vgaGetMode_ -0f1a:02bc+ modexEnter_ -0f1a:040a modexLeave_ -0f1a:0422+ modexsetBaseXMode_ -0f1a:0474 modexDefaultPage_ -0f1a:0526* modexNextPage_ -0f1a:05f2* modexNextPageFlexibleSize_ -0f1a:06c0* modexShowPage_ -0f1a:07bc* modexPanPage_ -0f1a:080c* modexSelectPlane_ -0f1a:0830+ modexClearRegion_ -0f1a:0948* oldDrawBmp_ -0f1a:0aae* CDrawBmp_ -0f1a:0c12* modexDrawBmp_ -0f1a:0c78+ modexDrawBmpRegion_ -0f1a:0de2* modex_sparky4_DrawBmpRegion_ -0f1a:0f4c* modexDrawPlanarBuf_ -0f1a:0f6a* modexDrawSprite_ -0f1a:0fd0+ modexDrawSpriteRegion_ -0f1a:1148* modexCopyPageRegion_ -0f1a:12b2 modexFadeOn_ -0f1a:12e2* modexFadeOff_ -0f1a:1310* modexFlashOn_ -0f1a:133e* modexFlashOff_ -0f1a:140e+ modexPalSave_ -0f1a:1464 modexNewPal_ -0f1a:14b4 modexLoadPalFile_ -0f1a:1596* modexSavePalFile_ -0f1a:160e modexPalBlack_ -0f1a:1638* modexPalWhite_ -0f1a:1662+ modexPalUpdate_ -0f1a:1bd2 modexPalUpdate1_ -0f1a:1c4c* modexPalUpdate0_ -0f1a:1c98+ chkcolor_ -0f1a:1fb0+ modexputPixel_ -0f1a:203e* modexgetPixel_ -0f1a:20c4* modexhlin_ -0f1a:2148* modexprint_ -0f1a:22e2* modexprintbig_ -0f1a:245e pdump_ -0f1a:24be* cls_ -0f1a:252c+ modexWaitBorder_ -119c:04c4+ _VGA +0f1b:01fc VGAmodeX_ +0f1b:028e+ vgaGetMode_ +0f1b:02c4+ modexEnter_ +0f1b:0472 modexLeave_ +0f1b:048a+ modexsetBaseXMode_ +0f1b:04dc modexDefaultPage_ +0f1b:058e* modexNextPage_ +0f1b:065a* modexNextPageFlexibleSize_ +0f1b:0728* modexShowPage_ +0f1b:0824* modexPanPage_ +0f1b:0874* modexSelectPlane_ +0f1b:0898+ modexClearRegion_ +0f1b:09b0* oldDrawBmp_ +0f1b:0b16* CDrawBmp_ +0f1b:0c7a* modexDrawBmp_ +0f1b:0ce0+ modexDrawBmpRegion_ +0f1b:0e4a* modex_sparky4_DrawBmpRegion_ +0f1b:0fb4* modexDrawPlanarBuf_ +0f1b:0fd2* modexDrawSprite_ +0f1b:1038+ modexDrawSpriteRegion_ +0f1b:11b0* modexCopyPageRegion_ +0f1b:131a modexFadeOn_ +0f1b:134a* modexFadeOff_ +0f1b:1378* modexFlashOn_ +0f1b:13a6* modexFlashOff_ +0f1b:1476+ modexPalSave_ +0f1b:14cc modexNewPal_ +0f1b:151c modexLoadPalFile_ +0f1b:15fe* modexSavePalFile_ +0f1b:1676 modexPalBlack_ +0f1b:16a0* modexPalWhite_ +0f1b:16ca+ modexPalUpdate_ +0f1b:1c3a modexPalUpdate1_ +0f1b:1cb4* modexPalUpdate0_ +0f1b:1d00+ chkcolor_ +0f1b:2018+ modexputPixel_ +0f1b:20a6* modexgetPixel_ +0f1b:212c* modexhlin_ +0f1b:21b0* modexprint_ +0f1b:234a* modexprintbig_ +0f1b:24c6 pdump_ +0f1b:2526* cls_ +0f1b:2594+ modexWaitBorder_ +11a3:04c4+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0000:7b82 _fmalloc_ -0000:7b82 malloc_ -119c:04d8 ___fheap -119c:04da ___fheapRover -119c:04dc ___LargestSizeB4Rover +0000:7b92 _fmalloc_ +0000:7b92 malloc_ +11a3:04d8 ___fheap +11a3:04da ___fheapRover +11a3:04dc ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0000:7cff __PIA -0000:7cf8* __PIS +0000:7d0f __PIA +0000:7d08* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) -0000:7d16 getch_ +0000:7d26 getch_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -119c:0000* __nullarea -119c:04de* __ovlflag -119c:04df* __intno -119c:04e0* __ovlvec -0000:7d30 _cstart_ -0000:7e03* _Not_Enough_Memory_ -0000:7f35 __exit_ -0000:7f54 __do_exit_with_msg__ -0000:7fb1 __GETDS +11a3:0000* __nullarea +11a3:04de* __ovlflag +11a3:04df* __intno +11a3:04e0* __ovlvec +0000:7d40 _cstart_ +0000:7e13* _Not_Enough_Memory_ +0000:7f45 __exit_ +0000:7f64 __do_exit_with_msg__ +0000:7fc1 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0000:7fbc _big_code_ -0000:7fbc* CodeModelMismatch +0000:7fcc _big_code_ +0000:7fcc* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -119c:12e4 __argv -119c:12e8 ___argv -119c:12ec __argc -119c:12ee ___argc +11a3:12e4 __argv +11a3:12e8 ___argv +11a3:12ec __argc +11a3:12ee ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0000:7fbc printf_ +0000:7fcc printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0000:7feb+ int86x_ -0000:816e int86_ +0000:7ffb+ int86x_ +0000:817e int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0000:819c __I4M -0000:819c __U4M +0000:81ac __I4M +0000:81ac __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -0000:81b4 _dos_getvect_ +0000:81c4 _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -0000:81bf _dos_setvect_ +0000:81cf _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:81cc __I4D -0000:821b __U4D +0000:81dc __I4D +0000:822b __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0000:829f* _heapgrow_ -0000:829f _fheapgrow_ -0000:82a0 _nheapgrow_ +0000:82af* _heapgrow_ +0000:82af _fheapgrow_ +0000:82b0 _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -0000:8318 _memavl_ +0000:8328 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0000:835d _nmalloc_ -119c:04e4 ___nheapbeg -119c:04e6 ___MiniHeapRover -119c:04e8 ___LargestSizeB4MiniHeapRover +0000:836d _nmalloc_ +11a3:04e4 ___nheapbeg +11a3:04e6 ___MiniHeapRover +11a3:04e8 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0000:8437 _ffree_ -0000:8437 free_ +0000:8447 _ffree_ +0000:8447 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0000:84a4 _nfree_ -119c:12f0+ ___MiniHeapFreeRover +0000:84b4 _nfree_ +11a3:12f0+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0000:859f+ _null_exit_rtn_ -0000:859f+ __null_int23_exit_ -0000:85a0 exit_ -0000:85c1+ _exit_ -119c:04ea+ ___int23_exit -119c:04ee ___FPE_handler_exit +0000:85af+ _null_exit_rtn_ +0000:85af+ __null_int23_exit_ +0000:85b0 exit_ +0000:85d1+ _exit_ +11a3:04ea+ ___int23_exit +11a3:04ee ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0000:85de __PTC +0000:85ee __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:8611 ultoa_ -0000:86cf* ltoa_ +0000:8621 ultoa_ +0000:86df* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -0000:8855 write_ +0000:8865 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0000:8a81+ __open_flags_ -0000:8d42+ _fsopen_ -0000:8da9 fopen_ -0000:8ecc* freopen_ +0000:8a91+ __open_flags_ +0000:8d52+ _fsopen_ +0000:8db9 fopen_ +0000:8edc* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0000:8f56 fprintf_ +0000:8f66 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0000:8f85 __doclose_ -0000:90cf __shutdown_stream_ -0000:90e9 fclose_ -119c:12f2+ ___RmTmpFileFn +0000:8f95 __doclose_ +0000:90df __shutdown_stream_ +0000:90f9 fclose_ +11a3:12f2+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -0000:9154+ __ibm_bios_get_ticks_ -0000:91cb clock_ +0000:9164+ __ibm_bios_get_ticks_ +0000:91db clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0000:91f2 kbhit_ +0000:9202 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -0000:9209 ftell_ +0000:9219 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0000:93ef fseek_ +0000:93ff fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -119c:0098 __IsTable +11a3:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0000:95e7 tolower_ +0000:95f7 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -0000:95f5 unlink_ +0000:9605 unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0000:9825 open_ -0000:985c sopen_ +0000:9835 open_ +0000:986c sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -0000:9889 close_ +0000:9899 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -0000:988e filelength_ +0000:989e filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -0000:98ec sprintf_ +0000:98fc sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -0000:991b getenv_ +0000:992b getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:9a06 __PTS +0000:9a16 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -0000:9a37 read_ +0000:9a47 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -0000:9b70* __clib_strdup_ -0000:9b70 strdup_ +0000:9b80* __clib_strdup_ +0000:9b80 strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -0000:9bd7 halloc_ -0000:9cbc hfree_ +0000:9be7 halloc_ +0000:9ccc hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -0000:9cc3 _heapwalk_ -0000:9cc3 _fheapwalk_ +0000:9cd3 _heapwalk_ +0000:9cd3 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -0000:9cd8+ __NHeapWalk_ -0000:9dd1 _nheapwalk_ +0000:9ce8+ __NHeapWalk_ +0000:9de1 _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -0000:9de1 stackavail_ +0000:9df1 stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -119c:04fa __8087 -119c:04fb __real87 -119c:04fc __dos87emucall -119c:04fe __dos87real +11a3:04fa __8087 +11a3:04fb __real87 +11a3:04fc __dos87emucall +11a3:04fe __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0000:9df0* __exit_with_msg_ -0000:9df5 __fatal_runtime_error_ +0000:9e00* __exit_with_msg_ +0000:9e05 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -119c:0500 __curbrk -119c:0508 __STACKLOW -119c:050a __STACKTOP -119c:050c __cbyte -119c:050e __child -119c:0510 __no87 -119c:051d ___FPE_handler -119c:0502 __psp -119c:0511 __get_ovl_stack -119c:0515 __restore_ovl_stack -119c:0519 __close_ovl_file -119c:0521 __LpCmdLine -119c:0525 __LpPgmName -119c:0504 __osmajor -119c:0505 __osminor -119c:0506 __osmode -119c:0507 __HShift +11a3:0500 __curbrk +11a3:0508 __STACKLOW +11a3:050a __STACKTOP +11a3:050c __cbyte +11a3:050e __child +11a3:0510 __no87 +11a3:051d ___FPE_handler +11a3:0502 __psp +11a3:0511 __get_ovl_stack +11a3:0515 __restore_ovl_stack +11a3:0519 __close_ovl_file +11a3:0521 __LpCmdLine +11a3:0525 __LpPgmName +11a3:0504 __osmajor +11a3:0505 __osminor +11a3:0506 __osmode +11a3:0507 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:9e14+ __fill_buffer_ -0000:a063 fgetc_ +0000:9e24+ __fill_buffer_ +0000:a073 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -0000:a1aa fwrite_ +0000:a1ba fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -0000:a523 rand_ -0000:a565* srand_ +0000:a533 rand_ +0000:a575* srand_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0f1a:25d6* textInit_ -119c:12fa _romFonts +0f1b:2636* textInit_ +11a3:12fa _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0000:a590 __MemAllocator -0000:a65a __MemFree +0000:a5a0 __MemAllocator +0000:a66a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0000:a7b7 __GrowSeg_ +0000:a7c7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0000:a92d __AllocSeg_ +0000:a93d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0000:aa1c __fmemneed_ +0000:aa2c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:aa1f __CMain +0000:aa2f __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:aa85 __InitRtns -0000:aa85* __FInitRtns -0000:aae0 __FiniRtns -0000:aae0* __FFiniRtns +0000:aa95 __InitRtns +0000:aa95* __FInitRtns +0000:aaf0 __FiniRtns +0000:aaf0* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -119c:052e ___uselfn +11a3:052e ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:ab44 __DOSseg__ +0000:ab54 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -0000:ab45 __Init_Argv_ -0000:aba8+ _getargv_ -0000:aed8 __Fini_Argv_ +0000:ab55 __Init_Argv_ +0000:abb8+ _getargv_ +0000:aee8 __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -119c:0530 ___iob -119c:1316 ___OpenStreams -119c:131a ___ClosedStreams +11a3:0530 ___iob +11a3:1316 ___OpenStreams +11a3:131a ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:af1c __fprtf_ +0000:af2c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:b06f intr_ +0000:b07f intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:b083 segread_ +0000:b093 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:b16e __LastFree_ -0000:b1e8 __ExpandDGROUP_ +0000:b17e __LastFree_ +0000:b1f8 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:b2f9 __nmemneed_ +0000:b309 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -119c:0022 ___Alphabet +11a3:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:b2fc __lseek_ +0000:b30c __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:b336* __dosretax -0000:b33b* __dosret0 -0000:b342 __set_errno_dos_ -0000:b3aa* __set_errno_dos_reterr_ +0000:b346* __dosretax +0000:b34b* __dosret0 +0000:b352 __set_errno_dos_ +0000:b3ba* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:b3b5* __get_errno_ptr_ -119c:131e _errno +0000:b3c5* __get_errno_ptr_ +11a3:131e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:b3bc __GetIOMode_ -0000:b3f1 __SetIOMode_nogrow_ -119c:06ac ___NFiles -119c:06ae ___init_mode -119c:06d6 ___io_mode +0000:b3cc __GetIOMode_ +0000:b401 __SetIOMode_nogrow_ +11a3:06ac ___NFiles +11a3:06ae ___init_mode +11a3:06d6 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:b426* __set_commode_ -119c:06da __commode +0000:b436* __set_commode_ +11a3:06da __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -119c:06dc __fmode +11a3:06dc __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:b432 __chktty_ +0000:b442 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:b491 __freefp_ -0000:b532 __purgefp_ +0000:b4a1 __freefp_ +0000:b542 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:b573 __allocfp_ +0000:b583 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:b723 __close_ +0000:b733 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:b759 __flush_ +0000:b769 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -0000:b9a2 fflush_ +0000:b9b2 fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:b9b7 tell_ +0000:b9c7 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:b9c8 lseek_ +0000:b9d8 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:b9fa __doserror_ -0000:ba08 __doserror1_ +0000:ba0a __doserror_ +0000:ba18 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:ba14 _dos_open_ +0000:ba24 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:ba3a isatty_ +0000:ba4a isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:ba56* __get_doserrno_ptr_ -119c:1320 __doserrno +0000:ba66* __get_doserrno_ptr_ +11a3:1320 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -119c:06de ___umaskval +11a3:06de ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:ba5d _dos_creat_ -0000:ba81* _dos_creatnew_ +0000:ba6d _dos_creat_ +0000:ba91* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:baa2+ __grow_iomode_ -0000:bb89+ __shrink_iomode_ -0000:bbca __SetIOMode_ +0000:bab2+ __grow_iomode_ +0000:bb99+ __shrink_iomode_ +0000:bbda __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -0000:bc8a vsprintf_ +0000:bc9a vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -119c:1324 ___env_mask -119c:1328 _environ -119c:132c* __wenviron +11a3:1324 ___env_mask +11a3:1328 _environ +11a3:132c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -0000:bccc _mbterm_ +0000:bcdc _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -0000:bd1f _mbsnextc_ +0000:bd2f _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -0000:bd7a _mbctoupper_ +0000:bd8a _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -0000:bd9d _mbsinc_ +0000:bdad _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:bdef __ChkTTYIOMode_ -0000:be5d* __IOMode_ +0000:bdff __ChkTTYIOMode_ +0000:be6d* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -0000:bf2f __HeapWalk_ +0000:bf3f __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -0000:c16f* _fpreset_ -0000:c19a __init_8087_ -0000:c1cd+ __default_sigfpe_handler_ -0000:c222 __chk8087_ +0000:c17f* _fpreset_ +0000:c1aa __init_8087_ +0000:c1dd+ __default_sigfpe_handler_ +0000:c232 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:c292 __EnterWVIDEO_ -119c:06fe+ ___WD_Present +0000:c2a2 __EnterWVIDEO_ +11a3:06fe+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:c2b6 __flushall_ -0000:c34a flushall_ +0000:c2c6 __flushall_ +0000:c35a flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:c350 getche_ +0000:c360 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:c36a __ioalloc_ +0000:c37a __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:c4f8 __qread_ +0000:c508 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:c518 __qwrite_ +0000:c528 __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:c578 fputc_ +0000:c588 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:c74d* _heapenable_ -119c:0700 ___heap_enabled +0000:c75d* _heapenable_ +11a3:0700 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -119c:0702 __amblksiz +11a3:0702 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -119c:1330 ____Argv -119c:1334 ____Argc +11a3:1330 ____Argv +11a3:1334 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -119c:0732 __Start_XI -119c:0768 __End_XI -119c:0768 __Start_YI -119c:0780 __End_YI +11a3:0732 __Start_XI +11a3:0768 __End_XI +11a3:0768 __Start_YI +11a3:0780 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -119c:1336 ___historical_splitparms +11a3:1336 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:c75e __InitFiles_ +0000:c76e __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:c992* fcloseall_ -0000:c999 __full_io_exit_ +0000:c9a2* fcloseall_ +0000:c9a9 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:c9a3 __prtf_ +0000:c9b3 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:db14 _DoINTR_ +0000:db24 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:de8d* sbrk_ -0000:de9d __brk_ +0000:de9d* sbrk_ +0000:dead __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:df05 fsync_ +0000:df15 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:df0a* _frealloc_ -0000:df0a realloc_ +0000:df1a* _frealloc_ +0000:df1a realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -0000:e004 __setenvp_ -0000:e190 __freeenvp_ +0000:e014 __setenvp_ +0000:e1a0 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -119c:0704 ___IsDBCS +11a3:0704 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:e1ee* _ismbblead_ -119c:133c ___MBCSIsTable +0000:e1fe* _ismbblead_ +11a3:133c ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:e248 __mbinit_ -119c:0706 ___MBCodePage +0000:e258 __mbinit_ +11a3:0706 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -0000:e323 _mbdtoupper_ +0000:e333 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -0000:e331 toupper_ +0000:e341 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -119c:0708 __8087cw +11a3:0708 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -119c:070a ___Save8087 -119c:070e ___Rest8087 +11a3:070a ___Save8087 +11a3:070e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:e340 __GrabFP87_ +0000:e350 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:e372* __init_8087_emu -0000:e378 __x87id +0000:e382* __init_8087_emu +0000:e388 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:e3d5 wctomb_ +0000:e3e5 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:e420+ utoa_ -0000:e4c1 itoa_ +0000:e430+ utoa_ +0000:e4d1 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:e50b strupr_ +0000:e51b strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -119c:0712 ___EFG_printf -119c:0716* ___EFG_scanf +11a3:0712 ___EFG_printf +11a3:0716* ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:e553 ulltoa_ -0000:e690* lltoa_ +0000:e563 ulltoa_ +0000:e6a0* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:e701* _dos_close_ -0000:e70f _dos_commit_ +0000:e711* _dos_close_ +0000:e71f _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:e71e* _msize_ -0000:e739 _fmsize_ +0000:e72e* _msize_ +0000:e749 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:e74a __HeapManager_expand_ -0000:ec53 _nexpand_ +0000:e75a __HeapManager_expand_ +0000:ec63 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:eca6 _fexpand_ -0000:eca6* _expand_ +0000:ecb6 _fexpand_ +0000:ecb6* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -0000:ecdb clearenv_ +0000:eceb clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:ee10 __Init_FPE_handler_ -0000:ee49 __Fini_FPE_handler_ -0000:ee80* __FPEHandler +0000:ee20 __Init_FPE_handler_ +0000:ee59 __Fini_FPE_handler_ +0000:ee90* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:f112 _bfree_ +0000:f122 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:f137 _bexpand_ +0000:f147 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -119c:0730 ___FPE_int +11a3:0730 ___FPE_int +--------------------+ @@ -639,6 +639,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00020ad0 (133840.) -Entry point address: 0000:7d30 -Link time: 00:00.10 +Memory size: 00020b40 (133952.) +Entry point address: 0000:7d40 +Link time: 00:00.00 diff --git a/pcxtest.exe b/pcxtest.exe index 31c7741b878dee2649109886d0bbfb4c080c6dbf..e8a7873ffe24922ee9e94d2fff4e4342353c03a2 100755 GIT binary patch delta 26986 zcmW(+_gB)5_h*BEhzkeqnTdO4?i_7%|oqkw`tK%fTTJT)XvpL*de5EiR{F{FrQPfH3- z1c7L$!6zVYP#^<#5}t+-MFO@W4)`VUmlD8?TJx~>xF8pGz zs-r`p6rbJ>-c;yRh0tEwIeXE+Hvz#QoGnKO;t9BWc>827J2e4;pnU3R-h1YhAzWsC zB{$y%3k)~YFgQ+i{&pd)2@GmM7t5%yuQw?(`7n@CKWVB~Ob>uGOMvJu>+~t_4bl1C zf4fuH^9E<+3&ws|FoZ7C4DVT{#O`^mmoJT+R^9AlJ^z3UH|bpk6H;cP(^7y16F;TJ znYkj(w%CxM8g)5Lty>)u-pThKHEM63>35^EnxaXBwo@LZG#G>t{RP5SJaAXyfl4z* zn>k$qBK|b%F&_j|9T}$6p3B9BizB@)ZGl3v*c02jXW&$>PG+BD>O=02NYbg3c!+w{WeDf*g(-TT}R~&zW zm@?g1d9kIYY<(l#32D7m4ds77nH*QEX3CBqy#a#h9(v@bv&xj0X?^C5P|RXpiUp}n zsEmi$28!h~LGtiAJUnC zTB%32DUcz`EZmNNlNyu>wp)QiJ&9eRK%~kEYFOO4C?GaL6|_0N$rfgggP>iRLo%`B zN(tP8@!Wiz&93su0(69R%_Gy+cnty~!)BaVb(jIw1;cNwdsi#X*IS3x2tg+1eb|>kmtr3MAs&f3fRA*o;8hNDaOS35N!e6Nx85p1nh5X zO+Z)1v`YON3yjC=vX58*c{2rlZRwy~;5cBsvQw?{d+k*|1(?w-=+t7^CS=iV4<^tN zhamtN>hSyV-uCDG=O^k6&G=endkOp;EnQdOlQlHXw?Iii0_pPK&fI0dL{+9#Z000@ z^km3B3yO9ckW7QwP*gJqzyMFdCh8nTp0Vl#!K%bc;&YuEVItNs00o);9S~;r20XLg z1ihqe!BZ$LFI`+lk&i|5qjnj;=;lWu4QaD zKjjPEc=;0=#6eKZdNaQG2KYDdKVPyHW2|X88+r(Mqax|)=8^a5SHVmRaWul_Z=b^f*Xa7}7iK+~1&TPf_cDs}A%O*S!bt?hnAa$BO1bIk;teZ(Y zZKg#mNr_MNtviN)EU(zbGT6tx2|!|m?%wnc`sj*stAfQGG|2A{i~f$jJ(LJw(raXV z@}_KK7MbW4SH3x0!2Iii4v@!E)kk(774wlt1EgamTQ|=&w5zsGI#Y;o%Rvv{!$3e} zyH+2fJx^>VzdcP%h4=cqRXY>WPkR8A>^L6XMKXLKOcehq%~Ky2E15k^X%Tb9^v8}l zE7+Q#8^t_#po>ZLzJiGxqS1f0s0TS#JRg_dTwu03KQoHkldg;_} zQK-)iv+#-cmTVoNb+PDtlm+zF-Dv#q%SDe{q^ZFRTueexgxlz#Y(H()HfWlOn<7z{ z-&(M?3-gpF8$J*w)*!ck1uaL5b2>Ubqa$V5J6568286kA1>Hk!unvOkxb84g`Izm3 ziqld87lF?Z*CJQ4pU68MWr0-@ZP3`^Cj7%h(~%W-#JA~!yiVzcfNky7K}I;baP*{9 z_KgM|fAG|n4zh!G#;|z3jOZKG_(5gTY6Tg0QRLR@5d^2r#4V%Y&0T6ccW{?6(AT|9 z|E)t6K1~Euw}RX+Z55hCcX8`XMOsQfE(X9BqtT-c@G-lM(M-FBB(Xr(b$I0e5-%>{0;hA;Cte%MydD7w#?K{QOZ8*{!E)& z`;U(yX&>+UtUHSl?fe~QZFQGyw5R^6*lx&E_89(a%W3;-G_t@|0MxrT@1A^+LaE^5 zfa1mA*e&gQw|fI}JwpK0#T;0ds76R@ONQDOR|#-;NN7g=DgCwS8(n&B zO1&@($Llq6_hw#1H#)9s_gm$qp3!xO4+-wl)_!b#I-y3rA@^6Ydr-ZlL$3eTmL&V8 z{Kp*(Oks?PJrpfD{!4JE!Lz2JQDiEXiM~zzqIP>1MPBw{B9b1q2?_@;RLLvJQjS+- z9Q}b84LOdBI)I5%d&J2U6z&sb^o?GFRYzN%H(#lXk&mnQ0b~l;fKvEVG4G(*x+)Cq zEHK8~nBC-9%4fq5k1h#kaGaNHjV@VlcFW;&<~3s+Jx|B8*C76-LK|E2C^4ydeXbF^ zFJ4IzZL8@0Q(SCnVKcK6V<~LVdabomN8fJs-BKtdn+?77nCA6yWDWluz5 zm>*xm!|JbBnw(reGc~>6bF=Vk!Pg*{LVc?6uI1NcJOyrXXe+){gfo-ZHO54=r*hOc z3#sVpwgb1RqF+c7ii~3o5CxqH-!C&qX-G=5MM51j3k`ic!x!k$|2CqvX+N>8n#uKy zN~S?S-!DKUDDoFJvL~m#X@!>_61*_SL_XyQC%rwqYJEFOy68%05jBY~B{AqXmnC-J zlB*cltD$zw*O zg~UVO&}_2@Y8^K)DBcVcd-UoVX=$H2X*9oZ$8HGTWR|%_@HImQ<{1XqzK4LiSR-CC z)2Axx8%5``7l4#03vs(B5fG1PnHfsP2EU!uVThhTRXHixvI(SVMCRKlO_8PS&Ym$_ z4BRJrFM495i#8v8*So-oy{f;43~AqLEZijp7-CkVl?4N3PeoOTk1~EcI;h(C0)WgXU58&6byT!1 zjC`!^YjCvXi#4z6@2mP$?6}vwWyhGf zBCDIU^=HZq8(v9Bl1a*BXS?)^aP3BI?mi}fQ0dEtgXXw;0KaQ3;H&Mfg1YHH@y!Fv zT|dsyUHoi5#3H;ti?`4wtPdKs2Nlaus&WjEA~FHc3VRg$6j+B=9=+D&rKfI=I#Mh zoMy1`^kZBzVmM^Z?y~sj)cr$NCH<6nST@_u}4y zfx7{6(reM(Ts`hIho^5caSr2i(h7)mR=o@ACo!5>uRGV-D;>XAUUbrhQ@n~YX-Gm5 z_{etRIaCpi<^l~EEl(re6Zv-A?55i;6D=rQO$(i-%AkxOQ=O>4;8iXZFgi+=A8%6I z-%H?MmFpr)5TcoB$`#*1*{YkJ->~gd9DQER@}YK)M=AV>w+;vjyHYwcbTey(bMNjD`Sk59PUq{4|-2 zIG6S6kdmE|O^u1}DZg81L}z6(_8|4fz#uWE<&EEHtVQk4#TR17i&J_EsGV1KuK8@e z&Z1G(2@>rYBY@v>#tF{4c=%IqGh24v4zH=%5k<-TOGJLT2iHOzU12m5CbUW$VxV-v zf>eG?1cqq94XFYCqP;P?NbX6$BRUG6YH2;9xEZCQjXQX?f1fx%+1`)3AqzuLI8WXe zx(PC`TewInsVv@>W1Sw*! zOZ2nhrm9k&e^G~JGGp}uZOsaC3PJ@U8Rq_wY(BX;UOI4v9VwS^Ut-y@l19K8jm3MO zW!nC3lbyvL0TpyjEw|`dp#Qn@1=cvbY>JRKL*3n`yb;uY}By0}+#bw+wvUcUqVTzqQQ+T{yFNByq=Hm%~~HQ?hjQmqm3i*FY& zlF{ErpMC@AOS41^`H*kkw9AXU8!+98^IDy3Isw6*&;)8H+kIer&inVUu=k>6#OpZ* z&weZHpLzf#Muw{UK%t-X!**O-_fW2 zCd;O8#bl7>tln@8#|VW5T~=-}6rA4OplM5rB5$4jcIQ3Z7)%pCT@CIJxW9u9>b{{~ z)~BG_Rl2}y@eo7En--Jr2%$GIo|W(ajWLrN`{;3asF8b*(7e1?vnD5t(-HMZMhkIa zN$#r1J=C&G?16LWqO}J;vh*>DgNEyMa(GjKE8}z3STsh$ho`9-q2g=2c#RbO!rS5n zS+Ou0z1XOTdrq_Sqr=6%!=G`jL`$Cszogd(%B)=HoL%~cIQyk}*%Br9R)5VE?NZ^& zxF?R3{Tp<0MM(oJ7UI&nqnhdXaA)HQhulk_?RnDmx>wQveRa6UgH=>1uls_)Z>LV7 zkPxjX@K37K#Zr(jyL^Q!4J4Ru50d0o;c+nMlYP~)IPIVIGSvV_$@J9Cb06t_y6uqa zmh7P)wJ}mnr9TQ^wyW|v*~r2a$s1WeUI<{Ch7pi4CQ;*4-~gWvd)NM|TvvMTwP-X| z!1kVmZ-}j8At7BHp!df~^Lg2xZ)cx(tpjGBt|7&K-uw{R2BW2GK-v%ILBlNg5uXcM z3*0Uct>4I?hkVM-L;(%xgv zX<1GT2kFvdg#%xE7ByXlLyZPc<&Sxh18ssPs}&dD2C0zgS%2s5E+)Y1WZ}5FPQ|lH z6OuUbDV2)xq?E+Oa-e3u_@&XxU4J7T@hj zwP!?CMpN%}*c6yO8H7Z^`hd*X0Y_`QZ1r6H8AnM;m{x7K_k*T^VSwj0rXL z5X+qiwX)cvbcHbDBt5}egIdvBNaEXx7SM!?1FCr6%(sEpt&(YH!CNabX~$muIEpTW zH@p!_=zW}c^-$_SL_2(M)%_P1jiR)s-WDhV@vjr^<*Fnz+Eu2nxp%^$R7OvV{SNB0 z4*(UyEe$h=K@H{N^jP{}+P8TcZ>UI?vR~w(1H}IsUD|Au(10)3ZWO!W)^Q!vdJnQW zU-T0J+gyN7z4L_)<*;T`b@QJ$DKL&bI_fLu^Yx4q_U6{1%fb5&9n;hE$nxWhTZ6w9 z&Hh}tVF9}F6L%F{>QMn@Wi52v!dHFqc)s>Y!%+RY0K5h)_}y^5yHKrRB|0;dITTV0 z^&Qd$wDD&ih~x=G)6QQC*{L|u&?N0vAy=wQOCzFhOiSCUyjuB>4pS-=W8gNfixtk)@!N+DXKfPyE){}nZdenVM0<|Hv$y0MLGT?Y>-G(>%pUNW8+&DxR9~yY6JW6a zFlsv&gR16@U-7ynu5>#(Z?L^ttlFntruxPO89T7<>t`}`P+H?{fhLgMPeV|ove{cy zd}SKNBJo37JH4|2NzqnS+U1OF7Y-PXD!748baZS7|ET<^>(=vA?YjDvGYqS27a_z| zttfhn1Qe2eHN?bzjzg?*b_^YHr_1TDhFyVfxj2qLiP9`u?PA@T@!4P^gI9Go*2iar zlbXgJe|DGl4{!2{Zwo?qMvUVbp{m)5b2apEip_)AALQ1|jdxH?*F{_c8 z$1iPI!vyiK*-dI?m$ZL)DnZ?~LMXxFVvDrFG=8iRlrfJWMiWjtupm?Hj~|K*NY6rF-7 z*hPckJ&Jl|!uKM??|sfFOruUyS_b&d*XX;)Vibl|#{$@qeCXuEzOg2c;DEP&7qn=F zqq@)EIKDp4;zyr4(=UEgpsry(x)+l`=(5O9`?#f&c1}RkEP*DI)80c}pK(#(r{EUI zOFT#W{dDLc)_!)qQ6vIRg^(eKBBpdS3#XAhN1hip*bw4$5fkO8Z-SPDfo=yPqhzJ8 zLjd|~H69eCGRE(OfetD2>Uq_)J(!NK?9o-w*Ti2}s*^S>6ZUFuBzAL^fu2uvlm zXHO=c20U*VNWD^lEaV5_?#&|$jD1vcm%gFRdmwB$3UjX)ZsI&Gwj3D1(zyujc9qz3 z>G8#DoQ&Mv!!Z)Kl>6x3u;53tU86QctS5BGMez&jY($-^_@|TVcOQAu?3g{d8lsGe zi;qP0nJUKr;lcrtV-Na+UZC9J(bUq5E#J8%LZys(3l%mc{jbNHAV}V{Pf=tq)Gb}~ zZ^Y3H@u0D?CXJy(O>wf~|| z?rebC3xnsU>95!8ySZOzAtNJ#;f&dTlWZL*pT77`mO7Rv5YMbXVAL8k2PajfUUq1V zX}a%h_j!K%7`XY8`7^pqhs?G0rf8gPlWcj6`hbS686oYIpWK|;Np^A(frKc3by@cB zoY$9VnHuoUmD??8>)${4106|hq~OMfq!Y+8GVdfz;7IH? z_m!|oqN^Ioy=9@@e-(A&Kn-VDc>Tp9{KavMKgbwA7&+q{JZSgYE$_>i^8R(xThVLq zHfzgwai=vR6wH%5(vKx({zdpcYd)=K*^zK$h~d>%8;GzRqNcmsCuR2HnSoNrZ_{<& z@d0P7jXDtLN1vvFKhnWfhD+JBbMokXl}Lw(XeY=uN;=}ybN95aFT?kT)+n>?FQo%~ zq+(z2DlJC_sV&0OFw5VR66G*2G)_6_k~4E9LZ z4Ddf&Ce-V%*2u$RA%7TBP)>B({K5I(;E`2HN(@xy6HUr0vP;AF$Ry-~W>zEwb;bAX znZf$4rl(NpijQlSqZFIH@0DqAt5zRFnin=q0RPqxuLLiT1_NUANX$Jjzv~Ev=V)-M#TdGvXk3e!jQ4GbNOI3=JM|_nv>J*nJeipI6>ti&gy{%4(t0Q5 z&4;Xj>BNT5roqy5o{_92ad#x{FIPwm4e9*id79GZp*_L zCBzmE5`GnjGU}DX26%DlTYoc7B!vt{X&!V>neKxDKyHMDuTyj$?RO>A{vL3#Z~?J3CeRvfRDXZz9b*v*z{Ps_h?;wk}doyX@t z=d%ksnU%)9w{cT?v;_1$-HMk}SLk9q#+uG)o$rYW(N8V|lb69NXaggq`zh(2PE`8rZH`>9?`s^oZ;v_P>CJgBGDI8-mBVF8&lylM{@Xwzf{WWUBA zg@KQu;5LcejPGcZ06B*^?CU2Iwt99Q&p!H2nG!@=JIZre*Tp)v{y8jsc`0EHglja* zp7*?2Ij106QP}mn5EI-5Dq50KgFw8K{PrXZ< z6to^oMj=CKbz*O2Ub*yoB`A*02DbXb zALhWJV!fLg0!6LOsR}(1(~J8p`VF{lPR-{Pmy==_!5yS1DXen1s({3~4Q$QZ{H~D& zlW?Ma*`22=Q$q{y(zClFWRB{Az#%&OFRnK+O$fAXC+tJ`R(RjD4QabModISB+ChLIAUNU6O6<{f%g(U`f7$f;dlg(cEcms(@puAEMs!cckw+~Qm0;}1T3^SV(R*0@ zg@Be-p2ICwQ2V|p;|QHXS>h|1$IrK^Wi7v|e*bKr*p&5}qPJO56XQh)G3d4f2~y#k zqXRZkM|KCeDL}IL55rNW`+IuMSTaLifo7`8a>%9%^4>8abPF*G%Pr(Wwp)c=t9W9v z^T5ksS+Vwlw4k;BHShs{@Y5Xe{x$e45r*15C3@WR?p={>O;L4}@1xo=9{u3fA7k6Xxgqve_d z?@QfO@1Jx^lbpO{q4tmcaiU!=nDtSb1iS|o#*ZHjdqyfsF~=!ZqwO=*#jh>hLJaSu z3eaSzbJk)$aL!^)C0s~PjW}Jjh>!fO=rPnK>N5=HDgWp%M4g>C>VHXpG}6z+Nd@E0 z0D>8(tzW#457)9n(yOyKpYdq7ePfaoZ&zyDX}fHlA>T5|ywS#pJ)9 zD2txHfF!rf>d%lj4u&g6EHP~L9o}K-<_N|LtM5B@5BC)Uu9FtRA>J24 z<2F}?^4>6Pbgi4I0%C;g6)TUjc}vpM26(2Sit+Rn^G)k`id&2(v_VB})?Z&trFAD- z+4<%FXe9D1FUEk1mwk39i_OR2V()P(5m6@d4W{0b^HK&ap5)=sHDNSti7udtlSuReo`YcIHncHP1PnqzR5l(#7>AmNlY>&LRJX zf9kn!aG-Q$U9X}I>_HDKM@e1izSEM4gt!kknRRIuOhyLC`n%t{lQP!GD366s=l4A3 zD>DYsvE$bIl-di*L6Ttb@_9{vh4Cl;IL8ZikI^rp?6f~TDL|j*S!!*@zS2rNz70ds zxEFvr$anNua!`#DyBm}E;$Yftx5Arnl}Fq1tzQ6tGdoU2T;4v*KcKDHb0 zX?>XDj;v0yGpFp0is7SnP00ti=Rl9Pc=r;Rgay0s9!f|AhMma2mDYJ}Ce_879KWq( z9Yr~2RkA<8TTxLf%|exTVvu(>V1-5%psX*G{a!f4$k&P3#;7ywfw7u5k{(eQPi6#V zRabUK|3SCc@KH)ke9UlNA z(^q-=Q+xr;?%$OknE*C1wecf^_kr+Le*edoCx*GHbM<-;x`{`dV|7g0*M+Y( zhYq{aKZ)E4*9bh5;^&fyCQUmH0-d2ny=BPP-D4-{%BTFgD7|9U1oMz?hatR>&CV4g zB)aB^dEZd0wkTHhv2yiIG~``{!ol)&X|b0D+5O3x_8)19s7%^SKG~-Q9nom^%M+ve ztLoE&*ASC>Dx>M|8HDodPaUrc--7jjllA)!^5*k5tK${V1|Ws?nP^c&?-!Wfe`JQA z@|ThtC-i7)*|}(QrkAY|5HF{7%7H6w^-s$FL)9Hd*5?Im*{Sn0RPQFi*0INv@-Rc` zQpm@PYX@m5K*xii)&b4KPA?)4oeb32jeC*)q{ek8RPNZ%Me?4vGV&sUXU0W=%Qq1< z2_5?6gQ5MF6O>qcf>LisO#Jt-0K5^10<<$ih2VNyKt5HDaQVcq8%IhkeogXTxpz&H zw47TMkQ${{6*lIfdV3y=9(u%E;F*L(CK&1+OX#89nfkyzyt7*Kzs`_$=QCBxyFwV( zc*j#b_sMAzMJ7_({(9k^PVKC5(M0Ly1(?|LS zKORCvcuG5TAv#{IA{3pM&l}1U&nyumY1Y8mc#^eSysov-IexFTBUz_3zs%`8vBgr2 zU7drJ5XZD~tJ*Gee7HC-Y}-+;1)M8=U~DIroM}y=#3o}XiM!;#*1qIFOjnBMHT@&@ z2Ha|JW!B02qVh9Q`Y@;2+8?uf0tASZSs~YTT5TWCzUL^^2Y&NsfivX95j&dp$BP7b@aHn>4HIMrbh3T#` zf^@Er&~&-4cN0!4DrcrATUNt*ql#2q%S}nBlsz>y&E*DejHDUb>Rw%py0(}RB}>)d zJdsV2hA)HAQ((oy6D8dIJzFWA``YHXFBeQkN`cnpHhA#NuuyrNVXN@!1zP%;x%~!WWCg6^VOd`1Ev6>rT?&n2t zrPQs{Be&!(T6xfZ?wlvXuOJRgOTe(BZMr`xc*$Z20(R`VijSMzzJE{D{8c)rc6R=N zZeQQ2Y6q`|LW)tv@D#Fzbeaiy=DMR0R(zh*|61_WOM521lG#N`lnxTz&xE&~2w=kY zn}Z)Va&8R?(~{-gC>Z>?0OjZ!{4d%BXJs?=7jBh{0ceH@bR>nG5VvnBByb^{w za`lj`;AbGDsEihJX3sCTLDH90{RS8Qrwg8xEQ6#dQb?~dAI-@*dr^Wj#F;6Pk#R!j zq)w-!xz}&iX%dd~j6n|j9#4#RxeDsi$seLn(&R!1qxp50|r;f zg}8oOp$|Ma=r{M0P$FSoHvpAwG^syp8vSfB$JFvwmHFR6Bhl5H z)>GoUwA@IAjFZ|I-H0f1Kv&S{qM_N&)nYLXFj}qU@tEP|aIm;f&t_Aqyvq+5KNO(Z zH@x4OGD?5NOeECgo=o2g@0Xu&ZbiDcUoKAKw*bA=JJy7UerCH2|c)v*|F+@ zySVJ;#y-p8d~!+q$M^bDg;BU9YpECVCp$E`F*)po*qL(a(%{so$&^i>w!QV2k75kR z$h=RTc-H84nz{Ig5JBZe-$h=_f392H_r?blCET?Oe3TgXVq(JuU3M(}Sbf#Dago<} zl_@e1E`pie%-X(v+ac@2sdc$KEmpTNBF7Zswhy`YNDP6aWA=D@WD-i;%6qKCTD%YD z-tm$m|J~zXt>?ASLq&9??|z)nI8E@`v^fZJbQo(0?*H+**}&6f3`T~HI=`jyVkRKN zB!1?LlK~gUYSOS?|Et3m;jhAp|6?94In!J^_&2{w>p6hV8|<+tXwN^2uSf#vH>qU) zojm}lC@ETUe9UqEy!-DY1h5%u$PfC=@DN7039w5+*WBd_31NsS-FZ4XHFs1LJ|u;$ z%g(yO5FEH#{%_29R!8r_5(OIbYVv;z>ZXuf^pkTH7`-p2Mqx8VnxTFAMdgd?Sd3{F`ou+r9o^j$+x=v8m zxXy9WVeK!n7CVkWu)7M*?5z6>%a>K!M*6NqKyt{wTI-W{>E)=sqi4!D6$*?0^so+_ z&z5>WyOVShV#B3TQ0ZHLF4@QPb?X*&MKbHa0h&YlIUx-wo*R!5f)nP7iT1?}QJYHaG#?G=M7z^UATSVr zG|hG|1R7Uv9xlHj*58<52h5{Yyy}RA>3Cc`N*MP`hc3clwvQ{7CCIQ|=8tdOJSYKu;DGx5iX~ zMPiNNRWzIP+pzIMvZdb>{(cYCxDlYtbeB^ zv){k8dGw=9y@9~q5YUB*flE`l@Cm$-M(?p++U_a)~_krRFtlt26AHjPU+`& zrom?2uqU$tlztgs~-!Y;4Cbo5ar zmp5x{vgm=(E)lfDjA;B%_na8j!{MiB$%O~#U}GomX=%g!SQ`ZL`ENz*Mt*#m64hVpRPRlOpM5|8K)ZYAqZ!5+U zYCTr>xdH1K}hQlCX@LLF^A1X}b78>J+4HLbE6Z6A|iQkft^pgsczD1Dn zlMR!*B~PUJDTFEBQd0I)2~)kLrhZzZi(9Y@;ogJ{Xg6T?Kv+6^NxHQpmYzPzpw^IO zWK1%tHD#HZlPqfYu`KtKtZJ=UHnt?YT6>nm0g_{_6U*6!bg=di>+liM(b{9IyZds6E9B3?iMbr3JG>&X7WD&$7bKk^a0X{_`NQf6%yEBgxRYHbdSo=alX z=CSe%NY`o$Sw+`LH){W7-MmG*U3-Uh_a3RZ_CD*uL(-$#5>{y$sl4_vtKtdiX>BEq z_3Sz6MeR#g)hp8fYO7f_wWQazb*%aZQe$lstGR{5tbN0J+e&JyZD)0KlDcZUSv|d^ zzS?)J{`VwS?FZJtN7AR-LDtYPX{7cu>&qzVYwZ|oe1gQTon%c-lV)mXS#$HGh1zed z?~9}#wHy|AiNvd2X05D}e%7wBXusA;ziT&GfB2-$S^?|t7HPY7hqWst0d<-Uc@Jc# z9w=#rh|IcsYvk<1$JcFX+|1>chX% ze>i2xS2QDiBlD@h-7Q>PcMLb)<&ETo_ey_{ZX0x)Ivcc=-v%)mHs7?MrI}yV?xv3r z+j3VQ#esY~6!H{COFbs`l%BpA_TtP)&gJoTWQTLZz_?8R@yeh$L9PO%Av0&DC9hK} z=e6SPe+^Eym%jS&h4NlrPR|MCi|J;iG1KnXNf-&vKkJZ##G)Q>Dk=?51|K}D zQj?4q5pP&7R%?g?gT_S0?1H(+GPGX)+UG&Ba``Oib$JB}Jn+9fs1!=nxC|SX6=;Zy zmRhSBdKvwzvZnlr#Pde+=Zq^`HJ$p50HDi+ZEhtM=l>h-dA0L2ZOZfpw8->!M`Wy& z8lCYp`4d+kB)TQdXN)j9jDDK*n_wvKXePXmjFllqpTvv_Al3hlAsBjl;_Uh){p0HB zWs%P3`{yc|jrYai zHvqu>BlW>48hA##N6J*jKX-je@zOox4!$1%GMsh!(gu>;1>0UmfO5LXig6!7xN^Q? zTqkZ~2q(OqtVluV@9%(QBV=B@j@TaS_lTHtv$N$~K_pGRrnE;T={iwg2wU~+R^>i6 z!J;DjeByE@GW3X5M*U8iBSW*5yk?O=eRsn1&yim)yU-TXWaCUF&=6Gk%|oS-;GR}V zAXtiLS00jMm5 zJ>}@Wt1LWpYTWw+UZp)tziBks(B+L9*wYjFho3Ct>AI)eR{HaIseG&;B0>Ad+UE3T zP~FJ%I*tay4OB^t%+RhEoA!42bGk) zt=%VK`@YRcrmAoD#b{BKOT*J;4Y)%9@cgNX1ADqnpZ9Z!cTTAi<#4s*;8R~oL%#Tf z*bU#XF$0<0dj@MNwomw|vnSg&T$b~_m%-t;b7_O}@ImRZ<2vjIF-&;zv`a9r$e58d zPd+D@ul6R?M%**#cz*7-j9`oR!>y?Z;k^SXEDrRAF`Yg@@isA#=QxW-z^<+@+o@(> z`$1j%w1ds2Nz%;Y#`z)_IlB#qSQ!oC?>a9L-qar1Z>H;As+Y%3884L4WHV#|e0mxY9!KmgNKKJj4o*gNe=11JWA$&{L|R`>?;-U^%;V zi*mtymg$Ktm>`8)Q7OoF(bY}zf<|#XyLDLQIiUt!at=6;-SRMhd&1@FvJ_bJNx;!+ z{fINTqWT&KIft*C$bVxt$DVYjip1Aq8-ftJSnPf2T-+%pLYm&2Y`p4~y0HBiF`jBS z4X*q#V8^yN3~Nc@!8-HS7*z2wKh|TZOgO26gG}7(<{{Uhy63(>gm-O=mNZLUb$j%< zu#1{P)XAhuiG^imJjNa*tVwcSN18~L-8WKnDtrDf%P@s_d``H`-4>3CacnN?EiMOT z$2G3kBa*|_eU_hEJ?c(vLvcHp)wps(am=+LD&okO_6N=QweRH)8N}672s*MmgVb$h z`!v=4-D`eh`Qf9*UC&f#*szO_$}^Y{@$aFHQtH{~jFh60b0fb_JuiLW7ln9JJ@70U zEZ3ee@x~~Jm1~G|Te}&2a*Wp=E@&+|o%?piF#a$T#mR#o&7x z_B(NZ!Ho0APmQP_haA7bFhH4eT7BoTgRIML=_jHtbq&Uq5k91~`v58@Ux+qs+rPX2 zsw-~cMY1B-*Pt}CBy8hZSZ!G5W0Y!3pv;~qUGEJi0sU}UNyi#>3k{RPxs$v$K)KNl z*{`)P9eVh*yIw(Fx7)^}ThY78&~@WsNy?PnAT-kwqP{X#T6jv7S*5!><--4Ex!jow z6}ce5i->}m;YKvfK6{VKoSTna0y8fs4T8Nj4(JEyTdg)LTq~7I(OmV)clKtxuIt=A zm9j#NFU?6*9AZYLm?y^SDoYBo?iw5|l{UT`om_3$Nx}t&ywLz^_1b6HgWWpEa3zT#?6bVr3xc-vEqV}C+OWFpkflR5%J8SM)HWqJaud8sa zWCVtKJMir99q?J^7%sFwteRP~g6XhZ&1=}X3b7f{-_G}2iU|e zazd`uAZD+>t9gkFvTQurVN;p+sPNF%6m?TKIA{!YV`@mQH(}E>QwTs4FtUN6I&l_^|82Sz2zvK+Kw(G=`2M1_uBqCUwNKEqq4m@0sA&ENXpw>rmN7OO_ zU!v+MYh4SG)01}6i^pUek6Lg?oB#eR*x>TFYpyJC_w0amdc_|Oo-pKoez{X5MDB7` zcdw5Z>Dlq$GUpx?YD8cx@0!S3c~(7;v!Us6+jd(Up}8x&iWks`d&8}^EvU2Sq-c3Y zRzs;Ntlhuo+Q7(g+4Jwq&i5ImFArV;ZR{?lJYGXlU0r6cBn;Bu-_n2l@C-V#&*ah@ zmv04~DE*fGYZ+^O;ss!t2ZhHtiya0MP=`EOURf{ zKBD&zAfc#eLWupY&t`F9HlaZenmkkNo2zo%GV^@@8EI2nJtB4{IQ=|iW`;JAt_|%} zKU?AZS%u!3<%t=;cE0{WRp5oJ+3xzoNKy^r!ij+5v-e_at~=YF;~oW_mLF!`rhL&8 zDm)^<@6KorHWV_KORh8@Oz@VKbky7aHBB}+@NG&$&t^@|J|0+SF5K}}0lV&K>7p{CV}@JhzD0{Gam@_WXb zPMAWMYJsEGRidGUVm=h+mpr#5a~28#s>8VU&Z0Pgi}{@~rynX@qh6jXFb^utNX!d@1)&L-ffJDUUfMl%vNU(ec5U)6+<>(22-VB=~l(%JRbDF`@~@nRiWhcyruQud*v2 zOSEK+@;ZIA{x?qRNxFMYa=xHbR!{8-P;r;sIj?ml?(mZlu)LbIqgTt$wEf&SwTn#a z#~=)T^;s%+jqZ|FKC6BA=?igmGU2oqKKLw0vpy6$+45f$RwbmJBk5|U{`(lG!J{{O zu+dO_gVv-g2YKJ{VI7eK_Or?@Ug^365B<-Fi`=h+t|!wl?mU3aoq(jJZdu0GYYCC? zkDKK^E0DYpebJlXJW#}e7M&)Nt~1VE9_T$jA$=55$jf92{&2%~ zD9}Dy%An1gR*5$DJQfLgF8Byii90LtR^QYz0KqVQUIRsW2?kFBLEqTjy(vO+FMxNy|kE(DyT&7b!mL| zS;Vf!?Va}jX!@j1#Ls~4(>4ujYHjOt32KTQ$$E3)Zr9uMZKu599f3bzx4&%hpCta= z)#D|P<68I39cZt%Q=}nzJ-8rfl(cfS#bIiSLX}gN%oM250O z`4rY4=JC!71ZA`_!mPf)j>-aTBTZmM5?S|4ZIDw;;qGKlg0On?{2=IB&%#f7#0HP= zePO(Ymc9XzuNInB3VcQ`>t(AQ$ytwoEuas`uH;-L7CXmqDPs3dY-AOy*~RPNCt2&N zT5#>kRQ{xf*41y_=)srkL$f=0SM~&wBbX!n)=6`37S?Voas^t5f{&#EhnpA7n%h*} z>H|CD5F3=iO()0$ekHDkVRZ)$Ybuho7a=vCRm(#+Q)*?&EkubXl_DE^6%a=lvC`}F zc5m)eCcfIxK&9;?8noik5!&>~2E+*R)`M=iml7#jkLa!T1=7(TL2A$T(f*@^UV7|E zo$0-G6WE*>s$aBsqQ+xWf2h0lk4mQw%GQ|JG`+BM=s-0`yvTHnwC1=93x{z8A8ms3 zq>piEQ>&}7ufD-vTzpOdu@_JpNW5rrcfbf=GecSCwk;`>pWYc1YZ;8vbbF zA2hKbUNZN6%ie5S2oMWt2s<2gy0hkfYvFbOi}l^K-JP-MvTU#+8n-p(*)xIqLk@uZ z?&p3?o82HI(smFN3B68{;6Y{Bp_tR%Fh*)USG<1{S#IcHc5)QG8bFhU`wKOt*pzT-MjIwu$C{ulm~1Hiy!F z=r5+NO*?N+gN&XXDjrI~Pa6+T436y%j-OciNesR)F*^u+Tpb*+A`Wewb%X{t#~EXg zFSp1FpI15)aEwH9jM~BT=$-HT_l^Bt9u;M20v*lt2tZAINuW;tcpRTGWV<`I zxwJVsMOX3UjNPb~$Ft=oCi&duI>z{r*(#8Q{UO|ea&72VFVXy%rjmR3R9cx{jAFN6 zSg10J(}9`RYPz(TaOy=z63A;qTlvk&J79s+77O<+QcS;jgohgah?%k%Xbw569H#Su zMx|{XDvwf}N17YVzC(TDOZRSSoCj$}C?J`G>aUa2^zC!1rP$|4 z<~C3yVOO4ke7r+V=!elNPy9`|s(l27`C2Kfj=u{=`_$MhiHt(Owsp$VJ)Xd~x!Db; z1`180Y~na7ZUu@A9JnvRmD~E_O0R8_Mg;Iu^kJQDgeQKOr~Jy_9^$E9!vlT|c&rig zBd$TWlVnOQZF<(6e~9nce-#C4>10(ocHTh2n8P>->nvwu93~1y+Db~f6+uZ@=&y3K z!iJJlO#V>BNgoF{Q=iG6PODBq4m+owJNGoQsjLOb`){7V-<6#i$lHqc?bD-O=zoiS|D=({NqerX3+ z81L^J7Iallz3d1OUxhy=!5k@=GO>##f68%tMa5`r*j!~XWvr9TmKGj;OcnmT;o>(K zJgE0V;YYgrvHVFzevGr)w&niU;HrPVEBt2xzMop63RVf`R{kj%2R*!IOc)Hlc8pqR zF6!%bm8W^<_PG2zWEoresW>!e!hQL`sxQAJ@Sx4NI}+2U=*3oO}3}L($0*kf&L$Qk|CiaFQT?ruVEB zVCz7Ry)aYrpfDYuz4Dy|q!T)|!j$W;+sIb_6-VQV9L!tS z>^3%kUxIh#w$2SI_x2fndmAep02+=a5|lf~b4SU8Vu%1k%tTRp*4xH$SLFueDq??v zr#F_%;)~LsMC42Q+Lnl`U0V`m(0Ib4HTdnHST-Vo1QL|lBoNWbGZ^=CYH1oHHGSl5 z?(0MMhXVetvDR)GhnbGEUOu@mcKGVf|B5&SXwMyk$BetlOd9h*^?^L6Mu#{^Y8F^gn#rM0xXsqsz!4^Z?)P}S^Ua-R1AuSE=|XCnNBiK zp7#PheA928$9sh@9N-u6tMU5y`fifcMjLZtWQMV^MqXOsUQOZ*yfnmU|R zc2SRe)k&mtA#WO_J$`&rG-n1OZ8#;^&zZwD$ z7`SVk_0Eg;v(JG2hJFuSlW82F*s!N%^a9;tqk?SARWmm@$pI$#@RFo8qHTF$DfdDu zp%CL4Cw^c;!@|y?!G1957zUNc4DCgfW`Yn=-(+-3SPfKZnG1CQ8 zUb*>42@VMmnD1*|+hOTE_)2DR#!I1)SIF^{U-77gQqi@e?P)Ll`X;SLZqMo9)Dkv_b$eTv+YHxHY zYJH?T^Y*(6CM&iw+#`6cH?WFYkLdQi{XxiN#jA{%2;TUOJw+{$9t-mJCv7DwhBN5X z1syyyN8A`XkqRfN6rb$F&>f>AHM~csKPjqGCIWapjlL8p3Zzu9)O_4^qufDHFSSot z|A43$ zH|^SpKo5Okr|hp0jbIF&`Ls9xFy`$RLs!hu&<0Jfm(Y(d{#K(OQ-|Sd&>VT>vd}!T zPzMjJ60A(p!()A3?&$(iQ?TiyPH)HfgM#hvV7&}o_R)r}QGXpfTxMk#cZ8VrbN7D1 zE(g!&BPd*hba#sgr{N?jPCp_-(jd}-(ty>ItizN(Q$FhnVhs@Qw#o145zNE2;!!7j zXcO{e?B4*CrQ`&Pq#fz1P*Z8TrvRNGb|?B9V&e8VVU|&f7yw_cIXkfs;O@Ch3sWuO ztF;Ra9UNp;)$EqgqEAZRa92?BN>(vFt+FO|J(==MCzLj%@+?Z~+T7fsnz6WKso03Y zIl21_(xPCH=-df(n1|?h+apZmP&oW^u5k7$Q90mj&yC|*ZBSj;=fWM4p=!3hHRSMXuqyT1T~p$7dVj>G4&@8n$Nr~OjMXp?OlW| zwLE^y2YWMiMILC3yanYfiV0}bVVt!cRv%|{0NBs&4yge*q}J<-Ki>)d>AW+sUZhTPxl_*H1DZY*h$V1HZiPvYGirv_Sxe# zPH_F%A55G1o6mja%7b$$XPSUM;J_?8AtHzp1ytsxMyCO-vT>A<=vasn8|)u+I_lJ8 zHMPiN0=rQWXDQ*5=MyQRi2C?wG~^!@6cJ3(V+X{E zCC);P?tl0^jKQHrO#eAoeHQmxe+RB^6(H1QMvfsJ(RK+_pAQzVn51d;4l61q2yKl@ zo;~}hM~5%F;LjH(#xS)yVZ--qGn%H=Vb8J|38ux4kIFfy(1Or>Q7dN)+h}Caa-byA zLuftK7^^V(z#i-*HdkdkA(iAGs+OPCwuhUhtNy4@9Xn7%EFVfMlq2W!$hjmpCrR@N z$~RtzLwf=mlzQjc6|s})Z5V~{V5~CxYNi#Fx=b5BB;x(qHGA%fiKiJ%GkUeOe!g$@ ztF(>HT*@OW_-+Nv@HOI|y*P+U8#b?UAdm+%07f*~5{k5NAQ>)Ntey6tQrgGm4RJ4v zofr5nXGpC40@{u-A+N(-Cc1+21>+9xpTY_(I({d*vFP*05=e;iK{hP9eMckt;!A+6 zD!iILhW+%-Y!}|#+?trs!{Q2nD=n8M42fWYWyFPditTNGL_iJjM}2|%;8maZh`d@K ze^`v0V4M}FADAeaC^Ucli6^U$GI6Cj7Hz>5pQ+JM&vVMX;4IQptDgUJ`ION_cHS!V zkXgtCS-%iV#C(QC*o-7|_E$rk&OWEh=BJQ(gKcrHT*}B%wf0$g3*Yc|B~9)>e=Zhg zn?BuWOWm>943Ytjd8pZ(fox@=!Z7FZP)<7~A0Pdl*h;|(b3^;M^tcBRIx!872lfS9 z&=|e&L#1YP|5D%5lDM0zj@MBdSy**YsM-_Da^!bPxxaH^Np(rjblGR)rF5TC zOJ}=OVjMi-M|Pn2#~>MqiMEs)9+u+-7I};u>_z?3u772z@tL!E=WTKlMJRX7>}5;c zQnZyl5s3A8Ivtlk$vsu9@^vPlmdqIlb$c6ZwH0)rU4>^9Zxfb}noP%~gJK(h^=EOA zP6nsMqwe~+a+}I+t=ZiuRs*dn6Dl z&|Y>7$M)uDN=dv?jEg1DHVS4ry3}!Hy`9c2%`8x2L2=A|&ae~roc+n2BZ_SGIQgx) zFm7+gJR+W}m@JCqe=sjnF}TJHDxX2pY?^zJ($8Qo<8(vBaa_W}({xY*$52h5=)FHD;<2u3nL(nZZ8c*x%!6k09Lx60$5mt+>GnW6^++ zir;DB|9*x?zwO}l1uDxdU#vQ;9P?>i6VcD&8433AvR;{O1V!&EM)#|^uEuF9X9!pu zsFBplLeE~c!V3+JY0Mq254PwGF@;z4EE2LdsClMHudcTa<1J`7V?cJoUo2WZIS1Cv zjpFb!z(>zGIe(h&kULa*{c%*Gvv%0B`KSm4q@F7@pSJ43P+?^CM@^p)^>R3N&h@}O zwe{Sr(aTh@HEE5pE0s|4YdeY5)TNr!wZ6v5ZmZZ^d`^8<6D@@_0(MhlSN~dO>Q|$D zic@PZs2l(K$U2#=_Tn7aR1%ekAGS0#@L6r^U-LbDtwXL|CzZcAJ6nel=_O*B!@jF+ zdG9s}H6hnb(KV8f`eWVR)-Ywz$HcrBKOr+1VI~Cv#D}}GFz>WofpMyo={uXPUu}SG z;fKw_9ftF9JkPf*bXt#FWboGbK69CS;VZVg&Ny;%t&sQ61Ag*G5}5-AX5noE{O?uXemV#4 z8@TOt%^i9idfR5ayFgrGetu!VdFjA6P)>09eMy*m)*0NnB&OSu{xH)X!mn73#I7hO zb~mdo4DnTO{lqioFKwL3-^_W(^{`8v7?H-+)ZEZY7>$T;a(%*1TTYa?c6g;4fkTcSv7HO&UbbDlr7O6`d}E``V=bU24vJ#qw|A=! zHMJo^9rUjw+nMTVYT7DmUYbSy@ck=|YKFB$B&OvhUtj(brG?r#)7b{}aab zM>m{ymVB3fsLXmn%w_t7V|G^+-1g(d-R=jzi3b-wqi@MbKhca67A}AN@RuKWC(i3; zoZ^Ek-gCuJHT>hONXN%5>*P^1k+j&%>%y_CBYWwbz+m`aV5{Gz?muiqhNX**vuoCJ za3oB*TEm*JFGN-d+dNx>L%o_SA;5*lMMmaJN{9^(_sw(~b4+KI18-eH8u z&Fe^PjOQWJ$Avp}LXfb3!%1L`-#wJgYRfY8J$iA~G$$uB!(q2z5y~0m0C%9(oX(26 zW2()BDiW^YcLm>@tv`sCRn)zAW!~vSg)?W+fNafIp-=&1sqPdsjQwiW&h$ffGt$vY z%&=5aOvW3&L1d*b-IC|Ax(Ro7E9D?vUHv#yZDebc>RkqW{A{s40%nXH)WTA~B8KMP zRZA6TyCz;q6)u~XJ!^YJMBQ>ZN^Mc@;d^e;#T&p+@#Z`9WhBk#Ef?d-xP|k~RO(LD zmxsZ#beGhniFmEZ6zSC8_ia0_>iu)-=$S8v7hnoosOV-f6R*I|HnAn6g zsNRb~X(OxOkVEL!b%D;!gfG;zjmM0?xw zj3A3>kd0FoqUDZAmqaJ zBwhbh98Ge71?k$idiU@#w^42ve!6Zsh2^u(IT3Gl;ecagmEd`~j)kCJKdJHST4X1;`Bg)Clym6$o z){LdUcykc^;uJZ&UV@HB2)$JDCgaeTDSnNQm)`|ep#*1gAG2r6#fqQljr3;J!746j z_8cEWovz?5fP%7~Jo+||6d}fB{zJEtP-BJ)H{D$Zn^KVGK|xPq6LK?~JeZloY4um; z5Z~*6l@)kE4;Tvw#%siE?WU;LKB9gLwd~D?=noUVLWc%sWJt}~7$`GZ?Vw;Qg0Dt0 zC>7<%Zd*73T|W(84kVQY%J^ginm%&~q`1uO2juon1~??5?|bnv+@rXuMw3O!JN6d( zY>?unzv(aaOC$g`hYFBlU-BnC)C%EdB%!dMIZ~C?*N{QSM!A@Sl#CXPMpiJ7ee?93}8tfc1gEb@oNBve-E` z3G#zUAIHV7FREIsRv_aIgcbi-!~dKEH+`$wS)wgP*b5R!!Pex@zJ*eGsuyT6gwQMK zcw;$RzN3ryEghtZvzaUPm%|7fL-L;E2pJ$x`h6+koo<7uL21$h ziCh{u;*XG1DkdjXz?~d_>DA`7tIUS(`KTJ%@}H6IJ=9 zR^rB}*$viU5pc1(MIAiuiT$CeVjU9UjdpmW>Rg`!`KhTr*Y#?a&IQKtuXC`y-p)n; z{T}vq&w1>=pVFA;@@X);^dqP$lM~dONI%u3xpDkGUpPu(+-ilx2kb2$#)Ko$n*#fCMV4`j`(wL6;^BQAp$@w|H>y(c}K~><6ZlHGk3p}H;`_pAYruLgu7DN+pv1Asn_jsiQ6Z6ThP_zuZvjjeat%L zZ1TvH@+w~sV#=TWlW^3@4)D6zhAH?$ntx#^=@-n4?tZ6l8uC7e$WLgo)_I32T4 zaynlwe&z(iE6V4Pi90kwTsfIwv3`NPoB?(~;_Dwh&(N4sE3ZAa&vXC2))E%E$_uToU3eIlzE#4CG5 zkcfBpJk=*|@mGKR`$ydinI)nt>VjXc82!f<@tzn$F5k>g>c}A4Wpg-np=u4_0)y$C ztW@}t@2ntrM>B2FI29Jj`~g&pvS1ZW^Hsmv#!>0eU;=3c4R|U(n2lf``o#UUK|8Lm zwwX`V;QoF}&*txpp=I|D(5UZ`&6A7s%Ks@+iEF?%4)C z9OOR!c;Dq7xh)>(K|NyUaj932sO-_9GrFC~bHcY%2NkD%*I!~sj2@lUWf(mg8(T zI62~Cv#NWc%Q}Yofzx3uz9?`X!YsTy*p5%|M;f3?LpPPvZ~YVJ9`G-kJ92cjNb_dd zK?Vc;-1}l{RKee937H|<^oC@*Vd%*`E z8y%|WRf|l*`H8J=f1&WH_ML9jMK+?pC^%diJmdzO^7AC)U@%wJ4mTV|p?*(5+LFT$ zs7jeF7kF|IhO-g3me4}#)wrrlm%g^R%o3D6R_>Y?&O(lKhPRIp?@nPx^9HdQuTtkQ zug7N{IbL1keSa4h*0c17!{8~+grTX?Y5_A$nM%x&f1*hqx-cKsj5l$0c0bht*{y?C zOP_1sV4bT?+PEfFl7$mS9&c6eb2B<%e5JAQ(a+$Q-@grQDq|n7N~Q5Wk+?VGc5m6~ z$qq%}5$97(r1!RH6LiCB`RY;{M|3*z^YA||A^(<(&`dalF64ToVKM%BCTszhYZ=-* z$D8X+_Fw}Q;}>0bELKFIiA@Ef$A(G zkEJuWYeM@^uAi=pz_+^_m5Ji1{c6L_FyuPif)KgvtvQ6XGBkwi^K1D%Tf!T{P%-29 ziF@oAPoM#ECZv`;Cvydk$+kHc7J0Jai_&fG8o9}BBi<;9DlMz0FfW0_Giy!?F%1-N zoj2OnJl_eXLoyUR*3$9@hBYaa<{%A3VSghAa=du2Nc7 zm(z&O%8TQ8RL`)fAl6$uUm=l4oevfFF@^Ly?Ek49sd&%?yCl~%99Xoa1; zIdfORX@`UnDfqCBeQI;^(lc}!d851$0dRnKb2Ddmf977B*~RYaHJ?ya6fi+qAj_+D zDY%5{!~ZtqYQi$Jzwj#(;IfVu*l^ukbr-@N7V%eXkGrzow_kS~+jCnoe4zR{s33D5 zQc9nT7|JbBQQM42VcXd-w@{W=#}bso9l-rEx@+#+fTJSo6yw*wTJ``KB6DgN>;2Hb zBZr|;n(>>>j@QW@&$Zu33~q6TcvKPxS7{&8QSoH>$L>AL`zu3`#e|iiYivdJ_W)RR ztf?*zxAbOr?@_I09V|w_?s_p;WPh1=o^^RSh(k1>R{F^5VN^T%zPeVJWYQUX(5&Dh z>;7$T`=Ukp_>20dx8CnuvdSVLjoU#~EP=yl-3Rj>>vE!pPQ6 zXoTC2yz~aLY&vIboB8vsvdxkYl`5CCr6nC4aHsIuMi!!q?-UDsV2HMsV2S~j5fWCxM_$u4hZ)NkfpMODv9 zsoj&sSI@jVl@UCHx2<@0!=-}`n7832Y|v*&Y$k@!8cyFUp#b~cMK)a8#_*F@WRq~% zoGXpw`oS=49~=pQcJ-`!A3VY>W`pGfowhHrQ6e~wl7GI&5Xt-h<=XtsC3a!0zb(hv z@E7nKLAO+i{$zs;Y8Da}3=jYZ5A1P{z>9yccf==T^|aW8z~T6WS6|}*%Sr)$a`cvJ zOmsL(FEnn%1UnIniHb89Dh zD6M!ASqz|30f&pnhR%yp{tZqF><*5xm?lybG&B^kna8K(p4$LD2#|Zc-VU|@cQ#d> z@%Ro@Bmnmg#_Y?Wu`r0YUE}I50)VF}BvX(eUgo>eJvf!Y@um=UmU^3DOnKQNWQ=U> zg+vOpsdwvQl%ev-2FhDV?X_wuDu+x8rnc|wE~P*f4rV=< zh!3Aa_k_OPw-g{GjHG3%K)u#>-@T2xA#cOnyO%w0U56++a7Vu`*#v9qc?jfCgI)t` zOBj$(7^X81-$PxM{DMlAn$&9++~soAM*XgHPedB0<5%-_P~Ul_K$_1@^mz!tTkxs! zNSZ;)23ktT%}Lt(cMFysl_J3Fe$M`xlw*@ebnsytFag7-Xh=Q9U1p76Z8KhuLEuBV zLRVllylP|^Vc`-?DiRb|Ch!x4CQNxalqQ7a#Q51T8Q5`kBN<6;D6v2|E=)=B`how9 z(j7tR%frIzRUk|j261~z;?=DUg&_$qkt>{{g#3deLc)TaTq4s*oaiuY<|RztROUeC zk{Rs3X*8U`O@xv($lAK52AYtli@cnO0w5p#8q1G>MEOnduF8sf`(%=o9EA_k#IxVP zOxb;Syt3>W@IgFGvTYVN;FHle0h=MZPbe!r0o5BStmJP(M-JKWiV#G(cX@@Jj@t?O z*?1o%EmWdqAsC;f0qi76ctcxEyP|k>z)JP?G)xqu7UZ#e7It3&MK@AH>|f6K2j-G< zzRtSJ^x>3g7?*&+B$|$_CLmwkHmyac1EUvV!2h2N^ZpUR delta 26953 zcmW)m^;?sT+lSX1+h`auQc7f`QqlrLMUjw}QbCjywt*5iEn|SFkAd+hU?9c=NKfey zCrT&|MvAd9KtLQw?0&z;d;M@7*B|it;XJO-Iko`3zW@b}2B<=;Ay5bmvLpq9<@o;{ z|36Cq+Zad)y7}||)=DaUUFB(gM&Vl|5>m`Yx*?0(cYZ(GHpqyUl1Th{^}iQlVqz#& zG~o>%!fea%W_i2&sf)tlBKzOdpD5Y0+51}|TNKE0I|OnMJVOghFrb}14Ti@V#D`r0 z>;d3ZG6VuW2EyDC$B`K*Q4nn_;vg|E`5cs*mfkG`k^LzkpycM1ofqlt&?Ti#br|^E z&e@y(y(tugh}m-0VO}1fr;l&;f>Tov2q~hC7QSN|F_Cg#3phn&{4m&@j1%Kl7L}|w zK_M+zb2*8tjZLad#3IDFpTw*M#I;~xvlN6*UZwjm*F+Qk+3HSTEgV#AD`x+G#31iX zF??p2Qd?&lo*DZ)O}N`ndo4ms=u2J2<1$gAGH*b0rUX-)`$bl?!F)|>(YVWjxoX9} zxl`mbYTVx3+V4(hHE~FU6X~912pEI&8K&Ggd`rqwt+fimd;nP|0;VZFW`j`22F5;hnMIr8`Z_(;-dvQ1`gA?u_8Z zRk+()OzgWx82eOo?1KYkDZsxcW;hSr%W$-S`8!j&q+2Dd3hD#TfqSw`7XO+BZErww z+bQctNf9xrjk3>ylH8KZix~Rc{zme3vq^!fSd&UA)A1)HR<0Z0r8qm^WMJ%gELT58 zQ}t0F{^-Ikro5Re1LA-?*z^jbJIPd(D@%1oS>;?vIs@5NuT~po%M{OrBqP}o&((6|F(m3k3E@WGw3}8tZS>XE%v=T^xsj|cZnxtmoOz8Iw zB;0HI)u|}8V<0U&AtE|1Ngaf&kF9gE#~{n_uIwS^nK6~w*5a`OG5u+?kvaHC4a-xQ zZEFI9P!L3GR^2vkK;7sYEB@+_2i#7CNvA|XC!RhhMhJ=7#&2ym#lt+`G7Ei#eY9fY zG_bS~^aNz_@*&6K!()fle2u_UaWQI+hK`_~p0SylCp8w-KYlhQTrKeem`DkaF;H`l z+ex6Djf+ieRga6mkZ?azZ8zwstLAm#WLV-(I7#hL?5Q>lV@nGQ{T&k{BNKx?Z4fm# z?;}SV)RYoAda*7su?B~$I0sL`(oRF%fVdZU2~HfS-6M2zJBZ6O!r0LyS4DtkD#4WN7{)R6C>7mIT?N+A+kCKw-Py zTdSe21W`9i(_eU5p;toNPG zd!`8a+IrJ&AFbgDpkJ{H38E)>6Bx{Wv0R`%t5M7Mk>OFst#rkK>12G z(GfdTMTQd$KcFTp03-^W5F(&;EES#k9pnqMdx3Oo$aCuEFC?|)Ik|<5emErBcABw5 zH*;-(WgMM8JHhBF7n;G;wBNz$+|p)0QrmQ)-ba)6-rrT&E_0DI`6dZeMH{A2%o0}7 zVuiBtw`+5N?OpU{EG{&OD={6#oMC-UVB(w|5tsH^5h!tA;g45nzKwybjxY#J z5PASU^bY#yqH3#>`4~Ld{|}4)mcG@W4B|3t(7uI}wy{5$*cP(DjI+U9A!7rj@HCB) z?fcgRXxBj5IO*2)h=z9c)(K}SF<~M2#ybQAjB3~JL)8^l;&R)!GQ`#R->s~fDExIf z+_i0(qbi!=`wPazJgEV^62+v`a)$w`_^2B$&dph=!}cDwN!)9jI7RPc7mr_yT8!GX zYvfw_29kS6=LAUmoD^Ow^zMCAe4Ji-ci~oWFP-)c6aJ}T2KjE(Qg=dV%@O@-9u7|| zm53SsaoV$iG{`;63rq@j_j%hOzjR0tJjE0vEz%au7g$>*D&Z3i?+MGF02p&6ctJ9j z+lljvij~{8nmk2AHJS;rF+DWv#$cG+_6#Fk5cIn^#88I3;oC99Ys!-D=kP`bo8S>N&3cQVW(_hM#ooe&=_vQ#~va|-xN+@km1%ab`m{FO+Tqq|Vi-(E{i^`Yah`zVTVK0Oew2wb2D z(o|;LYZwiYnK=?8l&6{wzj?hu-(y8p(it>K`yCA6>BS8c=jfdB1?YzN>9Y)`DH~qIRtx*U(fzom}J?1WKmc&@|>Wuc? zuKghUqXF>)%Na$op-p(U+rxh%YsFb@MREzy*vu*ar97WSV9J}ue>8+{{?)npsJEfO3zWm;Bk-bT znyvCJhP!ww;6sljBIeKS71{Odz*E|S?cH+{(8Vy}Gsj3ziN;}G_7g15aWz%P>Q-;M zo)%Jhqg3_hCjQv^u4`cmf4e6&TJQ>r{hN!@*A;>458H1LN=$s-!Aa?rjXMXuqG}pr zMB>JnSYpCw-JNs{#g~cdPg!W&C^IHZz8Wj}H?kf4bk6_bh9#`W*|&CT8@xtvwh zcR30X1HX1AYBAQ~S%PnfT=6CcREZR)`tajk*uOaq^4BiUa4i+%bAt}e3qz+Fm4TXF z=K%RHoI~V@nn||47v}VN*jj*4$JDGdTKsD+k8R9JoK;b_b@X{7xg|cgo?X^yNfT7#!8KYccat^_~_*p)GocUxm7V5=(a| zlpU&grb?kf0t_2eJDnLy7^~yKse`-`;4ikk<8c{cI_66_;cER}?0n(p!2Mq1>m|kf zFXWP*X;^_K) z4s->6psCHvNp(!@P55-1K%f4XfSuL()tb|g%BXBK>=#7&i^K%4#Nd09^W}g=ekMND zdN!1~@I;Uzcj%4vz)jhbE9@(7DS}R!0Dq;Nz2cVll!Gj~HTRwEQd1t6Ij7KVwuk-^ zuWVx~;G0<=zw?lIZh6yDaC&;9&qpxcggWOu=4fA0c`Q903#H<18meifh927rU*b)j zZ8q9|{xNy>dgRZkA-PP3^^y=6Z3MuA)JU=WtLx7^XGM+x=QqO-YRTH&O*({{87gdby> zT|~iWztN3nn4U?j+&I5!L(`11F;}6;Q0-1LOoMJ&nBHz2UjszHW&)+ffyPVG2Ft2p zo3N6}9gBb;qL4-p?C*5po2!#h7&<& z>7-)F;VZT;7GzJAql2^+Mm9pnb#K^i{lF&GW0}cZT+Bk}IU9Z{6eRI2Sz@RcYECNNKpX4#|A zuC?<0_bKH*5hg{84SxLH-eJ@HTg_`0GN3P16gK-ivOk=eNK~hz6c`uZ&RU_ zHTWAdowExNFZN9S9AU4aaj9K<`QnH4 zJ(+T2I*0fSbU8kPjGSGTD&eh?bW_7BlK-ws#H1l8N7`P7#w0v*=bv;oFpqFuoye@rEkhk3M#dgO+Bl7&u<^gus&u)5=zs;>U(W6hrHSGEMSLzUB7#09fiD=-k+m*BALjg%EiZ~;xuL=M6(;`DDsqzHZ94sGrTz)h;VEwK7VkOa zZWz=KH{HCVDTpGdRNX&-K9@1|ybT16d~J$=m4Zv3F2_C(&em`3q*^t=#ty|12zlaN zsfYiJD^(B4pDY$_$YA%eTvw>_^u^D1gv)FN`ccDLyIy8O20mNjawN~aYtopvxua87 z9f#=Sv%=ym!DR!@14^9bOT34sd}73*Cf;t)!KoFWNWaB9M;wB6+WnENOs5PbJrL`s zBD!-qWqW1ptZWzH*WQcH0{KH%A}u`#9Df<8Fh-z=sMcxH8^K&(T$~=tc>ku3;{_7C z-J=|l_Im#zLN`C2BFc+qWsh`Yue0U+!>)y*k2S184mri>4X-ab#Yu?MnXO_Dvu|a8 zqOP+l$@ah4G1K*WotWNddu}Pll4rPgmYnxir(hZcXd)c54=4ak;FF^nN~A9$H`TBI z=g$0v-6+YRoubLDV2WX#m|uUPJUEzO986Pe+Vx>SVV}TOfg(jDFf(FQzmp*92J7GO zgm&(t0e_+RAlDO##2!{0fAugK<-_)!I#DDnQq2`k7Y-$>qSC)~P`$C`O^$_=#_hSs z^tyfZ0B!+e)6(CY$aBN}@SNc|WsVleuhhp+=n?PM^Ka@9;4e_yp~M6~TG> zV$+2=|8Z}}mQq3rb6U9}i;`A@^uKx4h{V7tN(Cy%H2&a>#?yBUI1EvkO zg_GO-=5|LXs{G#x^yeX@2`XrjL3lH+T^8F2r_XiEM8)FVCk=UFVE$isA3weQyyN|c z4=df>g%aHzKfQKktwZ7;SySgNqy6^R+BHzzmyb(4H*&5jnj1+kt1m>q+_aYVfzjuD zdrvz6aW~FD?L}+c<6i|oT`N$%BWVQAa6`A1FR~BuD-V_9Li-3db}t|{KR{m6268A= z)BN7DjVmHC7irtLj4C4cEHL#IhKr->`QLEzaSu3IqDCzqzrE?c2a zfG}DV!z=)nyCk3_%K9z_a1?$fNm)5o5&*byL!y@gQ~Y;ZlRRDuQqk48(4v39{CwHx zO#PXKj(yVAE?AqS%?+OG;2NX-V2u?j{NiQ4DKGDx-uaOP z?=Qdoy~*1(?TRJxqq6~^&3}@T88t~qWyV_*o8M&3aiqVEp8W=XohQ$jvlYJu`v5=q z*E03Y&d@s>=meC2rv=tFw)-NmX9zv4?5jjM$r!HTxu3yvJT!=___t-|rnv%7iRkm^ zfvaDG%#;M7K`PVFY~Khz$X#r&-v!D(l!#wmMTCLsZ;Cu8b2E!ltngaEH6oN08(DGO zsbcz%gOe<&%KV1aH`^WWjzDvOkZ5Q>;cnM^h++z#S>Ig#6_dGe(L5tu6rC2^(Lhs$St$#gR* z7yPQef`ll@L(ck|bj5m0_4Bm?)NYvk&>(T1`5b*l;V742f5a$@eXIifyXeU8^GKef zRb*9ED7{`z?(`zJblwuB@Y!I&4MTq8dTsd%_S&Pz49Pt7U%BH<6-}sP*e~+7dP(-r z?Wb$pd%biES_7g5Hk_r$V zJv@@Tr_0HY5-+SI&jUug)o(aTPiL-2e4rQfwYNVv$Q}CmJT}*$>}QEyJ0^{ri!RAY zo4xk)nJq%gND;<{?rD$5)4OSHPb|$G_Id zfAg<0S{(qryuu#)vwZ~eF~$}1;LK0S!6P})!`}U8=UTcTJwVvSzz67O2>{S()S2-^ zNUejtz!DyPO?Wyu+!aV|C6+|c#*HpE;Ubk~GE8u#+6|V&!37+;aNtkRk0Gt$!c&93 zMP}XfnTVimbDj2qcMgtjXZ&js zyryyuzr)}Gl-NMp(#&9DE&IHlIcQB2TK3W&!%GKy(sxC3DrvXkZHkXR9<{9xPf|SOjcdM{Av7lcxd#W<&#|Te076yl%=T ze~RPvl0Va&UA_z6%s;(&$K+GA_&MyWFO`M|7EXOKDi?1!WmUAvrgkw-NdMCht8p_<1%QzDC(>1Fkvms6>h5Ilb^{-4x^*JQ=#gk{E zjiO6HQ!gC#2;~HS&Xi`x>ZkDXOOF$N&t}QR_V|Oh^aDKw7(dAi&~F+Yzvi^fp}%y*pkieYAE8-uA|3h? z0iPdL&3x1}B46ErgEMor*Y1_hg=!{=PFD<}!{Fh5dPy)Eb)**`-o8-UqCD5nWd0H8MU~0r z(AFd>GpKRN?=$F`ooFShj-$#JcVw%?==P|EJLKPvj;)TL9{2Rz3w}9WCFgZBXt`t| z!p&JDhO`EjQ2Y*xtNa>6SS#5vJSFr0WS$tl2j(k$#W?ThFSIe7bbE4 z`99&MLmS4PmI8D92|v-3RC?~o2;)DzG835pNt4r}o%$yx>!1!_eI536FTjcCY(NJ{ zD#j)N0xZLkY7lkt0zWy7ZR>VnJ7;(;$|L9G_NUak2wC|7F~hMwE&g0mT9MCMBO%aJ zvm?83v8-Vh7c8(-ozTv0cewRLi?(TnQb8n4UD1I8f+=G-#bHKLbkmZeGcGV9bZj-^ zMU>ptQnD~l?FRw^Ccxme0PE32$E>C+*tkqx+HjiM3?7=R3FTNOLQH;9CC>&L>NZQjIdM|*+VvF;P3z}-a7%=)xT1^?YHJx08^GZQs8nvoJNUh)BN*s0 zD2)1CVo2xRn^S{$`;JwS*mKAQ7zK9lGgDWq1pS)t$oG~H4MjN3;Mk4^5m;#qG{^uw zCNHar6w+Vq;=>^h?1fGQ6k6;8dp@}(E< z4paPl?#9_fXn9SOX2rqT^Kg5d$%4_Cw$bJXVlNhlihEzW z_0RYg%rxwJ7E%>LUp-UL%l`~?jXc>HMz;SWuyvUK__=1n(UF`)>{+#97@lr!7raQn zm>nD2beCcGwQ{Qzns6cJDP1mGZdIj;BW5cfUlB_lIOuCifHe7=%$_^W*|v zx8R~P-bO>1;7^|dpdaXPqriCp8)1r7R2y|T$8myDRWe0S9Iwdud}-KnXqM{H;V0YR zD>MC!Uuik`gx0bhg0TEeePA2zjRkf1qu|jlF-Eg#zze^_J*kNDRYYouzQxe!v%wy{ zfl~s@r%47;ONu8EQ?Ne_85Eb3@zv#b4|HTgni>l?_z1{Yjdp3)9BzW0J)H9eh7t8k zIayz}`Q$uY*7bws%qZ37_&YlQY2}-01XL*`wdx$hTP4ZKV5}BhFf`dHgw!1@c7I^` z+g!qMGVg(3t;|Kksm1y8kT-i_N%uee5Np0=QgcB}zs0_tmbZenp>H{x+HbUOTe1^1 zt*mv~9AM}xmbT%}P=fvrimZgPM?*oCuV}g3(5{8URK8=#2@?u@&dLOJdg90DF+LC1 z*FO;EB1JtZaWguf$5jSf_|ng;RAl(QfB!f;M>9|C^M+YHesqzi2p$@%l<8QS%eHkJ zkD)%E`$FIND|&!@aNK!8=jQO)VYkTXZFCO}SZJmsQ9h-!3C#ahtIcxyN($M zwghl(%RUL`H-f$zM2)g|Y;Z0(rP~IP7!n;MjF4LToS8!sG|8o6kAf}cRRvC{5uJo@V|&-g0R8p!^Iw3Q+)^!JSU^+m(M8#e#6}}e!o-= zdVPpvGtYH8ey?Ub-Qz&-Za-V&Yh_MTP}CZnmOD3ZwhF&N*I(GS&p6k4L&W0Jo6mtN zrjk)YBmPI@_Wr07bCU=8jfZ7N_ge^;;rxE%_APXUSQ@{TZ+p-Gh+d1=YsiRs7Nf+lY6Led3zb_|o9$y46HbHq_!3u-?thI3+ zd+kmb(r{LDnHu2s$PrqnBqn|DS$kMhz0cyWB=xeHV$^PZ`~%nf6t*4}uZvq}_hoMF zq)zhLx`QY2w`B?AhwbIQjLZan6pxZQ>JTWayj%hu)IZu-UoHgX*9}hcs~?ilO<7H_ z{RjV841DN?wrLe0-(e#H6|%nLBOYto2HHK%`cOR?Nsw;Mu3*V(iD#exb4ciS;ny-m z%t?RltK0Por5y7|CBI8Vaa~yur+N2$m`IAB|5patKHRI=sqDp(Ptp;CLJ{j`#f}ml zM^YtH>DI#|(JH3^@xeDiFMAcdCzMDxEtnWALg0IsZwvM4aVUM->gZDdluH&&I2 z$9A3l%p6d=({OzQlcYU4@kk%G=*|1q@ESThv@7H>_feYNGal7GMTy(QQwLomhVeB; zYh5FA1Cd17t%CcDLvysZnO!pHPPo=VkU6^Z@vAe;0RoUKVf3%;W_jPkwd2BB-2o<6 zL>XmmK$6wal0Mm{_HA9&voh2EQCcO2LVzwtsxrVMIr;;i;=DKbr9*t(w=Mfld*8Q1 ztR}>MZ-QM)JauTTY=wIAt;;IEgU~4-J=)b2sKy=92mguU>RgI1UV)9)O`IBLC-1~2 zniY%Rjst8(4`e4Tdbhc*T6QJ{oRmj>je4Z;5RbC|Xp)3DEoZj-FgC4)s+NskKGt^& zecK-|@i=gPiSH1o4zYk%o1A+rZy)hPZdUK+S8`LlC$$>SZJtp;fItdc*k6=qg<$!UKQf>!{NnmW!E85abM^`e(KNu5{=Ww1^u=3)RBIs zm`8@hCooXI?LogwA5weV3Qh0IoqWm%ZpMbD&~EBH*wGoqHNk04H0{C*kug#ul zWCngr*W%o`u~Y*_g^f$k@zY;_@s^>DYe_3WTWbZKPaj&#H&reww-1~(Dm!p<8w?iX zzlO9jih@57nwePR+^m;gucO75kvk)6TtiV3-hR(@6o5zO0Z~X*r=T18-DIxcwf!z{ zfBbHlLMT_TOCD&`jr5#3;#@R3w z92wjzLTOka^o@JIAH+3ik#Q{po-pqeQLQ{KR|fMq-u_F&0&4&vr(R1ijWXU%5zVXf#@R{r7PRPMw2^ z+5PTwb}8Mb71zFpawJ~5A_p)^NVK+0dK!1{j!lx&dC#INO zFo7M4Q2zU|yyv_ju57hI{}EK^Ne{vl$vp19#mh#+hRLR1yR^zm^_XeIcir@Mq%39Z)rbm~w|l=PvP0#(pD zGEvj|NTS!8^&zT(XclJPu8qR zAB;BeS~y2t($miTbqvZMq7j>XcPO&fZq&i{h|xiZ>>PmK#W%Gkk5StK@W?=~icpPq zM5E_@Wi~t+clTs&?iG5z;ALM*!Boqz6I22yKw9fll!vV~&NXTtK|F-^Bo>a(WOo65 zfB2Kh@@&WN&uZfE*hU26G~&_DnEe}$n6{wK5%J#?A^ye$l8Pc4IsGE?n;o6^ENbeX zqW=%yyc1&)ltr!HO!A#p%6EJIih7y$G%4EN;KUXvljo~~iJX%6uH1gGo!zUv_=ljJ z^-FRN+QE+|z4dotcj;1H--+m(LZY4D$_hkP4kz}-d!^#4LWodU+F~`eC$3(4^vI_7pE$Uw-Y#F z4$=}XLQYJ9IAPW;U;J9Yu1@o4b>BrdzZoO`B|H*wt`2&!btAVw)n4=iFo($oiqa^) zE!fJ&&nri8c`NlF=X{yYw1lkLzxk;2S06h{n%*FozbOVTD*UhOrqu(=r(@B=>`z#8 zR4+pR_zO_yjOqg)r#@`De|bcMnfIctF*u;0o#-I@$f_r8zecq&;`f=2IQhvl=`^2> z+0DHV72^>`KCs*m9m_6&0PF}(YSl75Bo+1Ipa)fR=iM{Z;~ux|%L+$+P+sqTb3#!o zD1XcpdN7SY$KRV9HEE=uwD%Su_dbbtXuF2_Us$_{ zb3vo35V6KH+4dqo)+MD=cVz&?ac`xGI*gv47%W`khLnsy!P#|h`Zyda^`MKCk7>Yo z6h1mF6^rwhh;J~{2-eNZh<*$h?EkzqD7w!;;Jg#UP|dFR&a0c0?keLieBLwo+7PfU ziX6F#IF?P3X$Nf9#~%0`k%3*v{3mYfZ`ZT7EbQ!2<^4Wn?cBLDHX!jqAGBS?@4{Av zhd2#mpHKO5`Ea(3vXi`c>>BKH9VTdS6Ye$N1 zUJ>1ic(>)}uIlJ~w3L%UE3d8bqA#yZ4DaK*!!t+nWO3}c6-u@>^;2A`AvKxuS77Z& z`Qx@g^}5`A81kA|jmjyz>u#zzU1NZduFamgw|gTFl_un6yX{J`;M=>(;CtLHO2|tjnZ<*NENP6 zBfi|Fm(Fs%%_aKMv)=TaiMgr&OV5o%vGxV@Tr|vJO@tg`u}%~WBflJBiZNQ9cIH_N zDj$>1;EEnd|Bgg`L$D!-7ATYov7*O@(CHA@uc;)$kv5$O#g!ncgqJFhRPE$}9H z^sbu*4BgaYv;^yp9NO07z1m3%p{Zu4qAWWQy){?VEGh;`YH8)YH5K0t52P4Q15JDM zNE$k}##D7l!}~<4Y&3EKvU?Jx>|pzVx7#fI_ylYe9v7Y%WW5oQa4PAvg9dKiSESG2 zD(+p#01V|Leya=rUPJcHU#{F{qIH%ncX~tTzr)t$zkHXu?OTqLTpvN9opO@cUJ=xJ zo>`mL8kF|~>M2tm5HjMY5WnaN{M!DPfC6VQ;H<3|)lf3!6)B9UiXG$TpYDsi6D{HCqUlOCv=A;;h%ZD5 zDPD{t<|%JW^Bv^fqJgv{VHb-Z%0`1N{%UB&XFlD*lf-CNOgoDByK3JC*g@QFuWkb1_HB%`Y)MoCNh_&{j zTD@V8aWi$mVT@bn^(e*HKxyFeG`!XAz{@ADX z|n>r89O9V$@pLMhArZoGR8h7#8m{KF{F^wRPjaZTu+UEGK4j*AbVF23tc zvKxxzpOS)N5U^*z+n(&r=8IY*ATu`UEv? z{X|>U=Zl6oBMSf2M+qEj%P(V0@_pV$#ag^Fza{4iu>X4_g?drU?kq-poEM+WIja{J zOF#1I#a927F`s?)XbMuqU}k+w>SnNmfuQ=M%OxJf!5H&M_^!y*$MT zS?+~zR>E5XT=s-|dkn4Yj;wC99cbnMZ6qJEI5hQ`d@@AR|?X%vRTvSwGAE9w^dotil%V4EC58w-@P4 zJX(Sn%&BGnJ&=KYl;u1x{?M)B(?9DIFdN}eLs9T2PkrGtcl_-9hloP}sm;D*A^xmecEkQpy4rFQwA@_b556+=?9CjtEOFirB_6f;dOt zv20#Ci)J)^^Y9rT8nxAA!27i(f=7p7+8rPL%lOfIP6k#e zO_$vH!;;l8E6ehERI+#+X2aW|Vlp?sUx0oP=nekR)6O1Ml&(jXYd&`HB_?A(m2~Zz zj@4^-=X{wPv(#V#Chc`_iwIn^0{~`52m$cUSZuBkIyrlXcn22ESy#!3_SN*BwNptS zTe>s#mxFAF#mr#p$LRcBwSoKpU8lgR;TmbT7~g}sVD3v87NQF7hzY@n^84&od?YIn(^@TIAAsZ!Wo$}s)86yn=Fj*5^Ru4&FI}lvJr{8Ay|ic6zmsTAPO#~0 z=~=O!LGzBo2=OR~^15ptJ~7)1U3(W}~lvi0~i0x`@jUAdLn3kJVQTb0)+C^R+kMHF0%rs*4J^^os zX6R3T!y*rfft9aXru!!L8?{Sj^tLEf%v3QqDh?wXHYzOt0K90>&xOPhZRy{`ejLvB z0wu!gpTE@(+Fusc{2@T@i=q9D5%?UTE!^82r(oHapeS{-A^k+qKTytFd(W3=6s_uP ziIF-~wR2*RFXT$^O}dit-rn6}$NAPro#D=6c7R{YK<(3e1kHAY|1LE%vkF+qGJlc2 zA&*8|me=lm1b7Z|`#rQrGslWfViwUVS6>Gfbkxb}d#Pf_VL{h!YoC)Fm-nBE85E_r zWI&wsf3NimIy0o*Gxi?iZZzEzH$QzarOukH7p`FvD9z6rNAQ%(+!`tg@QHxXebbKh z+AIMiVby{}OT6Lpd z?4cF8Jo>{HyO;Nz&@U`MHj`N1GPwfa8zeBWcVfAlgDw(*Jk_-z7Rt-T770gPT0}E;-(WEO z8Rr>sFK+FUiR)Ko4G17z15nr&tm}OVG6n&=fkgSE!!b8Ru>QDk{0&htfARm}yQGxA zbhyk7S%SY@xcm(TMSmg?u5?3L#a}gC?S}d;e+@vhi&qTe39iEiba0&AFkRA~T0Is? zpJY&L$TBh}nbhuO?K35r)ta*`EJ^!otytDJB->g$mc0Y%K&>Oo$(cm1bzvPmL^@pS z$~tnC0+Rb*q$gyY>$2?mg1Kwf9*M%1Gt46|9Gk zNRMluuquJ4q^jCytmiLCFKho}Ro9SeYhSVI>PZc?jjX0-QcEq9_4*B|wYH7b-a+cD z?P7KJka}zTSa17D?`m1B_XDI4wI5l7L!{x_5!R>Aq|w?hEcO^_yq3e7m?TZrPP1la zNndN{Sl_;re$@VCae1WqT0U!Gk+f9%i?zH0kXCDdv)2BQ1hwm|jlZPL+AY@h4oO%G z4r>*1?#bVys%T#l@yPHgDf}Ajc>Mw4_+ipP88|Lpn2$JK>=W!SE|(>UzMXIFGilrR zjNaR&ad-v!Q%Vv0PqVUL(F@vdr)jd=w$a4x{S;2_<7`I)ygD}7ulRK(imXN$rpoHaQ z=eML6c53GzNfxB9;s001U-dgNikut_&QhPxBnAFv3Gu@N+E{qI; zOK42O?19SwzxgtCR9yd&D!>XlhftIxzwy|d((kOP$e3h^>(W+r%g z&ULDhScA;+P|x#*mCB0l$A_z?B&!&k#2NzzF0gB7FUQPECL!`S!K+g>1lV_t0>3hH z6B*Sivx}kiWcee{0Aju=%NXG>I*j+e?%%6VeGBg8e_#wT8vCU7f`CjvV?*POv)$=c z`iI1&i-96lxc!xR%*MzJ$_TNoAotR1L`!VpIDSKx1U@}}+eHLpZ&u?B;+AICi=LPN zW`n;{zk#5|d5`y!4d7FNbPlh2z^$r=di?oG4^&`0q+{l@d>iD*4r22n3Zg;5QxZNv ztSXc_31YZ$F_)VgsmfFob3ZH>p(yv_)w8YsFwfaa_ibBl9x>$=mD*mDq9@6ICTwlA zQ~1!_gs6$?3r^6;iqwyJVcah@B0f~f&uG>RYVz=}`t;)SMMdBTBs5`A3M+ys_c;i= z6iSfZKwrHy#9g`4`$tYD+`=xa`>wOe1>AwG+Yg#ccVYMfr6}w0$}_@^nAJ)RM7dV^ zI9PcAai6PKS)#5!7Vq=kKC4~IV0P3fQ~q^6((4#n@HtiPh+BoNgztAx8NBI6Wzzkh z>rF6Nt`y z52&T>X+4gRnENK3maehh*P=~T&5J~wG8}pgf|XA`I!v|28Ssbrtr04ivP)=}C&*Cg zb^$q#x^^nu@TA-4Us&j@NPjB9l+x*J^={|FX=mT3WxfA3k<+Sh~E+L48iB(QDf_8mF zxl$HA?aF!?P^IR<6rZDuCPgBh+?|8ttSpY?+Y6Y0*Q~>n{Auq%0S)i=H0J?S$IUC+YLx*s*i7)&8RN~vW`B+mFUizG zwMqjG3_8vgh>`0ZdKA#>LFj+hne4~uB9b3!@$6ufo(VodcK7}hs6|>)%NpN}ZSwKHfg{-Lag?%6WckGM+7Pd)8VH{|V(55QgiZxcw# zrnxikKP;h%3W&Niyo_yl_Ct)3i{G*vH|qUf&%60O%2HJY?yUB-xTCW|-d44cZNs(c z(qFh8Oh{OrtVgAmX)K(0vUI;Y9V6s*FvZ#{{O>R?(@@TOpYOqbN_2d$KoM~#m?%0r zOQO2lYU@e5$19Do#)?CRckoX$0OO#}`xQ(K#`$|;qfAlmE#s6J^vI4eX_4}#?`Lk)gk zjHs`_mm7VL*w4Bh-E}OJsN`7DJN_Pl6}FLAeqj8mlFjha@bYknhb5TFAUPQ`J)gB*sq{nT?xD-fO)LT{w)=+n z8pJt9Eq6@k!oz>Py2q6iuXjJS=@#>uFmhWv_8=|RZV=vKc?GtxSXOcZ#T?b!St1Ko z1eTrYaFKl*ITCr$I;Jszd|;tl>2&yhMUcari-S;~GED>hdj?Cz&6mrtwpvS7z0N+1 zZmYU4OVen?#Io*Wv7yQ6G_%HJJyrTfXQ`ZPL0M_3S!%V>ED5uLOS;7}!JDYZolTdg z%So$I9ntww8-vjmY@CE3fe%6*-frY*p!*+a=GnJ&S^{gZKnk^ne46Ox5uIqH zrYCm!!C6F3@W7|P8MkVg(PF1ghqIY}e|)8i#tspSec5#LeS4nEtr$KyWojLhrdskL z;{_N)>|#<1WCn9z>~SMLAj8WSQXSSW^Z%Es%~;xcwO(*E=34BK0<(90Up6R&Cn?Z> z56yuF;FHEsfVU29%sj+}i{c~%uvP?Quk^jpqa5?Sh>=wPv|TEPY^L;6HJMY0()BI1 zh!4=U8n`ZOdlCw#w~dQKN0g&=ohpqZ1)XV!@_p2&Y0kbes?D1pUw*hUmg*H`c6xMC zg^36cGQ@@ZiY@+o@B%E=RT~>jU}9azpk2qj?x_PY=>LUBw4JC2mi@bGPC_~64iY8)J)vig2wAd|O*|qW=xWaAHUIr5b&V(3^2=M{?cV+eIw$$>ppFr5^wahg z0KLOg-#IjP@P?gWiaC3)D4eoeRkv`CjmqJd*K9 zaWeSZVK4_Q9ul3)n28txpmERddhcJx{RNDHW6$oEoF;@lBVNvT*QOZOp%N!) z3Sl}$vBibMyYEYJ*B9kWY~J)GX{A3-nffpMT7Fkjjd2)O_~=nK#9iqi)YI;gDl#?# z9sAsOP4uoB+6+VZ6ejMw&c0JZ2-H6V@0q@nRe-Q$pE2u~)v!H4oWzG_?wo;5PXn1c z&*2){kDRkUVQ#fL+u$zWIdd-{h<$d(wfp#0bbHO|vx2}ox5qKGmXo<&Mso|nr!oeBDdQ|wzSh9c&(*{X<|o~QF(Z8>nG_TIc5 z8fcWCm-Ghlt*E#P`R%JlX~=SR>;?wP70r83KVCA&;sw~~yDoZgVc$T&xLHDHeZ`ZD zlkm%IRt5BitvaqIu;EuF?P{?5JMtE15)kkW!3xsID7ON1bnNmsX*bLF(P$u#SzZlz zE~g1u$C-g#fNG2!nks5Y)*5RZ44Fbh%7vm_hO*zuB|Lv0EH*Ex<4&n?AAgoNx@Rcw z%mZzlapAL0?Jr|rft1od>956wo%)hqpl2y%_N(?u%R^oxkfL2!$EwNgDf{?uSK@=L zALc?NJ}sqh@aW_N74bTyUeEG#Q*j~Mm{1e0)c7g*QcH2CwnSJcSH$hM#@{1cj%V+I zL5`8++617d0DTwueifbqd2ZEnbg?VzR*m>#0eZhKGJui`cz}EkZyc79zS(G5uPw|% z|6H#)xd`hGGeBMMg+k7$x5(URl69^WD*{g)9ml$6*ic>&O4s!_KoA;vyXF( zWA7Y$ud*_-sjOqK>^%-L4obc_5e_1y_0(gehx{Zdvus5wTR2vdjxEW~_t(Gozt{D8 z-LLz)KJWL9Y!2pOA|$J}=Q`DM6G!2VpOm>@z0pF~+9AHvPmRVX3t{X?sibj!Aj*ZL zIKuq;9DE%w@WvApnd{=_fjR;l?WA|-DyFE{3zjY?SJ!$m&OXvMQme?ST2 zWh8Y~o;)dYuq2rGQV}}a*5^Tpt7XIEM&?95>H$bDMB{yYd?+xc_;-J8}IGdF%7 z32|Y`xVB?4TN%%TWO1laD+jIz>aO4MvYm}28c4}=@@U51IzE3TclzlBhX3U9x#y6q zLDG!6hQZYF1EsS#TZTaSS3ACn*I04rj{frgF)mw@&i)f60orAGuE1C6$QK)?8o^sa z*|*p1b8we=Pp5lR)y=1YTjx>|^i%ZWrLAHuSr5tn%@s%W@l~tr!s4&=C0b~(P4A=5# zap{+DV|}ZFO8patI8BK~tG%N%%pi~t!=Jz%>*d(A{;+x|K^mXbkP&MABsmJPAp4aa zYai2tx4_b;P3xWY^m?QT&wPk;}v5<$^~nZamOfcHFnuM2j}5DZ%nq+`CE4Gc)caD7hN14-L_WCWoeMR_lMVUh`NOY6V7y2F=%5cN3NEhW4g@QYRrV zB|&cYi8P?d4mrbQ1;3Hg75?eZuKpW@&>BM(Xps&Fe3aH!dHG(n1-H&488XZ_WJRuY zO)er-$T-mi-%-uB^DD?z3&Te$&ZdUTKi8^GY-a)Yk zOQ+ivYr=F7bFS#^CFiWhBe{eC}xbz=}`|JwE;!asEN>zi$-WriJ& znGM}7^SCgJ1s`;uS?|NF`Xtk8<$!8dfB2bG&8rU(S1}~L9Tbqngx27z`d0A;DIECa zFDAw$qHUF)VpC-hS3Dp9INr1Znx7e7drKUIy@@y=y|=Y zkt)sDE;hNpxL!re&>4pZ|5Y%Q2x4ZY-eB3 z*C`32Wbq)X?7Bwiw$^K@%ftrEJ$D0(!U2OmH zyh0um^g6H9qW&NBg!L0F7*tbfing4;`|qhUkzo_B{2Yb5V@lvXdRdzf#Mt{74sw#b1E!WR2&|a?L~&vYjmqFrzBFjdvIlch4KIW-s3xfz^C*Bzp%4 zYc+BF$dtU0n=3oUgE2bTF#8iAc=J2_zw6+o+0j*4>Wj>_>bxmO@Ugog6|2ilpeWH$ zd3QOUzt1@?@ez`w%IpuO6r-o&q;>pKLHzxc?l`{K?Km8(;`ws?)9@Okqv&Rd<)2?t zd#F)GUgW>G@R+z-j7(ST6wY^bOlgTWNSe1BJg$E;oU{`Txt*p}owgf0N#MixC7J_B z+YU^DqUFid-ULXU0{S~Jv8T8)(hDYle7sEF_X#$DS>d#XeAQiF3$JQpovHXtruz>g zt@eHugu`hTi$f>!ef>Hgq6IX4bteAAGs}0;CaMX-gfqUFH}t|A9|WhIX!1yK13~BP zi=JUOmHXWvkEC>L?!R%eN+7c6)4ALOKJ5LD-a@e1fy`SCY>2KuoI^1`SLYTmin@m% zXz$JptNY6HpAU9+QMbFZ(Beru0@V zapRDFgguN5sMMNpS(IU7R7A+V^4Y!S1L7&DAg|@-3fL?TyPBT-hw-Tr3%Vlcwxr*$(6DMxl6;_@L?%;XT&tg71A=R1&*~Uug zB(GngNU%g0B(E)TBNu4bJcXu~zw)v`Xcqh){iJPl`S;J0WnT3G@9O{TW|Jj!6~#v} zu&@zr-yW82)AK`R^fRd+%sOaSg;C`^kdR(cvIh!rs1YZ zuk#n@e6O>HhRo(wHv2S66n%Cq*u5sykMEM=d;?a4zX9Wn^y55Nnw7!Xs>{h3x0fDq zeq|Q!s(QAa50HJbArSbMNL{-lXvua%4R$yLMaNKz-Mucfj@AS zIm;uwCQ!VPdHmbeQv7~_IYu$#HRhKfHn%%4X`+Qz1y$SguMJ*|s=5?*a=GC{zD)8`N*`>s z^;zu)lF($9v;m&{FcW$%T*gZ=AdJ51Odiny0HL3k#1x$Y<9Bj3-$}hbq9oIn+|+}! z#n^O@x##)5A4pch94r6jyBd%jZCK_uQUXt&Hyu~~&!jqD<}kfmfb&J&^J%k_nrAOI z=fIlZ2C?nER{a&%eUy`$YId;Q>S~->4`ejK{uSC1i#rMzlpP+SdvO`Gki@ z`uW5L08Z24j>*0uv1FgYGwiW$6TOD&potCPa852zy*O-Xgw`jODY1BPm1J`jQc!T<5{AExMI zxyJ0w^t)JFiZj#fxkN-16G_2lC~X+e4|>Yv3Fi?-CpBLRYsM*cTzE4(bPbS}t##G;a2 z_I-Yv&rk@OQtxIWG%w{%Wp{mapy(aN^Ey+O4EK4FQ1FvXd{fe)O41u5kjfFhF-b6^ zm-7AMrq{iXe_z4KI%Ic#;2k$S2LOm`AA@9BgCTVMy2_6{Ww3n6DF%^4_VfWR*`UMN zCr~%yv1XA=0pm{?uQN4rS~{Yyo5uR6G*k-)mAPC_<&mpqefC9Dy+5-h2YQ}WntvsJ z8|eC0oIAxkpP7)lT^-h?@y9W4FK~(VCx$(frmpcqY2kw2lvl?`Id0{H>-m`u%1_%< z4kJzdCG=xCGeMx=-Qzi(-|(4b398tt(&@_C!{`QriB5!dObjmHe=2I)?!-D?y@`?^ z`1!SL+rVo7>M7@HC#8jyOcG7ejbFnJt7q4o{v$bedv{fF^|WCB=SCaLw2R)m8G;)p zG%3G$M~=4VAu;wCM<>IIp)Mss3e=M#%w_Jrfuc~O!QJ-trArAoQUxd3n>$%9d}%%p z?lVyi5G6kYr*GrAzaG158)XK!#F5;GT~)f?UlhCKl{HKBVpwNNbakm13wvGThbY_5 z)S;tWaZ_Pprv!KIo3`JedR6Shz>KLev*Nl+NjH44Ln<&&n^oUi$kGuo8|VJXKH(+2 zVA>9f5eFY#EaV$NwL3qZv&ApWckV5{sS&}2K65*VdFEqVS~<g3BxXh$P7 z@2eTSLolCRprLil{{k9uvRo!br&g-so)&PEt+rCtyjh@>Sfq)YWD9=F+@v%^^u7lr z`bE)qtqn0tQw8!zAa*QCmpq%^|M4WlD-1-l!8zkbWPld)*rA&93p^w{s-|vYELOkD zpkkp`LCBQ{r@QXu73=u7R9cbZa$})V89>&GUWIj@x53t3Mz%(20@spcc5b{($s_Aq z&#ICi$SWdX%{vqdDmQ{-_I}awyy_b)w+i&!S9^XxuM^?K5~XU*wI*_5F2+zF6yD97 zdU;>Ni2G(Oy(i}40)dI?i}`6k2>E~v$%MvKU$(Ug*ip_-hiuo2;law-JCPNrK!bl) z{h%U&HQ6wv|D7%|5r5g3vxLiye8zUqrr2+M|6$ryTEra-#h7ILdF@P+^TGOz@2e`u zoB>`hawyT35Y7DevFKy`|CU(5mqXc`+?Okmk}YZP*=uHDF`8$jP*{Q7A8reS`-h3& zqqBSTYX(1EDu*jBY;5mI^S94rd`rAg!cc=1?&R!Vh^~x|S^3NmJeAZC=-2<-RtXA# zJ|A#|D@Q-vy`~Cf?Wef+?e^~US>4EdZr(O`Bj0Th#u;9$!T)`n&C8`71byRYOmLT} z0FKArNTGx+KAOjpR`O6ZD#Acda#nCbnfW0P^jX+A@{K_%SnPv<|oDujeW*fGTFVH6?Zp6Pktg@(14tQZ2xXWO9FU(A0Y_Mz7klmplbl#xhB>&#*U?>tt znsI0iU4v1W`d+lR5J)$gP@xu!mUfq9%ndOH#W42&x>qBtglp+YDUL0mSTOH2A}MZr zgwd`SbXlne0!t!#(c5VM_FAOMrBv_uDYo`BHtwKu8dxRUky(*v zQre-84YQXroff${RzGAcR=PKCXyw}VmR2n|Hkygx?V&5}&~EhJGHioyQjbo>m2J}! z*qXc3xw>~Zg|D>#9SrIk02Dp36QmxH61~9zGopg==pWzO$f#rOldLtS)KGlOD)~lB zBPU?j#t=GbM55nqHr{|XUwmusL~M|m5TAIMTi9=GG#`y2*5noo*1HIRyfa}hb0W;( zBxPjgw5_A|sU8UviH5IcQ?KvX6NaWkNwAmsjQ<4R29aU+ew^KN(4AjE{PeaZQ#aK( zet^{No-K>T&a2&4a`@dy)7kOp3^xsv>v}-GF>B@?LX;UpRgmfCt0;V zBbd`VC0r0-aBG{+Gb-H(8_av$Gn{tE614t@Q8ux~f-od4kjHA%RuB4@*tIr9rKA3) ztaRr-dx4*?4)!m8L=1ijOZy?GBIr7x0jrK^jR_fk(D!NR-bc6w6!u7L`4hUMx_K}~ zn$kANw`v?V2)KNz`(Vqs6SjA}Ncdb89AnYrn|IW(w0wWi{^WmNh=+Wio-*->Tn2Gh z2-Hno%`hV`wrv{b!hcnwBRavtVTeSn9h;i0Z8e<1#$(}5D71hDBj~b`LJPKnky!C= zPAOYvHFJF;5$d&wFNhXr7;F9O%oM>AmG<|{%%%BFe|lyFy55ex*>-{aX0Q;euf2$i7(;x}EjPN()!Tg~ImS5YRE#bV$8r z_XToKPXM0^&?EbBFdJXzMx3XcBox$b4 zoVU9IWlu0chtNiL*Ru;?m?Crh3pQNjuV>r^`zwgn4ZOdh;O}7+F<}7>SlD-_2J*uUD zO8wHqP1!m!n=sMisgOIJN+bZST2ctj?r{g^&1r*FdKEPX87PJuT{f>e%WbtBJO!P+ z9D?&CZ5$#&)=o+2g8MbZy+q!h4fpOYzYBs&nB_Pjqo9DxMALfNp2oC<-%AF5ar!}~ z)JUi6(|)@0LI0qy_bynjTtB@VgC3Y(6e{BI`?2C}42ojOPy_S+Dezp;2mtfK=1Ms@m;CUDm*xjX#i9||-CXyH8y zDg^VmNLn`jj%EVI%ur|mG8hAC8hKHAxV5qlVR-`qz0H}4!^e8V`<7-r_Q>t(@W zD+3x5FP+Mng86-zH-ia_XWHZVC<65}B4|VnzZ@^Y5SMw2uTgGo;^T{VsClttuOd;s?%-yBBH)%JMXUR6Y9w_0;Ik}EbI*mMchH`Pomc1wjlb`xUXkU2InQ% zGS@Ls##^P6y!{v4bs7H8=2qI5Z6KzQ#2Q4fc+r%y zYrfneEgS}Vg>gxTTBeIzDb9;tzO-JdFNU1&OY1!FSvOo1`FjBQI4<`4q%DGPQv2e4 zc%5(gh`0aG8r4{gG56SlEtgz7WL>%FKgyK<^q!H*ece>=v@~{`>vOS>8CBjnm)D&F z8hPJ&F_^W?5^0O_u~)WqA#Ygj0&Z|;rQUP{S*blJ-*Y03+h}clPD>nk?l(S314(o!aVp-HSGZOwD6yA#IuI2?w6>$PplKRhMK*cerXCxIFsh$eqUIrn79n^(zI z)BO_dc9Y!y@Y&&5k2@F4_1ryOT&l|d(2{e~Jwh@sj)=Lu@vmZgLkUvdH7N-QBiTi# z$U}Uda2trXaW5Dx2RiX+DDIXWZ8>|Q{x#jr53V`8Ai18K$1y+5|o?0B2px6xeG-1oMM z)tR=Y?NnJMJmA5@ut@x!G#*fqw&iMaPBXv*juHwLl4q|0FYA&1iD%}DB3~c-YlE)3 z#jbI!%A@kCE%@_&K)yFIyqur-l$-Ei_xoIR^W0G0q|-?-Ip7&E?sXu!mkjj?hGKF= zf#w#G0;yi0wj+)CN6PCao)64U_~ea?1zm#v7@t7k5K)xshJ0(V9U1!}ZTbG=LZwgeMzxy*^VCX%~h!idK*W#6eo8y8!V z@a&K*@1LI$UY7n`jIqKnzr<0O0p2>-v9uD8&}{NByU`+8>?ycl541x=u(l%28?U*@Vy{^Czu9qrFm1LOLtX zipaJ~;20i+dxl^&Qs4-$=L>xCs;T-Zm9VV(AAnFd18rCJ;^Fobl4*5X-A8o27|qn- zkh=hGJvfu*l&H2F)8E2P)xI71=>6WWne<_o>Qs34Sm-}uGkjeLjtkRn`cMCf;85+` z;`f5w2dA-hj} zPevLIYnSf0yu^ivD?W~*M5l7n1CU#tG2WbnV`-PaBKQ<*c^89~Eksb^(=c)Hp)+jO z+l_#OIVvbR6LD8%j(Pn#11|%3o&_9l_PZk7lT)v#B1mz;H0OQsci3GoJ|YZqEv(3m zHS4Mm8^==4VYVi6hD;P37lCu03wC2njH0I+VYv6szde|=NwJ2i!YZc6FrDPtcxgvs zU&gh0m|(x@S(gvzm&G?7&2Z?m5;%<{fYW%^$B-*ehCa=8gD4GZ$OwxaV+3)nPZ6)$7PGDm+ z>8d(6qw?#G)sDV<$G@t@rZyjW6W;O9SdCi$a+RohudM2bR;Lg?G%(lgp`3neIqtcv zJs2yGN`GwX_unIM#hx9YH= z`GgMqY~5*80?kDSCVwTxB;Y|F z7defce=g>Jr0&c;zh&UD)~l8;?V;`+LNsxANn8_g&;U0()iGT&lsf7x264=0a~ zv8f8L=OA_{aBX48X#=0ZSmS0jg1YT^>MVv}%?M_kly?q>*mX*DwMApRRTy!U6>?ZjecnBR^!7JcDY*cTn+T-|Kzs6@#y z7Ux&lu#cb72H8DBucS9zedf9C3Jieu!*UaDa4`Gu!m8Q?`|cvbXs72C((E-o`&Z_5 z%v(J;a5tBhZ`;A1X4#e7@!D3pq`CETb|am8(JS+@QSnnLO4QQpNr7r3Zj&xAU!wC)ccI60=0+>tbs&U?9u-zZu=*p7NnRN)|a zk)!qqMSYKya9_YO|Het(ezonuVY78Wf;}ts{!3Vf^71U{*agf-_x6)Ea$iovhQ7U& zg4V@SNhj3QH9q9cC1Hu&`J%<6JHMioQU+xjx8eikY|2P4OWyYt1B|r$SESE9M_^Qa zW7)1t#$6*}O;ncH_lWR0N(d>RO9)(acot8Ks1V~AyJU^}D|@Ly6O=0&5p@6)^q7{h za6~Jb&pa|b>JTUKbu=2vm`c_fz9r(~)N}u(y-|f_Omn~JVI$QUB%zigD5ofz?~ z(S7f|{~OHPe!S2@YvuK@|mJm z>T+~9zM#;^vNsPx)4{Kk)_JT9jIQR1K? zBGtzss=+mK58?UN; zL1nC8Zn`jA-qUVrhkd0L_xFmFFj}Gj0KB`{_S{&AB?0ipQYYJ-b{rd3 z*pIVYccD)NTS3K{ZjY>pqpZiW>Tch~E+4}h%M^k;$y;HTj)7WoUrzyI-jWd2G7+E|M=W^wNP%eMI>m5Sf|`+=AvGQr05Ai#7b-3?A+BR# zzO0r6Wzpz3zp>c3x63hrSv4;YA@ZJLRAd-R>uO9~6qX!~X^Joz;`cXI-d9mQ4S{FW z)HO7<&ic@O{Rn}P&LN>;^l-9h)YRqZ^NM@B@d=4n?qU9B-tNgy;Fy6+T7}HgF5>5j zcoCg@0DmUnB@BBB0MB+)K*Y!PBVY@I-v7WR9F9yke!(WXQ_RN7Tyh~S5`($Vc2D>` zDxA5lYBC9rcnPT7v6+N(+Q0!2?$54=01&suJCXMRcsn4|c_HK~E72w(VZkpTsxlkR-xo)w#M%ZSf4`Ai}}Bmnej5O#-(N`fPLq!zI*6F zJsvu=$G6-bLU)PTa69jA$tQ6JdJuS*3GxFr<1pY&ZWwXC4US3|)kH;!X=+vRC9@l; z9iz%!W{}EhMnoTA3^+Nb3pBNH~Y$9ZdZU*y8??t44< z5Xl#GHRkZ4FkYSKk!a;)7f520M=4f{${fY*<#ZcX$91AafH4=q#O{($$^T*IVdgjD ze9I)qFV!Z@1CxMVgzG^PqH6JZ0x?jioa|kndAUd6I-mG00m#Z0CJEzk6NT`~=XC@` z+y$ZAEJDn=y8HsWd@SsONC+o1273dOhD-1&>=qcq{zF4>W)9W_6#}HDX{4t3_ZF+ms@5t3vp#UYJoo9-k_ISsr>43lnXJ4SLdHG&ndP z$?7667x6#kXLIJ#4|LB!7F>eNLL6ND>-HC|_){&;QP`Hz4U#Z!$V?mNz$Tz3Ojmn$ zf6&{!{$2ACTFFH^5k@bQLFqNfA#SCw-Gn(_ajdp6Y$7BqZIN`w3#vx0MI@~Wfk~8+HZm& diff --git a/pcxtest.map b/pcxtest.map index c569d14d..82532dc7 100755 --- a/pcxtest.map +++ b/pcxtest.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:41 Executable Image: pcxtest.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0b78:0000 0000ed60 +DGROUP 0b7f:0000 0000ed60 @@ -24,30 +24,30 @@ DGROUP 0b78:0000 0000ed60 Segment Class Group Address Size ======= ===== ===== ======= ==== -pcxtest_TEXT CODE AUTO 0000:0000 000002e0 -_TEXT CODE AUTO 0000:02e0 00008595 -bitmap_TEXT CODE AUTO 0000:8880 00000605 -planar_TEXT CODE AUTO 0000:8e90 00000275 -modex16_TEXT CODE AUTO 0000:9110 00002554 -16text_TEXT CODE AUTO 0000:b670 0000010d -FAR_DATA FAR_DATA AUTO 0b77:000d 00000000 -_NULL BEGDATA DGROUP 0b78:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0b7a:0000 00000002 -CONST DATA DGROUP 0b7a:0002 00000076 -CONST2 DATA DGROUP 0b81:0008 00000132 -_DATA DATA DGROUP 0b95:0000 0000032a -XIB DATA DGROUP 0bc7:000a 00000000 -XI DATA DGROUP 0bc7:000a 0000002a -XIE DATA DGROUP 0bca:0004 00000000 -YIB DATA DGROUP 0bca:0004 00000000 -YI DATA DGROUP 0bca:0004 0000000c -YIE DATA DGROUP 0bcb:0000 00000000 -STRINGS DATA DGROUP 0bcb:0000 00000000 -DATA DATA DGROUP 0bcb:0000 00000000 -_emu_init_start EMU DGROUP 0bcb:0000 00000000 -_emu_init_end EMU DGROUP 0bcb:0000 00000000 -_BSS BSS DGROUP 0bcb:0000 00000d70 -STACK STACK DGROUP 0ca2:0000 0000dac0 +pcxtest_TEXT CODE AUTO 0000:0000 000002e8 +_TEXT CODE AUTO 0000:02f0 00008595 +bitmap_TEXT CODE AUTO 0000:8890 0000060d +planar_TEXT CODE AUTO 0000:8ea0 00000275 +modex16_TEXT CODE AUTO 0000:9120 000025bc +16text_TEXT CODE AUTO 0000:b6e0 0000010d +FAR_DATA FAR_DATA AUTO 0b7e:000d 00000000 +_NULL BEGDATA DGROUP 0b7f:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0b81:0000 00000002 +CONST DATA DGROUP 0b81:0002 00000076 +CONST2 DATA DGROUP 0b88:0008 00000132 +_DATA DATA DGROUP 0b9c:0000 0000032a +XIB DATA DGROUP 0bce:000a 00000000 +XI DATA DGROUP 0bce:000a 0000002a +XIE DATA DGROUP 0bd1:0004 00000000 +YIB DATA DGROUP 0bd1:0004 00000000 +YI DATA DGROUP 0bd1:0004 0000000c +YIE DATA DGROUP 0bd2:0000 00000000 +STRINGS DATA DGROUP 0bd2:0000 00000000 +DATA DATA DGROUP 0bd2:0000 00000000 +_emu_init_start EMU DGROUP 0bd2:0000 00000000 +_emu_init_end EMU DGROUP 0bd2:0000 00000000 +_BSS BSS DGROUP 0bd2:0000 00000d70 +STACK STACK DGROUP 0ca9:0000 0000dac0 +----------------+ @@ -61,357 +61,357 @@ Address Symbol ======= ====== Module: pcxtest.o(/dos/z/16/src/pcxtest.c) -0000:00b8 main_ -0b78:0530+ _gvar +0000:00c0 main_ +0b7f:0530+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -0000:02e5 __STK -0000:0305* __STKOVERFLOW_ +0000:02f5 __STK +0000:0315* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:8bbe bitmapLoadPcx_ -0000:8d1a* bitmapLoadPcxTiles_ +0000:8bd6 bitmapLoadPcx_ +0000:8d32* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -0000:8e90 planar_buf_from_bitmap_ -0000:8fb0+ planar_buf_alloc_ -0000:90aa* planar_buf_free_ +0000:8ea0 planar_buf_from_bitmap_ +0000:8fc0+ planar_buf_alloc_ +0000:90ba* planar_buf_free_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:9304 VGAmodeX_ -0000:9396+ vgaGetMode_ -0000:93cc+ modexEnter_ -0000:951a* modexLeave_ -0000:9532+ modexsetBaseXMode_ -0000:9584 modexDefaultPage_ -0000:9636* modexNextPage_ -0000:9702* modexNextPageFlexibleSize_ -0000:97d0* modexShowPage_ -0000:98cc* modexPanPage_ -0000:991c* modexSelectPlane_ -0000:9940 modexClearRegion_ -0000:9a58 oldDrawBmp_ -0000:9bbe* CDrawBmp_ -0000:9d22 modexDrawBmp_ -0000:9d88+ modexDrawBmpRegion_ -0000:9ef2* modex_sparky4_DrawBmpRegion_ -0000:a05c* modexDrawPlanarBuf_ -0000:a07a modexDrawSprite_ -0000:a0e0+ modexDrawSpriteRegion_ -0000:a258 modexCopyPageRegion_ -0000:a3c2* modexFadeOn_ -0000:a3f2* modexFadeOff_ -0000:a420* modexFlashOn_ -0000:a44e* modexFlashOff_ -0000:a51e+ modexPalSave_ -0000:a574 modexNewPal_ -0000:a5c4* modexLoadPalFile_ -0000:a6a6* modexSavePalFile_ -0000:a71e* modexPalBlack_ -0000:a748* modexPalWhite_ -0000:a772+ modexPalUpdate_ -0000:ace2 modexPalUpdate1_ -0000:ad5c* modexPalUpdate0_ -0000:ada8+ chkcolor_ -0000:b0c0+ modexputPixel_ -0000:b14e* modexgetPixel_ -0000:b1d4* modexhlin_ -0000:b258* modexprint_ -0000:b3f2* modexprintbig_ -0000:b56e* pdump_ -0000:b5ce* cls_ -0000:b63c+ modexWaitBorder_ -0b78:0204 _VGA +0000:931c VGAmodeX_ +0000:93ae+ vgaGetMode_ +0000:93e4+ modexEnter_ +0000:9592* modexLeave_ +0000:95aa+ modexsetBaseXMode_ +0000:95fc modexDefaultPage_ +0000:96ae* modexNextPage_ +0000:977a* modexNextPageFlexibleSize_ +0000:9848* modexShowPage_ +0000:9944* modexPanPage_ +0000:9994* modexSelectPlane_ +0000:99b8 modexClearRegion_ +0000:9ad0 oldDrawBmp_ +0000:9c36* CDrawBmp_ +0000:9d9a modexDrawBmp_ +0000:9e00+ modexDrawBmpRegion_ +0000:9f6a* modex_sparky4_DrawBmpRegion_ +0000:a0d4* modexDrawPlanarBuf_ +0000:a0f2 modexDrawSprite_ +0000:a158+ modexDrawSpriteRegion_ +0000:a2d0 modexCopyPageRegion_ +0000:a43a* modexFadeOn_ +0000:a46a* modexFadeOff_ +0000:a498* modexFlashOn_ +0000:a4c6* modexFlashOff_ +0000:a596+ modexPalSave_ +0000:a5ec modexNewPal_ +0000:a63c* modexLoadPalFile_ +0000:a71e* modexSavePalFile_ +0000:a796* modexPalBlack_ +0000:a7c0* modexPalWhite_ +0000:a7ea+ modexPalUpdate_ +0000:ad5a modexPalUpdate1_ +0000:add4* modexPalUpdate0_ +0000:ae20+ chkcolor_ +0000:b138+ modexputPixel_ +0000:b1c6* modexgetPixel_ +0000:b24c* modexhlin_ +0000:b2d0* modexprint_ +0000:b46a* modexprintbig_ +0000:b5e6* pdump_ +0000:b646* cls_ +0000:b6b4+ modexWaitBorder_ +0b7f:0204 _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -0000:0329 __PIA -0000:0322* __PIS +0000:0339 __PIA +0000:0332* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -0000:0340 kbhit_ +0000:0350 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -0000:0357 printf_ +0000:0367 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0b78:0000* __nullarea -0b78:0218* __ovlflag -0b78:0219* __intno -0b78:021a* __ovlvec -0000:0386 _cstart_ -0000:0459* _Not_Enough_Memory_ -0000:058b __exit_ -0000:05aa __do_exit_with_msg__ -0000:0607 __GETDS +0b7f:0000* __nullarea +0b7f:0218* __ovlflag +0b7f:0219* __intno +0b7f:021a* __ovlvec +0000:0396 _cstart_ +0000:0469* _Not_Enough_Memory_ +0000:059b __exit_ +0000:05ba __do_exit_with_msg__ +0000:0617 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -0b78:021e _fltused_ +0b7f:021e _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -0000:0612 _big_code_ -0000:0612* CodeModelMismatch +0000:0622 _big_code_ +0000:0622* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0b78:0220 __8087 -0b78:0221 __real87 -0b78:0222 __dos87emucall -0b78:0224 __dos87real +0b7f:0220 __8087 +0b7f:0221 __real87 +0b7f:0222 __dos87emucall +0b7f:0224 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -0000:0612* __exit_with_msg_ -0000:0617 __fatal_runtime_error_ +0000:0622* __exit_with_msg_ +0000:0627 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0b78:0226 __curbrk -0b78:022e __STACKLOW -0b78:0230 __STACKTOP -0b78:0232 __cbyte -0b78:0234 __child -0b78:0236 __no87 -0b78:0243 ___FPE_handler -0b78:0228 __psp -0b78:0237 __get_ovl_stack -0b78:023b __restore_ovl_stack -0b78:023f __close_ovl_file -0b78:0247 __LpCmdLine -0b78:024b __LpPgmName -0b78:022a __osmajor -0b78:022b __osminor -0b78:022c __osmode -0b78:022d __HShift +0b7f:0226 __curbrk +0b7f:022e __STACKLOW +0b7f:0230 __STACKTOP +0b7f:0232 __cbyte +0b7f:0234 __child +0b7f:0236 __no87 +0b7f:0243 ___FPE_handler +0b7f:0228 __psp +0b7f:0237 __get_ovl_stack +0b7f:023b __restore_ovl_stack +0b7f:023f __close_ovl_file +0b7f:0247 __LpCmdLine +0b7f:024b __LpPgmName +0b7f:022a __osmajor +0b7f:022b __osminor +0b7f:022c __osmode +0b7f:022d __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -0000:0636 fread_ +0000:0646 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -0000:09ad __doclose_ -0000:0af7 __shutdown_stream_ -0000:0b11 fclose_ -0b78:0f68+ ___RmTmpFileFn +0000:09bd __doclose_ +0000:0b07 __shutdown_stream_ +0000:0b21 fclose_ +0b7f:0f68+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -0000:0b7c+ _null_exit_rtn_ -0000:0b7c+ __null_int23_exit_ -0000:0b7d exit_ -0000:0b9e+ _exit_ -0b78:0250+ ___int23_exit -0b78:0254 ___FPE_handler_exit +0000:0b8c+ _null_exit_rtn_ +0000:0b8c+ __null_int23_exit_ +0000:0b8d exit_ +0000:0bae+ _exit_ +0b7f:0250+ ___int23_exit +0b7f:0254 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -0000:0cd8 fseek_ +0000:0ce8 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -0000:0ed0 __fill_buffer_ -0000:111f fgetc_ +0000:0ee0 __fill_buffer_ +0000:112f fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -0000:1266+ __open_flags_ -0000:1527+ _fsopen_ -0000:158e fopen_ -0000:16b1* freopen_ +0000:1276+ __open_flags_ +0000:1537+ _fsopen_ +0000:159e fopen_ +0000:16c1* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -0000:173b _fmalloc_ -0000:173b malloc_ -0b78:0258+ ___fheap -0b78:025a ___fheapRover -0b78:025c ___LargestSizeB4Rover +0000:174b _fmalloc_ +0000:174b malloc_ +0b7f:0258+ ___fheap +0b7f:025a ___fheapRover +0b7f:025c ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0b78:025e ___iob -0b78:0f6c ___OpenStreams -0b78:0f70 ___ClosedStreams +0b7f:025e ___iob +0b7f:0f6c ___OpenStreams +0b7f:0f70 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -0000:18b0 fprintf_ +0000:18c0 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -0000:18df _ffree_ -0000:18df free_ +0000:18ef _ffree_ +0000:18ef free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -0000:194c+ int86x_ -0000:1acf int86_ +0000:195c+ int86x_ +0000:1adf int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -0000:1afc fwrite_ +0000:1b0c fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -0000:1e75 rand_ -0000:1eb7* srand_ +0000:1e85 rand_ +0000:1ec7* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -0000:1eda __I4M -0000:1eda __U4M +0000:1eea __I4M +0000:1eea __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:b6e6* textInit_ -0b78:0f74 _romFonts +0000:b756* textInit_ +0b7f:0f74 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -0000:1f0c __fprtf_ +0000:1f1c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -0000:205f __CMain +0000:206f __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -0000:20c5 __InitRtns -0000:20c5* __FInitRtns -0000:2120 __FiniRtns -0000:2120* __FFiniRtns +0000:20d5 __InitRtns +0000:20d5* __FInitRtns +0000:2130 __FiniRtns +0000:2130* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0b78:03ca ___uselfn +0b7f:03ca ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -0000:2184 __DOSseg__ +0000:2194 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) -0000:2185 __setEFGfmt_ +0000:2195 __setEFGfmt_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -0000:21bd* _fpreset_ -0000:21e8 __init_8087_ -0000:221b+ __default_sigfpe_handler_ -0000:2270 __chk8087_ +0000:21cd* _fpreset_ +0000:21f8 __init_8087_ +0000:222b+ __default_sigfpe_handler_ +0000:2280 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -0000:22e0 __EnterWVIDEO_ -0b78:03ea+ ___WD_Present +0000:22f0 __EnterWVIDEO_ +0b7f:03ea+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -0000:2304* __get_errno_ptr_ -0b78:0f8c _errno +0000:2314* __get_errno_ptr_ +0b7f:0f8c _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -0000:230b __ioalloc_ +0000:231b __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -0000:2499 __qread_ +0000:24a9 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -0000:24b9 __lseek_ +0000:24c9 __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -0000:24f3 __close_ +0000:2503 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -0000:2529 __flush_ +0000:2539 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -0000:2772 __freefp_ -0000:2813 __purgefp_ +0000:2782 __freefp_ +0000:2823 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -0000:2854 __PTC +0000:2864 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -0000:2888 __PTS +0000:2898 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -0000:28b9 lseek_ +0000:28c9 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -0000:28ea tell_ +0000:28fa tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -0000:28fb __flushall_ -0000:298f* flushall_ +0000:290b __flushall_ +0000:299f* flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -0000:2995 getche_ +0000:29a5 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -0000:29af* __set_commode_ -0b78:03ec __commode +0000:29bf* __set_commode_ +0b7f:03ec __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0b78:03ee __fmode +0b7f:03ee __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -0000:29bb tolower_ +0000:29cb tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -0000:2bea* open_ -0000:2c21 sopen_ +0000:2bfa* open_ +0000:2c31 sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -0000:2c4e __chktty_ +0000:2c5e __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -0000:2cad __allocfp_ +0000:2cbd __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -0000:2e60 __MemAllocator -0000:2f2a __MemFree +0000:2e70 __MemAllocator +0000:2f3a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -0000:3087 __GrowSeg_ +0000:3097 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -0000:31fd __AllocSeg_ +0000:320d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -0000:32ec __fmemneed_ +0000:32fc __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -0000:32ef _nmalloc_ -0b78:03f0 ___nheapbeg -0b78:03f2 ___MiniHeapRover -0b78:03f4 ___LargestSizeB4MiniHeapRover +0000:32ff _nmalloc_ +0b7f:03f0 ___nheapbeg +0b7f:03f2 ___MiniHeapRover +0b7f:03f4 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -0000:33c9* _heapgrow_ -0000:33c9* _fheapgrow_ -0000:33ca _nheapgrow_ +0000:33d9* _heapgrow_ +0000:33d9* _fheapgrow_ +0000:33da _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -0000:3442 __InitFiles_ +0000:3452 __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -0000:3676* fcloseall_ -0000:367d __full_io_exit_ +0000:3686* fcloseall_ +0000:368d __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -0000:3687 _nfree_ -0b78:0f8e+ ___MiniHeapFreeRover +0000:3697 _nfree_ +0b7f:0f8e+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -0000:3782 intr_ +0000:3792 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -0000:3796 segread_ +0000:37a6 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -0000:37fc __qwrite_ +0000:380c __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -0000:385c fputc_ +0000:386c fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -0000:3a31 __prtf_ +0000:3a41 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0b78:0f90 ____Argv -0b78:0f94 ____Argc +0b7f:0f90 ____Argv +0b7f:0f94 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0b78:03f6 __amblksiz +0b7f:03f6 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0b78:04fa __Start_XI -0b78:0524 __End_XI -0b78:0524 __Start_YI -0b78:0530 __End_YI +0b7f:04fa __Start_XI +0b7f:0524 __End_XI +0b7f:0524 __Start_YI +0b7f:0530 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0b78:03f8 ___EFG_printf -0b78:03fc ___EFG_scanf +0b7f:03f8 ___EFG_printf +0b7f:03fc ___EFG_scanf Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -0000:4bb5 _EFG_Format_ +0000:4bc5 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -0000:4d87 __cnvs2d_ +0000:4d97 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0b78:0400 __8087cw +0b7f:0400 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0b78:0402 ___Save8087 -0b78:0406 ___Rest8087 +0b7f:0402 ___Save8087 +0b7f:0406 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -0000:4da7 __GrabFP87_ +0000:4db7 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -0000:4dda* __init_8087_emu -0000:4de0 __x87id +0000:4dea* __init_8087_emu +0000:4df0 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -0000:4e3d* __dosretax -0000:4e42* __dosret0 -0000:4e49 __set_errno_dos_ -0000:4eb1* __set_errno_dos_reterr_ +0000:4e4d* __dosretax +0000:4e52* __dosret0 +0000:4e59 __set_errno_dos_ +0000:4ec1* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -0000:4ebc __GetIOMode_ -0000:4ef1 __SetIOMode_nogrow_ -0b78:041e ___NFiles -0b78:0420 ___init_mode -0b78:0448 ___io_mode +0000:4ecc __GetIOMode_ +0000:4f01 __SetIOMode_nogrow_ +0b7f:041e ___NFiles +0b7f:0420 ___init_mode +0b7f:0448 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -0000:4f26 fsync_ +0000:4f36 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -0000:4f2b+ __grow_iomode_ -0000:5012+ __shrink_iomode_ -0000:5053 __SetIOMode_ +0000:4f3b+ __grow_iomode_ +0000:5022+ __shrink_iomode_ +0000:5063 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -0000:50fa _dos_open_ +0000:510a _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -0000:5120 isatty_ +0000:5130 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -0000:513c* __get_doserrno_ptr_ -0b78:0f98 __doserrno +0000:514c* __get_doserrno_ptr_ +0b7f:0f98 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0b78:044c ___umaskval +0b7f:044c ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -0000:5143 _dos_creat_ -0000:5167* _dos_creatnew_ +0000:5153 _dos_creat_ +0000:5177* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -0000:5188* _heapenable_ -0b78:044e ___heap_enabled +0000:5198* _heapenable_ +0b7f:044e ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -0000:5199 __nmemneed_ +0000:51a9 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -0000:5221 __LastFree_ -0000:529b __ExpandDGROUP_ +0000:5231 __LastFree_ +0000:52ab __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -0000:53ac _DoINTR_ +0000:53bc _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -0000:5725 wctomb_ +0000:5735 wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -0000:5770+ utoa_ -0000:5811 itoa_ +0000:5780+ utoa_ +0000:5821 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -0000:585b strupr_ +0000:586b strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0b78:0450 ___IsDBCS +0b7f:0450 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -0000:5890* _ismbblead_ -0b78:0f9a ___MBCSIsTable +0000:58a0* _ismbblead_ +0b7f:0f9a ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -0000:58ac ulltoa_ -0000:59e9* lltoa_ +0000:58bc ulltoa_ +0000:59f9* lltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -0000:5a5a ultoa_ -0000:5b18* ltoa_ +0000:5a6a ultoa_ +0000:5b28* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -0000:5b66* _SetLD64bit_ -0000:5b71* _SetLD80bit_ -0000:5b7c _LDisDouble_ +0000:5b76* _SetLD64bit_ +0000:5b81* _SetLD80bit_ +0000:5b8c _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -0000:5ce1 _LDScale10x_ -0000:65b9 __LDcvt_ +0000:5cf1 _LDScale10x_ +0000:65c9 __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -422,72 +422,72 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -0000:6d96* __init_87_emulator +0000:6da6* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -0000:75c7+ __Strtold_ -0000:7806 strtod_ +0000:75d7+ __Strtold_ +0000:7816 strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -0000:79ac __Init_FPE_handler_ -0000:79e5 __Fini_FPE_handler_ -0000:7a1c* __FPEHandler +0000:79bc __Init_FPE_handler_ +0000:79f5 __Fini_FPE_handler_ +0000:7a2c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -0000:7cae* _dos_close_ -0000:7cbc _dos_commit_ +0000:7cbe* _dos_close_ +0000:7ccc _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -0000:7ccb* _frealloc_ -0000:7ccb realloc_ +0000:7cdb* _frealloc_ +0000:7cdb realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -0000:7da7 __ChkTTYIOMode_ -0000:7e15* __IOMode_ +0000:7db7 __ChkTTYIOMode_ +0000:7e25* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -0000:7e26 __doserror_ -0000:7e34* __doserror1_ +0000:7e36 __doserror_ +0000:7e44* __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -0000:7e40* sbrk_ -0000:7e50 __brk_ +0000:7e50* sbrk_ +0000:7e60 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0b78:0072 ___Alphabet +0b7f:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -0000:7ef6 __mbinit_ -0b78:04f6+ ___MBCodePage +0000:7f06 __mbinit_ +0b7f:04f6+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -0000:7fd1 __LDClass_ -0000:8057* _FLClass_ +0000:7fe1 __LDClass_ +0000:8067* _FLClass_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -0000:806c __I4D -0000:80bb* __U4D +0000:807c __I4D +0000:80cb* __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0b78:0098 __IsTable +0b7f:0098 __IsTable Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -0000:8140 __ZBuf2LD +0000:8150 __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -0000:8208* __set_EDOM_ -0000:8214 __set_ERANGE_ -0000:8220* __set_EINVAL_ +0000:8218* __set_EDOM_ +0000:8224 __set_ERANGE_ +0000:8230* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -0b78:019a* ___f_infinity -0b78:019e* ___f_posqnan -0b78:01a2 ___d_infinity -0b78:01aa ___d_posqnan -0b78:01b2* ___ld_infinity -0b78:01ba* ___ld_posqnan +0b7f:019a* ___f_infinity +0b7f:019e* ___f_posqnan +0b7f:01a2 ___d_infinity +0b7f:01aa ___d_posqnan +0b7f:01b2* ___ld_infinity +0b7f:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -0b78:01c2 __HugeValue +0b7f:01c2 __HugeValue Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0b78:04f8 ___FPE_int +0b7f:04f8 ___FPE_int Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -0000:823a* _msize_ -0000:8255 _fmsize_ +0000:824a* _msize_ +0000:8265 _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -0000:8266 __HeapManager_expand_ -0000:876f _nexpand_ +0000:8276 __HeapManager_expand_ +0000:877f _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -0000:87c2 _fexpand_ -0000:87c2* _expand_ +0000:87d2 _fexpand_ +0000:87d2* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -0000:87f7 _bfree_ +0000:8807 _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -0000:881c _bexpand_ +0000:882c _bexpand_ +--------------------+ @@ -505,6 +505,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001a4e0 (107744.) -Entry point address: 0000:0386 +Memory size: 0001a550 (107856.) +Entry point address: 0000:0396 Link time: 00:00.00 diff --git a/pcxtest2.exe b/pcxtest2.exe index 7d1a9b701dd5470847021a4f232c72abf889f5ce..e6965d201171732bc0fb5a18a461b39c49f445c1 100755 GIT binary patch delta 30191 zcmW)m_gm79_r?KPBH|YJ9+^0EWbUn%yPUZ)8}1aaa^NfxHLIs-ebUTQ%N{j1m3u{& zI}}aLG7vR2LtMPS&-Fb&oa_7n=Q`Kx-1oh>0=~5hCb*I#lCJvjHqzZuiO9DU@#cCB0};# z21K39I88h4>8~uv$Hy;uD00H95_N9%11OdNs_g`U zCE^MQL<$9aKs@^$Q-W&4 z68e5C+0nF)u-U055M}zw8x<79bc@NSrMANS)P( zVGUO)g-&Q-v^84*!(1=iD<-voL2alKY#8Hqiz1Z+1sM$DX6uDw0Z6MTh$;*s?>TLf zeYdnpN_UHU(l=)dhUJT>bi+RtNqV?oEqfY-O5W=ue=V98IwQU&mkm{#Gth<JCA^+MlCgZD_9XG_yz$LEs1itA+*-}omN0=f0s)bzxi}$FfANV z%R0z+)doze1v)71Tb|^Po(zg9B&2zhpI*Y53Rx?O_(d;0XD3UVZd}?e^JdvC1?B!z z|Db%f)H~^kL{sVif`MI7ac&2G8~s7L;Z3$gS3~h62T5K$#&AkAVazIqDnyss^L~M{ zd(ho73rj7!y7oum7gE#|SNk)g9aQS5Qe0&Uh;%YkJNIdT)^Dl|wyn$&zI5p7$4eX) zrKWHzU!jjTe#;b|=Y#?wfdN6mZZr^3AUO`EnfD}Z%W3y0(3`*dZ}aHpa9v0;()e%< zLJq(~6ls{imb1V4sNf(LjL(OU6cMfDL5u_v&&R|kD}%OysclB~6o{|8FM9;LHbqkS zE-RDI!04EaaTfW;Xx`S@R)!EzHy&z9qBRH%DeM0zqD{*&=`5)K5n+Ea`pi9yWbE_( zcJzKr0>nFcu)voWS5qWA`+g;Ou9C*e19|KwM8+xkg=R#=$0`B#diL7d1|~{?Hz7{v z)TP)+Kq={J5* zT#XDXTquZOO~J&&3Ocm81Lh-%f^qIGuF!wRO?>LWbK;cgk;MyOg1{wS8(^amI?YGd zXetXR`esOPIF2>e8TC&E}A;oae}JR|uXD zu>JG=a7T5u2ScGj-&lk3?672Bg~lg<^2LHL(Z5Jx9|zLrya&bqy3+%-Ah9gAL9YqB zurxy3YbU2k#B;$HpZ7M%CMO^nv2K@u8A|Un6Nr+=+DAU}!`xO}VSC}e_7}sBKh-fP zQ)7OqxbN`tIb;FG3^H484!6Wv&)Gtbte)mjR$4;kX6-SKi;xx|>94iytg%e7=LUPa zTx~EGv^mx)TtEW<`PZL>H>WJnTW~Jz@07%RJiR9izT8@D3yP|-+=-V`45R>?`>%64 z2z!)+&)Oed8*JW)E3Ae>TeH&y{PVp0A4~myBIsEv&4V1b;gN>Pwq z^=~*S>>_iln-*fgx(mSG9C-PXJIRyNiXY_Sr};txXxrog@+L_r?g}6P_v`bUHLz-1 zqN142oM{I4^ovGuRI?ab_0rh>KU*9`Q;>w%-iPh;9m=sE9Qz5fE9&=ue}saf_d7KD z;RQm1Lmhh=LQ3qP<`z`AkUx`kXTO+h+)_>PlcXZ|UXpyU7*ny_(OH6!yTG~l6-PNM zDU{g#PM`g6Bn@(Z(RBBnptap#8)loipVM65BnM_Ao5Py<0Din@jMh#~?Si3$9)wPj?4yX>C28aiV6y7&dzq!coi?2M=( z{SaOJ){nTGCDX(|K3B@)ID;ur-H6P0sSqMGQ(%l2EoEFY`fiO&-gWN};fyilPFV5) zfS}PE(xb3&h@tvjI_9HUcuuRPCtQ$UaE|rseJN#PAE$tE4isxy6fFi~TnPp&hY5E=;cu$3-Q6^~3Ut7=Xe>p(noA;)iSW&H?PL zhddnIcUmUL7> z-qY&0uSmMk;x;q8E7**u(fn4U;Ual2PE4*CZ#?qe`y0FO6N%hY{wrbHXOg1GNV~Hf z8}0r2XxOE;<3Vpd;h0(B)q)0z@+iewJSeb6Pw`gQS-H>1Q*$B1tg#))v)UIIp+P^t zMmpBqK4Q0BB~Ow(#_`!ME^7Pgv{9T)d}#J{BUP9Hd+)NF#E)6v6?9YK-5r0Js@uhl zsH}Fe`*ng7VWO*)laMAkE&s`h6FVdXq4iyI0~Mvt_j`_`(@WlIL23RD|Dcmfsj1YP z9^?ct_(L{=(7hpfu+?sMW|+`|6xIACCk6J~)gtLWN#fislUrgbwH)z#0XK5cLch%9 z-GdF(1TS?X<&DMlIbjJ#Dqp1JQ;az#lNQ+uSlQrpG36JWIgftdLQcyIxa0FFn`4!x z(dno~Fah1fAU=e6ZSPBeHHKP-w*Sih`%1fS?C;1?EJeYB$DS6yyy8%~&usi#e0|3S zWnw%)dQyAG4!O;yqEFCoNaXs(N}w!@_ZzHXamLxZF#k;B;6?o)p^uPS5N+!;_UpHb zMjb)!LN1Ut+hghx!`}yDo6)oJbo6^uEehWSze-c?t|eA2BHB_R882?_f6llyIQ!_M zpy{my68-x_iJ~ThHQmnj_3&Mt;)6+?z5t1_rpQft_R?O7DC@pn9ZQ)Dkf}x<4LbTTUF3iE?N zY*%*_o&C^*>d35aIKb#d?Xu|4c^@9Y9d`V^j@oV=uh`Jp3iG;*P*nowfN#TIlj4jQ z^Z7}YN_cc7d;*VbzM*6m(<`km^z)CTyng?Es=6ESrQlB|-)QR}AHJfKPmR0FA)VJK zFnuiihZ;PpU+r>uA?Say1?Jg6$DbS1L^>5JdDa&QRu+vIahz2js*yf~J_m!y$M>== z@?e-isk*4MM|KRXA3wuW`cliWJDHoY2q=E~ zWP8}J*jMUoxTDH~p{2n7zU9bf@tKc(&vwzymOA3u$^GstQ>r!oN*mTJ-#sZR<`&}a zW<(Wb#gbi`qLG%;)sgk8MpIIAn{^a`Cn)v9-ho&;z)_CILX6cxf+}g`@|V`3y6#_O za)7L5yuPfvkhf}P-sCeKKbHC?)qx5nPYK)Sn`laSh@pGu46s2(D{pSY zD5s5M<~ABxFxzE;^dqd-Ao^plo%MF>hT1eoa#`VNG2&51^Xqn?0Pqtk+&Fuq@uuwS z5K8uk1cG@+QRK5= zY&9u>;KB~K&}I;%1a@^SCLH;a4&P5>BiLs3NbWopOj{T}_+9h>nj^`ug82dXV-^uf zWfr8fbV7$u1tjRpDAC%6Eunr0!dTz3ishKgl`=}H!u;EsJa)AKoxtSMGp*L{F(F@f zj#27rSuWQP!&b+M=!Q!e%_DheU#uY&B~3ea`L|nRO@Jq7pC6HLmP?M2SPe82mm~&= zgBd)Ij4e*H1vT~)nX)>E&-fJI!0;gfPCe6}_QNpLzjWyCk%(?tsVsbU4~laa8zAL> z2O-upx&?9w(#CJEl{g4@lYdh&w)G1VX7Hc1C@10HCb6cC+Ff0JhnEh^NWC!YC|RQ% zNeM@CVi2-${uwBk>Hkn)-BA84HpCPSCK;v&cNM#0378&AJ=xgzLW>-Vjt zkXLA(%PaUWaz#-v{Y@v~6{^MIdVv;f)g!m8J3X^Ic*@CWOinJy+i-WmGhZ&JR{z&v z_LUT-5KmPSo2f&hvpaoB1{{j-K?4vHdA)3I@H{`7!d2aBT-A z=^GvoKcDl)MZwy@vhIlXnSgs|1?RC@hY&h+Fi41McIOWYZCbyd@Jh(7B(1lI*mZsX zrr++{9HOeEXh+r<5U`Tv!Q2$N_|n(diczr7ZfUhg60&z>5fh|GFb(*LRZ6quv_@G| z93PpxC|(#BB_ONE3aT z*Ql=SO8#fcVP{GG{gySL1Ir~KnG3^>sKKAG)-9n4Nw6lXbvU=sX@y!LT{jv%)5sRc zZV;FK=oDKu+EP=-4!qTAmP%Q>L|Qk8pMem8Xo^W7B$tD4O_B&+WkgFSKNelFuav|X z(35=5QLX;8OU9R5qe-bsie#EbwEGy~^-;|UVz7Axu*8LU?WpU*b_G0mw z+vh*`lKaCte6hJBzkK8D?w9>4CQ0OUSTS=E#a(F$cYZy61y$Dn3B@T>3Cce>P%*VPX;&jUVH;bQ?Yz8onNgOTNR z;1_cEMGmO?_>W7oi`}4J{cAO)6NtoiQkQ;L#!?%v0Wyh_9Q~d+KD;nW3TpcxTl|(7 ztAFi`fRQ{ZLt5aZ2E%ML#4(o4+a2m%Vych!MGR{fmYl{5ScWvs$S+|-l<>9WoyQNC zl#-jIV3`ec`I9LnVz$E~{BxY$ZQ^HEW)xzc;&C)&OXv(3< zXm`|U*lA^jWBIO0{X&e>H=V$Fcp&*hZsNU=mOQ6%Q&yi7l{De#{4?eK@~NR~hE3x_ zQLwksm3n0>5mEYHLO~JcPP;3FZi=qh@pIs54Z+=V#QWrF(6oz_atUJYhxFTKv5a%G z;9YD+BR25nCZ1^S=f>&(4T$?k!C*B$udX+53%2y}!M))QnXhNXs9mw{{d=u_ zwq;ny7V!Z-FzF@+$-~@?A0+n3bE)8C{1>$!nJBS%1O-=6aLcluMX&nUGHmB7#A@e z0q<~*h!FUP+LhmnYNj1(zZWpzvhj?H1=?b#`YYiH(y4dVU)1!Gw>!A0z@p?GpNFp( z)i8ovb73PZ;e7rL$AKPAsV7~1)F{g{AuB3ysZZdR63Du)5X7UG%$k-c1`VR0Xx=o zPGkuK5)z~fg63XmRlyuTXDSLvM)M!WC`SvLfEgcY=OC-lKgzIgP$+R04pM1`AqB$O zQ>O)WL=^O53x-=Wh5W)gbgLNY-z`6MeB!Ipp^)mJV_Y?%RSSNiz4wvA^qRcKQvgWl z^1-2uySd)h>vfC8cRai!NvSJ%<$5APj3;Cdi6*8vLIML7$pYHL?4{WlriFIX#ZlZ>YuUAG)9Ds9!}Als zhWq)tIyia=%4YxUfd;{kv|NNF9$yEEJ-w{YUo8C~HnMkbPScJ=$WyU7v9UOp_wb#7PGu)Y=FYhbRntelch(tW+a14ZUVidouB39`PGPtfm~$1|=V*{g z5HT!$Us3MDzj?9uyWm4^KGQeJBc9qd$N64J=#@ivD=&^vbV`u$Rz98AbEJQz=RB`d zvRlPn&I$lw--@$IeQolUu_=i5RT~gX2oSF(2Uj2g#@V7yy=aZ&#X~fB@CjOX+_n zW}kl$(TJ4P{X|U2=9$<-G_29?^p zO!FGL+10fBOqu&q?xdaQd%*}!=yV#c*rL;c{n;&O?^`V{?J+r_J~0f2QE#R3XWBb@{NR0quRicJ=QQeHhembR6G{yfaR5u&cq;vIHTA%L<9;xN-iV%mRV{`L)KZ(Y&Ig z@tYG`cGnx^>{mMuF=~4i^s`hN$4_{8kXj+C#K!gF$wSU27)=&?;F#pxq8BCX><;uW zVtEX;+?>%VyfwDO*Ju1GWDfBy`zy%2>}xCDynC_)bOe^J-7UTQ!@%`-^L?&5kUL0% z6U1{FyF}s241(#EPZ=HLt|A0MQ(57FIks0kq(3R=3G(Rd+zb0v`B~eu_qPh^w(9k> z6!Tms9>iVcR_rbgD8~D%2?_n4f>>azDOw^9*D~LXx&uAZiOfJ8p;fTf$)YQ3TyHvy zUGp&B&u@&Gp23)^dU%;VbKSp=;82%)Uh3~wnIWVuz|c@ITt|1d0j#v)r*!!H3ZGyU zU}+0VMOp@eC?t~vC6KaEKFJ@8wED1L(7_npiuTGmyFZ@Va9%=c2%|UEug+dfPAl}? zYL*Q0R_n|zSS@Q>5D4K|E6!--cGy06sZQKAhbur6zunRVbG{ky;ce$d1b5Bwn$sM8 z$$5 z^PpOYP9_Kz?V`Z2UiqMBJpO-7e)cqmJ#WuG-5mzfbP#~sck80` z#ccS4i3q7d2_-%9`dbw?AA~N{1qFq>?6Di!hXl~_jLC#G!7EobF4S%{n;lk9-s)i~ z764zo&YqEpIA?k)6d?QP85~zygA|5ftR5i_O&fZ?QzH5O@Ip29LSQpUf#JTx|8f1s zN^lU(B|*VmRQal-T0$x_>%cqCrT8BAzw4*^6wy5iX3B>7$n2ZQBVR?Smns*XN>zRO z@rgCPH(xE9GW|3oR#$1(5J4FF$bbGxh#S)1OPd&7)^>xX!vk$(eSfFKAnXWwhkS<= ze52m#Bk`T_L`LmI`Cm$cKkI8zb17Wt;j_VsEQ=J}+6Ub@uoR8y6#bvE$fQ<#(_||q zt9iAk&&i!S=$D^0Kc(_2tvZyv`M*Zigz6ZE`*;-l51$po_Dl6d?~I^*vaIZ;_$o#3 zPAgx{bow>HxVDzZ*1F%H?L~qIuU0jYu~_tG3aJGXYbDiL9w$AdYkV(VMYJ1{!!oV27(^cPz}AiO9PYn@8M_4vjDYS5XU_#W4ug4bJqze0 z#j4Gb@_XyCFpE92fy7WXIj@G)PJxrzT|4lqu2vnMS!eQb%IU)T{b0D+2+>QxCVRS1 zn7ShFMsC*{036ZHma2&XT=F;YXR@Gv%rfcF`EgXVl5J3wLkxs)CDZtfcSg~K+~}_n z!VNFjSqX-p_^WhwrP(m?XHIs=-+%i6)L%zCz@*0 ze01cM|8Q^3P!Y$hB3ZX)O@;!6T=`28mt|&rk9Yn99>aG3T@V zQ4f{h91fp`Swp{Y5T~C}2bC9+3|Y+uv{u_e!h-UWW01BUQh(5h@CTwu5z}k$)$K-U z2GujQUMc9>dR+3@FZDr|DA~g6Rweb;PzP&7_3<)j;K991=`Ug$c~Ldy4ILVMCe_(& ztSB8{>N;6)PZ+Bvm-N*(-MAK#a5PgD*nn>z(K$a zI;+K%&_T(Nu8;JaJ3t$urNIoO+?g}cdylrx?lV0`ij`yxx5@|X5E=Iq`yIp_FS4qK zu7Op@jWne}45Jm*^4$;MzR0}3Ts!6l=S{J+uAAq-eJjbC(IN*p>Z zB_uIg51084TRJ1;ESa?1#Z>VPOaf0x37#y@<> zKd7IIv+rCjmm8T5u61Acy}sM^tB%n%K{b@R|DVC1{1&Bsiu(FGS*VV^9<8PI`)9J?i)&Qi8km*qBmH}r zoi%M|ld1uM>FTMiOAxBvJ?&-XFt*2@keWJLBpTyZla+a8MeswAY4izx{5D-1?Wy%{ zo1VFsd@#$V_h}8IwIpgJkC)keC5+8CeGw9GaFb}4kS_)g>p*9k8+j&t!TkS3)!H~+ zYsrFWDdha`@6cxhFu6V7HWBqOs8qTY9q@u|C22ih_4)Ozj3o5Ke0eX;RVeI$blH{X zd)0g$gkc!xR(-!ao*;L0IZGr~K~C&Be@u47w9tEjH|2e&&E;^nkIqw(uZ_A89Yg2jGe(X&-8B)Gmm4dQ zs_9egq@w*VU84>?FOj_4$;D{wk!CA&GJ;RDntr?kPcNuwuWd2Y8m(Cu2|{woOqMdh zfBqV}E~Kz~Z1Lg6QdzGDKC5dZivwMm-BFYi_dpr7T2B%-&rqc$pXI{;2ia}tKb61r zbU|yVlZsN3@3rW{Jy(wrYj=>_&h}ofw9%`mMB+;&Eicw70tqK`hib-8lXki6hiomg zHu$;xx7MrB&BUL>Es&KYSNzrnjxgY|`JLU_-6c5LO=(fO#?%2~|6yvz-6+}s`A*Ih zjKW z1O+{;kAF~EPo)fn`AaEw6or5n{^i6BD@vQok*!@k&fd1OMcR<^O023S4~i=@@Zz6xzSwA+iOisWWHYMJ8bXrQ3fmEQwy3%nvDK!HWjoc4y`3MX3H%i>HP^r{>#NT@6U;hUqppVlmdV}}dMMf)y@rp6NvRVO zD;+DEj)B0z|HAs94E%~@f2{YXivmIFP6EM0-r&P2*VVVKGsTfU2};pTE3~z>kMXb> zKBuN{{}I&p$RA+6HIA0k^d#pMtcasun120aVf+3JDBZSb%ETmJUhb&A@%1GE|BphX zPS@T3V!(YSjyJ-d&}rtdln5X7X_Gw*R3*?wtd6PTB)e%@;#-I?Ro^D^o1lrsS`xuC z?gXDwjLLk2uAgR@lYymT=jL5jp!%su!iy{#(MW`J>5QMxQ_ce>Etj=a2A5E|F# zD690F83n;8{^>7weE3M+wBsmx(o^+vh+7lCs2W7e)H-zjU&hcDz% zAJ}FZ+jDO;GTfjXBL>pKET&@My5g3YF5<- z3)IzJ5qlnk^&v(P*0jYklRd!fkwRh%&krwQ;Z7KyKOa48YfEa+VRy5QEmoWe?Sa=} zIzF}Ks$tL|@2B!Bd{(HqGz~bB}^kAwqgn`lEOxr{KzDc&+i-Qs1g8JJIvg}s- zXLnacbqvT2B=@<)NzG9Fs%T$_(_9Jurww~U_)giJWQ-E_%pQQcuC0(`^iAq;C9iZp zyAQKU`73XmEV2mhY+~aEaLy~;TF2y?hDcpH=lYlCni;a`pW`U(Pq?o}gwJhm`sor~ zje$>kOir}UX&vd-y{)a2Uk60W^1wn>(0l1OL2% z%qD#(#QU|O#+#!yUI^H41b$h3MSVtW%}Utmfm^@*(ph}wJtX)KUiYRm`}?*`?UMYt zM#OQ_*f`1*-Urn=RRd^VP%QOz=trdoJUicHa(dOu02q?iNV1ivFz-z}rdn$N{d0jE zFEx81o#@M5*gf)GCIPDN3(5W5x$evXIzy5_s2jVAM%TD_5!4PozJkB#b>F`!eR2u^ z?$G-)GU~y3Q^o-Eu5{gOr!L<4=AaoN{-Vu){M8o+8~Pa;BWwVk1Ue#cJh;_3a4|hn zlI?M)_fYA#jTyEVwHv3A=*W~q6ql`3Skfb!4hi0NNngyyQd4Tbx(!*YA7)c2$~x zcm~$-F*vYNY1%0t)Kd#3eO8x+TzVgC8JFQiT;2rbW;dY_>&VF)u!%LJi3BSo6&bF+=Zj@)dT;{VT8 zI4FL1pv0OzxUKynuzo~)n-ZesI%ciSdV7#u6sp*fok}-@_Lt@RJ zDjid{=MHPbRBvb+bP<&Gj>xVOBw!gUuvyT6yk==1>rf=)6lAiHFA_)!wm6>{k$euR zs=OTT*RS4roAmK&CS`(UB_Q%!`q zwoZP5;g5r}Oib0cz{{*9@G0>Vp<^ec6Xd;rzum`o!{B!DqEK{nyY_!+4zVE!`~|dH zSld(j@jse%H+P&x48JRDNA#be+a6{V6AZjZ*AQ(u(rhTC%Drem((M9sYLNS~`p(>V z5;dIgPC`%c7!?-k5tK!hHvuvJ$GkTJWTeV?5(JRvmBSfoMa^dh z2bubBC!s8S%!A%fG1_)Tb(;es2-h|C7Dd6Je|BvMaUFJDt{?NWU)jXQl1nXuo6m_#)@iCU$zW*Nb;mORm-O%xh%}a;Xe#4o~7c?EW9t)f`@Oi}pQa?l#Fl z_o$I<)zVob%$sPF9lU{{`CW@e?p%pauVPDH)Kh_-S|d{kQx}G^5%H~ z{hACI>UVj&B~->K^A|@7She}MUoe{)&B~;5`MCj&b-YaZ*K+D2wLr_=vU&|GRxqzt z)9%{j@?nSukk!&~RJU`@dj_-ONjhmd^@Zt_aoPX&a)rS~G1_t;_w(wh+pUqDQ3YeRhI7lH@ z!j+~=+KhPe$;O&J!&|4PYK#!UFn)pg?VP>)_ib}No!OLr&}M#Lfd8ai;vUJxt5|2Cj+3EzOp{!2YkdbYJ}c&D&NqZ&XJ z4ELHAbrhZuu1EptwkT!q%#-XO6{WY9?VmH<#}EFQfdG~xO@$%j6mMRXCl|dOa??w? zSTYhmtNopfO3$AZgpG)!8*+25Q@D0F%KwR*%IWNLE|sI+NF^p7_LNI~aFMWo{%LsO z`F#k56YTbp#7DtbMWTk?3Fn_10!N4o9aJ_1WT9*T9~ z7W$%EO+*XlAg3wJlId9|D#{c{2bBlwIli~jW1pTcg{ufWhWj7cn4CzP}IiS~dO1hi!Ab-c~2rdw0geL)r&F%r=D0=rNa zQ*-!ie7Rh4N$Ot`?bQEF%6w7xZ=HfPTe1kqt;}8HYY2|H_L8dy83RSTs=BLK!8$=DSme%fFU7An1$Z`>-}tPaF~a`#51 z%p!6~@gc@H9$sRMEJ4aDU1mCuL#?818}i+}0&_rCT3=}AboiAN%Z!1h-=S zWmx_HV#~<$<@baXDKGn{$o)oHVqrEB3KUWkM&-jy+{i+pao%9{IQ!+54)wQQ-Ce9$ z6V+Djao)hlJo(QPNU7^F;O#T^T>r5I{SK!-2wSeaI*YqjejLW&mYcCAVt_E`T87Es z@?m;Oqz@1-e7&)5K!b3stfuvIG*&OvMn4Ovg+Aa7wZ4-!>radl4Q@(5ll%{OfMgTv z{pNo%=2j!JWtvJ8)tE+22Z`HK`oPlkmdi?mg;UKxsslmp>}jMhi&*Af$&lm= zOb8p9LpMGYXG=-=o)}k%f5*TJLU9rNMyNUAiKO3BaaoeCXKoaC*pbB?)W1bY6v9dS z6uIm^Nf}p_$w}y!P0mrBqnTvsjQ1+pY{_ca@KL+ISX4^Rj}kuMdSLAJOV!kB<7pC3s%PGBfxa zpTEQN2q4?JVRNl5}55c1;TMLqD z^p7lzPqwi?3uX{Xr3<6MG8E;$K;gXLHNe^C{a?++V#XI1$qPvNLqw_T4>y!#+nRCL zlb*6!%pJMxM={!tw>rPvx%P(%dR6thPkasbWS{-;w1T&%>?;aD8(HL=%IppwJyq~` zqmzlNeWsxQ!~Ff@o1$l)O&56RCZXDNHW(zdFfs;$sSMtA7KXL66oYASABO}hPrkSt z2+GtUN+gAJpF)Y3c`%~lGzcithCtmz;CKk6`%_af3_1mYMZ)hPkOBOWsCxqF0KrJi zJt5%$kx0>dV&VZ3k&^eYQUTJDGWTTV0^}nV?kOq-C`YQ?S5@lL(|p6>DL?343FT9>Wyh8rnsZ^Bs1DEbDTxJCC$njXH#!Wv$MxJ)H~9g zoN+GouC(JPa3|~CXzm_3&w4MKw-4@A{b`!7AI`r%fEE~pJ5wJ_3kk)A)rZs0M&QoX zN7Bw;z+J4rM2m{X#ni{r;^J`$^_OXhNjQ8xfksTmU8%oHyOx4Wtxuz+lW-aJnIu|P zHZG?=mv;RIF0YI-Q_H*v-Fw`jNT;Qpz&s}*%5l%@D`+oX;wtN_Xw|Q9uj^}QZ~n#A*4NSM-{KnT8);3=xR&}>S{oJjuKqpk zLp!dczLVC~jq9oJrScCq>nV*r}`n<=P$V7`Vrdb7;e0Nf;RaTN3WlvO*3#a z^|Q2ZbGZ5X1={yT+>iR7w54A-W<86x%*L(MuhQ0j}OE>@F~-CA-jd~ zkRzie^V|Qu#hM%BF?jo4{?kN|f2~}B^W-6-_o2!&p^>ko#-1rmb!2vq#12i>W8I># ziQN1Qxti?eytaa_6_Q4t{QaML68dG@pBf1tounxaHj)AcG$pE%W&`wt!9eydivM?Q zs;HZFr`YpOQ^?#=HVxafJ!i9J2$mW-I#K;B?({Hw3|V}~^YKiMo}mmb9I%j1hC*%g z5TJ9&Rc3{rUDz|TbFjSB3^$Rwl@f{C7%-f_lfECuI;kN+3g77VHm^1v?{n&U1F~cO zTOcKF6KjYT%Q?)}$B4(Sk5FE3#8#H)y|`QbPNbT$Yf`66!3K37*=3lRizg2M!TEGq zg&N&q@!fiHUm#jMRfTfm<(GMuE+~Fif-**F?ld?uc;!eCVc-bB{!AI6^v8)F0eIqr z6t2_z{Pc6AP4efZwQEiM)!1W|RCM!j26N1`J^u#k9e*6YU|Nd%pMG0aX^mfm8u-kjV%ad!xyl#^6mQCnL zIjgPus*d*|-dg%|OA<8tZ2!ANr>tR}=^BGUwK2t!N_H#AH8{cRZS{EI#5JQOP-miO zDM}3KP~!r@gz1QFAa36n8QHj1@E3b1^0GB7?{WUQt5`eOBiqD>j>t)lT=bR5%FjIR zG-vm7-Lk!*tqkNtsIH}dxhwe^RN^gEX z7e_~PlfA5eZGYR&4H-3z zji%A3nh=?vcuHx9Kb(Rp|L7CjOIO|QCutA}is*~Te!UUE4S4DGKihBZbGqyi_W3jg zq}>gS^Gj5xSO%vcetD}eQm+SlYD8}xy#9h?e@cCe>$FnnyCQJk!B-|jS0alZqs53@ zg)vILIkBMyhABbc&%fl>ib}q%KQ*D(>2u!{yUXtV<=Jt|)E81bUlQ!E?lAR<#3#HO z2f=u~Zw9RUpXI6_&F?s-odGdxElsI$V;C0lGjk7I8fjTgA_G^28{geO&Zgcm*q){{ z2ankA=&?UKo_*TZI40{ZOrnay)>A8`nso=56AnP=m9{9!W+@=EnGI(%qXn|kbbyTh zI@BzGL_fMcPwl|L&6F$mU76%jx~rMi%c^ir?{o^%fcD{)F{@B>rRg*znw;Vw=C=yh zf+;>stA-`KvFW@W;;GqNQ(7_fw@T^BrF0z-&Ju_@@%X_@d5f5&hFkd zS@tl!Ar6jIHw+5a&5pu|7dG1FOJlaFh<~=}#24xOs`XFMA+>OA(mC`G2~WZqI75Qm zXSlp}y=$Ji_x!??_3}4x(NLPTDb*F4#(oVg(4!E+@&UBW=h-&i6&=jPD;3`0+fCAk zIs$cXe%MVRVmw!#J})Mk%F?xn`r_Qk?B`0I&f)9k%;+Nq#~-W8iyyDnqS>c`-99Yv zSbMw<`Zm*22eU%D7!s7Y*+_(^s^+dFy?jdTNk_8wI;og)@cEL}n?$(y>xm}0WPdHkY4seOF>qMHSs~d@9^QRsJd2Y$$G$YY-m0h8R;k zQ!VpEG5}6{hprk<6}jIro%AkGq%Iw5GnBX7*9bDflz~VCx47!%#T_OK+!0-Pr{>*d zd%*UY!U)~}YZqbt=d;eA#~O;}&U~3~&iR$|L*J$Wc;?@TUQxEqIfyBf1+|=`D zO-rSHil!6l6lGHd_vK?fhX?70gx&`3b7m3D1;-80!6KuJ;;32b?>g929ljncNzvOE z#*6R{mE7Xi?V)Jw&_#z5>p6WwZH?-p6iG4~H%p!&nBzwdZ0^H`+Ups;3w^!Xy&b=r z=3VsT(Q?dE^HiyBAoMGg0C{K6HQx~Us1Iubn|XSpH?!GBIiwpcLQ0I8$`W4b?VVqH zLM}LLi`kRucCW`2wvBPU+uBn}Ifa9m{e#nET&(U7gJ!sO*MG9OEhlh1cARuSV zAE%hBg`YtrIGf$bjK8QY7oQ;7j)*JTJ4*Wa5L!P9m%<%GW8hi9)G-tE3o%5BMX7YR zwCCbZrbF8Nq>*E@z~RcLkL?8swmxptKI8mN?TFiMZ*jLBH8zTcmSG8|Mc8W`?N|k9 zudk)qZmxj~bbUd$ z&`$OAfyJ&52OrGW8;K_PQB5J8+@IU;5#_2+0re+x$f8cM(Pnac$wc~VlT8Os)(p9$ zrMYN@wB>ddIc=^*-6*so?;3CDCpfo$N${Ctq4eSDIr};KYZaMR&G&YuU}<3H1B4Nq ztL9oBTt#1S7I_OEtitDo%(3Rr$C=fYKkyODB#@2wJq=7gJvk> z{$M9T&Ksr%Xt$VJQ(9olO0SRc5_`XGDDrrx*GK_S=CfA!tw{JSPiC+ltJ$5t5ZQu& z@BUswDk>7n4Gd0tot3*(GhIX`0OQG@w*vmVEDG6EPz3>Ei4tKfuQ@L6?&Ro<#~d1V znyE_RlXJ@4R9qXyhVjHcEJCa7uPsu?YtoG#m59qL<@UT3_n3^&DPrYc%I{H>GcpH@ zOrw`l#jpIlX!ZrBA}noCb4;Am?O8U8h&lHZLcnh(+EN#@jLYe|C5kM78ez5sSr2hR z&q0c*cQCxI^6t6_<%5!LbAqaccsu0TlrbJyCs3-IPoaX_jnzM~ za~R^3Wc4MK{`^ZAE|lB-2Rbj#$;C|pGH-7qG2Lv;?9yvI>)w1duiN;mpqZM&EN0d zbRbh_)qfu?uo++jJCCiI^$&IuMZibuFfZ+;jXOuybAoZY;xa`XZPB-m4X=mJOems< zPxH=$ky7y4#k0DNQ^%w78a9;7?-e$f{>my0$aM$Ur|utHXG>1sMCORv>}R>Ja;zby z>NceUnrXZ*`qdP3pA%Tq#8coVRx@CA3f|V*7 zH#;0Tr7)B7ZUJ2T0e>4xm7U3VAHS`x7kzHW_T4`X5-PiK$11X@oH@x7W4XASQDhzw ztqOR|?&t+5v#SJ=E(@+On}f~n$l^lStMysw;75ZgwM z*ndZ|SgBmZa?h&5<|K7$+~@4Q^#uOA4cHl>5|cEmr}Fu{>1KjN%XOU;rk7-vB>s>K z7nW|mdyA0$j-|*BqK3!ES#D?#6q29FX6^Ndu_O(MU0uuqFdr)|#?miIN$Fj6>tWBx z#2Q|?Qxd9`&8s_gIn!O{p4TxUX;DOEktWC%1t#5z*f`t4L0H^PRydCz%R%jHezrHEvm-dYEl8>f0ZR=X$`fBcJZo+TOa=$CC^3EQ(jV#f|a{EP*ai_-^%9=+ftM$u$X( z(RJ26{?AY93Ix9L=w0>YEKvmudO6nviM~pxVbkSkq77sH#c$V$*eY(Ia$+cpLgfVW zd_oc~gmv9N6+T2eSBbdLu@gg!r`Q@L$8E^z1K_>2k#ly@)oZ5%=bWS8u61|)Shsb1 z92eaJcN&cUc-jsVK+etJsZFPfkpV0PkF)lDqhr=3rL8#!&%1~#d8w%l(%PX$LPP3D zWKYMDXmLIH0s7rJX$(N*9=AaLUK`Kc&r<|hgNLOJ$#w^B5!@Sceau0@1u3MY~ETge-C0w0R5bGb3Dyg_%3#{ z$<%0!P0DL9!1|^{#nY>IZZ=AUrx037}KguuY9F}H--PoC1JQ23vMcPU?q(V9W)K2J#MyF%@Vu7aPHI>ddPcGtGJ2?|D+Z@xLx*LayQGz#;~Q$M z1t>AhO5~yPp$#2t!3#$Lrj=;riRg&n6)TQX_7x}^)X!g$d)MQzxx%}jXjzlby|VA@ zu5l>U>U~*cW&4UR_X0nwXg07Z^t;bqtnT(g6MpWJwA(#dWpprjqHK^tGah2w$C1}^*%}eLV-0WGM9Ya< zTeW^@IhO7WvMaGZy8!Wta^oWb1I#gb0E8O(QrdP1?8J>V7w>m{I!G5pwRh=EQC?kOXSm0kc7PQVXyC)s zP*`{BT9m@^(gFf83C$7Ja^HV3$$@y91FG|~@%{q4S|9fY)5`GC9hJ>Jy6M&lh^Ins5kHzZ%+*>&eoQ`n?dfB_RVaU_H?s)x~Ubt!z_@Mx(sbu zQC@2lIAppB$&fCc3gUb=eb#+eLn%82o7R^Cp>{EwV)Rqmuv(VcQwT(!mU8~^T0O6# z-pv&$dn?e^h95vRo-Nr25hFtKS^WFgHso_rg0qccc*b3Uwvg-WAX>o1)yZwxUp;zS z-b%q967U6a&GX?569j+-A3aRzgPq}*&*z^IlXsvuX;yc0a~htPVSKBIOlmrTw<|}Z zRJ>za!r+MJhfiVB)6z`piHvUYpz4YWqD5ucid{<(C@^xdK@TD39zga!H&3lvJk-UI zN`E;f{2QkngGx7GUD{p;Sog?4y2K8GX;d7_k9)T>m<2lD#b3_oM zbr=gEwDzMmq;ok~kFvyzS@H>?OSK#FuyWW*PB+MJ0L!nsiJE527kZWx-m#SAw+M*@ zxs%UlKY8r*Fp>J{wc1Y7(d^-5c&1!<8+Lzkd;mH97Y}1O0du}2E#cuw&4+N}^pGuo zh!x%s%JWokZ+2pGlj&y{Bmho`ph!}oz+UfcOf-zM!^~CRmhm^nx=0*yyM|+wU_kko<`D^gB`dxpE96}1IH6_ zI^fv!*yPxh<@DNb#vAfWWAl(NW1}W9jJwzF*^KS&Po+$P9`|_IT|<%~rZxy5jLsa^ zo7>zwauToe2D-~jQG&FyhSWjich?A=-rDru?3`?a3&Wk=ObK_I z_$>RJllUY%8$$02C>?ReQ3lv;4A^J9Bz#fHCb(~ouA)1_v_A+gql*l&PP)`dSR?w| z5BC6FWu_T_0vMhdC1Lr>f{zQO9xyMl!mHx55|0hJ!P2vyF;!)oU9#+#63eqHm`MU^ zO%KUz&R#_4riVEEeA~|=^4ewqR;sD;VUkbN+M@fm3^m#Yi(-QJ0c@6qStwjXQ8E4d zt+SJKYgPVASkf9uuJ+z_EU7R)tHC<5+8N>!}yk4C!cbD8guw+F4)h+thlQ*mc>zzHART zQ*Hj-E?OSX94?+anx8zG4}636nxK>O$+J9Nr7X-BgmBwN`eeS+cK<96xW4<6wIhf7 zz*a)izyqdksqQw2o(UbNokF;Wb8l#};|%9(D3pEtRVP1iT5g3X-$ju2js=^|dYLWQ zBUqX>PUjH@+9x1O{UK!lq>kNnl_MTXQQm-UB)j7FEy_KN@A2J*d;~a^KW6Is2o+-S z6mjNdRT=8pg6R|fmNUTutHTwej{@uRW(>Gr|>-8@~mW%K5q*gi5 zr3bObC%z0flYTze2ju61)*xMVeIB*>)l;8b^->W0>=-Ti9HhxTx)2+Z0urQog^IJGyCG6fm- zM7Qy?8R!?RL&!Kblb<0>5PA+}9vS=kd!`Dt23k{nv2wP3Q&lRoHiCB7>-kgbXJYOc)l{xfuVa9ugseT8UPQ)gBnssP1lG#Z5=igYwLd@3w zoP&9sAWA2DTF&?A{ohC$oT-YQ=E?*=?PVQ{`n@*)8_jX<ws&0pV54liIvK8>&)hpl zEi?uiW0XvFq}bmcD{G_PcK~j(h@2jIq06JDfO{odyojRN4K`?^A2Jx!QL}|KLlh?r z*(%CkqZOM424(sOMLvlnlNvmx6O{!Ar&B%|>2xKXj*$bI^CR--j$L!k2hrz%{{bGM zhCOA*&)(h?U9gdyAhQkIc%GYhFI8yQc)lC=W*B9%H6h-i2Utgo16sR@*JDH(T67cF zqu3Ewb8aZ`PEi+e3}8A6x3PEH`Sp+7HVqZhG5nAh^_U z)FAPxS-nj&{==tbfR*PGo4;8-{?o)sBHOU8mA2%86g5#xpUq#TzE7&~OS2uDLp-O{ zawm@yoBxG+j8tJ&vjv+^JVI*u4o?J|e{}t^R3XqD$rcyCFSQ)ZACup6z`C&P$fGJ5 zbQ$W7(EAV6-Z;lreE#c!lpN~HB%90nj1-ZO=qRu6uGSL<06i}9(_b-H7=dXtnuW{V zYv%J~<{6k!ibhaC?aTTg&byZvrZK8q(`d(f1nb!OECW`LbF7qLTZur&#_|wnPK~d& zCLs(F{V`e5LuUif&5#>fd|FzjsF-E}jp(8u4H^+mXyz8B@hW?2`#7};=3U2G8>f!L zxW748gMBe5+a z{T)0ETC>O~jgSx_%}{H>7L2-36T0lB%rzH`Cj7$z{zFVi*4ak>FiUr%ggE7}+7 zH#1;=C2%7;)awkC@Kaabh&0JkLMt|D`2FyjlQ{r$j2FD;@tVZ==Q&RpKLt5)^6~pO zG#169fAf0%HS9Z$Lzu$(D_nhm0X~B1oQi#r!iq0{^{kcB&^IY5BG>+e(6wt-1FGzq z`G04!&|0K=;;zTFc9fNJj|Iha+}A2{YC_yv*2)LP9=36f++~F~9xV-Z=Gr0YU?gXs zS^&L_?X*l=gtWvnxyoxQ7BKx}xnIqs$y0gw`k5rMI6jY6+&-Z_P6S}(lxV#N1CI%c zg3WeI8+@0OyU>zWF%GfnchW_&UaZr7yTB9k-Com8Y4=j_yOngg1DIZZr4hBu3j1?l6IN4JNBkU)fxvGxe2_x_{dbHNf8|dpj5)f)Rdd}(y^vjwUQv7u z04X*#)^Ek_KT1GT%I%Q$NKPZ;XhrT8)+v7{^=r&Q%2OP`_i}B0v)Nqm*;p1?8`y?; zt&Qe|3M?3&%i4B`T2wu;Z`<=*$v7}z?#tmmKOw&)YP8|e?I$hl{x_AJS?T_wi*z_= zVae`FeWy5YFF<>@Hlh>qq7rBuVxtp}{;W7#?_dX8PA^nF{149<$O1Im}g{Cl$IJOEt3)y3g-#dJQ8BQ7vz+i?v2hbYz< zY^W`=bH*qm3GHvS56@tjsxfZYvyp4U=~iYz3%lQF?=5A;a2t=ya4k)Ah%Mzvlk_Sp z8krS8;XIPeew7hc7xvOt-gpQES7+TdMpxf=4ybBjTZ-aQp+#_(MUbF*xS~;&caV>4 zz|s}enAYK#5V2p1SxmgGhFDdU=4wy#RB^&KgV7LXvmmhz9Lh{ z*A3f=iAlj`H zyZup@`j%jHM3V_=5HWoVlyr%zxoT(YJG_(qH4Tg}fw?Ha#KMD+T)J(KyaDiC{(81v zFjtv`*lvi^KGFp62|q}I|7>y^woo+zadh1lO%W-(1! zc>!lmE`pvUKkZ$qzB0Czb~Ok=*X}ux`Z5t3)y@J@Z7MXXLYTQ46?Pp|Jy-x7x z$oI#PoTd2fJqfP5*>v@*6hM!ntKzfsV^@#2D)Q0lA4)p^WI~JgtG{1OLEi@O;311b zr1<=<68O*T=&HOMxub0EmAPbsdmWoWcIg^5q=YbVHT`6|>mhp+6)R^rfxQY*!ur?> zca{E*jSMh*UD_-Zp}V0iCa^AeH3AhT$W$+fdxh0dk7z0MjV2V}fR~$^CZU3{WJn9M zvY-#dLsI&Bo0OJMmlR{5UY(My6j@1XT}Vg}0t38U#T1o&8r)QTctcs!B+M4Q!$U0e z<+Z&7f^+Ewt9WRK!a|_SH!E7aNxW-pjh5#ztj% z_9@A_%}?gbtQQS|roL>eI?eU4&AC>Hy@I7u8owph>66QXMKlwHF{5(!t0SzPJ{-a& zmgmWR{&{e?MvuM+iM^u5c+*<@VvGA0&yq$)$hfm>)dp`XudUQ%xcsKWrc#7V*{=)o zMoc3W*H}zSx#B-7E}vEPoiOqXaDWZ)(OXe=ne}rJeL?R5tqv5-%4f9Qi!VG}AsgCG zVkvc%z=b1h-FJ5Xp|CS$_iDn(b}B*Zh7&v>gmj&3IBzn5)`j8~s@lH7o5iuT?0eqF z@;f;hoQ1lbaa$=z!odywB>R3ZjX4kDv6^gGgCDs)Ro6Ln^vr1 zT=IwsIW68UsHQCu_V+?}SIeB{a29%m-%@le#6TFr`0Pjw>Xvjwn9>#2|6sbm-32it zf7~N$rr2G=vHr+FB@H-+`tDDiWUaGM7cu=!fKKS_Rx2xH-i-3*q!j_8wpt<3t-2q( zZ9VW!x*0gfG(B@_=VseC_`3A#WxCo2c!*3V{&(g?nUa;8r4 z>L!H;rcaZpKZMk|F61vF-#TKu1+kHwGl{$?mq+utFHy|-I|CG?e*tm9nMz0GknYw z*b6-Qx@h0blslC=cDl7+`r1T@{k${^MxJ@CRM(@L*08 z;qnw@;isaqVB_Z;{-4hdJskU>hy#P^mHI{^?2G2>MkV+KdPn&Tf;~rZBOLF8v`_dP zkFP9q)qD&v4eifo5?G0%j!F|xJqo=!V@67YWHZ#UZ{rM$9X|yL4WPC)6t((*uqs;r zPE!!{(c+H8%d7|6SiwCMpVz&h0u(y0wQDm+r4}m5h-@2ope4n`3YeaasfWYc+o8rC zw5Cjw7M)_(06jf(c(xxMm7#5lF0h+9O}65|Z2uwWR#wHJ%u`kZfnSFg6Mb?}cc!fi z{>^VQnUWJ45L6|Lg8bx`ivc$2QZ5Nqv{nR?nU<0fbY%SK@czZc)lY%{XkFfOtbce* zbs+vjH&?||Dx>|DrA=mE-80yi(Bl4=Ph)#dUBb;p$3>K)7`W}cpKU8zM7fScvAusP zzYG@D!@ex>^n7``pAdp#M6b42v!xzA6f4jsjGb-YtwZeb+@$rujRE@#yIzNN-)5-H zgyMzlpMJSwnAxRL>>P5rlpdBh#W21yTFO}2GG;KNnytUYKH`15AMVcb`!#DFeuYP1 zH#8Tn7s}nsY0lS zWnypZGE}UvTzhtoLBY6XU4B*Ec7%?XcpnnSKl?aly%M1SyvL-BPZ>!^%<0|;o3Zu1 z8e>l)PDeK@CyI3av0gO0!+Xv0C@V!1tvVZe2AD}6a!5!dftd) z#>80P-@gY?{lV_ibZOtA2ETqmj)JNFR-FCfiZ}GzcR!Brj1Dt+@9kc{NEGXmkn$>i zX!jFp=G=0`T~qJk_2p}uU5fL@-g8j(6z{=>NJdAQV!wsBx&EEj^A;=m-kUQ?&7f<_ zsNiSsP2Po!tIH4U1Y|yf*_=lO>Sh>kWDK4$B8)tV{6cc*0sGKiUC$#0twHzd@vaS0m{%ITAO^821TH<7G?l6xu+Zz( zg>3h+N}ek$_T>R;mMY;z&tGy6ji*7IZWs?pP3krUvRQusGwwX9TJc6OMnrtPY0@t+ zlEqCZc3e-qfXMZ^lOLIr-r}5HIW>3QyU62VdIb%{hsI?<0rT zX)nNM^Tfq7qk9XYOqu9VFkOD*G=HBxwZTUb(qYp@wFE$xz-_==Z@I!dXE4p%e%aa^ z`{v|I!L`{d((h%z#HU3jzQS-}6F1tbmAgEpaUK&dw{hmotc|EvqoQoHq&ik7)N+3>k7 z^PO+x zs+u^Oapfz2Zg=O6=oL`J^l9lu*SbGXZQp ze5PT>f8j67-78X=D zL+coqes76vQ&5taqM(IgO$N2D=l;bZKXR!|qgJ9>4vkEloj#nXv3&Bhb;Fw*!L=86 z+HTu74{hFEZ5!ID(R55TTXp!4mFVwAcR}IWw}kP#l{n`u#=l)Bmvw7Xc@YfESFdZQ zeqW$3|CZ``cAv5J=vLOxCIh!i)1}r+9^)B;sP2kn!58gMF9-jnw_2NTbDhrzDkl+s zqtm|C5RcjevCV(m!1EQIYY-6K9$s)+651WX9d7@(;yXrK(LpY8^L6}x1%C%F(@8H; zemJ(A`*P@RuSVFg#$M^-)+Ua|n;{BDt9Jt~N>>x(;a9sXLtWhm&&Ys0j2mH>jb85H zs}BR@$E)=!y10A<6Be>Ys|R9%Z&ydD=1D2*!>)@97-rvxJDvqrV{0yaY5Nh@dWOl; zQYK!nUG?ybTGWV`eVCADSybgd%VDUDH#t3|{O5~E$|_~vRhc#6zjg8fksd$xJ?7T9G)8N4sJbnwSre8_8`G{A6J7&urRfr`jY~_P3y8 z;R#YNmg6Arug;lAEU|#n5Lfa(=#t!TR&8we-Kv>3tYmKRZ)Ta0lG_UnHDQmCrGwv| z9?u$9`R}eDg3S_iNl=|CL?VrCx)N~(3=x*lr5DIRsKKnH>qiQEOeDjB%flHs-1C6( zi}PC6>H*`rOvC*HZwaRZ_!BauqtKb$b!*~a6>t{)GBdK)2ZSEL2fdxq=C_QCh8Xhr# zPThTp_+7;|YUZfBt2Lon%6D{8N6rp4rf(pphMjbc-z4HChK?B zlIX&d8NYwKY47)>lE+Gqj_XE_a}oyam8&N~BiP?fQ#riU-fWprZW6&lXF`||mbsin z{t1Dz{Nj+&;bFhlHGaKtEQALj5-t94hz1Q0bPw4*K8r7X|Eg>cV&Z1Mhg)Ceu6U8g zYV^&%Ye}x***_9#$$3N+Iz!`S;g%cM<{f0CM~%7d&i~+g&CpIiQee#fQckKBuZ^`y zSI60$f4Jl|i?Kr^_6y?l>%X!&) z$PCRFEI+n|KA}qiY$c|H>96ud*>-6R82k>nK#s#h^rd`uf9;~fwkXWAsr%v#dm=|9kU|x*PzQIQd8{493W=Q zZ~b3rD&AvrUALN%9eki5Vc|KZ2B$Z~j(MW*3p(=iI9U}2hSAGf+n|E%GO)?xm-nL72w>+?dvX`0J!0vqJ>shJN z^1T{4NEaU8a2wkAu;C)uCd@UElC(a?iTJT1`X=x0@)66Q7xH*+GJzKBhjhm6U>XKg zh9G>&MNAUonZW|4tH!54%&Fj`WWh+byw1Qr&t+deZd_g6mk~*(aenN=wl68Ke}6 zKFh{hKbRrsn*zN*g)t#=;4XGwy^tq@8{kQ#v6?1kA&pHg60u){fr=Q1oX9ITc`|pH z-hta45GXu-?g-{4f;-yDlQT^l^6HePL-fnIA8D_RCDmf2oYo~{?sq01W2V$O|h zA|p_y<%7yW?pH1F`HPft2{#MTq1DA`jX*lGk?-cM1zsVGH2DlG+##_Zyo=x-DqM}= z1EQMKmy#=Jt~i@7$t$8vMCiC%eM$x;K8!2+JU+qeZtnhA812!dV)EBJ-3&hhj~K0R zHMGhfXto#c%hNHb%bSrUToT+}rbXRwb1%!Z1c*C9Tq9r*(*o>t1fb;9j5_uem$MG` ze7#&jycI9!fKSd3j(};h&$OodjU6KJLH24M0F;}Nlbw2BbdP7YskFkLjk~)+3`}Xg zX6+otCam@0XLDk9^=amPM9yx#pek~M5}j~~o-1K4v$Q?pU+FY55)U93JMfQJARi$2 z!`Wme=*;#NF^2OR4mL@56B;@6-tNmqgx4a~%cJyM zF_EF@u#bjZ**-E(fTEJ}8I`lAs%q*QURS)Q@qUhpfkD9`|1{Z%tC3?D!nb1MuGL+? zuLXxp-gn2+_du4_i|93+xGq4P1t#u81~XwNd{D?8h$E>PfCF9rkO>-Eb)U&%gIK;4C0Drv|BY%8qP%bz=V3?8i|R$Chk6o8D+UX*bC zC^tSN&=Zr==Vga3nEwRbwENw(a*A((E)VYkg4r+|PimhSQI`n>eCZ}Y$m|vx_!nxu zC8!8Tj(ihBj&upD&mVCz-|AFB{&iAA0Lh8Ck$<-%wkuZl3lGBMA-FSqpf-mLtB`?= zCa5fJV92CWod0*-MmprQ$kWbCkjM0#YAh}YN|@Gqfl>eyokX`d0VEp^Xp;Yj*1 zr^qdCyUtfoF(``*D1=kEpv5iV3i8}$;HA$y$92Tb$-vBm0I7X{QtEi7Jz;S{b|nx;8-(f) z^}LD1gL7vPzK literal 30307 zcmW)mXH?UR&&Ru4N-1TPy|-+XC0mw?GG&x0U=?KwZSl&cfRqBFDDEpm6z3n5U6nl) zQ3{HMvINDJ0s>+|Mt{#ePhRBYOEwxcXJfIe)3Kz$Wm*S#MWIU-0z9*K z@uU4{ISGKUcAWDNUvI$2KY+UA+!6|aiYa47?-|AnxU?yqUF?b(0b0|Av7D{q(#;ky zs10o)ee!B^i!uYY3^IAA&v+?Hh5)VNAO;RZbLFqo{5SM~j%%eo8S6!iVZ{;_#q@X0 zf{{K$*Bx?$LF?nwzLrdgwn|PZP+=A}hVfrP0)97w?XrA!kT?dGmBE<;m$rWWE8A-I@vwA? zUVM4+H~%pcRO+Bt%f2{##g7b*r0S!6age%P+889B7joOj?RvAV6o=jT1CIEQ~jn;~m?9o?kO zw}^%qs#IM=>69ebTd3OuLbn4E<$*XJ>%GO_nvn5Ry`iGL1nhWRf7tgV>;*mPjZA9JLLH z`i4WJVpKc<3Nh()Y(k1E0NNbiWK+jMYtU{glc7AWw3x5PFT@&{?~TqwM+YzaQ0+`t zAs`ZL#$~WUct~~L`1|18rB(Aa7~UF(zk-qe7mJsFwwr+2ZAqMh_%Msu{({DmX8GQw z(3HACZh-*gnMjOR3Am9Jl>o%4I2k$V>6rlLDn8_RgA-?gIHF4OKglE_5ND|3m5@X_ zyPOc8th$kq_)ikhqN3xZs{;7`6B&_A)K^(P5+9`wm{?g_8kiazFPj?f1MR7J_#Hc@ z(xi~A$BuW4KWFH_eV82uIkgP(NWjG763A>P6`8oW0QNtif8sYmBIupjuFfSuoZ2m# zHNW~zi>9QPsa2$;1A)Gp6x%Fe(&wdIjWzhA%tdg9R+gQB}^reonnf)2d_Yi5#& zyhqEC8rY*l+}=T)B-4)Dd}&|1{DIw z0n_E(I+fq6FN(>)j9y8X7Tqo-muBadLPH#Z03@j6?+5!jp75V|HW-`nwW{{v`2|{f z9>6CXXi{K_(zZTGkN;+-g$@%@nNqR+CJtn$K`brE+F3vv%$BT59RdS91>4xuWI6hZ zGX$fOAb~4%ZiWfj!~+n%|)jv*h2JRIY#(ae2%|+Orwg(&` z`|3QoVUE|3;LIoZBgUU>71T&WkYZ$hJ(*$i55#T~x}27do9kYr6~wdCs` z241U3_yHV+nGU>k9I}&*-BV>ETIl|)@9r-{T7StzMA>uxi|xZx9P0qr zguXF8yx1Xkh5HtKH{A^LRxhKcC`?3~P5F-cY%tpde{a>KD_F1*Vb57W^={q4jl?YY zXg2E)pFPA)nF#ZOyT{6`l!Z~Jv3Hb~5-bLHN~4q}4L&sCS0OcP?64&$1XjC5836GgiB_)ZUr#8A|LuB-tpMs78sO>fd*~YX(|Oh zNki83i_x~Gin^qNn|HK_>50+)r6(FB4a#(zg23A=y2wb3F>AeQDlqIryUL_BGAS`u zXr*p3H)>9P$4mcXRQ^Lr`IKauG_B1I`F&b^x*utIT~AIZ?sLVKfbRx|H5q1GyoOMA zVA(%G0+~>=k%ZSArbD+ zoqxr2<#F(`1Ai==vttMYyu*^Uy*^lKG*KZIG7i&z_qLTj(!)rXu^Jj zO*VD-H&p)w8&FB&#TTUFL+6Esyj*R?x#@}T?G|oQ8$u8^JqV3(Ozgb%wa%7#3 zYg?T5zx|_Jh0B0?8|&VQ1ab`*`wCcyJGuQ^`-Xd8VXQA;h$?`|h{S5VHEs)6<0=8( zhf^$2e-5naZ3ZKvjxX=(^x?qEU4p0i(KWc{8ZOlXjdxoAt8Hykl%aPNesJrS-Op`V z&lR<@ZrQ&*ZR%|@vS#nL*%H^~K1S@qQWY4O!y*icwXMVEzGL;xY@r0kOSJs=FFt#F zC{h3eQI^_1zEyg*QLb8vEL2zH9)epEIgZtdJuY0P#`#IzDpk(um%BU$i$k8#8e5L1 zCiw@nOP8=W0HtVAo`1sF^XyZp0B>VfiDM-|3Qbrq>k?ov{;yX2oLCb~JlNj=nMwJgwj#n5V??IZ+lE0h+K zm9eNDrW z;R%PglZBkP?M2;;Iz-1VM}4z&8GW)n_r{XQmq2lA`Y}kHf^K8rm$&YjNOEgJN&^Ee z1Wldc8?4d(#-p`=$-H$>qsP=5QQq+hO+sN~YnZRS1=-7qyzK6i-})HXNBqvz)FU>N zH^!x|h~!>bO65yxRuXc%MXjtx6+??OoX#HVRuqvuCXh)KQTv2YR5IiLF|V-cRr)Hw zDsfhoKU3B}@6T^Ji*R{7?trZ=PTtBugUJ|v6Q$$U8}D7&ubpPL((SW9|Ga;?Hu|T8 zDNRS(vrjqCB}( zHT-BwMc!le&J%hHLGH;%l=M*_AgYSfx3`Cw=N<;)9Mzv~IR|S;w#tR;T-kcQ-~n zc+G2rjX-Y&VDMFNOuU(8;fzqN3JLS47C}PAT~=XR^~!2Odw={cQta1cjA{Z= zpMH0OHd=pY`Hq&{7wL|MOn1`Z#(9X&?;7>zG+5`0L9YK6&04Plzf~vr6b1}0a~&uM z4HRc?x@g7>$?7H9i`^nb0cTaha1uiY7p2EACw#@ZX>Gvj8)NMCW1Id#q zqy0-w>d*aEZ0x!I88QrP=M~oV^~XDOTV6$~(7)+jvmH;LYP-DA=2`-i2$g=g5r}I5 zVEIDaJ9c{t>Icpzw+=0J|BR%$5^O&tApAdzR;ZH;=v4t1HP;#O&M?~eFm9+&yG*L5 z5c!x*b<7ee@~BGz))*MndODo$$2OZ;Ydi?Q=qP-DKlfP^=q?y%|E6_~uffN+Dcyg7 z)XIAPY`z@;0-&towM)-0yFQx>qfWjhTdOn~9J!JZjgJ*gJ8Jt!;dz}5zC?x9#KH`w zxUb2C(Y`S4<1WkQ(%44!eR@ePSs0x8Wo}-#hzWOOd#{pG2jlni1`{NJtE=BNR0r6r z7rADRJjUrGT%BCNSss(jrE&A+pFT*)?MoJPpsxr0mf+{3E-vX{vejKNu~(EjcMf4FHM13l<*{#RLJ48bdX zR|r{HX+;Z`TE<)D;S~vzO<)0kSk7I$1v1)-3R|9<$@(DQz-IaaO+8*t4RFZ+nqb?Q zs8xBHsT^t#+V;A1J^^2bgf(q!fXbbx^fp(toL6x>GzQjj>6=su;-A4F*W6zs#c_?R zdR>Kmt^4xjbRFyNtf=j0rJ{oQNO|yWRHln8G=8ikOFu^FM(NkE9A8hWY<@IphM1emxqXX73Mb~S za>AEOtZ9F9>x|Wsr>02=+1#gxFWDE_#_rb(AZSLmh)ApGWE=3oAW^m3)ZdbrgqORC zq5;P((t9b2UAvge|Jy#DyR42E&(3)Wyj;rpm$NQ5{OGxvU31YcuQkz0jEwkef&6j+ zehhJAnT}7G(5j4&gVNUKCFSBj3tJd*BY?=ilKyx-Ece9Aqdp3c)WC%z3NyA>HjHsD z*N(gF96N|BGe#kJoQI{?Dz~m8EQ%y-RF~vmY>P_xgIZ_-eZ!}M%g=O!9qL28UP(XR zD14|awq*=(PVOQvQjYLy#j6gT?Crm7`y6Duy#SA%}$IxyEkirq_XZ3Y%n=P_S|X5*I*h)B3)qf>ru`*)?N zexQ~4*KP4?OgbFpCH|<)IGP7SsuPrWCA@ED+CJb*ka~J z!^OnvLBEbmHoi?xelrhmlK4J0`W@(5ES|Nfll%V0e}Pv9(Th7H(G^F-BbK?Ez{~iK z0GQ+%{oX-CT@HwyA}tojF*^4%Y@Uk*gscB;o4sKn%TxIFkByzcJqhp@6kRVMu(R4eUxZ9c}LQF3?OZaN5zuebTWXQ3BjBw0T9jx<+u z@n%U&ewtAQ98@RkzVzXexcWuu(o45c50*|PXra%p$_6ltY2sA3bzxbY6X3|b5Rhp4 zC0;y<=dYy6tT%q2&**s_76C>)OzBH+(}w*>YMMY-_fUPxOA~fA8=z{<;o5;XLNkpYf{hl|KthyE>FPdC1a;bjz}zo=;(#`Noi^Ecr%_ zPplz6?Ct=%`kP+ZE4&D0YRiAJ4!*Ivg3*uQ*Z@DHPRzy1eD{BHY<)lAdx9H5X!7UF z$eTnjZUH}G!@jv7zoSWK1AJq)EMu<$Y}KNhEaT$ zC%6tL8G$s(FKP@R9@uG<}y%3?diZQ^BlAY+r)_kUy$VT!YUyn7|m ztwS8R!_ zl~dqt>4~gkKWE)5N`spO;$0snCjR{{secX*dH(omcXO&pOCJ>R7~$-&@=W3zBhI5g zy|vG=vPybNasb*MU zmjTO%?vi~r1Ko^%NL_3pEQgiSBK*u>)IE`^>a;hjt}n!$gxfevBJk2dBrtdQmZCs!Lmpf<4}Bdigv3!!zE6|oZNNJD z%Rcuql}bhF*o~{AmDdt{5qv0a{)l<7?ca{YQqh;b608O{=|!P8k_jbB|LGIThkSeR zPG>-8% zYBz$ndJwOdBx1~-E=2H_e`O2gIV9=gFT~>uD4&frpbuuy)o*0YDFI@=lx4l>4(0^W zf+5Zy*7pLYJC_k-6)27MikDGi3?pBJEe;l|9Pq{svFv3 zzXgRKi-m6+$bsI%ccEX82MdJn<$F2iVBTPS7OspNaH!GlN*$=98z zJ8amSC_@lT_>5W-_S&gH=v9vZo2_@hTT$85|qEwYaM0rYe)F zF@s}^WZOc@9?`b6TbUC=na;8jTi?2n?glJ3G z?J8TH2`iYm(S;eh<9oS*#;nvk8Vr>>ZUl4N_KvQi2i-woT9F1bgv>D6nM%3{qE)9f z5)X7vt5S>F4%V_$k;@qlAg(B&OFO}+K>?9XC7niJwT`L|-bOIo8Mut#H`7laxAfEe zHNIjW4f%==*!ym%c(LuBxqbk&rgOmk0n1_LZ-f1is%-N}UmB!1Ozx2Ks%~kR>a&`k zhq(P27fdwE51AJ?BtZNi%#{)5d&4YD56)Fs$NIAFEuZPjUqNr;x*P0vPMGku4+Soh zak2Olmya~*GQu!LVgn0TK*CU7(X8TanFEG0zioL_rKniu>b)-uPtNZB`7(9*t(t$7 z6trC*Beg`AFh-P79yw(x)(6A=t5#-{kT;z`*5`lmkz4L2;5f~aexz$8OsoEX-Q=m| z+$p24JxyT=W1ppUn4h(*?;a1?%>Tj)TMwDoK*2WV{%6m5+?yzEkVyS|T={h)LW6yjCUAN}#xnj1=J4wyVSBXaYrQ%5}SWlH5tGD6_g${+DLH{Mh4(LD)k zUkRwsL3&&y{J4o;URhTmAOC#WU_Y`wc2uaFBoAW$rf?`vx|^t*UYt&p*)KO_k;eh)*{fq0iYTptTbSyAp_6J*kS$^sw0%M}sJH*aWMa8&iH+_2( z*uZO%^-({3zC9Kd{wTJYeW2IO``+N|`h@c+f=KpaR8z#ZY7lmpBULUxL)*kL3GGEc zw@=99e>q=u&F`U8@>~Tl4;S?~ z{SBa$6nI!v>DM^SM!}x$BUbPyJ7nY@P$2uA)2L5Q7IBTR$;o?cl+(z2Zkq7FfJdAR zaMSqXTl2ihK#@o?Eidx4$scZ|DTEMddP=0rAY`fm>^K+TxUXjkDu2!va0I2HY=c0V zc=K5mkdvrnoir-_?XGM`)5yk{cW&?QHDz&JR z-6Y%<#<#bd)XM9iJ~DQvSpPvN!IIuw(FXJ1m_SL69b$6ZR$T3gWn(;b2NN%(P@%G! zgYt10Y7A-t!ZsRho+mrO6R$`mKy;T!(p5S!V6+C*syP{CI!zY)#J?%(S4Shum!LPm z@Lt8{DuIwr?2mpIHQ{O9mMk!O?Q7$$V+@56)!+y={{?h1wZA38Cpd?&c~+}bkMQKR z$Sbo!esf5qnD+H~bq$++1mQ`1_e^otN0ltj>8;Fb6(VU_%=b|1$Eb(kf58ymGn{ty z`>51mjKg}4(A0km!Z-y|gHruJ!W^vrXWflrcwA^B0or%ud; z1HQ+Md{h|!&7{lN+l5H;gI@nrk^1}{9oGwKhNFZJ^r83DMPDz41`qlqB0UPkz5h9q zsLaXPle`+QQEvC&%tLkF@8}-8C@ASk#qWkm_EKZC$MR88flMvAFiJ)(Y(NWoAj=3y*iR0fnt6#V@k_G6l~G-BPhr3q^%Gg}>BG*tS+3=d8uoci|V1bg)g{w1QiGky;Py}6L6m8OOUNfuWs-c~k{njuL`i>rKqD`U7XRs=2L z-CanoMc}{hP>P>Kqj;&DW)L8et_%2B$X>q|i>!iq`phC3`%`)~}37x=Yks5W$iYBqDXy(iJPO*q^7EYJpGYXZbjWo z$v;NZ?8Wn-{i%?Y`wRE5H0+M!DDqN0h>9b(QR<|Q5Ko!^fttl1D&UsBHm37ec`?03YWYa>T(k&_u`CV z3%H6*plwXzskN;nuW$X2OCgI9672_}JgnK^$^m8LlHSx6YM-6Q1e^TuYaeavuUxL{ zHDv!o;>g(%kLa0QWG`i@mDU_7#A#Cs-0X+)qaa7mw*zXPhWs5qRLiO#=kkW%Uk|HS z6patd1EzJ`K{%$!Fn(0Ot>1;g#8JdnO1ukq7*}Q4!Cq;NFIAc@uBOb5{tSC3PP4h? zEyth@DR7yPyT*%{o3yj%GGbx{=Yp&!8?>O%ClOSh_(c}!h)7Y~{;j1}i|_SVkK4^# z;Qc2@Wxrvc;_tyg-~QGPxVHF7s);oTPFz_13OK*9g6VDzOBvM4#WJm(Q(>^6P!Uw4 zLdd6>+wB{X9M3LhsT_1;-+=)gq)^iNerIzR?vvtpggB>0;0~sty>T~_!)=%#+gWes zfI^j%AC{WX1;SAONaRRz_>9p)|RPBU2Ab;vr`RW4+C>CKm5B{Q)YfidrsNyodGkol>@yyl}) zV+Sn-t5DuMla3u^H8!31mS=a5a7?ew_qEmEBr%6(udd_0pXJ$IiVRcl;u~0oK?+*W z%>7wDgC@#b#p}M+{jr+Des~eM*aGJIhRF`|ayBNk9kjY2aHDS;tK=Y$8Yggr0#@SQ z(~gLiM*rnsDXNvTB?uh@OojV>5=)Pa(G}ig^=I$wrA_fzy2Fu}+fw+6qYlzvM`wdS ziN;7CcMO(NTrC9;8ys(L>=2k6hn8Qfd*F&}$*F?e)H?EKe&{1uru|x>G+HCP$n>%>DL;*KhQ~JBqT(}z~ zv?z86qN14^MWEAMiQwZUmEy-5K6{=PIB41Ylq*GYh{$pP-dS^u$Lnm_Xu&!_YccD$yBpL|& zHF3YcW`n<}xn%G;>E-s^=j8ur8_qYbDsb8eQ}15fJvurceLx<4b6@&0bDlMj-R*rY z$$c1v*Of?oy3U~D59B#?{OiBnUwCE1_uH245Cg5DC}Y#2f1+4ZqM)6+S(@Qf>j1CW zs6~f7b22{;$zT<_$iW`#Y3lUKOG(7eoY6&x_^!dd$+u& zu`bcOX++3zb{qwiYx|d-yilmb3#l-!r~tb)LjQ(`AB>4 z%a6V8z2NJ;0oe5lz)OtCGQtyyBFS()iqvjIZ-Vxk5W3xYRPc9Z18-5o~YH_ zR*3v!qd9dxW1~PqF{s!<^IL;)rO^!_4BNqbEle-wg?+>`N^4Dma(owFV>?#EM>mE! zMxXUDkn0sEGY8M^Z-QO`H*Moq<{iX|6|6NmViH_@KA-1W~$e; zW$;BdpE|rPF)q%?XC7;Bp9Ez% zmgJ9{cNZ#J=9}R<7Xl;RcLGQHO979`;{s+c@5|d}t_u16xbaw84?_i{7QJAg%pc<| zN)1lq1{lVe=}PlAHpyhKck$3T6}9qNL#oQJ-MA~tG5^z7oaMO3|!~yCzsJwQvnvd*L~{lX-gL@26gEBOIf0-Jm7`?8Bjjq9!DJ;%`!s zkZU9HX5CuqledG%WV~x`Hl;VybvhC@i+dluQEs54<;QK749RDeZ%AaNg3oB;6`CFf zIo)=$Ka1YSw%6YOQ2hp)XT{dqe4!=CIu1i|&jK9{t!PSGSUr-R)AQ#789Culc=Gbs z?cSbJ2AHlP;(ElqUZUwp;f%6Pbg~9ub1d1{<_Ou_ak|dloV<@6%SW{zARXeK2A$dF zt=vw*&#&1>lOuwJn=bHY%Z)ELXSmu3NXbezvE*YM>w|cI#dnIuS*ja6Pu?l~vVelJ zxkw`HV>`+<SQ2N!fTzl7~-=3`aX! z~lX|5t?297*;FW^g{C-aA^wW_FXK7p^e9y*! zq-dkAcTVHtF&Nmbw`jtS+Ku^>!kdyQb}IbQRFBy~!(bOr!S+s!|JMX;Exr@%==3JO zTm;@pM!Yq4mglu@IQcABxf;;SJ!bNf{b6&Y<-k5y`8%W^a}K;U@yf`Vo>)l8w;dqg zB~dAVI!~rHykfVL+Q(e}6Rl_{#-9gw^7MNL?zwee(pB&uVr3c}>%TiQ#+F~s_(<>N zeaQb>^6_z-aaBf3qx-!cS9f-+?tY+S{lzBphzBj_Q_xKf>Bw|~cMg?4Ep`CtlDWd^ zLq6-_d(xDp3C3&WXQFtK`UuAZI01!SC_0VzC=it@d!}ZgrTQ_zV>-~7cL~%1cWtPU zzqpk*`!3C4`Xh>(X;DlHm}o;c7q6Wd5RO^dPz2bf9EPa#Z{+m>9 z=r+%r+pG&w96Nx?F6RNXmk9`9#2X#&W8)p9&2WgU`! z(5KGW#PiISxy;n&8OnojDM+}`o6$O#U4N_BnlAwR~5xDFFVpd&J> zLl9>NxMt+z!#rP?`BW6I@g{lR-Oc)1E`|y;WF@v{$d(?Zb5`WAHgBHA+aD8ZJZg|) zax>)v_sDK){r~DBI&Qi=Rn8Q^xTd?l&XEsq=#$l!vohuVo+~tTq4cm#5FA0AD0vNk z;?4dHDENsuM&A-eM@4)0)rh+afAd2&LDeI}ZssE%c4Z!1{<0$iHFV~OhtnsNsXwf_ zQxeDidqsU2+9zz3*=JWgN`s|%QYD=_HYdjYVUis>|KP9f?EZb)Zk+StI9SQJSPMP> z(H1bT=!Yt0)&H~O>a9dUWs}5)E+0im9(0ybir;`=jz+a@;<-@ChvK(z3I8F5au~53 zcI7{Y9f&#lzyCYgw~IveNYh5K{rQKJ5x!n891)70D~Sl6%aXCB94m5hCdTGevOZwr zm8@sulDzMajT1>%q}W+P-m2}RS{%Z*Is z8HMWA-3keL4-cBERdFqR2C8#7ra3FGcQ$S`{&QA5WgS!-OhqG4t`=X4gRl60aY{sS z=poYOx15}Zl{n9vG0r7@w88S*9E$+j8+hRv_6-BQ84psEO@LmBdMu>HAXi>Ndklgy zUj1N(idc7f%e4{I;+8L50H(P&xiJ9T3rM@l`%iD%oC(dR=FL3mk{9I3n49fK?KKGr zuF(J4do8NM9iQ6M+ZPkW)H9-ok#tAP^tQOK_EN%^l&NVVRxoPcl}MHI)fM`V=`O|f z)$fgRQ^RNWCF%vLYp0ozRn?8;?Z{Fr_!7u#3Zs~lR>9SYBc5o1j6r9J$)Pr`*x@X1t|?==!a$<& zesnN7r7~%;I}D?+1+RrUAGJK(zZD8ezmh2tDYSNIIQvUPMia%Ae>TmRY^0v5e>%cK z8O?cBaO#pc({09~;R-41I@i!*Pz*Sv=`EKjM5>f|lIpT~xSn0>QFDg9P#{$}3sa!TbBl8h8FtlydR$99Fwa=hi z76e!3~ie;cWN<#SPF$v_0kKq z$OueE+xYUV-;AfQx5fPp39C_Lt;rp6Fevky135nMkPP8Sp;z=%k+=q$jqrn8q%27L z=q}}|4abdCvfy`!ZIoa7VEYc`qQDvtElSB_OGoAkUc-HUuX6tp+(^+AM@{FM{4_iO zeH^=Rz;Wn>dHi1!kxSPpHe!3c%tD2roXSK?uL%@sx;t#axY_K|omo*0u%8<90gHMO z>`)T0*`g=6>iSci4=6gkuiu?2&gQ0MZwYNt2=#(GMYCLmDs!Mkys}BljXM@9&_)Ga zTTjq7LSA4KbG%t%gpEx=nvjR;QPdqn~kX^h0`ST%Z=8ty&i;sm6hXUq8r0N4id(%WJ=03L>%7{^Nv3Rrqv(ts98r#4vuUk3?6Xgtz$+ZHD16zwOSY8AM(E8| z(lWe*L?+;pstu)ex%7dp6PMzyjk9w5+zu#6Uy4to>^rI;db1>Y_toi$+2Yf?Vf4`B z@23WBT}UD=NN=^Ki7}eSCJXW{->^{mfI^7p@UzBjLBLg7`vlzZsJpcDx2*2z!LD*wdpdmdT+ zOQH16pTfa1^Ug|tpIiJB5L>Q*jLP0NyO{NpuNS?bCqa#olL&${HGCcQPnjo{NiE-K=wdXGn?)*!F)IrtX-lYEs?auZ}K@GzEplSrv9;u)^)~-OF zr35$X1A&tP_bj`85NMLEt7CBz@Dj^I*r+AxF20m#nc^0VRxdDb>n*icH-OXstZJ}>i{+O<(hYCizyF|)P>5|j_Tfr44RO!7A$#Yrm-1 z3X%~^nq{cK#xSPBw!vp${P9xK0qZ)kV9}V*2Bnb?%~=9`u&+)Wm3&;>SP}03@A1ml zwCZwED1GNqKdt|O@u8E^7$piFtHypC&!m4d^Ok*bkoV|v2kgl!%dR-Cd9%9SXZr!> z>?rLwq~Qn>IPofUra%6maR;iSFI1sA@k!`b^-(r_tJ>-!Hx_v2hG!$D-tA-g6940z zamHhf16qa$8!KC%f0T|fa#%G6{9zIT+16%RtN!97ardT-7a`Y{!2=FHuU^PaSlh`T z&_1Zri1q#Fki>q*m8=i74hnl}H-Bo6d@ErE>4y%zJVC#waT2DlRw{2^4K`ZkEz^p& zkWi-8OWB&o!=!iK5@QbI^~ER(Qt9e+aA9Wyy4+VeZvt#scAL^D9VbIbjEfebg=T@A z@xQm<@w>8wygFn(H?~@CA}wAXwr#Mv?)p;wRvpc1jyyHJmi7Z!%I2 zlb%sfvX)MIwct0+hiZN`F|?5f*F78h7`}g7w~II8>k;pTlb*^F!aryx3CLOK(pX1D z2>~LiO6tvLg{4{H8%iu_lahut2b!EUd)8|!CR*=b!aKCZ)h$4f(q+|6d8<42eBW}h zTg@UX<>i%?E?2=xbP+R=nt2F4br>6dBx46zoF>?G?ctL>sk*}u(*sm^6tpq1AMP4% z!NNshz;tK5KAgAk3Q+4N{YQJAQTmDNodEo%pigVcHQd2DW?HQ#-{)}^|0>|(ZtGoj zvHWCI_L~FpBR}rDCkdaq$GhdH!rN{YxMr?$C}$AiTVjWXidh1M zn$FBS<-sA)a`zAz!iRK!D220O5V$_#C2|lYq>p|nJctp|$G#LD#EI#Pzmyo1l+wq) zlpd6k)t7rIKd7Lnuk=!RP(@W=?WH=P(akM^aIY^zhO~v7bRfEfeMG%-eFK6a(Wu(aghNE9a%UHUE77g|@DajMqI>zV;{*?) zXStU*!H4Kue!`F7PYfszBoKm#!Q~;LgfL=w`N;@EBr&S|6p?V6c&0r1Ea4n6raU%| z5Kl}fPdraZA|{uU$OH;8rToG_gp0(~^0ah91~IcdE1Qr*q?YIA5%P(b$_r?OLL$Aq zsF+Yfyj)&-g>aQvR(|a|p`3W5{N^pfZQ`BsyY~qH67QE+R1&I))#VRr2oH&m%4;7J zo)DjwKYLDiLHu8NT|MC?@l|<4BcX}dT;9@3Xd^PpU%w%|CAOD$bP~FV-Q_*Kgg#<_ z`M^8Ed*WdEhatj8;-~UqCSim)TK@S9VT|~-oHb6EAhOFRrwG%;ney3hggN4T`S%}$ z1>(%`yX8-ECV;%52QU&1zVr+jyhARq!Ynng|bWbW~l zw1h;x{lTh5Jz`1|F6~2+s-%V2}M3!U)RJd>HF?fz7k2^!}%`zI^p-Yv`XE za#A|2)`7*8px-ptc8^`-fuhmsfO;Z>eEq4r}5#AgPjILP2EL*eqYO!jdq6g2@h&8V6fSS8zuwP&TX{$uQYKX`>M_h4=hyNW_W{;CeG%J zk|~(VM1FZ9c|3HulQ$|}hL)Jj%QXe$^doF!Q~t|#EI?vV_c%4RMkh|Xol>b!!wHEs zE!|OTiUosMLM;1}++#Uf&(BgC!lxKwt*n5(wO)G|_O2?r38*cFJ>uvM)RrC& z8TbEyQ|ZVxY#BRg?D|>_?CXpC!%vg;_0Z|DlluHavKX^gM!jSi|V_Iu@Su z++gt$Z&?3chL#UZF!<C4g=Ch#^185bOMPKTAWci9$>{GJEEOO8UOHJttt6-)AH; z)HnO%waChq(b+OaTqXcKd9>e=J>71|`^Ds)R;oogUg~sv6ewZ*A1srw5lCbiNf+KW zT2--o$VZ(z(Z1ojRP4V5j=oViEC(N!Vjb6I--~C!?@YU%mMaMVT}bJj&_Ec&`2!SZ8xMJcwP*(H8~XE{>n%In^c=F>S`Va3 zF^-!S3ng;)nhp=Hv#{eyq~^5`b7%p`Slg7a=aiuiYl_ul1xK%>*BM+z=e98O+M zlffHq4{)4;rx%vn@cuGU4W5Pr?4<x!EF1Ozwvavv?K}Ed>HIrXLv3Wd!@17QP%P6 zCh}VRChK8OhEVcLOj8&_4}-ZYRfr8?Af#x0>82}QlUD%PwpKej3Zu0JieUeMdj;Os^ zBA2*MRCzFfiVrQ6me=#&5VNBlsnRiC4c$iP!LX9?SDr8)$LhUkUQG* z**3ptS_tsi(5`4s|G$o|GpNbu>ptlrp@iOh@1Rrx>7b$zdXwHu0D%NhO6Vnk6h*Q9 zDf$yd6f7v9^ePsLA{|7;gaAgw(0N|w{kF3+cR%dx&fI&?J?9vYzS~o1yj%Ta_^!!K_ck5&fD=KHZ7P>t^NS+S3JWa4ell?Zn}vJk;tP1XM<$ePi>lJCYM9BU+t&24|CQ|NlD6HHy>-y#x}$<#{o7h# z<`FDGF#qo;_(KhRi1MRt&p^`8QgdL~eH^qM4xD6ZynBJ5dy)oEc26ngIBOKGnk>^6 z4X@nZ$DRBHx!eR(gd9XcU<>)v9FXGi$Qnf_O)X~k>9ct*B@1nqt~%ngd!N63DveBb z^tv55K@w|&Kli$UeeRmOeow{_nru^!QRi#J$gV+p>>iceZAKlx8|0!e?+AGB_NGdv zxmn((HhbQ=stHgSZFf;w7wVH>%&ULCLBQspF0Ey^{n{3dxv!FwNK-g?54ps7uY5#< zPWy6DYK%IhN!~x4x^H95jBfjWx8!U%bVdK96}w}9!(AgERa(dTVLPl~VEr)66!Y>{ z`_t3t%D`G4P4>}+)Zs(@kZ6c?s2eo|6WXM?mA;k(7#*&N-)ESSxUH%e6JF-OmsNXo zPXKdk;NM}9)NO&1*GBIWWW`c%Ujc(ImrEzGYxepn9aTI4Sn-$6K+YM9 zi1W4vqoQ88j2et2Wo?b{`Od<511!4_`w6`;BGeM2UPc#BSBg;^7P(?g)l zg@tr^Sfh011HLb4DZh3eCiZFw;ckRinBQWrssqZV2~r+5<$3#-aMQBo)nFRghF@r` z?cWWe>XG&T5Qx{6vLns=C2dtXE!Ltg0wdh22mAB!>iE~oT&l@Nf+le&X$^Xj$md#^ znG@T|gBZz~;dy7j`J`))^Z0ITbxz}(z*|6uCFRs5I;>91Qvy-e0Mm*TMJQ3Ei@QkY zYj3g2mO@L`tai-Vb3X{fYeiwEe94Kc{3d~&Pr#s4CO);J!K;~(;&8XVFRKfVSul;x z{-YccsF9bGFb`iYD*lRyUE-|V@yU$ZK?7TC<{vDa{*(+i(2V$OnD$iYGN{>tli&X1 zkWIyPy0vtOD~}m;m953X$~eWFFsHhhIg7Dy*a7noLPNu>7Bn~SaX-TOgR%U=ZUr`7$ey+_6)&6gdt~5#GZYSK)Kj&3+hc)D zHaY;4(YkhW28hXILDlohx9&*_16n&4?=$Szl%K#;=L@Gml5iY#kNJm2J`h4sv;NJd z9S|Yy10zOn+w(gJORVXr$H|`niP#fd-fCJVu`}HL{g2x9JmIaOd@Q(B&CVj1W?qRe z&^I5|d0)QOLpC|Ue$I$}iBlIrIpEXr6M{gD8(wvs_0?(UI#%edH!8Zw&BF_^4>&u> zGiR(gCq1mLcsE~qQ)Kl;<~%l1{5^$IHScmLV@`u-(v=BQ3cD-9#m`ki{f;3o9I=T5 ziy2=K|6l~bGh<`Zg69ut8~bNgdlA+RumgXM2=7(&cHBXj#(1^MD>mP@Q{J5mz+6XX zkn{6aor#{ol+V5IjXIx(K%2V%EcU-`Z=B2hvoFGfCSW=ar0f;EcT=Uoa=k*Rk>UDv zAN%=e2@^SGZa&@kvV$|1i)R0uLG)bP*)-jnrxTU6#v8M_>`0gN3_X;fy zUN@%g9AFA$XE^^LWYQe+y;q_18F=G{rA{bAWb&!*e}z0t{DH@Vso~bMkkhgN!$=cY zmxRCCR2yXzRk$}dKonAMTN!m}ref!gOUdbj+01^B{sSPqqqV6Ndry?<<*6AKY{mEU z>jSdu1=ratonkl@(FbXzyWs8$1+FY$H9#*aSa=-aKar9G?*V+PI= z#1e7)qJZ7n&9=_lK+DCuFW=8B*N^BnbGz31Ib>EhR%I8Cck!%t1u zir!?Io|UPaFj3c8QXX1b{#&lMB;EfTu0q66H&u`)CVD5XrVNyqgs9}tZ1-`c=MP*; z%uhpyf3nreaZoI{XzJB%>6bT(5x8C_Y)~MA*SVPIT`+m$z?VN!I#HToDIo&>Oux9! z)^7QRpPB6~293w&2R7%=%WfaghqWY{rA0Hoc$(!=4Wm?@mu%w&**@>M7@m!H(7h6G ze?)r+7^)I~ki|@<^3Hb8Q3V_N1GM}miPHS6kg}=s{7<>>u9Inr7DuM>Q}n;I3|*5{ zh=ni9snIg&>oeXG$kjgzM0=#+X=+~g=gqvMc9i>L7ipGkqUXJ7OI3vlp6Zf;jvMrkhEDO| zWBP!hd;B|kW7iJ@M=$xrcmm9Ae(=*#8?;M2VHQVwRd^ID#3ggrf5$6`w1!dQ5r{p_ zC#QK+_rf4!v<-4*$acR(!1ZLj9NuPt^)*uoO?)L}`xZf;$V)BK0F4Tz>gO&!0=|jE z-zLRD3ap}n^4cuY`T?BjV99lxjW=ntetMlsXEwd72~0rE^Y3XQknHjYG~1GEoh zzI3;R)2#-lZIG1sI1Kkk z(!#4<7Q(ZNodXanr^5l7I#~41@mQ@XJ9jXwPfl7AhqBW?4Ci7j)_ly&jiDWttPCB+ z?uFiDN~~xc6s2%?mC9zUi0l>aUCRjMj&K#vT=@{+93LaJf~WHFnyi?PfW?%R{uq~y z+?5@1yZW5sm5Lp2+r4v90T>J|-&{&fk$XY5DyJ%xOk zx09Gzt4jC$$f+4tex!LQ<>H;+aUGFP@$K^Q0f@`>#`y*$-c}IZ`hN4q zZagCyVqbILldaqG@v=0tjVik>m@R&3y@2feOz@%J{|X-uYZdG~3AA(toA_PBTf7;7)tQlbg7f!nG#C3g?osqlrMq-oSoLZbY0vnX?$`x^0oh z{f8=ADDB6Bw;{{T48T!xaP||Jj&D{SJQsXnP2`?Ne#g5Dltsgeb~2X?2b0AC$?yX^ zgniz2w{eHz`U79Al^=P^Wm=X$14@A*0)^dsXZz_f5$i|H?$L`Y%esmlEaZ|clyyF2 z(0=exSvm37atYt9BXLy(ZQ%3K=_12*DSOAX$n1II-NUyY=&LhCz8IL2a{w0$ z+3-6ymiFrLMeJ~9_CAzwa$n`%qDFq5Pq`6jo&p9MeKbh%Gy1R!Ci`5!%IbgL_uZWL zIoFy<1*;>JOg(qaruto{w*8%Lep3~B9PCi)mgFW&S$*eO!nSfVgAXxN6xCsA^OO+^ z7k+VJm`Qh`Js^KBA?7KwqJK1!?A4%rPie@l;3pNEkHZtzS$0I|KHF8T3w}RJ~klh6k{llj%Nxifbu4|-0jn@s;{RxPz>R#Q=>TV4;ZZEdV zoqlwQW+$g3eDausZ35GI)q}|KQv$Jq8-iyL-~(0^L1(<9gBbFqHdwU-|0t=JFSfsvq*jQONO&oWOJNspjlA5!ixT(n zber-T)?>!2;nh2xg~lOC0=IQJfHa^z;&x^)bl{{~akH4L8ZT>uwcZUcx8Ve3_IDcV zi1b5P)QNcHq!wxBH4NTx`+fnmS&3sokKIcRY|+tbfNS*)<838IOI;k&hq`oc0o!BE z&8xQmnNZQ>Up}s%C#6S$b1yO~T3c?}cVi<-EjbP|XILOg6A+f+(@-LJ#&Z^}^risx zk1LRtEY=|TRvcgU6pLsuydsku4}X=m-53UKQ)!{xc-c~b`~$|Dy}5%coB>3l=Hj8x1ip002x~y{Gt2DFYt!Hb zAIRVJM(Sv?%gpzgO9c?2`nt~z{C4_-U;1Cue|I=-FJumw z{KJB&*bd{-nbE0H=CmFC5BqS+((6%Rd~|Nanw|L|$>C^pdpdIp^pO>w;q}Y_xEzi% znhTB4!ebQS-l1{l+Y}M1CXm&(773^s(fKO`_(R(lzr(2tx!LOCJyXjj1P#nHNq-^T z8)sJG3_5%Y8TxWw7Xv`PoN9LJNlsQCqZ8c6JAR@Z&SA%}=ckz4^zFI%H5CuGpG<#w zeumuKYhE|Gscc?2&J8TMbCUN^`DN%%8GBxtrc+bIJoG_Kj6+{%_=qw>*gl=BBOCXX z7!upfNK>$>{yWX4Xjz2`6^-a9SG)yJzufMgbOj}9;K$2Xu*^xJg)E<{}ZLN=bIe#?aT z8HV?;L$C3wjcf6Cn9e!;EuOrgMqL!oF6ru|O3NRi0gCD4zb!jJiL~9Tma5XOrGztuyyX{ zn$bUkCz~4`f;LT5`T$6)u#8qEDpFV9f~}By4!_r_H_#Oai*Ci-U_`NvCE*UT(e^&> z)3Zkf$jU{v|B0QPkC=QJ@YtX?4rXi7h)!#nucN~nVVtQA#nbhkhNhXgL|%z?H3~xHhV0X;g$HJSda{}JJJn>Q z=}V$KDIh(KzmiPM6QFJqdDuPAV9)(G`3(Np{I~h}{l9YC2-P}%mNH{3iiiqOh|ihE z^wPh2t&H&FyBw+y-V(-=sga;Z5XI%hgRcjP0@$G>Yk-|2AS7FpdYTjA#y65BL6P+#mV^s@K!-{T8MF(dC;wSX6 zeZ3ssoiq6pi>aBvarE^$u0*!6fH93u0^jeprOYv3_K_P?u$TR0>qnzK0@qU5fZ=j1 zyF}d+ln#4hKeAZ`svin(_G%F%SAgW|j?*$nsyqkyyj~Vh7x6|;liXYB&e6{^*ON^a zCNCGI!7{gg9qBKelf8~KCGL8+b6JfPj(yj@a6LE@I^t+NmFt;t%X{R=R)2srs;OeE z=yI@q!U)-}sFDDusG)N&nyRIF^HfW(Ynu(d&*cv_GpyH2#Rsz7IVeQs2rLdP<{?Nmt{idi#Vn3ra$KQ`eq_q{&}# z!9sPxe1r$p<2yQ(0&Y=4h zAKBVY@dW;cE0$a*#PHmUKj>FXvyGkNN&LN|SQ2rCRNT$|Yq7+YprcTC5$?^21Ya06 z8}gjK@0Lq(#vg1mEP$Kp9>F_LWh zk3*ZEh4%|w^jt(o>j*}nT;T)Ur}Hh@xD8XdsSS?u|GB;_jC2psceEFY)QutK^NtQ_ zx1e@1twd=C21pV~Tz@?NhmL*>300$`IkEbP_IiT$DiYdA6iBSrLnnA2=#tsy2OtZM zGw=BVRcv3N>kZPJkp?bN#Fye2?VR2QF42lJZteoBy~@u$5EB^bAARj?R}2tw`VEni zMW^hWCD3|INz}_{mJvy4Ynaam+y}MD{0VKbBD)!Hy!!5La*E*6>?lFFQ|2zA@yfRm;&cXTRU=&;F6AorAZA>-LnF)h|u80zjI1G%+%; zQzDADu`n8^3&_SvfWgtR60t#kf&apyLevOqXFK?#B9kN{q9qd~LP@ar=mn%-RAA&$ zkc6IpOpF9nwU(d}*2WemsYHtPzX0<)ij0g7@QV)up3F`P8Rp`TCX z1Kz~aM{MH-nA1NuA*SgcLG7yE+qjkDy6Gn$w5^T&_7hy= zNh{*~@Eq_yIq!ALom`qb%E&=dXM-aI_>--L=m~(!$p6u zJ{Cu^FtA;Wi+dEv))3<`aAd1ZY9W<;ics|YOy3)(i@CbYs*U6!uw5T3NN6L6*6d%Y zMVkz|D*Xfx!8jlP@d)-H!}m&#aKaHqPBS6jxx+O~-|;c3-qb0y=Y}u|^d}edG+1x6 zAu?l`zUy%p4KWIJEQChhR9@1_#bStG5TX;h2)v%8S+uIU$_9iS&|I^1gHg;Rqp z7O#{J#89HOx0muog{%rDb~q=WiPkJFO5sB9%9gW!hAz4~E+}L#eP7&OdFv{k9qz1p z8s)5EKl&(&btz6qi}78+Vlfd06Ry;%>W8r2S?WX98jSu^Bo-)2*@zMAiH@b1<_nBY ztwto+84tBkmG#0hYOL*Za8D~qld%;)MK(C)Ecpl@fKy+S#R&6eKR}~RgMi<vU$au`kLd;ElF^*JheX255jLvg9=~cJTBGT z3)=E7$OdbxrlBM1oXXJ%{6~{J69Hg_)|x{EdgywNl=z@xTr6(sjX3jvnHxx6SVuz0~roCt#N$%^pv(ce7Em*9AtwgI^G zGsvH~HZCAT4#O!V^y}49^-j{ipRv3Y;}P$VZyvxsmp13NWC%3{uog4uf_`u0`Kf{O{vG~F!wdV{2fn7IkR-D`~pXwwO2=Odx^&g zR6f##Ao%kMhffnZtuLX?0&@vKVpJTn+^WSAVpY%cSL;Z|%$XR;z7vR|M(WBAIKg5tlIraU|K(OTkp0>H<)c0vN6Y%3^TRy1%6RD=wM>1aA4PG};GBqb%t zfSsSGEK}n|pqEypNKqrV{M+*Z(UA(a)@lKvO%bfw63UZ-sqAcV*r&17_*9I^tVX7T zS~cdQLg^iMm8q}MVGa&IM4YNVhmNQeF}kQGo;Mck6{c!D-WJrkomkV|n12H($v;%Q zT4aq-8Ssd+VsoxCA?8|rSa*f8PDKNPD!$=D{cX|ut!A!d-n*}@HtdbgzV`ktykwdi zIpOa4=%?r#k#+8^5H**U4b5(4ipgCy4*myPN$0Gy1=q$jmbGVsEd7GlsB8nLSgSWi zq));5dcV9Uy+uN+h6Z^?z-XSTxkuqCYY-Akd1D(HSKY#n_-ZqF{{BV$Ra2A+#66V5`A};=QlwdApxiMjS+r5W!xk2~q7Z^^x zZ$^zm%@rumgr@z@+!rHmT2cORwDw|on0dbqE_WUPT81XTx{=fY+z24YZpc8gGJhj~ z4RjC?JExBF*SONZ@V9*<y_uv3mSKLP4WJLPWIHdK2y>q-_pG@A_*F9F#uaq9*YG%LSVb3KWj_AA# zA;5lV#BSFmE-oSeblDS-ze8nzp*ATt-bWO(oc>RWZhFSwJh@bk}+kcQdzUtwd~ zL$^miXut_zgn`t@ab#wz=ZHM8)-j?vVIDC8G<^(yUweIIV*6lOvi?r!icMc%@%HN# z+KG{eM?UT_U4f71SyaTAF#mAK--)^rSw3Q$6jb>`SIPejMnof!NikdZp5?P zjuYMeyvBifj7-)&uW1}xjk*~ZBwcP)qjQEt*W!!vv&D~N7es>UGREmt3> zCb7a3E7jHPcKF&Eoi_z$ON#rqufYnuW%J{>alR{j9n9%MScb>*9=;9%ERbp?Gye5z-C~}iVox?)w+4F1a+&mR<%{R z${^w8n~#9z{=4g>HMnV_zg6aRlcggm1BP6kH8>6mydgET_Jyb zXX|JzB_^sIu$j}g8v&M>$O7@MJXBn4dIRX$oGF2W=%vWPbbA||SQlnZ((9Wu3*jH6 zcURyTYSQJQ*4w!cx z$qgu3TxNe(9&EVyXP&ZkI>vS-J78`$9VB>WR!aoj-_T!VUk;JVL}kWg1yna;QYv{f z0$hc3UI)eSdr1r1i||y%*bO=t37H6hUxLt~?4WLz&srZZDSww^M)Rvn-;QH_`Q<7{ zgOeBl7g+Zq=$4$lrAUTq%@bUE_lrf_t&e$e;DyfFVDV8p%qE7u{lhmj`*-^0LxyXR zWNvkEHN>i(=_6amcFh#0CRR8NG`+7~gs@BZIwDv}Y|T;PNZ2<)B?_(z(_6;+hIcLU zLt_A9ku%kNsSc+3@R`uiL^kAAij|Mzlu1<>%gaRS=fD#j-yZd^z;)SUSg)=<6Tg^0 zDnz`u7M}{w8wzxbrBia;iTOG8>DLI$u;J#-VjK7SU?vTg*$-RV4i(Y84%>-DthF-0 z_5X<9!ILA4G8}m%6qqxwc2vAG$@{`Q&^dawlV+C%hQ0k2P?E$JD7CpBz?;+(0DTq| zAmeQraE{W3tWEL9gw1i87`2us@7=MCWth0e`uJNeXZphi3I3Mfcm38SHpP!OKtH9; zVL#K@wiK zwcgk263HcKmcL(}dWTgyi4v=P>M}zN^ac8tFx0J8PssY~gA}64N2Qm^IBEa+ka@^O`rPIPxd69J{kql4d(CrbBdVfx z=vlqFYt5%Mm&exipS>*0sE0|(6Yh1sZ{0aLbc4uV=^`E?1$53!MqlI;SP>!w$*(B( zH@*917gFjUdpTY4`7so%v#<=Fw^p(%3w%oxHl^zqhVJu6p!ynaNJekpSqnUmE;;QA=9&WGrQ^CZ2Ba!q#Xfk{L z2<8b#icu`>0y-za0>w?DV>ED9#5S2I6sZF<6PW@ ziGM8icu!b4u*5I2j-DM$ZKYaY%zE)Zzd-ssmPg5Lo{sh>`7YGr%{EMs*aGU@=|eLQ z^te}Pw&DZX07V{2MS(7xHqVe@F-wiRtpEFW!xNJGLRZ8YyY_f8cNzAPJs4NFU4-k- zX1|aJqxZsJjzRguGT6vuDBWjC;I3x+sxcWt$o~a2iBKVr9ab7QyQZP^1Z_Wb=sFVW z+$Ful2tHYLJ?WiR+;CeJ@6S`hcL%n&|7MT5`8Gg_1s-?uUJLZVEMA}WFjl;Cn@jWU zkRU(U>%q0GYUS{)COhx>ux6-vHRz`9o!O%adG(C9Q-!_OaUv>zSgWxj7`MM$^>8~dDX_sh&I47LkI8{{{x?k=shROIYx%aeEY z{|8{#_T5X$*ot?5xz}8X`1G;2k+5qx>`qR4amB8^M+dQ@b+21| z&wv!&%Wg0~8S8H_`z6kc=Ts}%eybymW%SqRC`3zVA3sZQ?@h(|47P^Sio6kR_WX)L zQ6t7&PIE{zJSVrE>)S{cRgbVkXOziFHv8uI@_;lDMf-4+8c*~yWk=NAx~eSkpZw&E z+yBzJZclCmYSL~UW&0w(J5`jo zuYUheC`x0@y*~X>*2@{auxqM>0Lb4FC-gBpki<#LC;f}n~U9-WVK&hEa)q*rIfLQG-q!BW-s~6zsdM*N)n=Z86C$q^GWmS zdQ6GAR?EJx*UP32bo#z;6Hc&vj%fRrPbPeLpi9&Ft~RdWJ!{vCZRg^D&f9!ztJ)7P zX3JaFJ1>zv9Z}~UuGwkq(2(M1fu}o`&Ca8Y! z2@HeMXaI4&476ThpginVL8nDl1&ecVw@Zv7rxF>DPDbA9M5l%nBU#&v@I?FsXXjH( z&uwj2-cClk*VLevgsqo$XP8NzXH(LYxRcI&w>C#l8|g(R>MiU*+zw zxlo#fZ~{VDhUkvdGpqxbW*lSmHOjG`tvj?C?(%hj4!JIYB; zegIUn(ya<6m3wE+c!#=n8Pm2RG+=;LT zYlH2;WOVg(S+`(3;OCo%G>@aRbC(4jZ26J-e^o=inTHe=6x$}jt0P>SUP9bIkw;D3 zMw{g~D1L^#4qPS@0((3)7Oe$)EOfcajMK}od9yw-c;3vbg1<3&sN8qZ=RnaW#a=*4 zh0D~1v77Wf5-R%-o--_49Kik>2LIus(E0(5X*A`m{)5bvLIjOb&d!v z8^OvWwj@>Zop93Ct9{2~7c=6ljE9F(Zr-$in?%yiK}s5Wt?1TPgqe^dgL;8PB0$Et`VJXIiX4 z#3i5!3t{*YKc2qja|v~=QBvt&Wjk%XlIhRJkhuN2H!y(3_#P!^X=k5OvACbLFCvZS zq;#2PSY|ji2}@umiQ500p=d(7a%Me;SRu&Onk<;;U%OZ8tRYnP5eId124i5GrL}K8w66ecKKGg z`jjoYIEGqY+gD~AxXOsv&k7r~Jh3sfh{0=ThpFY_v1P<2umUdRSNl36`r|59W^eyvNqYt7MFu^X{ftnx*jvXzSw7aHxllgaYWxb?LL z;hy|kFWZrPx-|85Xql*T!^o z0ZO_eT{4y!@HL+Jjur=4 zHSqJ{qHn6kL`NX>uEY^z(1ci2OO(Z^V4$V?j)vw5@T8Wuj;@}*-;93%E;!mXEIeW+ zk{}r~eJS>g>NYbWG3oM66zmFcQ+X1@3S87X7Uy=8J|lq@H@FE1<^evUkmmsKm`P59 zedyc=7%1eXhvZfj2Rm!^*@$Qqs*>ZT=ov&L>x=rS4_Mf9K;ydI6qMTz z3P1xnjbH%mnshh35`cCB3f*VJuCPnk1tl&81jW=uKb26>(8yBEk&Twyv;hKOX}es^ z3jnYMu)K7_s=i0O0-y;5lnink4FP#HP}kRB05rXtApuXpmi%N^K}}fL2N9;rCU;m5 zB;FqP(%~IL!o{GL$-P%G%5@E3-`y~ium61psb|F#7ko_?3uz#E$hx(>&a@bu-@Us} zPkn3;nh%t+|M#>?5d2YV#m`Di&+$|l0?%Cd>1EXCWdKs{m3iF0AyIG$b@1(#B}$sC zfoUvl;ITg>>PZ@J3PeH!wu|^G*@EblYSY^n;M^|LLah9A`wdUaz|MWtLGTRwzKN;aScht|E~H3y|HjKq8L*rcUgYgi_PRNKiq?rO;oM(4aR+@XF{LkSZa=pE$>3`A4*l^BaetuFjoE5Hn*X(5@5=ev;LXPcN0YC=44}e4%)eDGa znih6a&j3H%<95%Ujc4up4$uEsAY#QF*Bm+?t>PRaqD`raK-|8mY5L(PkU4dvNR4GL zo-BeW98~i-{$JdQhFAPNkvaA1!YQoQI@{858vX+v4V zjRr_hagSyvWIzt0`s7SJuL*I>+FFimbc?tvr|%3)_}d7hFQpG00ZZReNb$Fw)2kCO L0O*{~WEc8BV4}Ev diff --git a/pcxtest2.map b/pcxtest2.map index 91a0d5e8..67095954 100755 --- a/pcxtest2.map +++ b/pcxtest2.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:41 Executable Image: pcxtest2.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 0b7d:0000 0000ed60 +DGROUP 0b83:0000 0000ed60 @@ -24,30 +24,30 @@ DGROUP 0b7d:0000 0000ed60 Segment Class Group Address Size ======= ===== ===== ======= ==== -pcxtest2_TEXT CODE AUTO 0000:0000 00000327 +pcxtest2_TEXT CODE AUTO 0000:0000 0000032f _TEXT CODE AUTO 0000:0330 00008595 -bitmap_TEXT CODE AUTO 0000:88d0 00000605 +bitmap_TEXT CODE AUTO 0000:88d0 0000060d planar_TEXT CODE AUTO 0000:8ee0 00000275 -modex16_TEXT CODE AUTO 0000:9160 00002554 -16text_TEXT CODE AUTO 0000:b6c0 0000010d -FAR_DATA FAR_DATA AUTO 0b7c:000d 00000000 -_NULL BEGDATA DGROUP 0b7d:0000 00000020 -_AFTERNULL BEGDATA DGROUP 0b7f:0000 00000002 -CONST DATA DGROUP 0b7f:0002 00000076 -CONST2 DATA DGROUP 0b86:0008 00000132 -_DATA DATA DGROUP 0b9a:0000 0000032a -XIB DATA DGROUP 0bcc:000a 00000000 -XI DATA DGROUP 0bcc:000a 0000002a -XIE DATA DGROUP 0bcf:0004 00000000 -YIB DATA DGROUP 0bcf:0004 00000000 -YI DATA DGROUP 0bcf:0004 0000000c -YIE DATA DGROUP 0bd0:0000 00000000 -STRINGS DATA DGROUP 0bd0:0000 00000000 -DATA DATA DGROUP 0bd0:0000 00000000 -_emu_init_start EMU DGROUP 0bd0:0000 00000000 -_emu_init_end EMU DGROUP 0bd0:0000 00000000 -_BSS BSS DGROUP 0bd0:0000 00000d70 -STACK STACK DGROUP 0ca7:0000 0000dac0 +modex16_TEXT CODE AUTO 0000:9160 000025bc +16text_TEXT CODE AUTO 0000:b720 0000010d +FAR_DATA FAR_DATA AUTO 0b82:000d 00000000 +_NULL BEGDATA DGROUP 0b83:0000 00000020 +_AFTERNULL BEGDATA DGROUP 0b85:0000 00000002 +CONST DATA DGROUP 0b85:0002 00000076 +CONST2 DATA DGROUP 0b8c:0008 00000132 +_DATA DATA DGROUP 0ba0:0000 0000032a +XIB DATA DGROUP 0bd2:000a 00000000 +XI DATA DGROUP 0bd2:000a 0000002a +XIE DATA DGROUP 0bd5:0004 00000000 +YIB DATA DGROUP 0bd5:0004 00000000 +YI DATA DGROUP 0bd5:0004 0000000c +YIE DATA DGROUP 0bd6:0000 00000000 +STRINGS DATA DGROUP 0bd6:0000 00000000 +DATA DATA DGROUP 0bd6:0000 00000000 +_emu_init_start EMU DGROUP 0bd6:0000 00000000 +_emu_init_end EMU DGROUP 0bd6:0000 00000000 +_BSS BSS DGROUP 0bd6:0000 00000d70 +STACK STACK DGROUP 0cad:0000 0000dac0 +----------------+ @@ -61,63 +61,63 @@ Address Symbol ======= ====== Module: pcxtest2.o(/dos/z/16/src/pcxtest2.c) -0000:00b8 main_ -0b7d:0530+ _gvar +0000:00c0 main_ +0b83:0530+ _gvar Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 0000:0335 __STK 0000:0355* __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -0000:8c0e bitmapLoadPcx_ -0000:8d6a* bitmapLoadPcxTiles_ +0000:8c16 bitmapLoadPcx_ +0000:8d72* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) 0000:8ee0 planar_buf_from_bitmap_ 0000:9000+ planar_buf_alloc_ 0000:90fa* planar_buf_free_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -0000:9354 VGAmodeX_ -0000:93e6+ vgaGetMode_ -0000:941c+ modexEnter_ -0000:956a* modexLeave_ -0000:9582+ modexsetBaseXMode_ -0000:95d4 modexDefaultPage_ -0000:9686* modexNextPage_ -0000:9752* modexNextPageFlexibleSize_ -0000:9820 modexShowPage_ -0000:991c* modexPanPage_ -0000:996c* modexSelectPlane_ -0000:9990 modexClearRegion_ -0000:9aa8 oldDrawBmp_ -0000:9c0e* CDrawBmp_ -0000:9d72 modexDrawBmp_ -0000:9dd8+ modexDrawBmpRegion_ -0000:9f42* modex_sparky4_DrawBmpRegion_ -0000:a0ac* modexDrawPlanarBuf_ -0000:a0ca modexDrawSprite_ -0000:a130+ modexDrawSpriteRegion_ -0000:a2a8 modexCopyPageRegion_ -0000:a412* modexFadeOn_ -0000:a442* modexFadeOff_ -0000:a470* modexFlashOn_ -0000:a49e* modexFlashOff_ -0000:a56e+ modexPalSave_ -0000:a5c4 modexNewPal_ -0000:a614* modexLoadPalFile_ -0000:a6f6* modexSavePalFile_ -0000:a76e* modexPalBlack_ -0000:a798* modexPalWhite_ -0000:a7c2+ modexPalUpdate_ -0000:ad32 modexPalUpdate1_ -0000:adac* modexPalUpdate0_ -0000:adf8+ chkcolor_ -0000:b110+ modexputPixel_ -0000:b19e* modexgetPixel_ -0000:b224* modexhlin_ -0000:b2a8* modexprint_ -0000:b442* modexprintbig_ -0000:b5be* pdump_ -0000:b61e* cls_ -0000:b68c+ modexWaitBorder_ -0b7d:0204 _VGA +0000:935c VGAmodeX_ +0000:93ee+ vgaGetMode_ +0000:9424+ modexEnter_ +0000:95d2* modexLeave_ +0000:95ea+ modexsetBaseXMode_ +0000:963c modexDefaultPage_ +0000:96ee* modexNextPage_ +0000:97ba* modexNextPageFlexibleSize_ +0000:9888 modexShowPage_ +0000:9984* modexPanPage_ +0000:99d4* modexSelectPlane_ +0000:99f8 modexClearRegion_ +0000:9b10 oldDrawBmp_ +0000:9c76* CDrawBmp_ +0000:9dda modexDrawBmp_ +0000:9e40+ modexDrawBmpRegion_ +0000:9faa* modex_sparky4_DrawBmpRegion_ +0000:a114* modexDrawPlanarBuf_ +0000:a132 modexDrawSprite_ +0000:a198+ modexDrawSpriteRegion_ +0000:a310 modexCopyPageRegion_ +0000:a47a* modexFadeOn_ +0000:a4aa* modexFadeOff_ +0000:a4d8* modexFlashOn_ +0000:a506* modexFlashOff_ +0000:a5d6+ modexPalSave_ +0000:a62c modexNewPal_ +0000:a67c* modexLoadPalFile_ +0000:a75e* modexSavePalFile_ +0000:a7d6* modexPalBlack_ +0000:a800* modexPalWhite_ +0000:a82a+ modexPalUpdate_ +0000:ad9a modexPalUpdate1_ +0000:ae14* modexPalUpdate0_ +0000:ae60+ chkcolor_ +0000:b178+ modexputPixel_ +0000:b206* modexgetPixel_ +0000:b28c* modexhlin_ +0000:b310* modexprint_ +0000:b4aa* modexprintbig_ +0000:b626* pdump_ +0000:b686* cls_ +0000:b6f4+ modexWaitBorder_ +0b83:0204 _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 0000:0379 __PIA 0000:0372* __PIS @@ -126,60 +126,60 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 0000:03a7 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -0b7d:0000* __nullarea -0b7d:0218* __ovlflag -0b7d:0219* __intno -0b7d:021a* __ovlvec +0b83:0000* __nullarea +0b83:0218* __ovlflag +0b83:0219* __intno +0b83:021a* __ovlvec 0000:03d6 _cstart_ 0000:04a9* _Not_Enough_Memory_ 0000:05db __exit_ 0000:05fa __do_exit_with_msg__ 0000:0657 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -0b7d:021e _fltused_ +0b83:021e _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 0000:0662 _big_code_ 0000:0662* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -0b7d:0220 __8087 -0b7d:0221 __real87 -0b7d:0222 __dos87emucall -0b7d:0224 __dos87real +0b83:0220 __8087 +0b83:0221 __real87 +0b83:0222 __dos87emucall +0b83:0224 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 0000:0662* __exit_with_msg_ 0000:0667 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -0b7d:0226 __curbrk -0b7d:022e __STACKLOW -0b7d:0230 __STACKTOP -0b7d:0232 __cbyte -0b7d:0234 __child -0b7d:0236 __no87 -0b7d:0243 ___FPE_handler -0b7d:0228 __psp -0b7d:0237 __get_ovl_stack -0b7d:023b __restore_ovl_stack -0b7d:023f __close_ovl_file -0b7d:0247 __LpCmdLine -0b7d:024b __LpPgmName -0b7d:022a __osmajor -0b7d:022b __osminor -0b7d:022c __osmode -0b7d:022d __HShift +0b83:0226 __curbrk +0b83:022e __STACKLOW +0b83:0230 __STACKTOP +0b83:0232 __cbyte +0b83:0234 __child +0b83:0236 __no87 +0b83:0243 ___FPE_handler +0b83:0228 __psp +0b83:0237 __get_ovl_stack +0b83:023b __restore_ovl_stack +0b83:023f __close_ovl_file +0b83:0247 __LpCmdLine +0b83:024b __LpPgmName +0b83:022a __osmajor +0b83:022b __osminor +0b83:022c __osmode +0b83:022d __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) 0000:0686 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 0000:09fd __doclose_ 0000:0b47 __shutdown_stream_ 0000:0b61 fclose_ -0b7d:0f68+ ___RmTmpFileFn +0b83:0f68+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 0000:0bcc+ _null_exit_rtn_ 0000:0bcc+ __null_int23_exit_ 0000:0bcd exit_ 0000:0bee+ _exit_ -0b7d:0250+ ___int23_exit -0b7d:0254 ___FPE_handler_exit +0b83:0250+ ___int23_exit +0b83:0254 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 0000:0d28 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) @@ -193,13 +193,13 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 0000:178b _fmalloc_ 0000:178b malloc_ -0b7d:0258+ ___fheap -0b7d:025a ___fheapRover -0b7d:025c ___LargestSizeB4Rover +0b83:0258+ ___fheap +0b83:025a ___fheapRover +0b83:025c ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -0b7d:025e ___iob -0b7d:0f6c ___OpenStreams -0b7d:0f70 ___ClosedStreams +0b83:025e ___iob +0b83:0f6c ___OpenStreams +0b83:0f70 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) 0000:1900 fprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) @@ -217,8 +217,8 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) 0000:1f2a __I4M 0000:1f2a __U4M Module: gfx.lib(/dos/z/16/src/lib/16text.c) -0000:b736* textInit_ -0b7d:0f74 _romFonts +0000:b796* textInit_ +0b83:0f74 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 0000:1f5c __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) @@ -229,7 +229,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 0000:2170 __FiniRtns 0000:2170* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -0b7d:03ca ___uselfn +0b83:03ca ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 0000:21d4 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) @@ -241,10 +241,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 0000:22c0 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 0000:2330 __EnterWVIDEO_ -0b7d:03ea+ ___WD_Present +0b83:03ea+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 0000:2354* __get_errno_ptr_ -0b7d:0f8c _errno +0b83:0f8c _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) 0000:235b __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) @@ -273,9 +273,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) 0000:29e5 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 0000:29ff* __set_commode_ -0b7d:03ec __commode +0b83:03ec __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -0b7d:03ee __fmode +0b83:03ee __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 0000:2a0b tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) @@ -296,9 +296,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 0000:333c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 0000:333f _nmalloc_ -0b7d:03f0 ___nheapbeg -0b7d:03f2 ___MiniHeapRover -0b7d:03f4 ___LargestSizeB4MiniHeapRover +0b83:03f0 ___nheapbeg +0b83:03f2 ___MiniHeapRover +0b83:03f4 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) 0000:3419* _heapgrow_ 0000:3419* _fheapgrow_ @@ -310,7 +310,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) 0000:36cd __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 0000:36d7 _nfree_ -0b7d:0f8e+ ___MiniHeapFreeRover +0b83:0f8e+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) 0000:37d2 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) @@ -322,27 +322,27 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 0000:3a81 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -0b7d:0f90 ____Argv -0b7d:0f94 ____Argc +0b83:0f90 ____Argv +0b83:0f94 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -0b7d:03f6 __amblksiz +0b83:03f6 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -0b7d:04fa __Start_XI -0b7d:0524 __End_XI -0b7d:0524 __Start_YI -0b7d:0530 __End_YI +0b83:04fa __Start_XI +0b83:0524 __End_XI +0b83:0524 __Start_YI +0b83:0530 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -0b7d:03f8 ___EFG_printf -0b7d:03fc ___EFG_scanf +0b83:03f8 ___EFG_printf +0b83:03fc ___EFG_scanf Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) 0000:4c05 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) 0000:4dd7 __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -0b7d:0400 __8087cw +0b83:0400 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -0b7d:0402 ___Save8087 -0b7d:0406 ___Rest8087 +0b83:0402 ___Save8087 +0b83:0406 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 0000:4df7 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -356,9 +356,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 0000:4f0c __GetIOMode_ 0000:4f41 __SetIOMode_nogrow_ -0b7d:041e ___NFiles -0b7d:0420 ___init_mode -0b7d:0448 ___io_mode +0b83:041e ___NFiles +0b83:0420 ___init_mode +0b83:0448 ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) 0000:4f76 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) @@ -371,15 +371,15 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 0000:5170 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 0000:518c* __get_doserrno_ptr_ -0b7d:0f98 __doserrno +0b83:0f98 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -0b7d:044c ___umaskval +0b83:044c ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 0000:5193 _dos_creat_ 0000:51b7* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 0000:51d8* _heapenable_ -0b7d:044e ___heap_enabled +0b83:044e ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) 0000:51e9 __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) @@ -395,10 +395,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) 0000:58ab strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -0b7d:0450 ___IsDBCS +0b83:0450 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 0000:58e0* _ismbblead_ -0b7d:0f9a ___MBCSIsTable +0b83:0f9a ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) 0000:58fc ulltoa_ 0000:5a39* lltoa_ @@ -446,10 +446,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 0000:7e90* sbrk_ 0000:7ea0 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -0b7d:0072 ___Alphabet +0b83:0072 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 0000:7f46 __mbinit_ -0b7d:04f6+ ___MBCodePage +0b83:04f6+ ___MBCodePage Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) 0000:8021 __LDClass_ 0000:80a7* _FLClass_ @@ -457,7 +457,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) 0000:80bc __I4D 0000:810b* __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -0b7d:0098 __IsTable +0b83:0098 __IsTable Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) 0000:8190 __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) @@ -465,16 +465,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) 0000:8264 __set_ERANGE_ 0000:8270* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -0b7d:019a* ___f_infinity -0b7d:019e* ___f_posqnan -0b7d:01a2 ___d_infinity -0b7d:01aa ___d_posqnan -0b7d:01b2* ___ld_infinity -0b7d:01ba* ___ld_posqnan +0b83:019a* ___f_infinity +0b83:019e* ___f_posqnan +0b83:01a2 ___d_infinity +0b83:01aa ___d_posqnan +0b83:01b2* ___ld_infinity +0b83:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -0b7d:01c2 __HugeValue +0b83:01c2 __HugeValue Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -0b7d:04f8 ___FPE_int +0b83:04f8 ___FPE_int Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) 0000:828a* _msize_ 0000:82a5 _fmsize_ @@ -505,6 +505,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 0001a530 (107824.) +Memory size: 0001a590 (107920.) Entry point address: 0000:03d6 Link time: 00:00.00 diff --git a/scroll.exe b/scroll.exe index 87ea71220fd118cc7d18110b4e7e9639d2cec0e7..6f7c5555f64bdb49c6bc5c38d3586d6de0b3ddb2 100755 GIT binary patch delta 54041 zcmW)ncQo7I|Ht3ikr1(B6SHcD+N)+zrACWVd)6)qx+G>rP_33$r_xOut(}&jR&}7` zgHWTY2%4&NTbCLyicS4~@o60fgMlj| za4%5+^A`2U;1Lf$B_RkzASUYW3#@{=^Swrpzzonb00Q3fljB2AMy3Q1_<_0^=J*Sg ztCT}=o_S}D@CJmpP%z)zV4u060g#%Yl+x0h7##;qa1Jo`=7y(4M&>{TLW1J`aiWlj z5D|u`^hx7%o%W;v-GoqHGT?JOw2SOGiuae5`$GPqh>uSS?T*N_o64~8_>+Rz_DaXm1%Jjet$PUswO~5KP~=rDxtthDLm#yoR=x! zmRpC2O4LqCoTtE=+*4RAwscfuQcu;515*1u^mgtgMy506fRoBcE0yvRGjAjT!JtEY zum>a?dKgCK!-Wvm0=C#CzDTX;l$eG%ur2W&2bcV+mjc=Y-qnEMp<1wHO0SZ=ZK*il z@d)jkM+<)&sDuH}1pN43KE7GY3qVT~RM;+wHcETl%tY%CtX6h_g!tVh<8&u5U6`N8 zB0(1I1oQX<19MfLLV>P2oDr5H)ZnGrJqDRliQ#GD;42tm0aTJGl3kmR3xF5 z)uBb}D;g?k=sKn2dp8HDWMkQ~CH%2!f-ntdAe{d8z}~hMFu=4%n_zYq95hQS;a_^{ z8T**+3Pod8&ivxaZP4|6N!>1{XdLdlsQg*J$-li(C7Oj>?skBrJebIM-94$>l@X#i zV(UZ7v*C+dH!CNg{*)5pO?u|VxJOFk87pSL?!GaI1#RUZ@JyLF$Y_fVE*-iVZp=5) zsSA{sg%^!?(IJv*l_m8smavLOq(*yzcubA`p6F9HN;~wRnpW;-#kL3CFHTW$HM~a8E)5X7x$6reLM^Gn15R-6G-rX{N$3Zsn6c`eQ49Beryv zZXIrKsCYg$U1ET4o#0Z%)TV9H!%Ljum-aa(y`=ksyO?rr8BC=6`OKkv(sl@IW{sr63e8s9CPIe6m3 z_j9Jirds)?(xX#~G#x1Q2m7|d6-uZ~y+i{2N;CQPkg?RAp5D4_Yd`lU=}Ty{mdjcdeV9)9@etes$^u_p^k>kSODxb8t==KLS zeT3KPXFaVA01H2H(1KlS-S7lrN1@+nf28VEH| zx@QX$mlQy^r022AV7Y$+RFYgT02mE<7I8 z)Q*02v@P;Q`SNPJe#0ALxCJkMY~u{9##%FIeN3#}`$0e@dPMN}7L;WMP^vjE!mO1!Lw|~`7qgq z64@`bYv_;h$T0T@9&OqP4v?F}Q$FVG2Qt?nP>^%k2}ngoC3k4vz*^+~(jOUi{A|NRwrSj<1C@s9j#-;C0 zWu3hIquz1#3(bU10bAeaGAKhhJI?SznLp%{=#!_{k6o|Ca|aX}TSb#B8NxZ!ebACA zYe?ozUw+~A@Zzt>VgoC`9t9tEGi^uT1*K)3*b#Z1Ro~>Bp#Jslhkd)SN-0p=5=sNOJ&dKS% zBp081e53Iey344phy20?wLy*E{g3#D{Vij}?v>@+fQJm!{e7;e8dtfWiZwX1EC{~8 zjD4+D>RR6@{^(shMPrn1xW|I_b8o22cG{msncw&5@|V4=3lW65<##Oxc*ilRQ{mcv zr;cUj$b>2fg#oWwN0k+{K<=Wi9hrD#)SQ&GGT1(>D=g1%b#Xo1viVoMrK?3aKbJ*5 z&P)f2R{A}>RWH_icASjb3=Z<&2y`2y^}o1k_~o=9QOCPFpn5W6vE`S?!59NW3qj>; zXR0^jg+4P_76hTp%+1A%n}XseR<`zcEY5FjGS>mlEy-74&Tb`h2gJDy3;_I?$m7v5 zBp`(!85$p-6t1zCYMvCAn1r_i_|!660UTxjbYz5eV*<-qK{GaW56SI&C?r-ay3J z7w;SQ!Sad%jq1`?AAio-jrmygnnteEnoWB}^Y~9=6Y)?!xMxMa*hi#El34VgEym19 zptA;6eIricon5f~VTouwv<%D8;)v@rpnjQE-SDd$A(90OsmQ+7&5u z0Oj<8*@BL6QXhEOXI=|b_7Ww7koGVQ{q=aF>53P3~ zL1_nCG9%_ED~i?b>fZM7;5U8IJJK}06Rz&wDm?O4AL*#m@>kY!t`)>)(B@c!hWBNw|E?@1 z?NY0_lOI114+YxI`=1qyR&{>X(QB=b!JDgCH88=*LODd@Bs2xGo~A!yozVqW5aZ80 z$$K?!)2Jd~M{#XrMIVL|V&py(E|xM}Y4t^j5V_F}8B|oR^dJqch0~V21i3esVZ8m+ z0D0g-H}id@9PkH?C)j;kKDEIIT5~ zNmUsJrB-y_I3nkD5)4n?L0W33;w{nTM3VgxJ9g-NnQF>kv`uJLJb(RW`A9 z6iD1c60Kx4^=Qw*+)c<+Tgzo-vIjpx|QGA&o1AC;Qmye*i1};X=cKSlv_VbClAj4#l&p zjL6w0#+*dXTDs2{c|XP9LCpo1TH<~ls+R*jseqUN6^M-SF`Hu;Pj9Wbe}N?;kHo-& zc|NlX*X+>&XO(8@fRWEs^ZA_p;Gl75d25s``GWa4BfdKq zy}?}p&enL-*6s@?$4l9X_j_JMUO7ru?m=7QX9_{GapZXb(lukZ_91mmuG|NQkOKN zW;So0InfSJX^LFhqvy2O-ULARhRlg|QD>bxpfm=e%RDdOwsm_$=I+obwY*KUnk|{{ z>?p7X1y34U9NP(eHNagQ+2KgJA_H{WPh>2f<@Zah-oBoH)@tHDaI5F+ol~DL^SkF? z2N1RAk*{H0y;>CQwbHQ$a&Y8)`Fz_njA`Od_nLh0v}i}ZAf0^3Gl|pE8*qwq-bizc zTQsgekcNIrlUiRO<9ZTR`k=$RGcTe7#B31NXBNr)mR&qnB_5niu6%d)ahfh{M^sy6 zQv2t258e@1CMHA4dvkj*2GeWn?|)Lpw-p{8YKsuDq6ji}HW)4&?T5M+Xn=q+<)C*= z6lnv{NXXcmm&q3Z>`5u{fEq6xua*H`A*1BmBIUgLls%%S9TEXGPb~MYqgX~J;GG;A z9!XiWIBDe&*gCN-xUBqs3^lE|s*lj#7kdN?!;yAzvqG8J&H zlN1Lfn8Z(M97zU<`fUaf{Sdt|cXC3yxLuq#8E5tkY|1t{k(%g3G#&#RMH*h-x1|f5 zx$bcK=Ji0kz+E5!@CS|p{1!jJ7hqFd5+i-$0!aQ*lj?vcQx!Pmp9Z*n+yY#I1M&e` zK%6mf`(R$x?Xc1FA!vmoQ+G#5=p5@R79#+~Z&VPq z6H0p_%%{XL@NIa&i@m+<=Z8>CR|p93pFZmMUfXG$FnNIU{9FW}G|`u(Qcrn6B@t99 zDc9gmvQoqA^TWfkw9rr0wc`GoJWo=0s99FW;kw%G9hIVrH$Q{*ExpoFq9JHz- zJv!noB*TJ=#;SetEkr@VYVY=>P|?ROzTkT=D^c=dkJWu^Lg?)pM|Z#Tl|c?Ho*{Gr zbp~p7XUA6;Aju2M)9dvr1)^e8}JBVKId=6acvgr}el zq}pb+*#`df4pFhJMnWH{dltrLN`WoPCM9H4S~j^B2n!PeC@kVPM&~#zIF2i7X-eBv zk%XiwijcGr{+G*SaNeMIWr;XB(Ec(y?y|@w&dRo)jY0}Wby2CxCej#HEazk^qrz}(2O3Wyo z-#-?ziahP?35}O}AN%}%c-cGYeU!I}Qbf#dFG7wf3}TcT>+0a@O!Gr<^3GbnaKN;y z4-*Gkb7P9YbDq>~O3ZpNt+ijYnWzTi3_}kb?MHW0c6Rl7izZ%T^3V&5TeFLEyRvYk z^LFnde>eQNxRL=hh>XTwlW$3Mo(bJmU-KyZ;g{Px4ZHqhom%`8xoS-rUr7C1J8kUC zI4tE@8gav$Ii$i){%-s_jH9-zB;10Ds2cTsOAyL`_T*9*tgO7L+6PWzMtzrq1%ZL1aQTlpJDQ+h_N<%9b@z0CGSg>F!Wagv)l( z+_;F2Y;}*I7BG5>LwSa+3&B4N^&}`rK4qe8l$4c!mN{MZMLgHL=6cd8A$=5A9XOt+ zv`9lB<;X60y%{jj46+$0zpuV7);!Il{ldCg8aY|Ej9JPCsq4KmCir1n8>ii!sxmoiZ}k3^Pj&4-F+XojT(97vgvyNG*gI zmpn9>MjZJ#^zlo@aMZ}~Byx6x;s552qRzAU$dA!jYnxmK+e$M%86`>4<#X-_860GT z$7f+oxT`AVA_fE2eo~C``G|>iPphF72Z??ne3Wx~RpR_vuL!XGy#!}Vp7{zeA&M%8 z-&DBT92@zp?;HYq6Y@dZ#?;yd^n&<~0JQ;guwb<88yKKF!soM28^3{uz;>RkzG($3 z&#js*fX{<~0VY(U*~Di#@=XfNv41&*3lrw?Df|H@Od{)g?fjc3Q0LZp%$iNL_|4ct z=*)9W)Rs#YcdmbK|uC^0KB;A09YyD5z)z*I2tm7qlU2ELgygs&Ci_- zQ|-DKPNqiL#w{5>pG*6%jar6%mKC34el!R2?oKcrhNy9~&4I3}O&}ZXY0Q?8DtI5| z4{_pB~l0eQOzn*hje;{pV>~Cw+7n?LU|>WEuRYAIWzvH+6g5Vt2YXz#6NY6>ZyzOy^FN zumqX^1%?5y+GHo&Z5U;tN87pGixg@?vb#vf3tE?V&QcCIJ#!pK8}bx71^4b;(Tz3Y z3aZA8H=l9HeCT8*#f!d+NwLnfwO(K3?Mxbu7T;FcWiJFRQ-3^O&{^he_i_v|Ef2lE z%a}y8EV5WT@`ql)^L}tzkU3o??EOtmJ73q&m9nJy=yS{(aC70zv|`LG#d*&5vI1%L zT=O(ufeG!mdP+(`Ha*Bpd{+;*y~%o$C9l1wobu4}%0CnKd7Ni@k(dA%E?>{Kl?WN( z@zX8E#}ZXT-wGmAa5=qFv-MBRgxrQWW0s}QX5KVy^clr5PvOBg zw9@GU=TDx6I9LR~oeH9KY)CVKcIe-}FK^YU)}KXafOS7hNJTCQzV;zO(M zB0pkG%zTwmmu1z;n?ha3GTAoZd`Ala4w=*Vq^TkxC~{G%2AK=Zw|U}N0K5mg4KlWk zbOmiNQniwMkS%u-?HrGtDmoCeYNz~4*swPNx5ga}6DTt$+fj@tN3{v-(sFJ&OkI)@ z-YadoW!V_6^;h6YBbgVHbG&?~e1X(>9$CCV-u%Ov;b8P1{;BCn`-kmGC6arS13b>x z1?sWCfdqP~P*zgxHj7_wBmSo5`;a}*8C@?>a24ttEyn{ZLbwTQeTSmgSi|2{6t_ID z$*$E|*=D0C3H%<;&H^!Kx2f;F&~(-=R_SF6F1M;5PLwLaCm99cH|4m5D=v9u+>2{6 zm`Vm{=>kd26B*P!_#9erUg-NM>ADh^bJ-DTDg{!{?4*6!%brxW;ZG37@^}X_CeV*| z4i|2D2)Zqf@Y83=Yi5Hf>`;;0HdYeOZug`g@F{lXrGdUsfe%tziK;#YLIt%C+yJK! zpL$((RnT3b0k#?DP?D-BH1uFtX?l4&__4#DOw$yHqS(iz1ipNRSeCMkdSQ2aq^a{U zK`W4GtC&86C8!u5nHbF{#o&{o@To~$a!Pn4F!Ue-#I+5De#yqCL`LtxBQx4%A|p~M z_(9zesoO8@9%$;wu}Mj4#}j2EQ@UIfV?q-n60CuD1Vcch)QirpbNxYyY#bh_(W=w+Ph4q5`ewp|C$|gviBOCe0mlaPxcRua!S_5vsBTLgk)Ld z^Zf6E!`Pv&HKb4f>P%Qon|6#=Ar&2-=7z3S6f>AiZ@F8ZM*6+AJ zFaJ~tRc1vhUfOTm0-zkeW+%-)(i0&-&!eIP)8fZ;VI4c6X`#pCdqcwrxmB6oF-hre zJ$AL95aU@r6AXa}wsAQ5HPm$7RV+rZD@M>_PkmDIrl6Rqvc8AmZRvDjyla0Y4g%xESl{@(QiY`qj^{cK@| zn2)lYzIN3=|KCn)J9s?8e!a;Nwr5znQ2AIuVv=!mcuc2k(#z0<$aJxcgj8p`q2Glf zCeA6cp2S@~L?(3+Av&v#M}KSIh_uXzF>b<}&y+KzPLeoe6mSta^u3cdQmgwR!Qk~} zgL5~Ni(}5oR9)${xI**s?h6w#eitrv(0DE~;-Ws8k{FRY`11OVoz$V;w3W4sp+hgV zO=U(kl5OURhz1{|r^N~Kf$O55A8O?lw#HLuqa9}BUN(Ic>0pA-qRi${ADotHK?wd9L+RZYZm>=l5a`SQw9c2U zhIar+0Pxzt)dWhtRu$^VT4n&RJiLtHtG*XMEo*KGN!i?2c^kchnj;4{ z5YHogY5Dv$zNJCRp$6M{mg-FdVHt(?u}3oq^R(QJ}sPW#jzn-q$WMG7Y8 zYancK7YXESK{Fxf;ij9*`}*x)Bc>iM3IPQx_jvq2 z@5hTrY=q_hI0QYb-;n1pml#;sJ(jQ`B6|QO^l8(Gcb>!pktlbZm_5YxOv0J>OX#ar zUa#~f^S$`5>M{5)vjEHFR=Ed*A1_BUA+F9+z}U}D(h;3DbBC*YgEqw)RO4j{iIUUu z#}Dr<*zD5r+mf#>xS7Mn<|bRNF{OwT4+obNW$$&mrSf0DzgI;psUlu2vyGSZ= zp`hit8HAIP@?2GoGtP3!J{x(mnG6_bU0M<4DW+IH_oYhIeC#wt!5gfam{oT%WZ z`el1G_J9~=hcq-q|MD!LDY<=Qm3ptDMvqILQ;*7M8j9cpxUxqY9*70GLtqeDsSLCi zC!KR=Z8Q~AJ)yTa!2l*BOa*PC!^s60p^!4d-WHH$+2USR93Bd=BwrZXD?>Plx8f4Y5_rNC)~3D3T;iF)MAF4IBLZ?o7ClzEj#!oXBli3*`m@(Y zpOXQ8pH!Wx`~lk6*Eu7H@(-4TTo}4H^c_Lzyw4C-6U9V5k;Dc`HH+JT;lZG;-sB`H zkihodahcA5&ywH(yI1!tZw87ug0HTM(N>tI04sf}~eGg(1c0wKV3G-5f4x=;3co~Vw6(^4$74nqS zJDzfM6OVdwaE63wk>5iVe4bP&W8hWcGPXcM@<^B>E#mJ!KZ`Ird46)5o-QajWPT-c zQVIsqhH4< z`BII-{5~y|O|y<*nD^X}2OIie!)n5yX6X{^^vroWUSKew+MPB(@=C^XIhD8&c-NxY z$G6jLnM;1@BV{uHa`6fWfksNk54h8OYnH5EBLVG)`^7gFpXcnvDBj(rEVAgk*I-)z zNhdEslDW3AyWGW#yXu7wd!`aKwgA9F-;&muw!v5c7x=%-608BPeKC98Oj0V@<(iF> z|Dv8=xh1Z<=WN{l&ZfP;mIW1Y0@=9hbKeBLNLjdDvo124K7?&Dm=9tYc{MPPC-Wu3 z9|bui{O0Btiv30_E(=nA$uz%GHq+_Mp?XYMFYfN(afKJfEj;Wz#&3Sn3i{$RA&V36 zx*hmB!r|y+9l>5G@s@MzEtaDYEqhCxBl`;1^-RFTuXU1fewV2U=f}X|wHETmaMJ)Q%0x#+onVoj*u(pHFgU^!zoHwsLmv-yay3~ujRyZ#)xf=r) z?yQ_(z5kKz?bvwJ#nDYN^`fP}M~S<)T}Y7=!D)H>kB;AiyW+!{$Qyhbp~Kf~inS@- zA1a)GFS`}=xVwmwPTS;aZIt=l5^*6iCN&1%?n2p{_^mP^>+WLh&nYAzOA>+TNZGFYk>R5s!HAN zDSv+dk=--4rcn@3?)VX9Q?*`0J-@`MsBcGnrp$jFtA36pB!NH)WmJ7}{aO1bmPXk> zYOm88dpw5>sQZB9Danq)KT24U`_Mmajd_$f6!SDUjT)ij+A{(HKQ8OhSEWR|RPbZv z4!gz=8`vgXJJfvo(McCH6GdL-2XneOI_+DIAtQ*zVomptcA(e75egmaS6n>W!)xsR z_*s78r<^O~v5JExPT2C=)g1^jSItZJhTI)nDjN1k`dpkgQOQlk{Zm&x85LkW3m4w! zq?D%>8HS9Wh@3z)v7$xZSP1OT$=EX!fW5d~cEUgrF;*VmuWp%FR$)LuC9rb!%3VU# z#p=q24YVi$F1q#xKh>Wt>Xd^bw56OM6Vp8B3T=@Q*s92A4APG9rTSk*GX^>r=wi|K zjOgdV@)C91azYYDV!%W*;4HsnR4q;lMskS@6Hk%VFRB?1gsR7M#=uKE5I|DR|LKFAji3->3Gj;{PP=k zmc&QAY-Adq$i0C0Ts~;CSFO}pJ?4BLa3{tz$I03l^Rs|N^{qXk*j1hoL7YdPSZ9-L zfvU2g(oZlY4L6x(S%~XPT;9ML1`2yt3*FwoRur@@EdPS1>9dQrk?k(3>oB$#Yz`t> zQsVh*itkCwF$@n>=ga${4F{)C1zr4f@CJcbFzto>mjxq&>`O{Kk0TH(T!kHKy?>BiS*qGHaih$KyP=@99vlaRJV+ZLw}^q ze(2U8&szD)<->YtqZiIT%TcR4LzR`_fi(|Uui4}TW$zoteAGn^xMyU7`b2zqta7RU z?)pTOo4m3Yx-{y;yF~kNdNb?$rPfW_hVC}#@!kT#8Ox2E?wifaRntWApF7isLZOF~A>f4#>_88ilW+@VfcgnlqQYtFwU{Gd! z&0|nnWIVoV?!vVX3Lsz1ev+Mwv8m4{D|cbER8CS=|M=)Hd-cNFoeSvg4I8!h1tt7Y zt^QI2L1195fBj^mjTu%e>gl0lT>o3vYw6U5k})X{ zZC+Y$?P@w2z1(8?Z}`UJ*hI)Ec1&rstlLNvBwV&? zqz7V^DHy9z#GONLKs;Aqi>JXAci+P(u6I%_*=bhr8aBxSTAj97XWQipZ)H1v1yh9J zize{)QB!+UiS~>N_sUaOg6i9!Id)~hVK|iD6!<8#y@Ej`(^ra8?V!0d^I1BiA+5=Q zj3vBn8vO(QsRT~@B3+VVp0?0hnqY9j{p5r!7PiMX0PRv;#)$SgXC<4Nc1bc)u3a%y3euk2 zMLr-Y zW*^ew-)T?H%~j3t0Z0%b`#GYiYjV=F3%g-DL4fj{YJW@%28kX1ZYX{0iX^RI6B8D2Wp?RV;)Rjbr_X{>>I?Yg(sbG8|u9 zd>2qLn!2M_P4v3(Wn(YiB&C;F_!C-=1#vyNGU7(xeh*M=?6S5-D;vHC>xG?3IH#DuD` z`6PT;Xk2J=q+{%kl3ikybeQs;{=sP<_5SG=oAjnB?;jN{N+6FEA?nZ(p_!Z)DpLqS zoj=)?+Kv?UO$Zxul#;=$tS%JTto*L>OrSs1!LAJfKXAX*HpVKOpC*H8Igp*+9s5e3 zC1sx=yQ@IIxyGlL6c}Xy9hD_F|2+^l_rFzAOobea*%0#HT9g1R@@3;m7%Mn1i_D*4 zY}n%{&|@|iWPpK|PTG6i{vl6dXU?8bkAyu)iWPc|d|R-_uGBSfIa%hx&^@n&!s2_Z zlBp(L#YSoc@#!w?g_#(Qs~o{1jEZW|Wb~i_R-nfpytR*+mEz4D@P;6jJ_&~TN{quu znBgP;7vZKaxVl)cE~HEfzbgsqy}$7>SK@Q9{JnmpBodEwQLzd2jWcpYUg_)ePN2Z7 z>RG8#_9FNi-BNhRr(RU`E6X!K0_~bTv(0Frgv)U-6~% zbOT;xWsY7xbAxWHlk2Rk+WCR0>Zw#3dNmZ7yMm0klI6x%`xK#Tr^Gv^mI_}_1;WQS zLgO`KGfq~&T}J`L5aVM=zWYIMiihoC=Plr%t-F)%{4v~PW4YKch^YN1_}9Y$h|?So zT=CnpUX=$_`(xE!4!sieO$ghB8UGNG859Ed-J&f^IB$$1Z~H+wRm+2rVFM77jlqI| zL)$slc^`B55htDQf-j{$r~?(IY66r*@CNFs##DP5*jy@Po-(W!mC# zet7%|f_PQr?$yYHS=Yk#9Xq6Udoow4JS!ctm6c?{bG7}Wv@MEl!o*9i?WA)rLg$&2 z8HAkOV&*5OFD`P>dibFQ+duiruE@>*{(aUM7@S>L%&IjL$#uJ18PvgGdixeOi=8>% z+$L6h^V7zz&g~86iD7U(GmP3UoT);ZuQz`r{q_-xekJ=Ea9g|P$_wQ`_;Yi;P__%` zJSZ#f?a?w!W)_6PsnBOJv}860-`Zqt71VBufU+4Ao3v$6q%Zz{w}(u^9ZMO7i%9== z_#XXi^=q4X13j4zOwtxmexn(NE73VIO;6iY+TOEEV4pFDn(-<6IjaPVwDs<@PAeII z64yZz=N`D;&%uo>P+n+_QTsJ=yj2xszZlt`L4EnBzo)>yn456A*#e=M@256=ISu+O z14n}0TQL+!V>;_r%&Ma)e*c}(537d*)5H=BrV=cVk@i0*j6{+JR*@%W3t(Dx2oMlv z@!;B*qH#M)5T~Qy!aH%LgF_(PEjZZf)|9bjVk*}1PAJT4^%!|?%qHjR;ya*WT5k^z z#n~;fq>xfkmMM*lDIp;aHC>Q|5O7FBa}F*3Oam-49=FUSa*v$Dtuwq%kz2tYov88j zh~0zudn!|8+j_8vOL9`}?xH^5GL5YN!vnpj&Yw(2AQ9|Ed9kPtGD>jqjwsAzk}Qfs z&MYGMNJ;k-i`%=PmabNayxuU$%bUHtMcIjoT)R06apv~#78x#S>Y2U4eaF(2j9}gF zA~F9xH0;X#nW^5EcGi9KI#;&t^05fXOPQi#H;w3Tm1NkKLbvn&RWZkV1ZR7pMZfl2 zqDZR($Bhr2JG9C9D0&&2eW8cN#p?2^)|OyA>Z>quM!6aC4^_Yr4Hn_N+K;WeN4ZQs zx#sB;39tkErkTW#K4_Ls0N(o&(pocOr2}4u%xtl$lPnSPD4n8a>-8|~Pjy`sP z&VQnxb1?w^0CNh{|`8)fY@}+xvCVaX!>5A|lCRSq~hX zR90xllFik)MdEY*T_17DLrboBo#OgO5$3BgI!I5qf>3Ho-lNBn;IOE1IV+zwG{U_p zQWgNpWr#y?=O;$}oBC863lA>to1 z@uX*W07twX9RQF(H0v-zgz%3psXRx41RFag&YnhHYds+We?MJPL9+u~)!~JruEeI+Ps$v)KyeW$c>pB``EC{?B3}HCcKF3Ic^0OPk&> zaJaTV$4)2HJu)=qwRRdMF;Yj$#)_Aibeam^CtyCFx-Ee}vMg&H3oxDvVW*A1bW&+U z*}WsZH@%1T)~GAEDukISXk3H#0mvv98_wICtn&0epQXU!Q}ZTTUc64VC_ zKiOG9%AZlCA^k4b*=-SOD?X>i@j=(&@Y@-iKM#3&h$*InQz>$0fg-^sF(-?vnogQ> z7A{O-$?qXrxhmJ*cRTLu`;^9MmDW6F#Q7CINs}Fv40%1vc}_SDhbs!KF^xtYqu#3o z+!#S2McSvoDGwrR(WWCK=Cm;h7eLdu;`@x=$~WhV>8|gJPTbjk6yi?@Mjg8szG#_6m?!)!-|3_Vr;-8@36G^*WGW4%T5Da3x{QGo>g1QpTf zM2+DE=n|P^-9^oOKLX-z8LnE3D0eK|>a)+0dz>T0V3ML}zGmnG^h_*53X zSN&z{6CjC;e1AS(Ja(`>y7-f^QKHhjye3MnQs7x!%cq=xF(y|dw`ky&3>%V`=>56n zBew1i_h&AK#yygw=*Ad4s&cXK?qwHqy}iO@^y^a${z2W*lOH7TN=so-o1e4J^JyKk z>X_!n;9RUq2cux?+Pm5Zqd9h!eu&qLl zXbq9ci6qKlNItz%er~l;Ddqv%1jVO3Y2i~rbIim7d;}Y^SASYpjBW@K3FGG0p0?a= z^-EvOgspNaEt5=FId{$o-aEg{d2+@ENAdBdbt`C_kVH^I^WD-UKt+g594WfBbmS|9 zbg5;6I6h7qa=lc07O?qa+TvaWlU%RPl4uW|4 z7HPDJ*R-oXp5Z}Y?M=05uJF)lwm!H*Ev2s}44s#bve!}NF>7-7+CFHMzWuD3Rh%EI zeTQQ+5Ps^|w^9(|H1lkZnAv(VKP^KITz>hR*2rmQZLXBr{PfzEwWfaHCmnqJ^_-Ct zIS}A@Xj!d#kXy8VT12on?_xCC<)>3vY0h49kw;x|VL$wj?a6bws2htLA!m;?;d6hh zy8U2;7DB+eef|CwA@#(S0{s!zInb@qg7ZB{ocPJ*T$Ezv{=Lu2k{wS_ zX87fa6}=Vq6_wNqZAn!a<;|$G)jAYNH;}l6YAA1Kp>l0+yX5OXK#Jz6zxt$>XTLz) zs7+Gu)XKV`#kwzH7Z%*^krNUs$yvVMUayI?0ppk3FKHpaIrNX@V);^hnJY?HT$-=I zjIJDZ7V;yS3fK;>RfsZ9V_Kej9L+R{7n8mO_~-PCv5+?;GfQ)ELR8Tkt=ECp>&hnp zKx#}B++bw0aDMy-P;)L>f51lRdD#V`6lI}`3;k4qJ^xL;1U|F|#eotqEu9@eQWt_Y zn0biF=yIBfLbl!5T$H|z_C5v98Vm#K_bzQRFG2Q9b917Uet^YfEJ3uNAp(qhw4A0S=->F&7|9 z()Cpu63J!Yt4YM~yPMah64$R`vpfB=oZZi2S>AT%6iTL6-L;^ypD%eIT=>1@lcejt zvv6%Phs?yEHr8>J}F%Z_&rfMS|X>B zte+H82Uzjv`RN-l2%!34_uk$uIFJhKLj=H!W0y5!E{@>m{!~314hLUXV@NLugY;HG zw9aRTkzfjZ6Pgw`O*h^^BOau-R1>EPKW7fLioUe((kN}V*-dq#c&i0)g~ui}O9e*@ zdRD$Kw+-o-z`9Ifovff`d0=~q?u2UF-sJhTuzDog*GN##-Ksb$N?##r@H0A|$AeZY z@Hr(Mqs4pxFrNOaH6Ga|{5G4opEjzE-Mr+_$LxfQ)YXgTCy2LWjr4Z2zd{%*bNgQh z02k%~1S4yT5>lpp^Bd3A1eXL~RO81zW08EJ2M-uN)s;0s^F+NLW& zMw(e2WESve><^!vGX_AqbB0C9f5sGAy(wdbmu1LgQd^ig+pYKj(7n<$SPyEsm&f(ZL_Or8(rNg*jVMf_j-p{ z*ihYG^&cECy$wG1DPL0ZKLRh2@>!jidlmR6mi8)0+n{c0k^Kc2LZi=x_`OTKLEZBI zD0xA6l6j74!Ze5t>eU?1nh(3C3u^dm0(w$wH1yxDQ`zWi7sc*fPV>1S9TKOCQ-6T6 zt3w9=`O-_{BING60C`=5L9-X}e#aM~2SOJaDEioTgC-&DtdKrqnNOak!kM^+9V#LG3M zY9(r1ueU*RtpN9=IzjAs64_ou?1Z?`MPNn7Ev*ehsA36!dPW7b)6oGpmR7&Ta0*k1*Fw*sKq>>b0-Dvt z>Eoiv=OEYT%*kE8O`+a6WE8yIa8%DnYqWuX;H^^8R7qdQdKOUVrbk%WX6)jBJt-#h z+op>>n?Up1Pntw)azH4uzWtKTWXoi0#6?@oDd2}$uQp(=pH zl6fz0mjquH!sD&dXGAGkXZT=K6Y?JF8R%`RsY7o;9JxX(ojg}dhY7GCepC!wJ_el> zuuRm$XMN+-cE85J_G+H0C2yjV?zGhsiDX30ZK2rtpOeU^=q76YasC9o=T0RW|3|$I zO}lE>s=d=;Bc$~nJ^$3BHbmSh?KYiDzE{9i~-Jg zQPiIj1|bO2pmbw^N;fKQ3>1`-+ROiN@8>?xx#xV(yBKY{qmA=(1l0sq+u0O7*9Zje z{(bF9G%T376BTXy)?M6X-O)MwlX_M2f8aCx?)*giz-NY$Md=WhttYb3~7J<(EHgm?1kk5F=6 zU9!14(P6Wxm~D%;Dwun9ZO!3C$=??*%Op+)$-q6=SodkMl&rL=6kD#VMgTbTs+&sQ zF6YM1Ocp$iH|skEV^*0kbY!8wqc7za4NhQo@>EX_qtn zX7UzQY-V%K$F#;MCqAc^LPOn*ZUkThqFAXb{5!Bk-gouBM(1)lQdywB@;=zXGhq&Z zX1knUPK1?&t;P}4A7DfzA&`-hMA>4+u@afK$F{7ob4GLRf;O~SBP(z%x$ll&3A}@4 zz-5WBP;&I{-u;jpVn*6Bache`X%u{=5E2P(zvTkaWFvq6G9|G3aMsA0uS;%=Z3B_q z1ywDWhw>AD$`IRs8731cCat;|pz(hv(;BLnwl|fK$Y4&bj$|(Et+q`6BlL+fjj}FU z81>&ri-S4G0}eQVGh~*m@tyBqx*$KVKqL-$RKG0@`ij*0hA1K|W9Qc???Kg{UHE}h zjur||Zvp*^Zd=Ovlb7{HG`eUYC%6X(`+=8Id6|`{%#^_F?t8VGiM6fN=m z&`jX56*9G_iOlaB$D-*hGBvuvw@-?CrW`#Q*mLa461@?m@$&^?dzZm|B&{k?ae!E2 zb-4Sux$7s~X8YgC@37k$ck{x0+r(rG{4&g+g0>R_2pL~ix#M^A4TlBXGU7zw_0Z?^ zJOGMwj>6(>DA{|R7OZS%I<*bY0t++tFbbB{v0d-Uzqox2&hXo$qeb2vOz&bKM;KI2 zoLJ`p=PhmMX~O#(7_}}ay*>4Sv9(RVSAXfm@XK-?^+yM+rX~wd{^!_?qeaMBCyz*U zd%X((RNCLjZE!2~8&1wE3?(%e$2`ZDvUd9X_$wel($(v<2`w2(Q|hV=^RT|X`2Ztz zZ#J8py{~bSaJQY1+rxMPV8F-B?v&}lwIWpv3z}l|r+M9JXRdqn!o%NEE&vHS)sFo& z4U$iRyo}XYzrnY-Q7}L0yc9ypa!BRK4_b$eD>kKu(Z_z zQT`w2f?!sRiTP5KhxyFb*fw;ZzZLlSsSY|yIk_A64D~v$;XC4MwriDrvi`0|iIFbn zQWLvHuLZVzk0<+$vyaL!@#3LV?RvjO^yDwWlm2sM=Mt>P_Zjn>baA1Xp!cBAS|wMq zwCFEJCyH{(gU81(I1X;;dxX?IkDL%fI%YL?CvSI$JBDSJYRXpWp;I}9?tY0+w?w+D zMq#m${+$zi(VG;L!u8B;E?oNEdPQ&G?4BaLJxD^N2oR1>oiLsO@n4Ani8rlaf*-4I z!rwJ!m|Z`(;#P)O1Obg6%;Cf<@#KfSU*`*swrzkp5Pw?AD>5h!lJXOfc?ACcl?PQ; zQGglm+O`Yr(*|3P%2l22USCw;OA;B(Hn<_vwAd!i(Zb36`D7KVXn9)le#z&ZoVefJ z!-s-E>emFtxFb}=#kfa}Ie+P&BAK;lZQ#30AOH8YI@-GGzB}sb7*^~#cL>?<)4A6` zQ8$X;K4(wIxaVH4b(i#?lbtr3o!O~VaYufG>Weavh4uNDotz~7*po^O(itU_SAYIl zadYAtJRv3#8sCi{Z~UR`ZVHnW+VAZ$zWnL^!h`}Oq$fOpvr?PSSD9Sw}4ntcTmF$q!!UO#~`=#AZe1wyOxtaVMu@Ur0kn zuR6u~1z}ly%mx3ZzF0nA4evfk!@ zLJvmi?CL=;)|6__Qirq*SM-l#%H(sji-G?%oF!Ji{NA?KJ?g-GSqAqa@)rGn!{^e* z0SAWTB#>d3F9XZDN$sN!hZd($!*)B03+GD2JYInUI~M{++iiO7u%6Qr+0uQBtO@7S zP`iU>ylcC zv#TSLf!Foc1->^~3Jgu~*l7Hz!eOSkpRS*|rNAru7*h3P6C=H|Y&&0H?#>;YYUDGq zEEdKk|51zvh3@i(u4nfNGGqzW)Vf50Gj~_yVe&dM1FHjM#DLg|^;l#qR6$aA6yzYm zB?APXUbQ}uB!2sif1VZd)A2)F?|)Q;e=1PtrtO;dU>Gu0B|xG#$9fmDcQa8}vXHpz zY+hOPAWK`y^Lm`h4H2!o-yH9t){;OwOSju$c>h9hzb6AS(x6?d<6f8QAK2+Ll!u$* z|1()JWfe{C^d3STeNGTW4P3QBRco?C0XtJW6WeK1fFU?a{25MnV--M0VfSxy1JKZn z6LZQWVY_s*6$`_u0DoFPt<&9hd*|AN=vhZf!3l^YU%fu{vVVzDh&N?Y;w$&k3;cG&HsGYR;S$&u-KZK1)f*x7Y3 zH(I!4o*Uf7JF;_SgEbGYccAIb_G;~Z_V*ha0#z}{g;^*l2JlU0y}J5hr>vEo7Z-#b zPee5WFdGKL@sgYpxl6EY)I_zNyu+p2=ydn8(rc0X_};kL!v)1*BaE3Up1TIJf2S7&5%})dwvOf7^9=3M1;^wP~r;JzFJ@JfYg}yPS$@Rw$!gnk3X$Z=kK|z9%9j|XUl3Zcd0wMU&DGhrki9$B2+d+JEr=SKsGKoukaG_|- zg_6+eaC@C8S>n<0BWDb9i&<2BCFZO$}?qg0uW%L`$AYm z+{9q1Hy#o24*18QvnSqL@OI9l)2d7%G_ZznLO8i!AVT3ZX8$4ykA_J9d=M_{n0==o z`e*wXumjKspEwt8FG!gsR|=HL$5DzT3uf1>vv9Z7No|H-6RtG6St1iR;&BT7?lQ&^ zrx!kC4&T{m|5<15Z26eb?32RI75{Y;qt{U)-F|193eYWZmik~z6GiAJ=v56fH(vds zwx=bkxM`GozWvH!k~~VC&;9}qxtu$FZIACq_@EOovMZ+zq&1*RHWXmT!tdN1(cRH& zz75s|K@saCExf{l4~sEaoQh;F(6^BG@LJYWN9oqfnWFX!d8R8eeT2l{CA`6VMJ;T0 zn>uyU$-DD|75~p+*z>@%y)=TDa+Fso|tcA*EBb$BGs|yEf*r#P294f->+@t4*6`?(8`)#IU z24iH{AxX$!K8_D?DXY-C9hvtRt?oS<53>esHM88w)?B+etw)Ex(Hg@Fx*!dA0R?A{ zgqf5-IWDuxZL&}1jt;|eoIH-L0)VVTOai;!N>S=SsFyUHCMBw75(Q^{=~e*vL~6o~ ze>a@C)kbfkF)0?7+FHsv=Z1vboa4$_>3T?^&pkL{GT*l9E)-=zZU8Zl1^O5S(@>9e ztUnAtI4K-H%b&F7RF`pLf|vE3Vb!cXLwd=NN@Zovc8q2?65=i&odCx07wldKlz;#+ zUS`qV!rR^T_%l^6p4P74S5vJupC^ud9vQ^=6r9JzhN#Lq+pJmd%L93TQUekw%j71* z%1God#`z=B;6<@$sXYR*=CuWc~$lyHhI+hCJK)%hB$#>Wy`R6YV)SLE4km zxRS4iWqoVs{{h?l!aNU5Oyc%CYg|(0E=t<^Z<)T82(p&k)&N~;{aP@=cR=93rTqL? zNq1gLFQan&)+;{Ia|#0YuDAjOA7naBB(tF; z@!hxj2c+;b>(U_AB#khCQ?$)+qqXH*Zv(Brqv~qSey-HlnJYC`5GFI> z&*#~T2ld1Z-iy27$-2XGRWb6U6PpzK2h7Zvx!1aa2s#hl2sv`%FpU{IUGa)ryla^b zPzisG0rH1u`cK#ZF{@YMKpY}IQvU8E;Km8%@HCNg`I@f=$ilnlfAlR}T^39*J23%T z2==_XQloBjS+u1JZ9C2DRI_aBCZeU$LFd4%c8lceNNmFq#8r#Dj~O?frx# zMQEzsPYmbQzg++GZ39w1>>ru*_S%U^@xC8c6>Cu`oM&bP?ma*il{gKyb_4+6DtHx% zH9`ev95Z9(6ruLj7__L@Ku^V_->6Fp1@JHNA`H1Leb&%|zxazZk=6pfwMbJK$CTrgrn5xyMVD~=-Ioob)t&KA8f!+EyP zJMXQQrD>|>K*b}@q17$LzT1RGOkr|EbZ;zMmIO02NkqjKiglY%P}D_EWq`;zpk*?D zDhm5Yn!cR^6Wjs#reG4~psZ0BJ$XhR8!4CGdH70Lgr8g~JWg`v{g7H5!9$($_W1-# zGbzr4ltA{b)yhsgKe{2&j6__Xc$#ANozAgYk($kY^&CgEX2ctMsO~?8$agxlaR8?Y z`Qm}9r~z#m7_);!8M`tYS^Pt+M(*^9En@M-B6DUeUF%QcoIQJUn@ z#jcV>TjWzl+afUI-@@Hm1naD9>3Ke!2cK{OmK|qWu4WRc1Hb-EDlm|nHEe$pL;iTiUw!qB0Hfjn)r-h_r_+^5E%u|cKx!rS#pJB zT0VrrD^=*Ru;&wfs8XO&aJlD01=%{|AAVKDVZE%E@3$JgEDa%N?99$BVjQMx6t#~o zDCp^@bENI`tI`94sT~!ItHC~S|KF=ZUjkWEiv2WSWX80MQ#1n=S=AZ7&B{NkW(9!Z zYq@yb%K>KZZkquT+oHVzWH9tO{?C;7o$ew%MM;Unhq$wEIEG+a_K53bfdGOX%Ym{@ z8<;YdwWJvp-IHZ*t1i%G6?-fd^8U++Hgc9pdzL*y+(ljgAsrds^fkR*u1lSJ4EA*} z6LmQVxK;`#7A^d68{;*Q?+@$I82tvR^cF3_Uw#t&NhHGi=uCdLi_!4J!yHws!q1D< zXrP@TJ5KQmVE+UO+x~0#g)~<5+xxwuY+=*;0k-VDJH_=+iFSZB`vi0VRCWcWm+3Cy5Po z)yAgEZ)Hr>z zek6Z1%=v2lD<~A~93}TMb3H7!q)6aj389^IZpupYV~$xy&qepbZ?&cseCCHanJr;* z`kJWsaY#{qbHn?iv0fYkMJoDM=Fd{t#A&)vK|P=1*P}*_4SYzR5|F zv#~5zyz`$_dy4YrJBL3)9e^lDY{&9Hi@^)ZH3`fpb{z9Gp6XG#ZP(47T6=8gVPGW( zsncrmYLqO!$J4Qp+o|&6p_%6EUdKsPuxA+$YX3IgMe2U}G&QvkA`fRRWH?)xlk;{v zPp1!aoKI-??rIu*1qs!zdNOBHv$_I^zBJ4+L3|Fk8pFgFcB6z`bce9rKpx&+&i7Ms z)|?r$ui0n6K^5x5Hk%wzJD06bFYUdZKB~2q(_VNy+}I8%!fM?8x%rllY1VI9K1z>G zEN`@q-A7!3Rj&}cz9^p_3*5=j~6>EJbA8M+kLP-s-NrnzrKai<4nG8 zl=;4XT4LHtgg@^wt?TH1h{5hu+yw=|GN0f|cIQX-BsIS4VXCt4sJa7#EICP`7rul> zJ|IO|SVC5Ov^bw{Ci1fw=Umdyk-2INj^!eICZEZ)0;V#|4e4Ku%&K z1dptLX0hRNbLu*y|RI!k1t@=L{poJK}Y;)+LjxF=BF+;66cgj z#mS9ewgmr4*VkWl*EVv3rv?9c6rP6o(8R5 zr218Y5M<$ZJ3)1d<8@#@J1GOk7|GZ%@LFoUo5z6$8D?0?oy0ziHdGJ3f-qdOLB#RR z*dTZ&k;p})iD!DvXH13rC2sXOfy6Ge!#d7N%+->#d! zg9wo8G?=)OD|35Wabjc%xf16%a|ifWA&f6+iaZv`7QYBL{M>bVg2b|D^*zA=e~UW- z8<_bp%Bo?aobx;P(>i%b!x)v3OpMBSGf&t=x#13u)w{J|#kIu5S~@*_P@C5XD^* zpQ_5N98uQtIs`)KI8*EG`}5Mn6t7`5_E$PaSZLDBU~jK=Oz3W%Gv) z+W;onitEVTAXD;j(fkaUs$)@F4}m1Y_{R|(^JoayLm+0KgGcZ;4pJnT7Ym<+315aT ztNpa$H`r(irb&eQvW8=co_q3V$KQO3u<{F^!}2mU!yPkTH_!YX25U^bTN+jpJ)Jo9 zyykyYK=igH+Mjmp$3&Kqt3^FJQ?-=)uYVCk|B1d32H5jO0oA77l^6}1-M6x+`l8$I z)BJsxBL7K7LlZ>VeJUdR1xR09=lw#rrhqxcdO572NoRTJ_dOXMtj|(+;kLlIRU#+S z=gc10qh!kHSzz1H8xF@tZkG+`y@9%}vq5`qv~O3450$t#4*|RK$kF<3CIG3*wPYX{sIU6p?-(s(UpD+}2MDc@V?e4E67UVT)c$nPo*>R(#ra z0EvkO@TcvK3z4XKHw-_x2|=-bZ~39NyGFa1V(%oL;h09Ab*=B*#>}~O0M~?$GxTn^ z=D@@AhIR{dvG?SzQh+LIvV7$A$ew%rNvf&TFOh@7O+>xQw&#)brY7Hq`*gsEE^M8+ zDA2EXJ2Gjv(e2WR>Ucz8?}cblGw`>p!iF2Bj~Qo1ureu`POhH$6Bw~r^(euHUWpcT zAb8xeI5tzu9AK&NcXXwlqT0vF$-)xV{3*-D}F)+-_Xq zJ@Xm3wC{Db?98&rHBo)$va7ElFxTbYpOEFqY<_6Fuu;-6f8Uc9f)i?vXEH8EGQwyxbLIXRYC%gZG zo%QLo@#TO|wx1B#%C8VP0jv}BT^Z%Oj7YnT82NDy7gLBNju@MM9z3xh*8<_^6lHhk zdwYr<)XNIqrrx*QxXw9C?=i|my_xj)*Xjv;zVPj1>Zh7yrE>x&hDy+qR)OC$*E{Xt zTzs%^OUy*Ngvry7bgF_*m9_m9vB-@7NyD*S+ybiy#(^aM)PvrlJsL=YVZ%)ij4$|k zlPo>qboII8Z>Bq2sEX_MszGTI&6rh?a=l-Arn4Pa?%FzpVd}FPFnq@49;Rw0Ml1_c zc0UD@T9S^&Nn1g@u3lUGfOmMvoi#-US_Cf)eW1hOJUXfQ7o`1~g5(jEH6yGR+5T*Y zcZ~bPTIh^F6qvo4w)6)_Id#al|6T#eRgZx;Os4|$wqy(~#e<%z#BozNH}Gw2_6?5- z&6_D!&N@B*Z}T}xhWL6(w%4gzeqHXw)8bpANOZA9s#71=8u$|FJ^lC7(P&d)l29Nu zVe~!l_iQYs68&P42{_VhWbPfl5(}zIe=xxsiWYzVo)98~x|6cc)M1}8INwNSDzL%d zR25VUMK~ty-v8JJ5qWPe?wsFGN8}T}bH0E1Z%EQX3)Pvl^KeJB3;_oN?Z*UKgcOP{cMeTMYD=R!enH2N=kJL?WjztCxFeSj zU|%0PlPFr4iA#|AqxS`3I9ytAUyKjKUHlo{l3}Mt^o%`8( zb=NrVT3R9F$DHf&YN)gZum)zy!3#1ax2)Ws%#T{7R1d7!?0eJrw-0k z=;=oLhRJ)RE*b~@EX>fVT5gPwBsz80-}=VUBXywx{xf7GB?Pz^CqS{!NL^x-@%sG~ zJzC`X7&di&8dsn?&AXaWh4Zs&tgQbZg;ckN;4Jj5igaj2^)>aH6^;l*4K$+eE=MQ; z`)V2UZJX2+wbzMyj>DVZa3kOjpG8BtuE%h>Q-q-2ly zqQ)_>c05H|l5>AFciKjTOFo9xkBP%G#Oq2r*kBkY;yb@*HC9#{_GVcA8t+z7APqP=)N!F$GiyNQ&eyYN?w?l|cTGkKsJIVw@>g{f zUg5Wh5D*ajQ?9j5H4z*vMs}T@A^QyRgBBhS$e;_S-!JgLt9Cb44St}7$t(&hCd!33 z{&|mm!|sTaJsd_42Klx~uuY_p0Hlq?emhj&3Or8~5a7XgeUr~X`&>D~?4sP;?DVXL zZ4nD+{Xn0O2=|wvI%hzU_DC?K!SLXKLMK$O-)tB1Ss_Vvc3h5b&%8jEBV>RcP*%J! z8IuNvXWBdNS(ETO3VSbGTa~Bzs@UV^XW|u`x(^V4{29DGKS8+R?Gtd?v(*C$?!Rp~ z7Bn|SFi3%)-KMaRgOzUM@k|!-nhJd-e#5&farF>rtEyb*`{%G zuEhGIgtr(wE_y6-h09qqZZE{-gT`&mO zRB-qWRR*xp!Hr#chbOmhBnrfr>+Yv?UmE0JK6JMZK^Le1aJIS@|75SW)^%46tA=mJ zBm~&8sz6xRz(#h;Y9k;%YQ=^gqmgx0TS+Jgp0=;9zr^BS%8IAHSm;|<7E z%>^C;E^fqSe@^Z^pJP2c?cWc-yP(-Btq4n2^I7Xp`()K_nlgnZU6h%O&zzyeRLHr=1pdG~2rzxkx``|M8=|DCSE+0SD>p#l}B2{=b%gW;BU2S^cUQVz3s3d*VIwPf^y5i1k;|i&7%HtAk?my=?o#<)Bqq+Wm@GyP)`7?FrsarzHZ+8-#Yq^{5&6f>V+`ux)?G7W zrRgneKxQ2%w@R=!L0=8wf3U6>CZwA&Z{jjI$sZEx@E;;N%nfF^?H)yvKxSmrb@RLt zvmNpjH0riKT?ef|O854(a_$&HuNe45NS+jN!bc+X*CgTLuhW;p5G?R}4Ee|VsR*jh zh^VA(MgV@Yf z_3%z*zUVVyX?wy9T!=^~92xyxvcpr!JaJmZT;i9jmo!jEZ_IM?>VuSgxSrd?GC#xu6E7#jMe)Ktjz-2;fDqwd0t_eG5};zS~Yj9 zw236|X{psK57}a|0z6)nhrmwstr)hn$2pLIEsF`%;uS1%K0aw>aea0AG8@NK{~^yb z|LlIsGf}Lr2xpVjP&LCed%~ES>+`pE?@mtnJA+eUD_uCz@j!eN8m2cb&h_a*s3kPaOCSB(@9 zEfUYuSpMWDhrTYW>8rLOqPzd0k#A&PORvQm4s?#BPe%Gc~@AM!HN$!YwQIb2PSzGsfIhlYc`##$T3pxsY+(+b7&S$}d} z+7UyzkNj31YRam@nM%4ZGIe*v6)v>l;Lfw7C~t*9fW50Ho)dR^n1KOqy!5v>hnjXbCLj>f$&aRsb$uokUL$druA zE$XoM5Wj$6p~D*d##?_KEFvhO@F?fc7XUhl#Q0Dr9ZEyV&sc4nkLw0N1N;NEJrNV^ zI`~Sfk+$>WWvj<)nh-Hl(3xNTI6w1>PBz`A$6qdr-=h`ZrSWWGK>JCQO9@~l>bI<4 z97+?I>sgtr4}sYRDzXD>|9fqV2^jD8kBlBO6Rrlmw5QUfZrJlk`CR_vi_v%i#tFW@ zxj$3dBcKoh=%AggE)f@%Y#mihspvsVq?I~aGX9pIz2S-d=2823q=l?BuIL@ldK2$B zf5GhtKePQAF^%Z1mVPMKb=9K`-qGCT^#E8|_h$`HI&;fOcyvDE3r81`BBJJ8AJ;ZA za)QVH>yFYwsf)4fAz+7B@@0pp(*tLjo|tzvJgQMiK@GhkFzZ6U7KHnE1dwW+Q}ZAf z`Q&LXvVO!LA-kL^kU}mhwW)VIeE_x+xge{PAVJi|^&6?UnzV2MdhEB)nI;8FmlVvF z3>{y7w%IWEOTIZNdVP)`1oUsX5t2HFXbu&7bcy+lawrILy8FSf=^)5iIFfT~`5=9O zhr1hRmxSSqrlBeC(gUmYEbpLR>TUMN$g6j#17X(%>eMp!=To<=zejJvdb zjc{ROG}Nwk(|hE`P8K%ReXI~rPbO%vrK>q0H1gtnN@GAQcgvS#UnmT{1nlRxtNbKv zXc8uZD=@(mPXlfnHUe))s1Shqc33PF_8injZcnLtWG7Pufuz$L5v*cPn|0P2br)~v zU{{4WE5aJEOuS1~*A>n27y;&@%5Z!IH(x}S3}Ni`TmApJw{U$^|3)>vjCb}m{ZrU+q||A zmB_Lzio9Pj<=nH~T}9vz&PrjMkd@HSz(kNYug@G}^6iSJ| z{&_7ps#_X*uo>gF5@t#J>xYAB1d-J6Gaw+^s zDYq095BxYO+jCL$Z_c1w)xv=U{wPci?+8jd3(uNGDP-vE8SD~efOg|@Qu5sxIADn= z3i!2e2{D}2Awj`d;^#)tT~-$+B)1`_rQ!|U{yd~)T!k;deph;16$7!)8xObdZ>*f4 zygBjB%;v7uwm(!h){2~1BLT0zQ;l{ywa0=idn_7DfpmY3}Dl!^}6{*Jj&mUFzhhlzo0OaWIc1{TQ1xgiXs%O z6u29VwlARmCU>vXe02pf9p;kxlb4iW55s;^F0&&z_^n@WlgH%@Q3c+2DECtxmclvi zn-Mn=h!ofcDp#kguMb%)BSfii01EZ(uOXF|%`gnKH}m?(7-?h^H+j&*HPf zlb?{1ZgDf^Y@D`b!k-D!RFP{F_x__;om;2h06bWlf$f7st($7-bM7-x4Kz|_4tfF|#YAb>vL^2b zh}&Jw{yN-L{zA|1WDfFAZhi*@UHXnnaBOqkmEktxSd0Tf5aHHQ>n&`+5O={LN~dIL zjePerJw#;EIq^DC@{K5o*VfMXC2Df=dMV1i$)r`3wcPTF@vB~RqiDLJNHelX6_u}kR+wq+Mw%~Hk}?w3C6b=`9_ z1)>e%Nu{#-7fY4sJHlL)5NDyuh35?LPbS2v6?xnrnAjP*s;L)%he3&u08A!G@v2*d zFPzZMeATVV&+aHSnbjOfyUYEzWQpFwh0cSqC46Q}1RsBf)^5W|TxjjR>p!C!Kx|dvH>WhCpq!PxoT0nT*gO}KP zs!Ajpv`f@SSab@$pK0g9pF)hVegsO#E7p9Ox(MRmY+lGjt#%{6Xk2qG0KvRaGM`%j zkgxsPQ-JuLk5qTJlby#beKJ(O#=^yF>IoVFkQs%4cnPq*IkM?kXC;f&;M6F}T+FIw ze#B~Ui6Ghj3tX(XIWISI{6F&Z?^5*K*ImGSt~qYBKYGsGDef5;7rJPIO*nKd{#l+S zr)XxkHG@NF>Ov@x&!?FY;|(;}xXi}ZZ@FSdzC_9i&-q{ zHE2eAX9%{QdqV~-3@v?ENI}MIE2@6nepiklYD5w;itXFNX6CHSawkP}v&6Xc1$F$d zOTDWKV82*mJIs_s+ptC{$zx1>NkJ}lG`SnwF&Q?aIwvv4TAAdVyNYSi0N$I^)it`p z=@1*`1I9~*E>P6=y$>S4OL)KTQ(0hH@rQI_Nb11pd6%$0 zwJsCH&u97<+$SZzhX_kP`M%s=wYKcVB`ESO{V@2)j!aP3vYY-fGJrxDTzP)P-p}rk z>$MWW|7L`PxWxZTam$6t&b2EM?H71?T8|5AuDC_GMDvLTP#!c#9c%%nq1jgdQ~3@I zyH42!lQSt%?2+?-M6Rwq<8ygEO%qp*d=6wgQ~eOE2B6-zG&soaGu$ffxy{j{rD8^=G!%cV?$ zH(?=9@76BM+SO-Ye8(t)WN%0~S??|;W>1NhWSwPELZH77;t)pHh+a;rhQb}SCaUCi zX@1hF_tnsq#b>8yUBV|wzm(6U%$^0ZMo>;hM;=5zBL~v(!uduNTcx-xpafJTtdFR3(ZTNh^oT1lfQ6 zmeo_G9A8+Z^qVy+C}QygXIG9+LXsixAR&-Lg-L*C6Ib18tp()u9DhvSC{#gRPs37V zj@4uVsQt~KsY$JNm{)&mFib38!-re5Z~q{$Hh^~v=gk;D(rY^R*%_r7BZuLF)Gl(t zL0T_tO`DJN+&3CfG-$r?(AcZ%rlRPo!9TL9`Z&&Ler#G~EctJGHRXK=+0lXzlyb|- zI{?ry$w#;L9o^-SP?){_DZQ2=6IGPtCH)S9$+UdJE<|YB9`n&x&r&5a;+?*cxIOuW z>)C}|mr{>la}C`54w>oPcPFUpdVZ!k9u99kHCQ(xl-QhFoGsH)WX8Qp`f)wcJD0UE z>ZB06{eLf<<2%%dHo*f1Q>17Dzj$v)RNRm3sR@jxlKX{V)Ddul@%t$q8~Ew2=-CnJY{Rb`o_}gytJ;t)xv&Ce*m3*&pdVTyZZG6 z`Ke`=tkA&`gv>l~;KC!OsFF;QqL4)<+(Z?0cv5h?u%7QwDeu=02s zw0Z|Rt6=)y$99=>qP&}mJpLT%%f;J67_v);5AMOm_@@zUW+8HTRX9j`lBMqFm!Imp zFsS6MBQ#~51?Z{b4bT@sUfheM6m@w(MADJ2{$7=&o?ifP2^@jrA?C!k=Ubc!W}q5; zQ@N_s+o?miB10g%=&_M8P}q4#*x1vDFAiB0X?IM@w0Ho8yS)*e{ZdXU-lD zD7$!jjVNEI`78d}c!XBAv=fTY4Ujl?s81L`dUbE(<%@+?AW2woOuc%CqZut}{+E(6 zN?P8Hn<|M=?-mId<8!k4P7Wk-3yQfWKV&NChPuvx#=y~`SNx22&&LMGhnPvDRy;WR z)b5}L13rLY?%WT$(C=yBl7HYq4t}vH@jyUW4pT7w8#W+X&J|M0`VbkV35cG5#BJw5XFE5CE5e6x1K|laPkMGG> z-}+}`sUfY13hwirFjJbMlPXl*jb@uw0$M<&4hN#4k1j9S>aG8cWrf2zJ}r*DIcIfG&!&#Yr4Mtp42 zN-z9dg=izRgbcAft#8}6>jz4{O+F~D>KslP4NNXOUwJXng`_ThUFG~aJqaYECNtzF z&xp-Dp`cm75{YovgJc$>Vwke^r+Yx1r_PKd5PqFfl*j>co{0SdDAD;=1q2K62%-6i zPOEmpE;#dYTGHiX(q0Q^Qnvjy&CX|@?0m|{iyB53$blcFKPY}Zlb^BD)Em}yaS=mu zJ-k(U=8!oiurF94KWlX15qfc-9oI5xI?@KKWlXv|*#O(b*y6fqX3o$8!P{d(&l8lF z?!q#BHyJ;@$K$T%wH{28o7v|90?79Wq$p2wL9bI;=XxRtk&)B_BcEsal;z9;?YTGf$Zm2kH5kF6U=0_Ld#ZOp4Tj^Z1Y^APDfnriymBBjeg z+FX@kx|A?QlvLks>>-JqRU%sQ;VW!Vxhu(kS%VE5^qmO2R*?OHB=CUWL*M!*b~~3% zm>4{m?M-2}r0jCE?0Qund5Q^liY72d?-R&{{BkzTF~1{uWWneiiV~IWZLA=pX$I;a zUKPELXIw&kqNT?y_n;^xa5&im7L^v&%&~1Hma_`mc!^&qwPcw?wl3gBMlVnQkk4BmHh;B(8 zf5r#to2UZ09p;ZAHzm|-QWc8ivt}M*Ul{f!YsF;?$SHuCJMiR{v`>NORhF+8=AlX~ zVX0|r2f(3PAR!?YZzlv1w=4Q(*gH>G;~K_QxfV4kN}@WHdU(Hg{IwQ|@8wAnI9}3G z?grv$07663Obj^R!TQU$B&JM#B$Kl6k5?F8{B^2CZ+B30Iu14W4n(ff$9O1#l@ciD zkCYPv4=PALp3ZJ2aKACcgEo)s)anFC6oSZwuR?eDUESgWW=482TfZuQC$KY{-Nc<8 zb4~!+LnGh7lPO)Qdy&}#xgC;tqKmj?*(}0u_3Up~`tGs$OcL>UkQPUMI+*T6x+!Ub>-rdHM!kCqoVM zjEucZLQV4sW?tr@7I~IdUe=*DdA6s#PKVm%*`M)p2tAwU=;Y-b>XPT`=H(vhk>}~< zt1AJ?>$OF5>nw_`(D?$Ee+MzXc!GL5|zEuFv|#+q-&&WgcP5j-+$-t z^Er=m-tW(Oo#(Tn(z`0Wy6DMM?`Pr9i)w1UUxe2c)i-!IhQBOodUYt=ZYg^G#`|q} zYf)RfcSm?9xu~n#yC=N2sITAqUHCxJ`w!k9!#@=b4tWoUj}(oLd4CT7QZzo{JsCb# z^mW>MCVaMtIp;ke{;g=?yZ2)Fk0RER_j343(dtj{weVj>>~-&r@XeyF-`?BdJ4L&H zy!XQY7X91zJ_rZ470yHNiB8`;q8QB8=EBziMMpm4SteJ3w!U~X4orlvbH|xI4FTJU zcFyyStei7;QbnpS4|(1TLBEO|9i+OQ1yy1^oIMjM&s;|&+CJk2NTL7th}F3LEIl=K z*wwGF*Onx?EdLDA3@&Swb7aKndVQUw1;-5X|BNi8#ip%4)D-?`@|HTW`T7QK6}&DF zLt23d8?sd`f~v=6IRo=IX6097e_wBJQ4p|32epsm7!xBDfO zlOQ{AeMj0qid!}-cRp?ZR!G9K z5-gOgF`^Jv#0AB=welt%rf{?P^Kp7kcoX3G4~r}O$qQ5z@^^ED%$sz9{Yy3^VrhnP zd1J}r7VS@`^DeW;*c?0JZ; zglvLvE^|j(<5ccmH3Qg=4`iJJkSwa^L=Cqszourv3`hcx&TaPub0=?;1%?2YJU}3< zEOE|JJ+0gugTk}PS+CY$zOMN$>&wEN&?^^6`{BuL^zZ)C{0Omz!t#}EbF;McxZen~ zJLy{b8Zw}>Ji(x3txF)XI<~5AX|D7VcXmjU6Ai6pgh<;PbH8klO7|b)V5HyrEH2mx zzf`?-gyl64KYJlht&QxoJoRlNSf^f6=glD0=w#DpeA-PG832kWVh)O@=8EGbiq*4s z(BI-U$nLHc57`~`n4UBiIW9#z`ka?QGSNt(8QIJ0iJ-0 zS@{pze)HrafQ~i4u`&V{RaGt_m;mDH!KPvtV6bI8mGgzz&pIuV6ZO?|P@a?vTF)hM z>)4yDIv?MhD=xe`Ad%f3QpYop@%;0=Tas?kmr>9>byADdthJ-YR!^yyp;S~Fa`A2!8aqSf@j z0;P)^%()l}btek^H*Y0ge0fs7B=F${@~oNl#yHGnJ0s!DtB2Ve(=ga)@LA`WNl3i< zb^{jPA>vVik_9&fqR?`1(3ifJU(9WIP&M+=%gE)7E>2`~f45=P%zpg#!yS<3Wo*VD zAfSc=M7n!grPguuSm;;Cz8Qh0?mOzlz2`)1dnKoF={q0eR)xF$yN6YG5>Zrn@_jJBx3!TOQKR{B)161-_h5m% z(F0N;I@U$AV~kPnIMtMI)_YB{rzdit~?j7IP^317Q08XtonJV~B{OW$vbH*9ve zIlg{_5pUs?k37<3P3QfuTDbZzV`y(^9NK+3LLKE^3*-nN=TT@2q4Zyb+1%vS&8g%Z zf(#9=*oRQah8lNPKAn2roN&Ae(R>+I8uv&TTyyabT=e;FQWmWf#~)#y8Q@oxDQ9Kb zqSp^TnTmZJ>NE;u>yRN*VA6P!cALBn=gLM42&9?u^O*SM7wNbww?3=5?*cAIIO_FF z=Ce?qFEs;2FaOlc^exu~&KXAurbiM47+ue>$CxOHbP%#`Q z;70@da%PNoL3Fn@3yvsEY4(c78~L|*4XCy9zA@rS+dl)OoT1R-iCivFb_4 zOFkoB|EHQe=k;K|dIOnGqdr#@UEtJbfsUY^;YNuQj>NOV2+EItoRm-Fazp5R?&aQd?A8Q)46WyA>#KDtZ4A7%oix7X|!-w6qi&T%q~Mv zStcoAr$gwe7MZVwe)CnXzgawB*)%MXH`P3RAeHx-{?BAaLt)5d&(d{&_q=MJaH}9q zaa5`xT4ess)2rO&C6Ist;K*b3;q5{W-su7vy6)SM`57rt93UaiDUC?`O>%-A)+ax^1Bi^aFIO@(IN}W-G5J+Ga6iK1&_STn1@FT!Oz#R zooaSH+X}qOR~xSC1I2qc0{ulEr~UW`kkfu0nA=5V0KFF6Kq~hTYiMYSWV}3i!s9FN zK*~J%dh7-^~OhN`sZhNLxlX3{rKY3&Y!NaGJ;e`D#x((Tg-4Yoa=Mkxrc{#|rM5&fzke!%2 zUQMf2cQgYy-acGgfWBFnig7*XJ40H5^qjj4k{O)nd_g%H+l~;u2h8g)D}%-_3NSs{;Z6@BmPHWlI5ly(PV*XI6GNX=%Ocm}Ly4y9ujd-`N|m+0kh)SFiF_7mw))m^nVC+DHKy_o&D4Ze~{Gh`E3b+)?99!?ObreR1K6_f%x zA-XjF_h9@8OX8;niQN`Z#4ez<^6)=b!VksvXFw**U8si)aX<;;J zq$^Ps5g-X56>WBAFXCoL0=qM{{rgcy72y@S{pAlX#6rkZ;6>`6;Jug*Jc6`O{v@H6 z$c8P69FT9dDH{^b0mRWifBmK@x8|+v->PRiTWSKz*)~*Xs?P)J12F{8JAdjwD~~OU zy~j$avh8t+dq|it?-u2`A2{wAKC2FX(dPc+uJ4j`k4Q5@*GmtadREqgv4b(Uc za(N(y;RkMPQR^r(`v7iPN`-QX?^>b>V4vICWTewY_cC}s6Qp`S*Z&9DqePzGp^>k- zR`4o1CH>OlL*#{&$M)w-Dz}?b@bN$jI7EhHC?+b!03?*nlor*Nxt*$#LU&v|8NF76 zQzr&#XkG{!=tC_7$xnC;MdxTDSUm#ZoNRd_DM9f9$5PZfWK!dWS$hyofrOWl1NW03 zC(BqcO5`h298wd?Yr}X-T)E_facZFR(aH8O)otEC4{pJ)weW_95`tPp|9WmogemoU zM$ruQFp&03Jjv;}?I`JwnXr1`GHjCvQ|}m6LgFh+eu3XH>%T0Nua)?DM=gK{k$>&^ z7qOllcRl{M6&J*4n~)sU?z0&}jmqRS+lS|PxY65?w=j^d;N>8PF+={^(PWOJR5^DE zGmXp1@+~lTSO-3f?<}|$s)FIZ1h$Z>p1j0Y*3jkbvjOhLt7~-}v!!}4W?SSu4Wz&B zefsk8N>s9|e{tC7#6uhJ3;&zkFFbPwF3FohlI^Y`wS-%c3ajAG6P1k1jhy6h4kZqz z#CYk6OAZ_D>V#72p&+*VxF66i!R5zPGjt4;VhPdB8V+;SORxTboe6)5e+#phl>do= z9e-Wa8BD<~js81zg>!3vNoN201kK!u0Gz(ah{XCC_vyBeyV5TXnC)vUweG)#Hs$`@ zXPrhG-gygY1|h;uV)C?2hRCTY1N)`4R`B!OHrgSPueUpGm71+`dwEfYP{FoLj3+S- zF3{d(YSsjN0iBWNzxbN8P|$(UY(B~c$+e3jQj~rt$=DAqW@rt|O*4`fKDrAu4-IZ< zy@QiS-*1x!M<^1$0WAy10f4I@JzMrS<>Uz2>OJ6oi(U5qLf{D+c_N7+EJ~_A_fEsA zoh$r_x7HKTOS42VTl1;>f;^&8UQ4wA1MK<8R^itv>NO$6??k>}nS-Pm5J=mp-3AmYJ()eCY6fEJXCbg}M-wb_%jR zJDa8it&zKzae*>Q&VA$!BIHj*pK7>Q;ZF%FyxJvGtU#&lKizMPipi6^(sdF-rUr_) z+)U6IIxycGnYk@9K+a*blw`jOwZ7h*Bd8($cP73>OIShRmxh^`#raH5+_@FW`cKeE z%aWBJ|75ZU+Ga4ypTaLHQz5>@tx2#YFqY_jBmF3JCH<*A*%CVqzY0C0Clv{o4d*d( zEZ$E`}8R(ur$CcJl^7M`OwMbjB#mLZFl9eYilMH z*VdE0p9tWn*%z$rEb^-g=wTJB*0%#QSTVbZQuU#DH8)2F!~7*6eJV#P zn7HckBul;nv}3RfkQ1~iN2MFrlQbp3NroguciLCL?a=}9pMQj>;xfY4X;2&d=P_mh1dYZgQ>q9gIQ(wkFxgdS+u?2 zwH}c{oJkZH*&d3`wu^i(HygCU)u`v`98?<5b@{O0a^^XXAUG$lOf5}9KpyxhV{(IH z$pP)$booy@Bv@QC*VH#8lE2xuDVXTPJK^9eHJNAix3FNmlIxmbM8wngR1M*MD=`jI z;9N4|#9KuZc(PcBMikc5x6s1q9}KvvNFsb>)<*xb|e_J&a-tXa6k`2j|=)T2=dI|^y~ zpe0oQ+K8jp1^Rsq`E^lClF!A-iJ$sMql5f-q$&B@&(R;xCov47N;lwX_gr)s5m_na&e|lBU}It zC4Q;1yIuc49aG2P@>(twT3Lh$48pmY-`i6nSxs?{>*m6B^=D;J2Ccth!bv5~soIdV zS0)>pemoV|FURyIh~I2k9GdcAaZjtqy_h`sn$ZKSe4`q;FO8Db-H&QI8xcouZv_Ck zVV8UzV&V4YAP#?Yvh4{s6t+>`Aoqci^YQa=J?ibJW@lU1zHxxH&JNG-K|HqEFs|@P zuHqp-PZd|hL_{=D9)Ec$4WzH{nH9^>@YD$Hq@3^D*4?o=huXfT8)~*4e12eKRpGi`tU46{V#2&Ti{$;uhuyl z8Nu_>5$5?UJwv>?0|t_Lp&876O7O7w- ztntz3gj}VZH`L2h4=&)-a=b}&Gd(% zJQd?%v~=1fH{`?dWSg&Tw+`I4ZM?bO6$m9n-=D-coc_!)l-T=`(?7GJe;&}-@) z|7NAV?c1uh|GlL6mr$?1ppOSKEj%+{(Mt^nxVRtzP><=ZLik|fYN@KI^)Xc$noqByZJa^E00{}0aKNX)ga{Z! z47YRUWH`Saa*dxPrmf;Ihgml!_mZRV>IRXKiVwjU-$~9$gq&NnIXn-cANKD~$IZwU z(xtwtqfuL|3PhRHQe>R)JucQ47$__JV8ti{z@|u+5FT4udnn%l&Tz~0l4aJ()+-|` z-Yd<)hlW0;6EJ8D5rDTOnGG^IQ}~}`;W5oF;PHRWB({9zn~)R088YOz>|h#2Z2RmP z2F6Gopt>zOwXXIqX#x3XU1_B4;G8&XZABZIcJ$Z@_J9C$2vdDDJ9g=SvDkkQw-<41 z8@q`6SCFzEd1Ub);mY3CJ%+ttzGtlT#l`rd_|DixeW|c&?aglp+bYi}u*i}BzHd|bT7s-TsUZf?36b25=yi~*aK470 z^Av2$85+IDd0f8gk#k4Gz7g$Id@4euE4R`zh*vALWG$$>;tTjm*@n`^9ul~EyNA%> zbR-#Ef$1dM@pQx!7l_kLmcmY(SPs1VCq?yn-s8I|5ir}AvAg`TKaSDEyF~&bWtkE} zMqPJ?7eGft7n8QmUa~Q>5*#ICUgxZ)2qa3PU|jO@Xk}I8nTfSCTnzqi9@%M8&&lv( zV}DP9U_TV__U4d?U`4KwF~MyXskQuXBb3rt}LSd9GDs>%I@0@{No>OPER!PJr@R`S%3C z$(O`c8@Z}R{WJ$M{t7|rb^q4fXZF!#*km(+kP>DFd@xA~HhT{wR|UEi>V#Yk`aK<> z>u%iO!l3;b-I}j;)hViZ-eu*<3}w{fXN1a z+#Y3v8QN{Ku3Q{7D=Z2$x*;2$-JuF_>#XUl>MR?uw))nxe)ndBkNPU0%*c_DMuCIg zlpc=xc@#wDGjyJB@)xf`cl3iQP0ug z1kHTaG;XgX?j~48C;5ct=9=OTT%$zffyX{KX98z|a{MIn=+`mX$lXCmB4nnWL&PE? z3V;xeeO^}FEOT`^%T^mE)48YKWzl2OHt^1s@`xbYU#Xt-9w@La2nhBIP6^fwb4jzk z1b3q#eJ{o*21R0wer7QFq^2^ya1KR5Mp}nK#FZL=-a-G;>Uel1C9IEh9&+Qbs`gqB zhIC&7`Ffw(9Joy8Ug2KiUjEI!7H^EfRnR!6XrB+%wljXASI9r71(JA%Lx;`VPOSVA zT;0wX4l)|7m`$mtSks4gIuZ9$ct8W!xOuS@uon+8D8pRgB=%%%`gvswH7=n4zG4Ng z^k`|<_A_u^b&XIWF_@8?^SHeTWUV;%>DayOlV$k{Z4m%W-{Vmoa>!y{-I}Ix>HaI8 z!?1t@5DJDd$tKiQj-t54a-mQJ?y(j6W|uwXzH0yS7(H9-bWsR^_Wr{99%7*OjKUw0 zUK7lja7rXAIgSm<2O>@1jrwnt?jP!d9uQ%X&kEVv)`SuLvMYXw~zN_)*C=o}gd z8BIH8d@2NCp5VcRm3XWA=k6?n1LP<9`g%-yiOEQdopzD32(zi@J3b?ebb_+IBA| z1hfkdVF5vi%sV*v2L&GV;CnVYIQ}>5Ll9>_LhhvujiY{-RU0JRIS?gjgZ7p-xGi#q z^^G$YWw`tIB!;vqacXq=t;w1dfnWM=iS`gN)dbmCtp%cqX4nU zLz3>Q8EZfArAqb@p8y4r=u5Dx!&C<$7v}pb1+3Zif+3_{Z)A4rKQQ!HDU?K%jQ^o5jx?4kz-mjhN(Je)cl2 z#?#Fn5vRh+zET%hcBWhbdL0PNqV>(hEnEZwsuqkfFs$4}l1Tb({=^HHH07f9oAwV<~Wf6LBMmkhKOr)7_4|jD; z9S8``nGtKhz`YrfIFomgtW9CyU8m~>B3?E=i#I;jgerEjjCpJJ1L9jG z?+N)Ag>D6Z%k`mr8CJZNUJ>ge@#mfU2S5ePY5r;S^Gg$vgqrhWWVN1lUVeYO*Nntd z9bG*Eqi@fD?4Q9#5oi6Zf;+2(XaB(f#H7A?Saxb5@KQ)>?k2^ricNtXUq&9TnSX=h zEdpjY=pW>?u3roxgPtCbZkU0c5gv`K;AU6PHbDL}^lMS&(i+z|{qz_UmI|Xt{{n+V z|1dY5azz9ZIr!$uteH-Au5KZo#y>W=9abI&qod&^l$40+$5deC0j6&SE~}^%mUP1x ziN9A%$NvR5mk$0NbaL0UM4b~e!-x2Q}|goX$g-`%b68>rtXrifIY zmgYQ)DdbcSc*>l&zL@T*+PRX*bH)?Jyt`qOvFiwQip28CK4GD`0nZEu6YP%QW~z9$ zF;RHrxqr?biehujY{m9@%veA+-qd$a{00^*-qc>uftw0e6f4iRIjSh=^m>b1GQFE@ z+Exv3a<_gsoA{-)(St9UZ=vBn99nqBgwv=IvmX%jiOEU{3eA`@p2P)DCbPUf#H5*| z?LQM03r$*v9$=R@y!Vq)W9XU(6&ASE_pi?!Fs$3$x3c6*cz_J|8O_TuGvv8`_q?#G zq{igiuiV9^QM-tv8nTH}#qKa;O@JI5^wmQHXme-$C(m+r&tQC2jayUzEmWeRZP(CQ zh+WpY8$;(S^bBB#zx7oJxPxbHLLwHcV!5!ht2f+4B@w_aG(qB`zL|D^wipaA5$wRp zx0G35jEvK8)4e~~#Rl9M#eH3|_t}7JR`I8G;qwwo6`{7T9%7|J&TkeR0>+uCW}Aye^C>7cxku^Z+h3b6zTHnYBAwTktkaz6rf7F$r*1w=-s!+*cbOOa5x0lhOW%@G5Yc0C0I^QNKdi5$JGJ@7W{{muew9_f#BTsNG1S8d z1NgmAA4PbMuRF!bVyAs)V^5^ttmO5)=#r-h#mV+v&ZKD|^lJ;9+v95%FHms8mP$b~ z`MG)p)5S9S)00|HR5`Y=!EdFI`}bX2j(ocC--%!^ip6VD4xPD}3u&Ou*H79rcjjN5 zM)kjTKXEM+Z9y)#?U#3i;@6k5pLCII*ee1M@_l8*|Ky%}ePhuo?s$ z-t!5vGI(*)_O z_M>T4ZA_s&z+UFes_9>#9edX3n;oI#q?lj{S{<+xc!>H-(iW+`YPV8kX>^gVF=H4JYkuYQ*Xd9i6E5G4URBM)rs5lwG@~v{_6)ez&w(x z2vDuNrO_I@1+LaU(ymA;2%5TysPUG7moq#mhC3dML2hj`?(%B}U)``JJ^-o4{r4wQ zI_zcKf?_imzT4scoBW&vrk)1L&;H8GXe!nfroJ-Zkxh&hsu|s5rI9|m0v$HLU^{i; zr}^zWs850n#LnA|PYQ%i{WP{-?GD&Ykl>{A$&u0-c>y)ojW7Kss)xHls-bgKqMda5 z+TJ{eU}VKwF@x29QkQz?^ae#&mIG)fQlPUe9TWw0cL77L8h#|vmQTdKAKDO%O`jPC zleV=#a%FND0K^)RRlDGB{&%3NPxm@td7u>ZsT`G98QBC|{u;Rup`fE>+CXRuVE@`+ z{p*4*$Cp$aIA`?z1WoTjSl6OVFmEO+@6%9oC!<%CH|IQw zOJDE}l8ze?TkXGD^?7xXs!GH>^SUrz&DFk-bIWwoH2kT$-J|fcJ?HRsr298~cZJqE z>VjEcsd)Y#>?T8A|0S+b}TH1D8a;Krt> zcz&?3JQq>*L1;tp)dTF^wIOh$HXHWpyxuOI>x*N)1@24zF84)?zAv-op?MZxpuu}c zFTkSnOP!h_G>tnk5?bZ=HfuO|7Pl%mgqmFgs}N)RAmd`&%gk`@rSWtG`EVOEpVx?Q zT1=j2-CgAY%pqEK?rqn!D)Y?ejaR=f_aEUB?GQALHW$kY@HR*54nn`;&7DGqOL`G3 zvyhCEc2UVb?JspoLRL)=XyfIlCg5`4?{x80I3c5q7wdmHt!p}21qr1~KV3Oi%HUQw zF~x?Ig1dE+iDnk0BQ^u%pDz|BjOR_S9Yd5NWs1*b9Haca5G~Zr%DmaZ+_0Vz{b`Ip z#%Fj;jG`QPE`X&77Gon{0kvwp;kUlhDRdy@*uZU~X6Zdp2@KPP0MCCj>%3>n;iUmQ zFyn}1ah(_!G^sVq(lF&<^~K7|PhFy!9fxitn1Sa5&DuI~nZe{FZL@bK>r!UnojNei zeTJ!(Mf%74fT?;%%ZBzm@5hdfRMvoL-e2vM)?J~kzq>^x=%HgGNqA|nE z8Euu#t!hxetuAAF*#sl}TC|eYbr{h!VSwZ1(K_qp&uSBQJR~@Y3==(N?H(Z7#*VfG4hx&a1^3-`2Ix@e&P-~CXfh0J!*xk zMMy$yBGbzaa)d(_X$`^(M_wJpeX_zQhPQC^i!e%WBNSV@41Y zZanwIkP(%S)oK)m*WpciY$U7wKb!~;kCr)_v(~dfGPCC!$RWDeHcr!P;b@XC*A2UUa z7y^mxHK=ddZq6%)1qpu6pE9D)q{<fb{-GIoY2nYd>Y5q}-f=RM^azBxH@g3z*vHP(;ij+$2}V zg!>}rz77Hgn8HHogU;!}Zw6#s$BzQYjH~5mJ=18bAk(;8kTf95z|`QV`-_q``QOYs zz1m;S$j98x7?D(qPeO|4ag>BKqPgthx8qkc~g3U^J*CGWC%%!=oI=C|pWzz)PtVge^9;BzOOKOR#yD6HA%-;7(7W+z8>Vm( ztOwax()BdD_OHR3N0Uri;QR2#L%r!-i!K^6%?C|07m<3b8!mGBn(hZ&dv4A$oYG#5 zq6!nWQTc6diLJDc+zm2y-VPl!c4+>Gw~MD)=um>~HxpfQ8BR!SBm(hKLxySSOg8Th z*x(B5*&Y-HMI51LE4A(G+!ad{$ba-?1uAJW7kdE-u{~aPZANt1H^@sr`{ez_AxDmj zdk=P*+k_Jxw{=chuOf`+(sWK5|Kwfe38~ssiH33+fAiKElk2nA(OERzTav^&Ts(`@ z7v3-Yl(~C?T)@@7*+$y+LUk-UAZ4Qhe`<$jvN6lU@>EL)-VyEK`98{BTT_Rv;1^@t z87{1j=h_aa)r~b!_5GfDOE{3J?I5-bzQ4zDdfRx5&rVxDZrNB@+jxa{Ie)6|Pi*MF z3+SUIYUk3_0d3n08m4(0^@kK*+?Cn&SsWv$ewUAY650k3u?JbiOs((*OvuMdQ&tHH zU)iY-f_h}ze=VMZs=j&5L`*jdb7pvb4SRmI|WG z%lS|o?Dv;fSgYe!oE6S(=(Kf;Z|b(AaezeXhTCY+H=>p_=sxf7VuNXE=Tuh9^+9pG z8bH2*10Ttp;bFKiDtIAXD`y&uslDoO90-?Q)4WP&6Y$ zjXb*r>E{oBzEr36(2&POvr)1hhF`r+#G^YHQMDx?^r`)fB(300E9@J3fx3`~ znmPN7TGb8rRs^9**(@X|wvq#f#f3Vm0l@^aU8t3ia*k#p(HFc+b+yJ4RQw9IRLjQ&6_;V<$|NR)G}{ zp~8)&BL0+%9f~SzYJU%M$>ZFxlCb|^F}EByDilZfq30mCn@ZooB4Bv)pxZ5K2W=MC z?E%ZmW^db-)wTJX01d{I*Knctr%dxRkIDg0)Q{3)LCQ+^U{=ialKb}+kYF^PKlO5O z7=j*qMsqgWRwO+p-FNDY%;gK%2xv|GSt}bobEA;hSS$u!_Nc^^Oy{>oM#Y6Vz%T+n z2w8bk5qEaT>3AaQn=*Gvm8SeT&~>Mi0!l=xDuf7}djE<-DUfkL|LRCQh+io3nh>#r z6N2_209IrI4f zk0z)U`no--bh<*00n`{DohE%1onf%zFHYtxb2SDQ1?2>E#day?hy>0P&pUb7Td-rU zhrjKPs41H*P2sbM6F~=XmDMP7h9VlzHS?HQrP6+xPq67anP2uz`Cs+QmhE%nJO)jc}#1`A&0{4EW zuA;z$#-Q}Gb@+NuBK-G@Wt-E~ir_J;`!q9ENSeJFX;1hM0{hrCFiqyHepkRYzieds zlP?(XXCW>TT4~5)dix)^^=oW?{2VU%HHed*TC+A`)pwu!UnXZp$e2pgO|bAv z*Y>h!Q|U?--CiK2p}@#?hFdBtz?VTq@C=fFwo&$oYhm){f@#$EZC`#`iGegEF`nw~ z4#KDAjrS;qx2#NJ9M7Mxs7dHH2t9B#(eG8RV|?u1Ch@l#46GdS^vL3^nHqBv>r$@J zT$glFF8v#1rq+?NqOqd$Lc)<+)$Zsrl{BCXDU^)6ke}nO?2XJ%=rUzk)QtDwgcQj7 zArwvi9cv%~o)Hzd{gc=46f&y#8p3=)3 z3bfb=&fG9Rkk;+g`SX<*Ht&e$3W|ZP5fJQe6SvSGGw!6{+RVKnLnV~ipu(SCIjHNJ zYLo|Cj~o9KkO32H8x#_`+13yt4~$xPVq;v+w7dLV@p&jw3se zIM**KFx1>Ce*L!skv#u>MeDYKB2bM#m{Oo8&R6LQJhN8q0bo}tPhHZrA9eFXbKACj zzvQ-M0iF@{5RRdAVGkDFnH>tCZ623ZXUS#DO85241z~>M$0K^B*m7)>xu~((Xc}fPcm!G@k#q(C^Mv%hT)IL%(#%eXs=W{!3%~d?E^L!ydfX z_sGgWXEr8%cBL==m51T)-3L}a+{;oA*zoCsJkv^(JJ*Z1Wa&Y$hZk{ZseO4d{HJA> zQS_1Imu_NmNaab}@ET*hgY>65*+2VEF4_QXm*U&C%^&QVP6KZH=1{Axd0rYae7Xnu zWj|pXc%G2?0-Hc3>V@haL~U7^O#rqMU##m631suDU(L9)Bbs+Y@|&k zDYBCns*1MuB$XG2akXKkBHLDR87`W+NL7o=Y7}*(#fj6BQ6-;PO!eKH7U^CWWK+8LaE0TROt1>Xu$z5LEVj-Y?P~76D}5L0PcG z9EBaX)`GSY^W&|Bl|_FVVL4|%gQ!??tWnkPNd~NO&0;CIwr;1({RX1Kir0e-aBPl# zY)MMUhT^axR>Fqa9^NZpw@TwYGPbQZL}-^a8TUt>P@1G#l-YK-4(zsp?E*H8!)Q%17yPHAibr)jcqR{{^*RAUXpP+l9zXkH%wplS6_BHM^zry|2~KB5{#B z3TF9J5_yxK)rmG3S%lcCgX3Unff;i+J#0?bvbbnb4v=-kl4OVs?1i}%kz*K!_v%Y?|$ zNv)dtH6vJzUW7GjZRZU_@eTZ)>WMIp=b!oNmP!izF27v`z85dAF)eemHAemxECadY zT;x4qEw{6(!B(RMRl|pTxmhLDx7!T`X?g$!Sh9isFa&(~ZmiCWEF#;T$^m14So3%J z*wzBWV7+Bc^&kRm+i+|tR@FnZ^C8z`YS`Kcv(^mGIfd}WjS!!2edhjLzYnI&L5CM^ z)mq(#mEqIH@Q_y8MORY}Es)jn0BSt;7KlfaMSMCA6LKu$&v-IPbZ4z8C(*vrPc)Ge z-6>5|#76g2x%N%oc7YqwbQy^yDBR#G6Z-R*<61>%eTRGCZR#t|xX)>T?^FgB4Q{Pa zgc^1Qyxc$?1`yM#Gp6!jvf4hkDWWSDg>@0Y!I>8jE0@=6dobP(1;ExfMGnsKA?4=M zDUupW6&sGjq9dxx^ez*^I~GGhDt0@KUzeD`hV?6E3J>t!19Ey$@DSLFPO?oa!ST3grblX zl=xL-Z7ar#`7!s}G3V-8ADL89zz|Yh3vQ?Vk%oG-?Ye>tUhKJYRhN*FSOG&LMT>qn zbVC*T?%Qo}$v_*`3en3PS~2Nd*~$18!O5njZ9L{C=*WVHtG5Fc8hB`~<{`X!@&ZgI z1?{R2OIkN*q&H%rU&K`D=n`btRa6sZ)roMM4^Cx1&S;;n(5rm@t=}i>GdP7x`-piv zvD<JKhsV$AX0tXxY_i*Q5W}(3$^3 zxp;B>dFGjYGsc*~kQv)Bb_t~zyOIoLo$N~#a;=r+8EY76(IVd#TD(vRw;~D+qMa5A zm0VYnKro!8joC{Pe2x`*Tsg|i;#&P|Z> zb2KIvW{#kJ+fu!V^A(d0G1KUEHLdq%=gESle8JCP<<>F$XH~Rgo1KK2URXL|dK$aM zT5c!N>K7@^49(fo>Fjo7f)HjPQ!y5?C5Rm~T+tE&V?I?>ghk{ozpjXoi>Mg&j2yn7 z`;Y-8Q}JO(-`RSSXG|j5zAqssVbISevy9%OA#XPnJ!(r&97oh$u2pOo)y-Wye&w2~ zga|7INQS<4< zI#IIz*i`Rgh#JIyHP&;Ei1yF)$QVF^nhng`MU)qOQfMJQ%)dtcDI{MwcIUHy(Y&ix zKH&afcqlQ<-P|pCpE1BL@j}bL)1S+cts$(Xm&)?JUNH3w7qr_0VhX8$@>$|#IK})k z+Bz9}Jc+Y*wEP<19B+8LWN-qfR(^(k1r`C!GZ#AjgfR#X40|n>#MeA8-|^lvYxlsG zX3x_xPo|sCHa~fA!(sFRepliAO*f3AkKy6=w0;5CTTN`jj%iW`>?MD;o!7G z+O&b%^gpqBw+6%GC5Z!Nt=2rK2_~!26X^ zWvwmvxB9)@S$p4=q#oRA6X(o74pk8ydzT1kvMef* z-|Wjr9X$=w1_NoPHTRFUZ!C0BDAZLeP4Il$&}`M;sUyq6h;7o^3wi|{^NDY+JF0BD4ej;)(Nffd!rMi6Q${+)5F-2tnh5_1_4gK7cbCQRMWhiwJ@6GvW4&6V+hYmjP1e=EwVd0K=fX8;k9{|sn6L63aZ3xP@@+^ zD$U3odBQ8i#7E)p!$QYT(h2%hJmvRYvtbC5{@y%E7Cg`Reex#nH`sF-kQ>yrlxi)r zI(=i-dkZ-!mtQT>Y2z(re|&PWn;QCa+KMNOr6dv9-rTch|82(_qttGst@a2b znr`mdNT004_r+$tetv^ot@-y=4+Swz|CX^v{Rd*Snf@h<zuP}z7;=xU(JDdcd2xyK<}wRkLj~hvYVvZL zky59rGv71O%(dM@hO5}7h>+gZ?pwsNS}0hi<$SKiZ)ZBZ5>$I_(OT+th;IhwltWdch-vG*VP7i~OeY=MC6{a`E0GW1ud4(S3B1(`L`Rt&UUh_M{SNU z;UukiwdN~M0xYLYKgtr>apuZRcQV1xXhv;vQ#-&s6lCWgWKvv<5~-~xutsJC{!=}s zC%FC%QSB0u8R3C+lWIqD%HP>I=+LT_8$wqwIuZB;##M9TQkyFa;AOirI9kXH20*_U zM+0(no-DjvAjcYGEbcmMU3?DY>kG6-XK$?NIoG<*EKI&Ibavr3%o}U%&nms{G03Ng zR`GoiQ+-~5$)M!$on6z;yIlIfW{6DG3M#^Jq#K&q!VYEojYnYUcoaV zP*tJPzTmh-9);(j%dbk;=D+#sF8g>xbK;B9^JvB-QIUf41HpwG=O3!7r( ze@cV-|5M@20JXy>%YSA$dk+*~vt-9|q2_@uY{8YDMmR(nUzhT*;1wRLyg)a~%qcMHfChAO=%XxFg#o62m!n(|93z6mRsa+V9rv9(1eQZy`8`9j=&z%U*;8E=_E6P0E@%J+Fm{gZ@f#;9-BHVYr`fX$xcBh(H!Ll^stE!v z6D~>e)<#z(XGd1NZldxZ2ap*K^!oBo9AeU@$Co9ajKRENPTywGt(LS1u%(em`l=o@H9s4wGsxVvINV}0dlh|PVGD`*f%YF*^5xP zv*OjOcS2rcUJf}~dc~L5%as<`63dC&<_-nwL$Vs6Vvff7T82y6#MJf^gg9GRy=d$7 zdkhwR6&RaI9?-1J!9pPkgl`#{EFg6(!oj7iIQm!dAjvLJtP_1 z@ApL;8nfNsL=o0y-@9QydrFk+@%GKQ?bj_}e{Fq7_C#u8ftO@t=AW7)`cL7BtN7TQ>G*P+lRR4WMhXH1Cxk`pi`Vf0eg=iheDG>Vq^3S z)(inYzyUIWW-I;g4!S#Aj9>u3TeGTtmFQ=8?Qx*N%?W;Fnnb9sAKd&ajSowxOx5I4z$71NQvrc+z_VL?*s(pGGLwy43YVcqqosBO zGPs5;?v0kc(7Ks%N(~whEej5e@~;$?`FclrR_-o~IvA6ncFtTU5mJ0(b{}4lK%Y7HE-SyX-2Jcf6KO6MwwS`D%@2Fbi(xjLwtI=wM;HU7&q zrvJc3+oupU{Shv0F@?jDrb5u+paX&iNIW~ieKA-|J@*X~RHl`kS&3Sz{8cvlGis{x z-?GuqQN5MV%X(U)k}E^Yj)#8_gzw`jvBzDklSu40IEnvTsLS+1U%<44bsvUImjJ-! z2vCH+jnj9ce6^2-u5M>9y1Kgc8$AYqpO|QwY!rqhtJ8pzyMzKzJ+i3~0IBQTTwmrf znSjkv_y>rBFm(_Rj|Le_7%UDSnFpF&KTaS{k;rHs5JC~LVVc?>3?3wr$a4jRuFrTL zL|1jM=Y<2#Ck{YiyktmkPaThY0_1n*pAPQkUL@@x$aG^<0X}|!$?aajVzGQ;Fw_m! zLE39rECiis)8k=I>r6qQwz?Dx$;eMZkhXdbq`p4E1H<)Zp!J#CWKdH#()Qz42!exb zRDjIm(L4>jW)Vpzh_@L8@gLk&0RU9^Lc3;$@(4jkb_nW-JaufKz^Yt^N^WU^aA`Q1 z=jzl=HxdFMG>1@4{s&+KKMeuG!3q)!vtRAq(0$YbE=1P(?UUMfck9uaza zFk1x|$d@?}S}!Z2+mI*hVpGATyK#ta)vd0JQG$0!n>LKYHL@-kHP5i{E87Zl< zDgN~P;tKLTqsHB?`y>^qtSwjk=c*&?7da_2@1XFBvn%qiKfJBW-%@f3Ipz1ibDTny z$3jr2X3}|4n^_<_DWijj|CMFl~lwg%-Aj)E|jOJch zoZ?`kJOf4#GqHNxI&42OROksHCRza};;A!zDTEht;9V=@Mkftwx`G)1$mF5EbLny| z#ufmHg&Lr5pfHP30O1L9KoQu$MwzG90o+z<2kjYUN`<7y5CmWGK1b42ZQ|}Ykd~dz zh{MrTT$RZ!%2#DsVLU0_f&{2&8Jrcsj8G-vN`V|jLB_@*7%*To;0^!i0z@Un6(Mh0 z6o?rB$e=ku{X`dz6PUw9vk{BB7J0Xuqz0x-96)iFig4UISz;8n&FtWPmlgm+*EYle zj(V=L_cWCo3{W}LJ)7l*E~xK~#9oMP%R=mB5OP5AFe_&Vo;LDtv%2o0RsNFbT( z4!Ow_z{W$mn(MgA^Gd2B_G!uwW%4oEmQmVi@V~zq2DIkepscw>89pdCshNiC)7Mbv zUsO=Pf6BW76@bV)-hz~wHVDZ}SI2@TqlRd4V0j-SnyGoeC+OS3y9jSFR`%p+u@UW5 z8bn$>6qit19l^;rhpbOs9_jYPPgDOZ7~LXJ&wLWM>j;NI4D1KF@$wr(~2&RZNL$LlwQQzwYdR;J^Zau*hg~t{52O1NdlL ztTb{!U6qB|zRPFBC6zAB3ZSbS#-HLqssIrHg0O1c!!OYT>r7tx00lJo&iXAn&nQ{u kCboV3@y2Q$$+BJ=$hDG?FGoOW$;U5NxCTP|U?WxO|B7L55&!@I delta 53987 zcmW)nc{J4j_s8F}j~UC@#?Dx?8~c)d8C3Q{w#b@gh#9n*ktJi0QuNhE)Tf0)mdO-@ zY-vHIVF;z7L6bDeHowmA{&COipVv9}k9%IP^LXCp?R*Zo^&CR+3Q+^n0T2KN$O;0% zlES~k|A*qgj0RLhpL|H`YM7_}QNGtkEg6ErfHF4hy+|2r=hy9RLu#@FCga=1M~$MQ zqHq-i_8Ayps!$J&9P$lT6@fs6Hj!<)HZXM`oi@v`3{#@g zfWTwPQDg%=E;r((B>yv9pIujToC*F1)Y#0%yaNw5 z288n46dO-DO{WttO~9hr>F*WfGUHOGT3gijnWBtT?hs=;vJ`<6NotQY3<`2)TL6#` z*jE5O2&2M95cUEgC(L*828mgLq_z|w{S6DBl=-YA<0d#n`{K*k2C4-xph+m0;vX2a zle@eKFrbgcoX^nMv=_{7wDE9tHz-S_uWU*{cL&qO3RoloWXV~$V3_<@z(C8Fu?OKE z95IlYBS)lDgxREPXn|TaW=YM^5Q@yCbeTP(j8|}w@5~_L*hH38-gBscktQl?zxeQS zvI0*pM@r-Oa!Ou208R5op059&SNw0DG%~HMgtn*-b(?^rS4F$V%0tQ3gv^M$39nqJwTn0{m(W zM?p|FS%(*+VP{OlUYTs^bpGoqduL05=%T)Ec{RNGV{@`8(@42wvbNi!w0eCWR4rrJ z#FQvVdLl8aB(VHfTcoss*bhcINVeCPnT+0ZZF{m>0``z_FL~@@*NtitbT*`naDzUT zbMZc3HJie;{&hRUBoWBTL*SV5tRz0e5vv4cZ(0aOER({O2ylK$FC9{#xm>LUW4WkL zYHOz!RZG=l_Dr4}a-`5B>Ywn)DxFPtpU$2fC}GhQIkaB^v?M>5%n(6HfhAgYEjFa@ zfU=!zVHn5y?qLynpgLaz2-~liG7x;3@i35bzt0s+Hjil3ktu7^DzLe^qO2{}-_a`i zu&z^ASU;iVV|tyTn6U%LN(@%rduQ;0fnAch9I@804XaZqC5M@j9;Vy3d)Df&&^GBM zWgfDZUb%HYqX&VRib7uVOyv2!zB|CAy^cPNXvkr5p86ym^yYfi1u9Hf7gH$ziW z<=@VgO><~?F0RfrI6FltIrv7yYl0xftCTr1@b;UPGNEBgsomx9X%$*K^arFSMfpmh ze7|%%{R(-yW|Sj)YXEz;&Hku2haz`LIQd3X_^5m25l-cv>X;)I61}2?Ym*9PkbTW< z&8mBnWvs!3ic&#Sr&a95=KAqFVqX#;&UrG2BHH2p#_hQcPeV~+%>7H4DY~-5tnAY~ zF7ziIMnBZ`36HFnk@zzpBJU|CTA?7zf|E5tGky#vwmXz=ODBQ(v<(_%trEN~DzHS_ zDo9=zH*V{bqsJ7U9cHz!05Y5iUej7K=9>LfMAszxd2DCp)8&xWE#p>#ap_)u^W+8( zR==eiu`U@~>2cJKi}?dzEW<2BhS=7l{SGD8FHDOiQ(lU;UqZ9Q zo7yMPS3;-#{wXD88CuXF#2+;wX;`mzfOqFWy^EVvY=B$iZnV;w# zDyXmf`E=+XE}|`NHdO`0_V}OhTSV}9zy0~GeskKGayI=SSj{u0jY|A@IvvJP+@@bN zoBi2|gKTfmM)y^l?RCrD1Rw0J=!e@;@BQXE^bI;ZYAUQh zZU}uNS7YaK>3nvCM*qU8pL?$4VF%QM{stVF$M)fC9`yImO5fLLHGcI&l@Y9ZK^N`9 zC)(J7RHzteE`H%CBIk=S1NK)HIe*0Tw4n1j#L#~E2i9$MFU^uZNCE#h!5gK3XPn0t zlPVw~5^ax0u3f9Z9p))pJdtR$-EI=g8-$iA*h2(4H-d`i#+H8VJdhmzb^pk{gI2X& zw~3(K-s3wj@XfmI3n}kDzwI{d)9J$tW^p43a=T0ekNZspi=HG6eNld{ZjeB)x&mpN z6K;VHe_|q?E(sB6SI#C9E^{d@+P{~LhxeGjS<^0ui(!B!U#)3Xl_vrVNZnBYcnt#Q z6RqGTMsDXcJXDj_Aj5IM5Ijh&0(22se)`0qh-cu|9f3K6?ip(63t4Q@wAPLv_tE3#^6I{U==5i|IO*Nl7~`bqjH(dEaI<<;~ij{>ayYU;yS(4>T05H3nB zOg2vq=aGH%=>7twrRj-i+h0q`wtFej`7H7==1vw+GUOZ3qS3t1@ zrLSAeb%1-*`MChht!D0kxTTlB3KC+E#V3$}bU|!Xa&l_4whq-MHI$f&vjYTaS;t~X z39*WncJecE(lMtbgp>8LP=xDTY>fTw6qbduZeqp^fJ zX#jxy4=|CU1P0|TLx21F(>|u!<>Nw0eaf`c!%WddG6sep4^z?#7(ZS%YI-JN&C zdx8nH4e6-OuF>XEDdKmy-kr5xWZtsCl(qKo&zR>Q>oM{}HA@Ak9vx8;jd*|V1==v1 z9=KjkdFl`D_hx3-!%)s8%jEp*_PX>yh?N7)dpgAq-lN-*xfj&bcIZ6H24t5DnP1v2 zI>q=T7Dp!Z5_xh0@fnT|IB}ZA6rFr+JPZQOT|7i&NtpSFEC*4+1N8(0Qw8L8;tUN>%|n3BscmiD#=Ki(vcBNxS03uAsaDFh|%8 zP8tM{622z=ba75l$W387r%a<5zl2M|ROVs0&p#(6^s{>d-x>tvIu|i z!V<{x*Z@~e$B1URwPY<|5)bHrnefH zSJ*ulY1W`lVeSL#x(jlzz{pOlVs1o05T~G1x=qfN~U;-(i^F7O2(=?-Bjsc-Td0sHbGLyg4)vffqjZctG~-+ zHb6VdznwW$VAtjaUc0yZE>vwZ;QPKzuaEEU^Ys<9 ze?%W@XV2|ut$K@%XB&?p_ur-bCFrj%fH=vt1^kFe6})ci?^5b6HGxNdW!}Ro4}TJp ze`YvHLTV%0LR7*8yw{uwcFav5K)j!Wrdv)MkH=f|=7Q0yf;+NL!yRumO8wMxVFVt? zfWoEnCW?j7E;MvL0xKo5L6yIAI$4g^0&l_gq@A|AQIWkJ{RpXXkMcm_P3%)eCKw>x z4(>|SQk~VjDs?m!Djglo(`Y&Z$6&-{;kHA`(X*j$n~1(LbrI2w+vsxjt`Nk`eb`XK>BDKPMWf{VUs2fIM2GK ztJzX!GL)4C3K>v}SYgo$_a0YLAVR9M6-pnr-9lwv<@VY3kxoGwyMhSKbazQjA7!3` z>8{tXEaJprDSZ<`sN}piE847=vRJdbbWk7!8Ill2uH4*bC6%ZJX`iF*mSr67Up%n6 z53=iIt48)65Qw{@jhk3kMK_br?7Wo>6+5@7xHNc`J4Tu1`iOcDtTP{V{Lg7ofY{|e zwGAWdQKS@I_I5;^#L@TAkj6f78G3(gjWXPF!VGjV=1!cFKtZ_H&d6UuCdW2CL*AA+ zNqVbfvU`LZnmNI=oo4%a;M{EaURLXvg7sZ|y1A`&mN6f%-)7pi$%mdn@j^s*)kw-bCzwfzCR9`V$r=4`DEg|!9u7YV)W?W`f8>nadnh+k>WscQ_ z`M$G}@XbCtJW~QsZ_D{&ao2b_7bLRx!ajoGe_Hnz{)2i*Gq%FS(ek3cY z6#QQ5C}I$PGJO<5@>NUlzi~o6d%&-zEzVKqRyf`tVaSX_FlYW|;HSl_@{lvrs-J)m zgSHQh^LY-~K#wt{QBjnYtGF|ZWci{X?QcfDd}TcLi}r(~Nr&5e;JDOG#Df&Xkyg0y zpFQuMERbTx(>&5NY?xu9Vv#Wr@Zn*F$6T4Y4>$2aGT04sfj95S1`jw>pB%8}ag!3A zSg0fj&rq=qC21ev%~Z|rwr?*N7J^b~h$W8|!IGuqpdDDs8Erp!Mk?(TdAd?URzvoA zwz9x2kSXVlV%sg+Nxb;@U2`<&hE3Mc>gVGSWy_E5Sni>19oIATG^EEehhU&K_zQu9C+PF>9=+flQ zkLSZn<2zI?%f;D{({+4l;EX`py@qt{jD_8Qz}K5+3{GN8+XY|cUl7>(Umaf<4v6c; ztQEG7kdJ)T|Juz2s#0>&-|B%3TrF04M2@xZVNwo|TOroZ%ayWb6Hy(0OJ zqW^p(i0?cQf*L(B8uY|8-U(?fz9PI+u;E#-;luA;O5Sz^=|qE<8GQ7G+N&F)+r5k( zP4MHba^b-B{=g-bEle}z2oS5HcKmOQDnr{UuT)_%;&7;&{CVI&K*DYu3D8c-)=?!= zga8*(dh!mS8I9A-W`fBmC1R{%v%lJgZ@eoJ5HfbPz3c{^4F&?zqM|!v_nz=^jZL-j zO($^zqTQnSX&DESFe|B9COgE`lvHv?hgKGF3OE>b6w{qZNj;GPcqGY4Ifm*dXK5cw z16B#fCXg$}=t=Jxa*CAmOi}>WIvH%$Zh4%O5lCpa0Gl5+i#5$L1Wu1#Q!e{(&6yGo zgaRSJ;ckEt4Df>hPh#v;VCV!Xu0sp(BWeIXGa-Oi*E?W8ux~;scLi{FNeaFb@@OU* zaGHKci2)oGY=NZo;~{AiNr`R88WZA)aZbq-M=J$JfFWQ2=nDWnKn;)vBzb^1AjWX; z^$Sxzcu1i@xeor#`6_*1_jYF_u&led&>6)8rR?3EMbmnOsjIxAq1ECn&pA>U&vu?1 zyU#k;s|f|7{yjTCc;sVk%~5t%BpQB*GJAcTgwC_CWi^GO1Z~O^*x#8?#RQb3CR`^D z@PE6#92|^L$x;pt4VgO}{6^ns3O}=t`}kZ8pgKL6tA3AimrBBGP|~l$jTB_Z*1wL8 z(W2hhHAsa>^n0`;>pbU{5|2Df1~C$j?*E6w3AywBFOSKNQ5XMc6+dzEEv2BPpPUP+9tb5t8Qsa-qj*?P z{pxAa(uh@UIreyfhy)8Nk*M@Os0h`tsrh)Yv-WGBt6T(!$qF8)|Jr#A>%i^cGE8A{D%aGOpQ>@SXUUkO`Pnmt7 z$cjrc9>_QA!dqmX_h7|>jE1!AU->L6Nv%@0Fo4CKyI#9~1ux-Tp(N{vK@4z00@o57 zq^e}Z+Unr;6*;nUptBWMWFxWdzS_h`>sS$1djOnYDd|ghP2ihXhX#^d9LrkJwS$9KDx&11kOP{vJ)-|qhKe(CvY=-bm2!5H z23dlk$;egYDGxtrvh170$Nz;^e3df=wN=Q=D^mXMXG#Kpy_n(7J#eD0FCP=hM={Zk_^CBWGYquxos*T;?BCFoKVZ7>Mb0|Pv+p|R=`7F`CtW~+`=r90WQBd3gf8MjcZXHMLf z*)Dz`h>RbDHjym?AE`d@opT}zxQmPUL6NITBgsDNGNt0lnHp(0!jK52Gms&LzH$Fy0e%fG% zy!2DSR=3B#GsV={J2$1+=`ylVXo}%)!GHE9D+jVC9LV?w5cLRDV*4&k7Go(7nZ3o7^H&hN(=zDP3mz=NMRy~R2z+T%bG zgx9iA9GHwL0GGa`!em|u1d*o{UV`!9-;=8^!@w8PR}~j?-9ZZg6I#r%3~X6``2?o6 zux!l(pW_P_1WZ^lYvS71mqAdCu&?LVaDK%;iN(;g$LC^mGAJcey$eoY}qZR`O>prn3VlJchj3&9)OF zQVZXiHh}Z(Ri~s? zedqJ&`bN2&0CbMT1YhU~n=?@PB(#7uQGWQTyklVwGRcJ6Nzaqg+oi>buZNo9rmRuQ zOHHNx%HI@Q`z!IgsL{F>D=ja0v)H#f|Wzc(Eg`n^WPW9Vr!1XbWuUwTRb@?0R6i% z_-aLqYA>EbGrNnfykIk}LKbsdq~z{*N+e#hGcO?&CY04Co7)=JzAS!ccvu17iR|Vx z0Pq61RM%g~SXfS0*8}P3;h4DS29$ueyhgUo!3)B`ciCQj@$WRu#E zg3?9uAMQN2PV}BieSan|^EjzYLZ&Jdb{-WzWAp{Y5E_6Ar^2@z1VT}c2kKvsrnv~m zD+m?IK`-UTv-mg_3*Oqh!R`39v2S1%^DQ}r&NVx>6G}@k+s|DjaPoEs-w;J|cR{LX zHUnFG0G=OJb~cp)3H9Fu_3^Gi3;xHmUQ@j%+Vl`~p_b%_FNqkzKlUiv5&1^Cc3zcx z*$v3GqRMFX&{jU`aMWfT1q8|G1^mWrbpQPwk6*Wip|eZlS@YyIzV%2tM_e9i2Rc~n zl_)33uhfB!20<^pJ=;Qbi!7Qdy36u{o_RZP@Zwinv?e90!^sAEmgFcwIDpm35{k^y zZE?-Yv2xXZk=T*qBpA*9r76!Y?n<`0hZeRw3vzyvY0MIaBnx7R@uUP?YTPa^BbA&U z9Sg`8#Q^peQNY!sxb)fBczA3_wtQ^NWCqSKSyoEMq)ivb6egu=rW{jX$EJI_B&LCb;Omtn3Cpd zaAL&<=aRCO97EhgvQJ6d(}9zBJ+$rRYiMktYM?-7rp3nPgk2rghBE7plGCV?V-z6R zDg#ZpFTn&{w)E^Z?$JbKB zQ!*#1h8;UmnHy36=5$9#@s#tm0ST$>EHCG__g4|Q!PD(RF$xyZk*zCfD z_Gz3=rKRi%5|N7nT96^%WNGH(hNW*!T3(nm-T0Z7Pq0U-SX^ z!gy?qu{SZMX{74fvpY0HwKdzLK{~hMX;giQt0`3HP1&U{ zvF)ggQ9jYYzEwvWR395?VO(&^v+rb7rbp{uI{=LJpw#bYEuZuwr{fC5qxf*LhV&g> zXqW~@btd_ZQ&H9a)5vEM67g6{U)S6iy-I&?NN&XtGD{X|W@ zE6+gW2uq@F|F`YG+4MUsH11W0VL%+061^F%L{)2trE%ISZ)G*{R`puS2r&% z-`;Y%D=RevwM+jVPHGi6zKTaJkdL%B6A*$RTH%_&)+Bw|r`1V};!eA)PYEnl7?ebTvne4ihXPfxInf4g|&-yRmE<;}VNVy|+XW0r*9C z_=47ttIuXT@^V%|JLJ%kp{};TcV}T+n_V7)GFIL*oRdRd$vADLue4BiXK_OXTUyzM z@HZ^z_PFn|n5L%j`X;@QAlRl?x(b-0SQ|;+NvYbmH*nzcilO5Q{ku||}_vql<)C>=f6$bK>uJZz3d%6ZXlEnIn&bja4I)h!|3H)JD;1b?Ya zSSbiE_^8;g?V_sCl4RADPciOPy$j^+ZQv(Enm6*Ze4uBHds|%>I>L+ll2bN{O7|6_ z-dAt(2T6R;7|A{S8KHX}fBFp>{XgGN`($Pc{XYqsMKK?b11tr~%}TbfAX$`VslIxg z0!B`#%eB-xrXTeC9I+`GqG6$cx0IPvGCO$b`DT=X{+4LN^BYb@Jm)m!HKwfkPgQ=N zgJZ_d3K=jy$5Xn=^Q8}!@rKuAT`mriJSi}xr#!r^67QnaX$?iIzzt`G?j{&_T|~v8 z!nNENQsMiGrC4!&kFDZ?ueGMfnZmODY4V^_?{nl~o{7p3PEfjpmz-+Q#Q-qIu5 z;F%MGRp(97#VLTc(7IfRlaTPy5z13>;27j(@)_7wxVI&ijyBoMk) z@mn`Y!U=Nup+k0Mmib&jokQc{n^Z@|vk>VMG>*ZZs zoqEp^l^~*jJ#_jm1_uxeNC9e|zd5HnrTRL5Njl);{Tgd9G*MY9;@d@iQ_4}O$HM|+ zza%+u^g)kn1=gN31xx^QMGqY836x8+#VOufOYH4K1)xW5Fq0AWKpnzo2=gw|L{1!( z_x4q>)CFmb>>v_%hU6-xyRcH<6Q_uqxa4 zllCHSywB%=d!)zc+tF_jO3&LSnx+sUE=UGrBpWK_m`>;S5K5*g%t;G*`6lZ1N1T9!;((D@ z(sAdc3ROp;cWMx5i0QioiybGoK758O{)qYMcBH&C@s+#ppDHEFlUrqebW81E@{y;~ ziY5B@#CvfiQNhDU-IkF>DEgsh6`ILkx!~r#bepfMbM!3X!cnCwIYe0)_xC^NJMU7J>d1xn)8CBTDGxk%_jeaJvwj`C8KgC zDWHd=^J^Kdf);W@Ut35QzDIHtV6A(}XnF!B)Z}YKu(?ia__4HNLG%4v5f;CBeZ@k* z3sef1DZfNIo{K9=&*j~v6783EcQ9BtPbnqeyUr;$KI$2L44hU#3;FvRzHoJIcu*<) zdBN?bM%c|cH#n_l3&jk zc6uc&xAj@R=}mGPEfW9hoN=8*P2vy>*8}9l`E#@F!3usrm^{i*-Hid_p`o!)yg@NAp zF)1aqO^w6=b~Q4^{ua4g-|P3%V*E7lbK)5(HQNkTC*c$w4~gZ=&E5O77soUz7! zch4$8qT<2*$zvu?DHT2)r|zHdL^Dz3RlyN%FV~=p;}$uNSSr=^e&-B&Ar_b~WfMKhUQa_8lb>2GO9q*s7=2lf2ZT(&g+wQ045l_V zUU)HA5p~YJ1)Lx>WapXL*-{c*OZC_E;=3d9;|b6bYhY7Q#@?5NN0BZjJ=adRG%gwQ z2uJS!FG@@JN0D+u4k-828DBe8sEAupftKXYrN0H7)Uc(tztDVRdZ~kiFOBj6mLGbn zi(evi*CE=2;hEh3!YTgpcUSH4dPnnwhgMG!fx1^512l2vT4TzCpaj>nyfXU~(Vv(_ z>cfTyDwX+DF@&$;#}_#yC(tRE2vyj9RbMZ&Wd+3kQ`myx)2K+ymL7WO^jb;8x{4A% zTeo2s>uA|m64GsPPM8=$vZb&EYYuNn36o8}sJ#D9MYSG4brs3c=dUyNsY2|WIxefRC<>QQ&aAbi3JSBda;tl*F%_gj+zms8maxqf%s z@tiSk9hcX2A>GVE)mWOlPr&XKF7ke=_vCu$6^P6iv-e@$_rqgY6j0~|@dtxPT+@#; zP3W#?bSKA_--j$qC1KwQKE3TTp342q;R#?gI{S=zOy2&}Ayf-#K2-P8nKeg51kAKf z)bJk4`(pMEXiz+mPpvfmurF|^lK9+3;Zk5T|B}SpKbyJVE~RhMHo~^S4+4b6`)qIg z^xh;c*UlO5uPJ>LO{v{b7b@2LB0lE%w%vZsiD%#}3YRZ#_$rPsKg+t&Pbk`kyNJ@( z4o33yapz+_)g^GUMMU~RPu~$a@u}q6g$q~TDuaSV9Z1eP7FL0qto%iGxuT4Q@iF#W z7p>xkFBj0;8;+W9ipu^(=?$fs2m`}wL+d9lJ6dD(;?8_mKVTZEWGF*hlhEP}MV{SB zx!mVbjvac%x-XZpST-r^tIt2;m-3rGs|tZihJpPWY7xQEnE(UjV(0q*+Wfr|1uq2_ zQy3zIjhR$sRD3ko1^uWHvM>VGR9IW6gV)_FA}kasq@~h)r6=ufpTPnV_>v{OOSZ|y zO7C=b)wSyNE6`d3E4cS!&{dtUCFqpSL~EO z;LobyOu1)e={A|8Ps%waryX68vKWq}4LCMts|?(A-s34!Auc&5KRr4#dJ10Hai)t_ z;!d$gy|2qqL8@@gxsd})#mO73K-Y$ID5C3mle2#A&>t7a1%WFr{k8|jdeaH1NOa2= zKAf)V5Am(T-lqV^Ndxyj-`aJY#>%t^57lkmD%Ux3O?yh`3Oh zTD~4caP3;Kra;X1`ag!?*`X9bX&IF@95$Et7kY1ik|PT0QphN7TV^?!57%T}tZHzdWFt>H@rSw;-XH4J#Kz@- z(oy0k<^G|wxoq}491yW98M=JPZfRgQ_%B>nX$kx4d&Fw8S+R<%Wi$WW|Jngti&1GX z{@wTD90Z0{z&WEVnJ1H(1U5K$H}^s2ak9E=1#JWrthdMnnLsIiM!L(~Ysp5dejgVD z7iqKi)70GMw!Z&+lQiMq!xzgMM66C1!%C){5=NoI7()BvI@LN`X0M*mHT8*E&EitR z{tFw~I@FKp1B9R2m`X>4FN>ET_2J9#dq;FGd!^b6MG%#6+! zyqb54J9jDSAdcciJ(QjF`nyogng+HK@QH~%I!cZgdrvs1_@{UZA0@=0kZ?z%lA^}a zVncR{LY?E}uBhE@8L@^fpPHvW3mOsr6Io4n6QXXi zI1`izX0>*2aZdF&^(LW~C~-_~=Q#YohfX1Oxb94Ois&3eH>)Y}r!foeqM$%grr%f- z&~KHAq&XT@m)+p+3R)drsj6g9r@}TM0Xa+3z}`ZR0!dU14rC*1=NmR$V7U|-M-2ki zgpo#jEG~q2IP-^=no{GlBYAFis|Z5uYI|#t3rNPa>1^Y`Ge08^h|!SVd+yg6Y5|rfE%Ghn{#t4E}y=x zHsTcJfu!}A4Kw|aF-}@ej@DPea#wHx0yd(Z38Av?HJzSz>#SN@dheNQwJ zp`Fj33!|1}H(`Vyec~gIBEdI5(U$LRC?IRl0ExSd8#!qLQssbH7?995FVFs6cOH3P za~S=5``2A5FMxR5rl-=0AZoYuTaT|do_x!poxRsUukh4<#))Dln;Ul)I=?Fr7K@z4 zm82`m4XCF|#HO%UySWR=;uME_O=%0e&Zm?L8xx0C+d!RE&Qu+RyWGR@2*2=?vv~N@ z`#Yywz_N!9@R0xg;TI!nGllUB*%8fq4W7hyX~Q(fGS?uaxxjVr*J;RVSfI9BQ=Lcg zsnV{+D`#Rfn}jBUN{j}2p0k3G>2^m1?TxLF#0J<6$L@O3&O@jCIh4{eL#*=<&#z>> zxC+*^T3WdHYUmrVTJrV{`H8W3m2VD>f9L4p$XH4ped6=TTd%d5>ZN0XtI@}WVcM~A zyRiYeS}&vhQe}7hb9Pp#b_Qg-0=wlRO$R=0%SmB6mFJY@F@P{gcDJU^kr`#d?#3{2 zNqe(<-kj->E4$Em$_Ee?Xc@^~T*`f54UO{BsSfIHU`l-oYLr|#eXLXRcj=9d-TgHi z#N%V&)#jtru30g4(%0tuHid18s9SSXYKu0yUh66H8Sd}70jP!NXAmfN>ea&GSV(?<9v}Ib^uds`GKHB3N-xBO3(ly{|PeS~68d;oj=j zcM>)m;FyFJpucrt%Xm;><%5!QSTr-HNOK( zB!o5ymW&0qnPjH1FM8>ZmLLm(%tS0HN&bi{vt*-N+lZ)#quPHBWG@ejIb`cLz7C|aH2 zXT1O0IOYSRX)>Jq-Li;K$+@i2oFH(eu>$ldP%FtynHIoZ9eC| z%fF1P?@!}l(EQrYH5k9fI0U9&X$}5^13N3SI>nzmEMo42U-t2isS@b@{dI=~lUpCo zn-pLdS(q3+zW+YRN#4y1&rzlPyup1R`!@0Y5r~`tyVrX??9)EM%ZHH{dqSyqb+63} zRo+^@|5_`&W*GEhP4(5{1~g3r`g&!5C+4$ou}N}BjpadrQ6r2O;RkM#B^EtbYx)^y z!yek*`d}37hnv?HHN1m(eOKo7g&IsSkB+Sg)Dnk=N#gwOif^gYEM!tBb=c)4g5dW1 z0;x@({WycV=ERt?ReA#QU_f?cRJ!W@#8@^su3gdYa%V1j#XDAk3TVo=65t>c#sklr zShqj^{yzQ$Jr_s#{QFezJ7DX9tKNzt-$izbF;OXZITkUpj?R8Zf1Cvl1-P+X0q6L% zgP@n<03Noij$*mA;VIHbPNCwS^c@0u*`CM@NR7Mi_B3?HpYP`O&syU=VIvfZ0^TbO z2({ad#(Z3jA}6o>v_rk}-`|lE9+mkld1;QQC}#zA1O;0ZTE&~V#yO1U-8AryjcQ5P zzfO!TG{D%|5mReUflSZZWM*s^;0~Qqu#g8D9zk5KEG&DdG)m2A^Z0)Q0rau8xfNJ# z8N$jjQ=9gds$8ioa-I*Gqz&nKlMt_!UYsF+5^XA;?`(>cj=ZZM4F5DM{D-YNI&R$i z>G^i#6C`eok=QF8t(|TE)8~?}>x>FGL-B*P_>mCH6Y8}FWhc0c8ndoSZy@{fzpB3( z>pL(w^Pc;pSdLO<&iy4jGe8?*%e=7SJ}x;0ItLeewZ>%o9Ud(D7CP>X5;vMF`qF~r zqOHuz#%YrUo{p%X+uyc6f?m^jcIbSlX0Ya)Uu5Q#hjiIw#G1n#P3c}FD9R>lDm!FC zO4fM)U|$b+i0~dXZ_F}&uKWrd+_HAqW_3aN%qlcPoAQ>RbWl!3gv>a2%5fe+0OeG3 z=OkT52VFxZi$ahf?WD)+--$v-RG6Z;ta%?g#2KNrms{C$HuP49FZYLNXd(B|fnPn} zT4{UtVa}TPh~t>OU6HMikiQ$pxz*-->czHbw*vFu*-?R#loSsMPQ3P5?&5bPl6@NW z=9_U4rHf~_`rO{5o9SfCQGHLkg{OVUH@a9YubnD7M&u*gr+PE*%ugRZv2A|;%05cR*3h2qi-ytJI%jdM$LY^}BWAuNPMl`{5-AdzX zdVj+V8JSHum&P2MGS&-QrLNtJad`>A)#pd`VvcxE<-5da$>yk&W1isUo$6v05v0Iq z*}+?RFoTzJV3a@HYFkUI zRc_ZU;XCfj+^&a?Sckv>+W9_x1(J9n>T5|Ii7MVHLKq*o`Ca!XWn%O^A`OT{ z0>KQ=do8&hCdgFLwEwi|{H0@@S@Jkv_QuyWG5a=MT<@vugjJ$p{MHNH9`G2Kx zPmksm95Bd79E@xxtP~lKv(ABTvWw35Be7B^Ugo1zsvUHiDlWJk*Xj{edRN`4Qm;Bm zt)`n?z*6hp~7(NE|Q-3 zh-*uEzN$c0uvrDVqH~1^lfH7dT%@VQN+@sqR+UE6Xv@xpnwFekNfQ##mUlstin(qS zk&iPF0W`0tUjwCIbNvJW_(=^|f_d)wO=dk%e=f~<*irSWffPoxphj^9X4rBUsKNZk zQ>1d6IV?4;%~~Fm*-+&EMXPKPz6M2uEJUY!x&g~x1g(3-huIY6PK<+wU*9Cj)fC)2 znd{j67zoz+y~(@;;_cx%mB;-7qvdU>Ri7uzq0Tn9CSIyTsL;__O@KFDe<-)~?0KP4 z`a$?`h}s5oa$lnp(T-U!5!w4B-;{E?Y*G&aGKym^LdbH>zQx*%3ebW0x^I-ttFy%Q ztH`sF4Y?Y7&R`+~RL_Z(&1QM)K^2lN1!ygv{Qe+SCLm|=>P!UhYL7l3YCee}_z8Ve zc!qK|+l!zxuOEKXbre_y|6%Wi|GtRMLN>lZP*N31U=@X} z5VwT4w`GFrNUAykO0l>ipHk>fTykobDzN&yI=M_y%F5U>vJnv2eHCPFz#zaojokp9 zGjJdSHrR-Ox0NnSn_V2or2p}4X&wV#yvCMWM1cMnfoMG~x=^qKd=r|PZ-`+eG$cXGm&3-3Axwm~;NibuvxRE4u@+m&FPMN|j?|21!_$Ou2<-8>xihT_sMgJ$C9{A(lY&2-yh#Akmq!~T zpPE#D63{(aEP4U0vhnsa3f-0hgr z)Bh7fVIK}PRFrDL3`rQAbYNzx5PWJ9<(Z(wGjsG{C@@b9j)sT@Gt5LAa`#u?&Bs`zk zJ)ytY zI0c%p1U@vFCw$mduULNJDb}~k;hu{y_)V(iHh1saH6VlkeE#^4jzxjH?N@!M3Xg)K z1f=NH6r78ZOTV6;^Mh@FGST3mBT8NOG47Kg&i4ULJ_CsOnsy@nJ~J7oz2Txm!3CVS zn~K%Pc@jIK)s3wMR#pZkc)W>Whc1Bg<{vt60H?^w&?8EDH{+nA%7Az3gz!HrHrYi= z=y);wA`cKjZ3(7(m=j8Qygo7NXG1DYx$3%B$(C{n8Zs?zQ&#!=^AG7uX!_g9vG1Uo z+tUN(=p#QJ=%TYFEWM$a1 zu!$2YhTsf7NAX64$+qxwJD1KeH6WiW(XV<^2|Wkgx_Z6zRLcU zNP8{#SnreWj|>p#*P~Ctq`i3;qlg~ePXm<$eEnG^Z=N-EUjILa&ibJVw~ONIjRkBl zMt4YuwA5&n?rsB-61IU78z9IS;1Itk>Z^o7hyqfA(xJ=%i;`54F+flRr1ttRJipv~ z&-tA5fLp{&|F#NC`EM|O?LSIl>@nUhs16@j9HdB=gF!xfsBvGn?Axu8S4kMIYuQ;U zs!3shFdc;wcC{?|+0K`;7q08uYdXM#V zvcofO49T`decOHYE5dV$3~`wjuck5@{L_`*5=zoPT6U70H=l9y?X?LrnNKgaI3N98 zar2>6)l#^;lrQIc3nL*9#5)O<;r(Xvj}W`ySzoeau(6#7PmGNMyEaWb*F6=>7`AIo z-}ms0?1*~8i2TnXjq+?iyY6>(;vY8kuGCgPk<UO=whUS z>uCDmKjCe^bfF8VY}>ysx@G$OmFKz&j+@x6Xl(Yp096TYuys#aqQ-LW`nLf6TlS_K%rF zB$ne^pxquO5N)pN5A!x6XUNr7_346}PgnM<7QV8MUhKIezd~!|evdD$k7t-g){CBj ztRJJavhA@d^T-H`Hl1`{S?$*O+~C8b|Jl|E$1v!BMXaXwUH7ToJaAygNv&^!^?!vc z2r)uJ?d2DSyjo(^UkdR3iOX{kQuB!yZxpqhZ39Gb-;St?= zL?Ngb@$)Z`4d#-xfu1oPvUiUW&{#eZQVu_1s)Hu=z4lPqa(n(8x;u7BW1O>M-|F^V z*U^$N`4szK6yn)#lh4eENCB|=GLV?)8Ap;jsIZ_e-A2|A_z+YIIbRrhY{h=SnIdtA zj6;D}$-Az3;?bA1et6Chcb51L2jrTC_Mam-J>Cvp-f@OS++hAvU*+wnv0ALjCN@0( z$69IfB$O0_zVd$EdK;#h<0Q`u>mJSi<)#Z+x*BoRDre4JAiO7Av{mP5KJ z>}4uX{%`xor9TmaiBp0CovYiYyJgxtuZZ;(`2DCK|E#nn&G~Me(g7=$d`SJneB_3a z0~hE1xwio0<2a+@j=a$gHEVbu$9LvWM=s>ie+I&t%KAOXh3`J7FQ3P@poFzf;O#U$ zben2=zw14XCzNl~h>!X1j@{|=J5_-ulbD4?uEAOdY|-^Z{wwzwwUN=Y2e#{@FZtru zP6{sv&r~O8i;XR<%x*FzPko{0zUH}ot;+L?c-vC1I_+E#zj1T~MZ)+n9yxUyDH4Xv zv+Vzxz7>VDsK~viU1HFS#vslVCpl#!aenG;IGfhsUcvCVO~5XFU5VBd(q84-sLS3iLxF$cyJU95U zEd6lH4hYc?&QO1J2%u}eB{poN zb*cDSSB@OdPYnCVod%pTPDLiT>issw;OEEzHXNw%aZZ_Xs2=@*a_eisZ)S`MyGctI z`r^sk|J>sSZLfEGt5Gj}wkF@_D-H@Xc>+oB0`4VY2FBpNOM|w3`M=n`o07fxlRSr0 zL7J-amju?c9;WqT$c8VE)soAcs`jq^viZiR>+U-ZG1F@NW}2|^3%vPNl&o|O{B`NW zuMfg(JRnVOJOn74w0WP+`;@yFc=Yd)+9G!|EiN|tDntu2E>G=@Z2wUrE!oVkqAl9P zf=IQyf1J&F7cWE%it@_K(76(x;eAq}`lZji$9SPrh86Lzqs?;+;guHW3bp&!#f>#> zN9gIGhvB~Cq16dNd4+A=YJoFm={V#KIe`n_(9lp9O%|sC)we}k=d2-He85mX%Q%C1 zLqlR3!|-iNFcpP7L|yHVhVEv^as+;Q6Y{psI z-){G`B znv$*>*P6`5#X__+&iw=mp>D#KQ`zg6-XK;?Mzb>vey$4@J;R2u_(Sb_f8qPEd}r^j zU(qcSxOSWWd-o=>V0%$^cD&ZxEW(6mJZ4d%jQ93i5(6qEuyAT!YbbQ7gh(G~{w3t( zxO|%myRBEeas+WiLjKkIE96UP*=2oCgmWsdJdp2%ZNt9I$k(5l_mzM2Sas8??Pp z1OsH0r+{DjLMle5b({43n|VSn_6D$g@sm{lUlYxff?d7-EY$nt9bwd~3wCM^L#Mca z?aA%&tjTcz3$7u_#7}Ji>X|6Jq7^;>ns8K1^&(l+LCC_&xOy@;jq#Gv8(_aZ^}7hf zh+~hczS>|J4<~d@V~xj?&|9i~jB#wU1f*4q1*c9GUmj+8d1tG(&aHu`f=3gmIGZ(< zKS_`f%Fi7E?v_)s^ytLsRGhBJ@SnAWbueE6x+;zj+$XR%nz+H4g-0UD zvHn?vOXlTyC@6LVAiv(w)X-a@aNjSJmzqREwE=dpMk7fwIZ_*iuzXZ>gM)x`b5Sq4 zw#KvN;-2(Z%Jf0J@`xl>npz@Fm_0$%+WL?;S7`5G*PG4TW!bY198r%ae9-~u6BBOf z3)dqTe{0DaSH+_6ErRO2h6RqZ<$-u3);I~zyUY;E^76NDJs$#zv@AcWEx~!08|>5> z)|nUAKss)^yueMeE`EG{Kfw}IUZ>;lsGOs%DGy6PO;OC_<7H*3zMjNvt>|xt9untY z>NAZ`XiWw`+7UWg-g(QOQ{L*~f9#-|^Xh*Mm7TntPLA1IKSw?PaSx-vLHYFGDOye` z>v$%D_*v?xw6QU-Iwc9I8v9~8ht9_d_$ipKY_12l!|MFFc&)}_%AdZJmg(WF@@R!j-Z<2q0k?pPf>Ld{~ zZGw2TApN9}zS0Rq+F7!6CK~sn`G$g0{_&TfUwanplK?{?wf<<-17Tw9W1-@jZb}7q zt!#C`7H_ZF-etU;oEYe3jWpXx!sB16%A4w+h<{@pc{REF$E2lug)^~TJ!6O~xn_km zn5&YTyBb3WjOe@LPTLQN-$o>h)sO73AFa{5ds1uEZq%wh{|MAcc6<_aaiuU;P2-<~!o0_y`oh@H_6lqmY9TP`+_z*7i#TyFE? zX5L*_Tw7+4*}&%b6f55=j;dO%(!bWY*SqmysE>=kZ*# zCp(-cQy{*L?V0U1*Q^<1g+XYGy13PJ24_TuF`41|(aq9><`P2I@nA1y?fSpKm8g%d0EzhC2L43;8eq z!wV`w7uz}BmAt71oreb+aatpIeW0*6N~xMBIX2^$t;d>bm%`6GhX;|iZnf{%3jj9h z*ktaMjk0XI$RK$n3nwmQW&r1W2v-8A$7E@nZnqx2GRu6%=w@5V>WZk=7qDtXswrN* zoLfkd_jv;tDsro22byg(MFp|7@Ap#UHxb4LpSl1;nl zmtzkJ*_r*E>CjJou0-SWherX7__EX#KotmJ=qKIUFS<3=FYQ$Cao2zSx{!L4WgKbr z{b=s6dRgviqmu-MYCDSU-Vqx8NB{E>+9Ea3cq|5)v~>DVTzkG%LUxxpN}{@)2vjov zxk8ASR5-r5ymW*s_u(*gQQdM~T4~^Z86VE~3un1h2*>#vN=Sh1eqf&lnx*k+xO_CN zR~08;{NEyTHx*PVlcEJm?2Ial7ThPIuBf`Nee8GW7$iL&vwM_R7=}k(OQdC3^%h~a%aYHpB$w)RzrZ%9LxVMg;9Fx{Ygf$ z5G#r@SN`RuxQPkIR3nWnFqaNvSBw=urqTdxUoJ;vjeP}R7%v#Si6%X-ZY>IwyJYEC zk+S5)2r0FtZB>4QPBvToAh-Bi{@3PBT*-ys|H+-7+9%}*=abmp{} zJh_y9F-N^CTU0n=i{#S*OL3HXebzekrL>tcw8tS4i`o5K82q&Bq--6x|2s3ZDLRI4 z=)3Ia)!1&#nv>9p8_jSh+%l$gDg?30B8 zJ_h?qvs5Q-|4N{ZSq&k`Ba{rw7Ch zauXEDFk`b+)JTHF-F_O19*_ACP%j4TvL9zGHkBRm%6klh6qGg~V8=`sERXFyq_W+fjXkhF_U{8QDpETw{w5X13z85Z9z zF*iSF4)R^l;<*vm(#SljzrQ5*jhXr761vc8<7@}`Jd*rd0Ruk?9m zTVZ{It*ac8N~SSnVjqhL4DGqK5CvabV%t(A0%tEw(jmNp@(t(#bPDfwCN-lR z2}8cC9a?}|!U9Z~iAM!bHV}&7{~KRm;_X3plb)ayBZ-q#_kZG8uLK4!biwA3B=k<2 zJ?V4gUs1>}?NgCrQ1>P)0qjeQhx=k6SkR>jUn;4}9lL-8x@`f%pW@Rrev6FF=`l>Z z#Ni9>cZ8M(|I8~yf~ShQA7q}P!p=`vSG8(?nh&e$v`4xubB&kBREGCo>mJY zeUJrZ2yTaYt%g*L9ysN_)=jBl5FnJbEUC5!z28i0aGZ}lmo{CFQ49FSZpb3lqhG8Z zXMov5$X-yjHq$CXwJpf}B)<}D&d|$vn(8gnQ`!yTksVq0*;S7_7@?|Zxf%{E*4g&` zm8OU}uh&EfCTTEhdJuOM-MK>*O9z%(quo(+*9^q7Az6Db)tMm;^q%#)mAVM{cJPYG zhtNNh$}b-?kXBR5ZmmnGnDO4I6i%s&kPQGV?a#~O?NtVtDY5{h&c^uzsNnZd>0hgo zSNq+>lw}U3ZsJ|)sXVMK9YutxP+5oqr`vhUX7!aRzx9C0a0NemPkoN5fUg+9mpJFN znz+lq&&nS?wu3VL-Xa(EY&pAIpihX81N%5^4Q)6Nq*Q}RqjL#f908*vCnLkPmcK&A z{KY+`4evC(YZ3E5R4lE|H(45YDj?X5ydR%O1MeGmaFr9OfV89JIh>1PlcTEn_8&D>znbT~ zPXX1qrEq!?S4){GZ$fYAMdjb~qk?H~rD>->?oeO8j-93rgYSI`LI8Dv+n`5^$D$C7 zf4eF17nI7=5d{U2o`URSla|%j;2bNCV1Zb=S@L>MWC=<`1eqzIm4WY2

JPOROw? zR8VSY0O#x%-VL+g<1}-WP#B58&+%(Nq&9NulQ&C#s!GxO+1*lHi{%SN$(V?fJ6v`M z*F(S^qIweaU;QSp#3^{LD_z(EjFVjhAhY_Yxh-~xc@&7}6F^6mW`Sr5cIKCvw%}BglqbQx(iAiHz!9D*iWm2vZ`Yo!MqrY@l&O3YQ(D&3g)qNvw8&54CKv>42pdyy?e zb-EQa3sTjYsT0HS9)CfUBE;T&Pq~-;Q~{PY@+{`};Q!3ekEoA!{Nm(^!M~~vnwnl2 zr(+B~)Ky&+KvzfpCC}aEsFAx^s*9%`CY1j6hjBra?V9!}V5__0L+f?jgeo`GeAOJ0 z92R4v{npl^Z{VzI`S~XEf4j?pZSHiWqG7i7>uicR%F@{3Q^F-bp;3aNZ23K6J9mnS zE}Ifmjyep~+K@JkQ&7!(T#VXfarim%jboyVjU!T@IYJzoxbNhQpyqXI#^Aq30PCh= z`6itfk+$x=h=wUZ_%QNrwuvZ?pt*}7E85ArDZjlc+uf}?v+4Xxq=#nUit9=IYjx_3 z;Pa>KSgtYq1lD@YXUid+J4w0iP-|47nCY+j>`@zYxSFRYzOa|@z{x`R$ye72l=dfI ze(1|RrU%ZUcB+Ry1UfU(EK>gmcdz~I~n z&-i;1+=hcqDi24YJ#MjZ;XodN2+ZpkiY+W>?FrW<^Xs)1-OXo8CzNZFrxtdDrw*I! z1$5(YN49kX+;GChiJLQmZ0T>-UN1ixrFvDiC8Qw|VGZreeIKZTC+a_MTc124eQOwz zK<6iIXT&0p*}R)k9OU$7eD@1Fx^t{`0=VI=R=*P~Cz!684_j`KTdk}i`{IsnNh8 z<)m`1st*OYesVo2pChO*!WlyphRh5Ee^E&BI?5*<<~BXl#h|?8^_B&XnpgrfOoL8A z!8GXrB*a-_3#xt^#$#A%S6|?fi}prNFWBq-O9}QU227dYo}$H$-9T z12IdZeY%`Zd&xcWb}HC!oCN^*vg)gPHjp7%u5ue zWrq&`E9*P{EJV$yC+Wm4!wbO(x-KzF>35?e6`+Y7aJ&;;skMh|Q-+Rw#Jaf;IQAm6u;jCH-OG z6IL-dEq&*JA24?}$+2Lem}=v?KKY0fAS&f7J!lMsz`RHaZ@xA;1{S<8yJ_^9Y^oG$ zni=5bldeUDNj&c4chIBZmPY1m#?7(Y1@OBl^X5XRbh zBBN`KQ}CR@KkGKUlqGtgs251$!HfHBQSQm{9z3GRB+E?RlG*e?ta!)wMfkmtB8%kh zI}M*|ieE}_DPLCTtl&4N~QHj31hvkZBMWdmQ*k<0LI!}o#z6v7$ zVXGtnYwgszU;V*1bO;kSi>2TS$!>c>qi02?_9>~2(lmJ5pASD6flaX{Kr?s;=r1*7 zWRkk9xZ$e;riGb;+VVz})t`V}9%p59_(A$($BO`C)Mrl(m`4nqfmB?-_k|WT6%qfU z;eQbDZOluE$*`A-?9FP=ndC99wU7}+xqU}ay<}SPn1Zz_qzn@3RM!-kcHWp0Rlc}D9K+Ir- za|oWFXviC0AU2JMe_I$285MR&fJehb+n|frf7lVkH>M*PQjbq@A`_1J?h3d}jD3if zeH@iLLucQJs{mVM#??AvNay(-U->!Im|eIuPu4xfto?D_+~%Bcl2( zJOK$w*GYR*0 zBzLft>S;V9hHd=GI^W=?KiTU5-e^5{Q1OEHD<|q{35U5t=If7rfNb@Z+R-OVyR}IY zbaPyi*nasYBtUIT?GSfkGjH)alXkifHz_Gjd(3FrO56G3b#9a}))G3X6IUjl!5VPw zeIj*x$tidAYKFCv=cCeStb~!KfpEZ}R7X9U5Kt^6i+WJE8;&#nI=u!|$LaI4zfC;{ z&QqggZ{%7Q@9!|#YFvvoqosMa4EMB}r)bP&HOI^mF_lL6#`Ngdml@f8Q8u-HqBfz8 zX-k_k0YScBIw0AIx$txNAy?TQQ&mwumCNDb*JzLQu#kG`k^EmR>r^lTXyca2lZ8C7O%E^UceQG0T`gqJ$Jq0c5zqQ|F_QxfxE_MPYSFYo@8f^d;_#r5PA%o=mRBsaV9$waWo zK>hvruWv2RJWa1x5h`VQ!enIYzgZ{uD!}u2v!>3oQ|+as?!IMO-&7j6bu^Obi}??i z3!mrP652P_BBL_*BPw=nu7H;8sC@OzB-g~taw~2Z++DZX-dvG!-Xe-owHd>dQ`VjG zO)j>#8(FIo!oso*xg?mh)%kF?dOwyoFW2iju?JFRiO$2>Jc3+!G5-d87F7 zvCewK1jBjsEF8}i1vNZ70`Yko$?3TIa?m+=>H3lm+Uge(Y%P6L?u`;vKoj)U`4M3J zHqg>MCdA;P{Qs;QB<~U^aM}(=x{J&0^@-NLOsuxi3lE+t#gL7$E!aog;nv0U_Md&7&a&MBfF>wN?W4=XVY2lTp0SQNqp*P&&HaZ{i zpWR?}T4hQfrRHkWu)S!SN6-Gd@L`x(X(L+DEAg#4jKS|&u}ywx@b@h{3OAC&5cZl6 z-You!WQ#2du3yXM}Tmfjmlqo8`vy79IY*+LE<|ttQ6nfM)-4h{A+(1KHxUJ#dga2*@HF_ z4xm??H1$g1ZpzRretG?V+s_b_P}`yRwkxTo6w@qfIqCb1ia$W`J3tPYCjfWN#a67= z-iiBUGoJBkxpJ?LAt)d)`40lnT=9?48f99V92lqXlKU<(Ub=vdWycji=e3JZJ`C=) zJ-j6(!g%Fmj-;IgcI*n#9IZ0XFVzTW{187}=WlvDsWXLlB}~y*`s$GyHe+oq{}IXl ze=gxLuH%6*&LKn31}(~CgcxX@VxAZ!aTK2yCeI_!?QL^^HhiCVP_+D|XpFRC=ZN%8 z+Eb?(86c4IG%i*G1jG~<#Gj)Y?+eCSEATsvZab}Z0oOn5hFL6+^{P#g`M`RRK)>8k zXb33CpnL}#Yc08(w+P~?Eq|PkTA>GmMzxOR%0s>=3(8lB7kW&69*L3pG9alXTZ9qK z_$FtL`BXR+VaFSLg!2*-izbR+L+eaQlvBcjca;lOA0lBMW6-V7LnIQPnoM~ch2MMs3n%nEXXR`+;2?>4U7ycT5goREFKa@gwckviRw%a4bT~MI+ zRfqhGi_kKs<1sWO1!BCuzt2Q8NM7y+2bhv&eS4hay0yGibD$kwk_b15pwsv3x-XH(pT=2e958`CkgpNlh&=X6HkIG(Z z1v-0i1$(dh7LLxvS{Bv}1i8!o0R^)t#Rz7_Tph5c^V*LzZs(2Wk`Z;xrgJjNVT%L8 zA@kd$GFI9E^I5B@Uk)!8P{CREO-GGpWBDOKD!8aFm>-Ac`KBkKemVy9k37>a4p8D1 z>>6=48fv9zD*=0zcWSzi+ASEMiy_Y#8t{QtG*F+0zcSmZ<%kD%r=J!V95CT$e%s~Ld%r2Dz?PO9z z^sRflZ9UB0xQCLPZ@kyzz9{pWH*rthP9In7%vJqkIlN3#4F2Cmiu`8` zaV>pC5bq$H)&t?x>&~}fxUg8%_F>oTNA|`cn=WKq7y2;PJzaj!M1Ifm&8o5Ov5EoL zU$`uGy41PEyccpg*m{sb*(P%KPZqTo#HHH}H7_2~c$f@cV;A1Z`>CNk1nlGUjoo70 z$pu(#Nz={ujP+i;Gd65LbFAU@yJD%96L`lI?7J0|vKSG6#TG=41mzxwyO~9#2ZySN>KYmViH#+(6w?E>3z# zNr@Ji%a8b1aD^gF<;r}fB$qq|Jg6f3M!9r*}(?cvD6BG`t<-r zI3M-nI0Mc<8f;xY`~H8pFGL|F&&Zf7QTf7wEpvz&eUWLn4ib;He9-}9 zH#dOlKMN-tW+P^T_YE*2u$-Y;kEvn8Nj2xEh`Pu_FPO1+ELmy<`8lVbzeU2{&-aXQ zZvW>K&}L+t+(73H#u%E&F^ZOnIOY~3jd)=wQwM+ITDO3Z0KZP9VqbSe)Ajr%4P1g< zV~${35k$fk76|2=()Ct~uCwGSab0)^#KTkyx(_fw+gN3|O~sRtW_CE2oxKvZ+N&z) z^ffYTcggZ(R&N+QE>Px%uaZhYs+#3lwV$Sy?Fvt(B3;#vKWUf!=KF2vX-0b^Q}%oG zt#5Xq2sv>z@%$ia1}jS31kxNWdaaTHGkPOeA@hCxT$nkbaym#pilP<_7?cwveglM~ zzde8FEmwqox$#VM2g=gRA$rwpRQ8mmp05-QDpatXtokx(x$pi1KIZe=rog3{fZ}r( zqAYygjg%32Fqw-K`#0IEd-{@jwY#w^@8>o-n+&NptsPXL(jsmB6_C>j+g5r&Vvwh- zgA#9MaT6+pT>S)q7QKa6xN^w3FiZP64qH)xB<$`pnE4;YxB1Xe{$GPXOEn8MlK&E) zDXu8ik9p?TkY=7g4yQK+jQ?&Emgol8fE%v98Xu85`r#Peoc~ks1&soM!!oG5GYmO? z9s?{7rSdFA&Hh22bSN?@y+bGMz)9$EGV$PcqpDVNEou-1x7si1^M3=@MDg3 z8^86Tu7#$P^*SAJPJ`-|7(@;a@X80H{5rhLLoRZfJ&N<=FZ$Zx%U9w<_;o|ZVb}JI zVR@(+e{^+e(E^b)B-vaS-Q5dzFgIH5O@xY2oBZ4~`P;ch3nK|?RiBb$)PVW9kb1aH_edtYWMRB;y{;t)a6up81Wo&YZR1!cPsq}c z9kRSQ)cT!Y>hLq>J7^n^(Qlc3h;Gv@X^S1B^~AeVr?afW#n0VRwNU^)&dkz@Ujjfz z@&;{-WB<3qDb?;~shTJjw7$z7AyDIvT4p%LKtcWqxo?fpNY+uei1N}=&aZI~1PfG3 z7`FMY5uu)IV_P_wyFDO@G{|dpcc5|23Q^z$QxjLz=SD6s2WBFhpxk&M(AJI0{Xh)% z98m>)0NNmY=}oiyDJ@3RkuM>ZW2f}DpdrD!P+9?()||3z zqRVz)?Dt#k*0%U)4jNs1Y4gRhj>}XF2zHMR^9k=nYaN?AJ~}7t>W(cYSv5;JuY2c& zR&c)aa-*6ZQ9v||MHRdu0&^<^=1&OF)SP`>h)n{~G#LyNeGJg<0Vs^E_~{dbiqr-! z`xed_5>MNMO1MotPd;X2x5oN|<34`Im6fU_J2Gy_TKDoRoNxFQ2z~(2#^*js+p8Yv z70LlPGQ?b}BylX6PfN<;b*?X^^r|7wzw_E$YzlEcO{VXuVk%>;%Kn^2a?&i!E8!5c z)&0Hz(b(T$B=NBCrVsp4yO`e%rfBopFKM#Vrz^O+PtiLcc=||UAdWkwD`xa4PTg_a za;~P>V?^PAPe3O1p}3%kd#2sMi>E+E^~JPSHL;VhQ{|sJ5TV~_0`!T3r-iiYyK{xL zqoKi>zKcRsCD97I|5`lD_O)%Eo>B0Tx~yyAXp-6IxzfQ4a104EKb;0TRyB>xXV(jG zO)UTi4+UkJOD!WoMD@RI0Q=9J%!82y2XE~#^yS7> zoir^*M(3Pbcfp!U1a1T9`FAgo*J9$2D{DZs$;w9@vq~cpJNkHk{Z#9#vnRVgws>%{ z!a^7Nnc<3@W985M-+mU->>_G$ahDo0sW$W7vtL3I_<}y9X9i}W&jVkg93Gz$HEuE! zLzYQNlTHBajlTek^wo%f+YSg^X4ZXR7Z>ztATin$BuE%kakVJ=(4P;Vcdjr%E*Cif5Z1|+PkCYYq|iz# zPu4BQp>A@)eXD<*NYKvXW>C#k(D%S35ZymuJ3t0@aVbKEsg37V?~dOw$`kiqj(K@G zout$O{MSeE{V~+{O&Wg(2qfnG=5g6Ow||tZ<3(4~AZRL&JbbLw_|n>sF%UaHqvMKK zcMvaei2P1w(gjiW7}dU?(v^p9)Gn3^yY$#%Np9yo|m>})2}GAK#KLk!E*E_mGr%zvJZ8?xRf1Ip~7bt%Ana45%^nq*|izdm?gnX$3^Hlu8@{Zu04-c_O}{?>d&ZCpT3af#D@N7D7P&ag z!A-J(Xu(^Ol}Pkeac_7JhyGM1SmTq#u>631o3Jc;jwXR{uXa78!hF1sTiaB))XL03 zWTNCjeXDc=I8wJ>R&s{!T!vntUK8LFtL@YMg}BvBynKz0XIb~y`t@q}L6tNwb0EwZ zoOZSP_StGd`)v#_@u)j`V*UOSaPtRb)(uGlvs1%PQM3&-r88mekPw752w!udB@iwh z%J%LTW^hBR?@s^294;6C-l$@B@WM*KT)u$m{Hoh$p-m}pGH*6Kp8C6;c|Eo_h1^`_ zuBX3CQocb1beJplCtrG7gh@!gS`^DTBbXSR@<=hY$K!7}xoUGtC`6Cq_z*EgSBrsx zQb~poncn=@vE95_dBhUud%c{o@>BNY*$mWXdwec*9#;fpG+Ag~`)e)>HC^6}=& zNr3V8TY8Q6|9S=MsBoyj({hiB$#-Z3fd3Qt4eg=)<;cw{e;b8tEtuA5ZhYQ2`)%$G zUJR1E9?Z*mZu@YjAowkTu|pK|UeEB@ttn6$n5;J7BldZpjqhWipCMsQSoacHnD|1j z?Gi*}^~@W?Qj@!Z8BHRImxLCaPHx4?s57%Zka+dH2K=&!p^_4x=+He_T z#u#inL!AK4om#l|jo60VQYO6JnyE#Qv|5NcqmE5oU*c>m3MVl7d6y*&(R!LGxZwIH z+>HlZ=P#ZHcAQT%PC8q<%GC}RmENB_*RvL>9{0~5UXB)=d5-PSq7Bc8>6;|NoS((2 zgQXi~=ejl0{P%ywNU1-GP@AjZFiwVHt22_RS8HEK@&d-Ks%|zeOzG%)^W-knHLZA% z-aDO~Q;nAT)+ma7_igcHJ!R4FvM}arcEiEb5bu@WIJjbyLR_~B$uVAlr}Ls#LZV8GM;x*+gy`6Au>S`T1I-_{DftG}O(CXI z!MQ{O?&#@Xm)_Ut}7W_WweFePZzTF`uK=EJcx!}eJ z29b7luI}Ez>VlN?$Rh^>Vx@lq;~;#;vVxsAhMHV zq_`~&mH0z*f*?E}88xBY#O|cl2RBf~_bLH-28gy53t6w~J&CWC3-WRzTEIKdca>Ae z^Q5RIk%{sqjt7YzCdbKc_VVlcWEC$RGJ>j0vSgnIoZ00p-%KJTb0eD0B;EiMVf&O$EBNd67=(sf-t#A@>41?7M*J2!)g#@_Yl~@5ML)&j>(|v<-rAwtpQ)aA3Ut7oDld(@%CrOyd{TJ0B?-=#fX24g~ zxV%DTn=>t3V3qe>p|%rEMM7pECm{#dCID*Bc>Px=rv6-E$g3RoM%=5 z|DUK_Vfwi9?DgkHBcDjC&C<5iqVGfwZR1sW+3eOuek z6M~h@z^I)|QrBXO-ephHE~T$Zv8u-;@qZ$xQ#Cy zx1c_?XNoo?akzcC@xWbE{RnlXdl>t>oUa_6uye^;yg}T%%*-2Wui3}glxwC@%?c#Yzs>9hm>#*WE z3IhC7dt_)L0&iU|9NQ19t3n8E2NA${UHT}@({1#<`c_FtsK5Sk~be+R4+W^WI6dI2sRb?r+dA>8w|pAR{F4Ukclg~;O}*(S`DS}8{SQ;2&4d403z z=q#k0F<_K?ThbIz;GUxDyiPI!R+VNv$`CsSs1buTG^`;!1bx>L)XbJgzbZ<$su-7A zlez5V8|;7Eo@?sW15Q2%wLMG8$(lt#uUl5R{K91 zReiw-{;Rxk3#KZoATpE`A{CmI8f^p_6f2Szs4P$er6Drnr!;$%qzBTrXH`_8ay3UT z(=W+rhKp%_t`e-IeyJz(p(RwzZ?cudPI<HIw>uey?n`M==xRY z@L~uEcG>$zPTy;rkKn(++F_H@%#8l<9nzCBlMKHX>r35xUW&3bfq%VoygUXlw!WCc z<{uz?5vYWhyMFeANJPAQ%g=pMG87hL$q!jYceNlMV*d(IK}|5obj`oQ;kP2!1R93^ z+Eob4;TupxD}fe*F-)?zdaX%pyV`UJsM@)3|CH02rHNNN5386Wq@tVFVS8)u-+RZDd1T#Q@TgfPwmMZE>Mqxw8wy3Vf%S{(N*^yW5I_ zXr6~mtxa26sYO;tPTHX3MdA5-YTQ!dnX_7w)H7Odao64~JC#~32M#v%>CR)@Jr9N+ zI~{P?LVLpAN7hWv+*(KPaVaYu=1W~!O{58LB|F-`Pnae3(SvN(T$#F0w1F?sA=`s& z{GwxGYL~xO!!J34tQfn;4+NNBBa$D*TB6mC=hY9CMeE1VM@-c3mA3CTb7!!In3RnTjLiGjeRlsg1g@@9WpF?P+jca3x`R8st$x(A9h9*%&9mdz@aRwi6DDr5^yxZPx*Vk_$6*H@9)Y?>UF}w}uH%~G`L(4pUPi9) zreI0uP-keqBFnN0a`~v`=b%5QvyD?zPsP{nh70PKr(?e4rGewh5ap?!FHl+}PX0Tr zI%_Y2hCBUQbZ0X^p2BG_f_{O%D<#`FLsYImtz}NKa3A9Y5CXeWDgYTkbEy zA49Ww=6bjHt~*%tzSj7Kxv{iV&BJ^Yc|KuJ&W&FP7#_1%{7LNW1T6_P8Wa+AY!zL5 z0orbYV*LxG;+HCJw|F)q7`V4XGQqAx2P0lnxka)4a=+MU(F8={q>-(@fmsP(>Q*+zBB;5yH zj{>=@(XZf%jJ^Z)nEY3TJ=k_>4@p_CX^6(k?JET|L3lZ0MVOuxTS7%oVG*EHyeIA! zr^DUlLUF+cHB5+#ySe$uC8N@V2% z<@*F3yx3d+f!-WJO(}tt)l?c)S!(KP8jYGPEp0XG(MBDXuAZ8HqXEm%NbOjoG0VhM z&8*R!MYK?}Y_wuo+o;(#+Oh19tDR_cU^zOeIXAknT;0^%8$DQ_UTWTrJ}h59HUGu{ zR$!1?aAOE7G)yhLF@kmSl-lXWGpxw7YEg~nSkW#Q54YBw8iv2OoQ?M~xeR#~}PMPnuF-hH(PjSpE>)&HmHyyKz%{{a5E>72vao3r=c zD|fa;HrYE#QXysB+3t*vZjZ_buR~MYE|m$>IYsms5I6!4K%l?wAQr^yndt7UiWr@)}hi_N9-Et zey7q?*E`VHukyZbVBo_?l}~kp14F|qBXy$#W8*3lb)N?&r&OlvW(H>GROagz2Iz|_ zOLbocmcOd3)O{OZtg5WlF$dPat8CQ$7})%&vQ_tMVEebqPTlUn-XE3yy1xVe4pa{7 zz`wGWVD|*0?}^vQvC>^w8ggK|FObBwap3A_6)I@Vf1T~3sYfvBk>JlR&QYd;VI?1$ ze=VZ=UM;pocWlT~={(@ZTj^09PxEv=BSM=f<*o?%zh%tJExzpZ|Eu~v=EkZhtK^>u za!I0U1uWfATV;0IvMFeYD?aiXIfnN0)+7GY#_g6-zh1w;y$%%pgd!{fqGa;YhDsUO z!JiO6U6}LPjQ3>Ox_c!u?tzPcIVAPD zH9R+Nz(>qFvT*#3Q^fBycZJvU3=5Et<3x_y6-&v!;V2uL8=mEz4~&H|c7){7OXEqO zi+xL7uxzZU=p-2(v4%eqts=r7H898=AM*R?;-7@eT6u$>)4yD+z{SSld2A>cC?DKd zu70u-7aFxHCb^ibW>Eq>Eg!rM3}Sgt%Tvl8;A2T&6bQMu z2o6cBnRx0F&DoQo(EH<2YVVNnuwqB^Ji$i#EkWdJfOlspqV%$03j`9O3`~fK4^_O* ztx&>n{iwi+h!VR5EpjFP+L|81uUeQMRNWe8^m3osYXMCErT%hrs)4maYCfkn4&Fiy z{G+QB*rn$;McG4C;*p5SkE^-&$w;Xm&(Z;LZ7%52+N%&&-{wnDZFZ^LI7-Z}1pn!G z`|cohCpLg_Ium4A3QT#i*`aQ!70d(d1T3B63CT<%l6QuQ3>nbEf|ZW3|sr&c%1uCmo;B`AZgJ58|r(wQYvi67+mq zo-&d4+iB*@l!n%{sFwc_%piPrf{<#@AcA1YRPGPD+v)N$l!+C{vQu4rbtmpuM9z<-84xsxi0-;Yp?*}s7hI>X5P`xw{)aT@@Cy(O zoa+PWZH6R`GYyG9rFVJZQIyA_*vv%^wqXuf1~W;_6YoC$DHHxmhyy-k=Q2F$#A7s; z)pSrFr&fkEDMjX_w)Z6peQJiM3g=2+?TDtRlIIYb{m_|&RkBxq3qTMSS#%NMdq<9c zaKTd8A#r+0CcuSw`G={^R@$WE4xu5grR&jFG#pNvXmXC8ZiTAsc;nI*1(Yi>T)@j_ zOyLn@>}+4#kIfx~Mm6HGPvqKCIt$vb|DC@3`GeSoniobQVxp;K)9#oxUeiFI}nTeGEhaa-I zNAu=dDuq8oP*$Q4X_B5Lh&_+|-Zgvgd}u?Tn`)7DL)%(=QVQU=`rK!ism^pS&Eb%9 z_s(OZ6G!$KejL&-4F;U*ENj3VyRdhvO8;J2nybB5w9wR3bg+n(x5-w1ziKhyj6Gr4 z@6313Pa<0$x40?-4(krF4+@=AW)9K+CGwngb& zmeL=F^_lGB!U~eL_RFo#c?A+r>S$Z%&^h%n{Ef(!;HwH`Ua0F8>7;mPpTFk5; zeA(K1yg8%Q6jL^Oksp}6QVh+zm6VW4?h@qEF*Em9yJ;>hCD|t257eh%T|+7(!7QQ> zlmk*RX`)HvwuLe0wg5nFC;gakVqJ%Z?QN!_`ySCn9QjJOv~vmLIinUJxRa=shs15X zl09;>_{HZX^3ho&{N=s;20j`@{&c>obT{ai0=MxNPy*E;`&vGSu&k$dL$wpt3dCj4 zsr9xB8uWu9!9x8LsUH{`4V?J5z&8IvSwUtS;HU$ zj0eY5p%Xl5tOGiz!&nsK9Hb><3L_tl_9BK5LY$tq*8CBQxP@BUQm`JBe{Xy)D&;Dh*m z9t&j#A%*r*m$0ygB4Q&fu7Ac=+$jVL(VD-9o10X1Na3Ub9 zCi72317d$d1MVjUKcsvhEgB51EtLMDY2M*A#yvDAN2Z?5{Av>ZBO`we@s^(~$Fa-p?{3F_rVTL}> zWHTVuu~W;s8t9jj!6N!|Akr4Ygij%zZeh>>+Zxo|WvVNwTe(pYVbS!J#bx9;n^lw4 zE^}s=I5)3S@6CQ=Cr93YQ*MrL-b-$Z@1Yw=l5@ix4xC1Fs6g)TAj4fs)xHoEve7YX z&N#`YQUJG~tkmv-VUguNagZXt+Y4!^lkk0tvyK@Cl;6i#q(h|>=ijQ~fpeSTzr|76 z?6Q^V*pHDrkS&94w()g#0e38;3ARde?;}bp#O$gxue&>_`NP^>HkMQ0Y|TU~UU)ul zoyzPnNF-s0*1PIxVlf?X%oQLrW1IQS$vv+c%I4yi=B1zc0d^W2{R+wfH1C0C{0u{ICY6a~HZa)Q(^NrH?<9JTx*LcAw6+PJ&pr0` zq@MYS_NF=o3|ez3oOa)ck*=0Y+)GAb+#+A2HNP|{BHSh3B7lS+IXno+p%)`Jm0F>P z1^lK`EZK4(SHsYAEpZkYqIN})uDb?>5)Phb-$!0ftHuqnkhEm)7^u*XNAdsvRfry3%zV>FThdBOG7zlW2Y43T(M>rJ}9y|?kX?xZs5 zb>Al&54uxOhpQcJ9JGp4C6vbtcx#sR1>!wc;ACbOiHI2#@Qp{3S<)oCgN&c-q&U~x z+z7LKU8482jhpz#Dj7>_t1#zp(&E(%(TuEm_oQOf_e<41^HWpE*FjH1<%ziM;%6H8KZ z?fe-EWokr)EZZFCoDuJwhZt1WS3k=XOOI__@)P+KuE2|J`)D{D$2Q*6m(=nCmpO&F z^6zWA*mb_hhrlA(){S)N~-3O7fj)Q|@#Y|45o!O@ZaJ?nz=L zAQdlRVf(1UJb7#W+c@ zGO6sONt~Q9a<%a%beez4v_r$PLY9CfNfVc!BvJeDPBL!C98%(jYqLU!T;Vk`8YE@I zb4icke2E-?Ty8`A+iE#NYAo6?$)4MFBJv@gjbwctG^E3nJ&7Q=qbKpn9O?}wLa(s% zPCM$ATCha87Wq%~9=f9Usp`P6;ot%Zr%R=N|5DTTH^XZfsqC%>j z%Y`hqzgTEH9MER1Npy(R&zT%cN;k6P%6B(?qnaesRs~NBBA9bFL26+XMa0!o2uJms zX-;SF?#ky|KYWmHIUcqPe$pkL64CpEXFnA-Cb{}KgtkqHx4}>O*|SY~S_~9j z6^AC-+ucI*w-upxAYCWj`VxJJM}tuEuo#i3vXfWkw&*HD7CHG_9Kpw5fPo?zzMIPW z@&RJ8e7WKc3-qOWobq~PDG+yYT+4uZ{^1Qowe%0J0 zYW3rXvb(2q{-b34Hs%b%zCN4>06{0ZE#y_9kwm5NL9}$H81piUg&kGHAH;PaGJctw z$=|37yYhxBhQebQczW^)U-^sMZJ5B+(|@503#sxjFKNg0%QWJcmFp=$GJpNt>FIl8 zet3;*cHP*b5?XEOnPtPQXlrTPrEmz*QeC+1Rvbh1(ClDz{tou-KsL3lG^@77X6|}6 zk1FTi`Jq^KHd*e=s-{Bt&PyyB229cEL71+&Q{1~|d<4pP(OWo4||hL8A6u=+3&=L%JrrN^a& zH357m?HL$mgb%0~4qr=;l7M@?8(Uj&&46jpdJePVL5-ZO%jL58@%#d-vCwttI|1A2 z(R(N-hDxiln>-bw%xh}ZVDD^CWFBg^VC8pzy1@8|F;jU!iu<9YS9Nq z9a;2?@VBs?p9l>N!rM^`i>^~zYc#!_32E!zsUqcP<}!=Gu3i#IjMK!6Ni-%T+?*Pk z4VY(v9#Zra#Zr>gBZ>yjTk8>803SXjUKikjYeZuq8tosVXymvt7c&fUfihc zTvHYcFG!@YC}bTkLpirIXI7`7fHL76(Xe4i?$C1MLdCo9(EK1ZD{&vqNL1^?=9=eL z98nIg1=D%u$=3=dGoJSAzl!kq(5=dLV2MFi1jHnv7Ea0;Ba(!IRP|Ut3*VF!QIMA{ z-u$%ypU;~Dv*IruF!q10lK!O%6El9VhW#sz3-B2-eccYR7g~zKu&`BqS_E(xiQGkM zJ39D_Jaaug?Z*vf;H~^l3J)m)Wgd-a+#0kwT%B+FwE?VF5wi9*bM}(DD2t0zj#Kv^ zwh6CPr_pnmV=tj>=Y;*gu;eFrdHbmY3+^uRGmX|<-d)yn{*4#%JFLfWCwwGA-}~jx zLl5d?1XpF4BFaL7KnMKd+l%4z|5i61{#^@1 z0CbJ0e;N+{YbmHp|5nb7@+x&SGzA*@(*hSn-aezbH?~?&PV`+#?*8^3%dZ&qGoj~o zyULto@6W%yQs?f!{U_y&lB|Cv4c;|?2AM7mT=?rek4wPIDc|95jV=0Td6h^Ijwt20 zFLbDIbj7&`p2naXvD7 zRxa`ik1j!Vy6}L&}t)OV|iY<|$5%tPN!;wqk z-tb)&bO1vIDLtVwVVk`3=sZ;=nY{b1nLD-j)&(*#3-u|@QTas&VNSRc4q%JwO2Z}u zk|HUhYWK!+{Etr(rRZ%5(o%ODq;LgbY-+QHcxj^{4JF@5f+Aeqo3E4Sp{j?o%mE#W zcc_@+U1#rpsPxSgF%!pFA%z93hlyQmx=SK4)_L^}V6vnd_l0Gva*7+axf{(VCjotQ ztwvfvXhF9Agn%E=g6YYFact1)_j86eGTj#($siPsgn#D8xCJB^%$d z(vT|$o`y7Qd@q>k9i)lV<5=O%F(v^(l;*uK%38l=m zx(kBDAvW+_kl@alxG+uFQ`xQ9I2V>{GUQ(bmyoQ=x=Av@vg#`0QB;y`H0}1GQljlC z*02I}T;u)e(&;l3>BAPi#9PddCT_7+AhXxxRO}mON8p!uO+Uwk*cq5tU(KgOY*yQk zS?p@Vq2NUgZXm>Dk2{PJzwV?|A$aTtwo`#9Ws}ebamJw|yONcq4yi-Gr$BqG^Dq1LD#mAVPw>y5TutkI*?A6+(iFj~DlNiH5zz zsM4Eyk-Bm&5Q^$_0}G0)(aj9egVNRd=g?^%$$Vqo=Pnkt9b1WVR#?@&$aar4_A?wX z4+EJ7=^!py)JgJ5xwOZ12k+ue(E3m@@ccbR4)kE8r(8HE}ofA9Q6~TWt$v-1GSN=)%?f387%JEox zHcObS#16y;#jLz=;?Lk)fs28Ws1@3#&Ap73FMB8V$dS~*sJa#Zi^P4`kQiUI5x{-4Zj zc(wSV`ca-1|dsEqk+b zo%RB%J9_f#PBy8nJn*zK>MJ%bGqQ0H3%DLxoPC|Y!?&FqVH+%uWZYeygBlJDEL%^f zpxCBdXzCyvPxP?@IYJyhX0iQKSYftQh7F(T-@-3}wmfLO?i$+rGgTT{z59wM)Q z_Ifh6u_y)(FOos=Dj{^IHZsokab57BWi`GeLLZF(JqZ*1F(j(Y)g9Jb$RLpPc0S;B8xQtOR;Ygce}_RnT0tr=?*c%c zePO5n?if5SWCsX&`X}=+@y@c78WBoW!1TDG-vg?T5f%=c-R+bE>Lb!=>Uf;8x%`Ei%J^GQ ze&UN*iV7P5ASh{eRt+GxHFCIVkJ9}dgswR!g6+Ve%GVn0HhAu=_ zkP^ryv>~)Ev?jvX4&5;S{Ur-x^jsE0Y%qoTa36*w_xwaIVW7OJ6O4jsZPRYkFrLCR z%qeUqRnQ*@>5$9}`*>9E2#3hGS)~$%#hwGzf@7<3YSv0Lw%1;4&2YCM;uE#qUvj$$ zRVM)#j}$hRIF??8*lC2=>^PV1-VnqXIvw8kF7T6wllq5Vpeu^7Qk}O!ADda&CI@H10wBPEAG)2{akRr_(vbzNdd? zX&i=*28;k!Ot2=mGxzgbM?8(eFO05axFO5ky=s0!-@F5SPU-#{c$>(^WLst1Ut`;d zHKYhuT7G9CgA>X-=|8YU=J#ms1oqXC5eeE!=8qkoo%E4F(IL0<$*)K@jNIL>jC;q} zfq{NDDhD3O@+z-Mmyiv|g?GeU;*}NQ=>YGGS1Ocgm2Kp_fK>?BM1QRgqEfOSble1N z#K#AZ-N*_r&p$_t0O7ihkL%IH_$3uHvg*bAE$q1k1c#p?5IB*pofCC<^J097SO`kv zfhG3VYfI97rT(Sy+${B=o53LV)F9(~u%7e_5?3IpW)X}F5XekA!kaQ))f!tSBtzvC zt2`e4=qL;37CD&`SI}@j^to~rp}90yf+aP9UCypI0Qv!%q_SS9*!Toey_`C* z^dCV_jYZ}=*61rBCnfN50sC?;Os3=pHaf~hRk7lQQ*pM7QMQu>AOI4AxZjKLJ*cxm z!2gb0Z{oxlg{2PHwYlHaJJm26lJ<*jxp?`5hcK#B#HFU=oOOn$HyhF`ujUN-L78pQ zx&CifY@BFB1Sf*`yMb)l3I(5q=end^j~6VNlqdh~Wq|^Fz$<$&5Q5DrLcIVZ*t5Dx zo9R)UjPc4qgARhwOAPYlGe$>CAb*#LXrOIczd(JNKmeUZFa}yni$0qem}_IsWpXnH zj3HiNEscqSroLo1s|;$dt`mLf)Cg1O%nNVJF}V;0-i=(pyZ#@e6``2L6!(|K!(IYP ziB_E!ft1{DfyuJ3r|WVZDOfN#K$&15&?rP<${knDx?N-94$Umcs9G1U-c))B4;oma z@_^O>S^j}=a}^}m6Og69GSTkf>71QKq)TvZigBpAH!}sC#;@tnTr}X_8NmhOV1Nd> zWu9aCBujwqvJD28VaI#h>=T&-4VSmhV>_prdaZANe%V9&TH!q0LaJxENMHq!x(=NM z{i#7k0*vJle`-6!-CrX_5Z`le{#b}gV z{XgA}`*iDCoKF_q$GNjLSL6N=${j6f7=zSY=Q!{eYZH~{3kwT*6J$W4d+UzK(Qj9Y zY9W8-u;o5CR)nzV4~|VV84D2OYAy*W_jGUw`Psjw#-Hx&?(rXMzx1hp9v5Zx&DS!h zt&0EiKRAe*)-?;wO1TC$@rg}6Ao*5pCcuv`q0_yc|Mg9_ahu-AeJ{-iR;UxPh_mJm z5%ba&jb=`Mo&L4xPz~R8&Hwe)C=a&=QshJ3kN2V+>x>R;p z`4l2w{IStsROuI{D_+J+1?9^Rih! zZ26C9iOxCia)DpPfFN;4R7s^ntjW8Ck_|P@_Rcm;mf~)*J_9$j@fNk-Oo;gYQr{a_ zpH>+!p)~Y|ySbZIP)z=XJ>2Y8DjcOlysNa{W1W&@=OG38Uru5D;~oEQ2-@G=V!S&= z6%oPUZY@`zGU59x#1y}F3RfswcJq`$n8r8he$P`2tv`w`fg!}^tVodO4e7d)-=&nK zM!VM5k)od*@~5zz6aPVhinG)kv40qUy{Kx(Ii{wquS<{n&{Ru3j*XUu@D)lhdcE*r z7k%KohGZ{;CGB}(@VkTcJS#7*CuRvVue`;u^P&o@NDk4{$?}a}kLk-Ck}c`;hAT#B ziZyl~GhYaxh?tJ0oS7;wDxHL6CkfnP`Lw;|MEl-BPY~-=r!RHT;mFz{&&*5Wsh+6n zFYH(y&{K$RxMhpny9Z_o&^fKV=nBX!Nn{&M@KC@GlDz@C9HQ?rUomUB@@g%(A0*Q`$>W0 znGe${A@NzSLk_*EMzrl`GAhn0poh?WOd`(IbvVyGG^DDr>khrcy>BjXk6;ujg=T<6 zcdTIx*pWKx)dadzp)x6qQ|?sE?9;v#S=du5mh(R>6eYl*|8b-C&9XlS^^voI9w6cD zuQKRLSrscSec@h>o8Uzh7>KusO4Z%b>=zQm5~9vHh;y#K!j>rZ>ADTx@3sIt-AXLF zCA;qbKM?q7%0DO~AJ{_w;@U|ZRj+pqGNeCs?HlF1qC|RjvPfdmW#D@t2FekVbm`4Ao^*?yr}ME$P*PRTQd}HIzn@ZcWO7s-rg3 zT>?~YCvVV_Ko@AMLvaIl=iP|ALN5pJqNVL4uIZI`@^ckG6mp~U3)d4v>C(YNy|?6D zycSgy`6e@V)%OuJw6%8baJ(@n%K;nW^0U8-{N}nqbrw2}HYszyx5-#S*wG7}snmb; z<-3!<)tGOo{XxzcdiFeS!_4_HBun^1YmBn?w+>byuVzK?+eOC3O=*|XrGanM{m^{@ zu`&V0bjCN60jEsyJ47coA4o^8)9u-unv0f`pcAz=lzm_yk>@SP?<4N#1lfhLY%S~y zSjnYrP6w^}OE^K^%T0@!q`+o#H`qL8IgXN!g+wq3diy7|*Rm%q{bHJb?@6Wq>Pee6 z?dXHku5I<=mnWZ`^a{kjMj|nbB}5y%^y_CP84^o%F=qz)iT!>jH8bF7MXi3zFR=HB zSsVS6)S&kl)2yfLcskO5c|$!B26K)*F?q*23rNMFBiu8qz=D)_6k&dqZ=H7(4|6>J zdP|&S=*bCe5jZUnMUHHJA04iAIdJR{Y|uYCKV3FshGqV-U;{3wttNq|GNf4wP}PjC5=e&QGE&D#^%h7D4fUkbm8 zCjwbD=)>R%dDuLw9RgMyZ{Hh~dg9`W;aENgm*^U*4TDd-95*R@j-n($;7OLNG4 zld)ZbzPM_uJyiO_xO2+3#9~CUYY3dM^+e1M$#=9&+_LrLN<3|A$l+%dHwgN&mDs_AjE`>=;wQvac7{?|ULH|k70d1@$6jC=M3pl|D zSE=oNb0$uT<{ZslLGVqO+x1vUcbkW}QCB8s-AegE>u2t!pREJo&o6E)%Ml@nnmzY7 zP1g9}Ab|C=abEgHg*M_vj-G{-Z#MT74^~5)gL12PVR&4H!Kzh;MDvFFQW-MRXoH;7c=YZW zK@O_if(dIxfmVVrcE+9-5d*N!cGw@mMO<&t#XoH2+>PKL`3bS!$@yfPq1gahWed25 z2PtIjxqIA5I_h8rCZ}f`Yf7f_5GwOUNWs-waOt-KA<5v0*Lpu^m$i|DEqVxcRW!Ti zpJ$fFw;VQd^^LO~!H1Tj=YR6INeHHQ?eH>=R7tQ6H<^?42Fos@JOb*Z2f^%GZ@I4d z$;HvKj&21k(SawRInIX=i9v=(g^GRQ-9HL=2`h6aYl^M?+oBDF^^+2&mO_7|M6NSb zztBU@L2=IN``Yp2Qgk0l}F<^B3?qgb9U9>1lv^rO~6(gK>#09zpN@`fRSSGnP z4(;JqrydDCng}Fn;Yu9xEm-B3($&eaA$woAGC`V!dj{g#w^ygM-3=~ue%rFJU_pjX z1Tgq41Z}RcSwQi~GB3RZYUR6;QrYJwl#tX9tX~)d=BjYzNiC{d<8{r5FY#-(sjB=N z$t~vYBu3r|u4kZb?}@>U^)mbQDE1U;;c{>!Xm0b6>_N=f6xoK$-G6Fkj#U*T#+c*# z;zZbF%>@hW`9mPs-Xgej6ia?cX91!*@%;`c4B;gFe1wJG+#5iuD?0Wvb z;@7M%RXS#6rddzrXj}gzow1htlT5ffTXR3hspwCm<`l+dz4e>B{ zwZ_a5jfLCmtixFgmsu61nrVwj(vEU`zC z56P3x>j8+uk6*iym6eE4(Us{RP6=vG_P2YK{a<_brKsnwB_tCnB(~6mS{e+!8}8DSaN4A%PZ(X=|D*n7 zI)7gzlemnCrt9&!z@9?Ga9y|d{)nr#s1bGQmsFM{@VleZ7OV`ZUd~v{ESQqsvmF&llXPQV z{#Sxe>BBytaU~TVMa92gEqMGce%Fgf+`$+>RH3OZqHoB{m2^ei{S)?TTCZw9T(nlf z=dG~*tJ{XyVC7Gd$M`^Etxd002#9bkp1}rKr9q`jhHcWeHaUs@LlyYsl@v$@ha#?M!|dqQ(W4k5>}W$5LnL0#@vg7^mt; z&S2kdVQsUA1YH$cNSI22=Ryl?UC76Q?UQtHe8ooooXT}?iD`_ze$%x8p{ zlZFIh*F3q`OWj}JkPv3AAPoi@a_ft*o|4_Wmuq&;VECIUXyAm?t|7dcGN9({FnSFL z798>~(+s2+_=zitnl!tGmrk)p#8>=M_tR#kvdv`SwIBES{KbJgM<2w#b7^+bgj4Sg zhZk{(l&?=t+X`K4IC(Os|ArAZZe&TYx_g1UrX$C(@kGLa3W-rvlpQKlO)uRTTVvh)Mu&XF_gbIoj*jw1I`+dw+)mWV;*+#pTw)91rh%F)Ie@L2R=R zBo4cXLtkd~G`x7$3Semfh#vNfT^tw#KjC@K}puZj4bl86IVM`y>wi06F z2O%zJf(hSiokP0Go55ME`%fqAAobc}`+K|UwsM|7kD zye)7r-DCX~K;1gF%y@XPcrGJxRbTgubiJ&;d(7*@!ktw~0_xndgLWPw%6eP!+!f*% z9o+gmG2a<%bmv)~CzU!c?NMi8`BWVa4)cp=bg)|JE4n($_jlmOw^(%$%RjYW8vK*D ziDdUBS}#j{^hi)qm;|-4&^b<6s+oY;OYJa$#1id~8Xc7EH3X^SKTHlkLKBlubBk{x ze`0HptPgVNByWb~e{5el!@!1E*b%>2XqRj72@OP`KFKQ5kANKd@BFt|Lwk0H1c3^+%dj`-)*OR>LnrTI14vC$+RzO!ikraSm55Yv}U-zD69(*gILk z^}#fzfDI$KLU6Ej@cf;pnGw8sZ^SZ(L~!^pqiNFT#G{z)khfiDv{U1fz-ZDWINV>~ z!1E>C?|X%>0X5Sd_Hf$!ACWuq+mg$!ngwe%#+vd>$>Fs|?>{k7|AH^Glv>4{kp;<` zmt|{Bwu)adK#ih>W8XBW8pKzNd*OR9&Y?7T@y@%o)P;gZ>McEt;c`z5(P!S@v&thH70y1W!WtATU)eX#|8 z+!7yGO5;MU3L;YKcN_ECmlKquS6d38w12!VPHYEdb8~x~Y3C2SXi_Ae^8&-h7ZniR-}* zV^s~C_BkV*;4c4~Als}8$8sOoY>Vpo23cm9xx9|45y(#9W{$b(Z%4?c;lRW6m} ztxlqY;+*o|LrN_h9N%{fHAi*aayl^}g*=1MZhmhLAA+Zub=-+pKBSQfT3)XyB(^K0 zsRWjR7gUdq6SVT_J0>DVC>!vUiV-&rAP@}hNy-02z&G#9I-#+s+{DeGP)nZ}HJ@*G zQ3BDt+%q$0B`?q6B4Dv;=cNcbdj`QV#28$u>POyF;gUVQ1=ZkjIRw2E7AN$Z^1pT1vmNFvz*(4vn5_8hqn5|YUS(#|#Q|0m0 z$+ihprk-}1R+US0hB|zH;KZ;|rc#kG7XQP>JVgcZw#Tzp>P=!qgLaJfr;5X@0+2rVQ}HoMj}h7$b8$p*dHk6&m4Y^d+Wua zykip5Q_F+`kw;4E=?UeA#2gk)Jd1M0(_I^A73m2(Ema9QAA^9uxskGt!N+O>xbuD3 z=>RL}e%;!`GnQ@|AIndsS}8&B_bsjWbN|4xuJ$ien-q1s{d0B-BMBaZXn@JiC16=w zwn7N}nO5$LJl*y6=XsAPicRiq+-O6;8as zz5alz4uabrkrD1g&B5vS(MV1ER-rPjW;{78A7I~oPY408c%A)Z9Nc^Y3@Q7-T#lu2 ztK+3ha zCR3HE<<9nfoXb7y*u$C4Q3Zqq@22xw_9N%>EL$c-Di?H!)PWEb;fjE%4S3h5t{ zOq}HWxJ2;%n`&4(3HiFT)MAW9m(06z%TcQ`WUV6FGUXTPyDP6fCD!(3$q^AOlu$Q7 zy)b3z($mYoiUlb=To4-oiuJJm!rz}Em>yWza$yBXQs@%SZc?l_xCEf79b%va;0>DKuUmq6KOTS%Z}g6N$H zC~0WQ+<%ynaw-IbvOeK;56z5`nv&?3#y}6qNvVReYn#%P?#1w%tYENgk>W7>AveML zt$~%SHqfxlt(Ln2YZbbKChiZUlwC;J8UAr+s1>f+|7I>lKtOg+zX$j1tFBwapRMOh zdz@GPrpe$w-3Nbv?0j@Hd`tBM#8VwF{pE^X8AAtxB@~$f3{d8i-P#pKmw04SQ)!>H zv$frLSQ-I+PJU48!5=3V&2^Bx=Y!nA<+@^cPkg2JiL8MPQbo^O)1B>35D1_Z)+4;=17Z49y%$En)GhVCPyrNeAdf{u(+BAlD%Y0qtmgw40Iz9&{;!lA+5AWA5;^nNXDyL~ z-SbZ2jSAKCn$51(faxt{+B;7*z4Ji75ZpbJnp662zsY*T+0?Gt{|7*kfwXp&Q<+U_ z8y*{)G0H@g;i(ZdPN`|n4L^O2WrCoXEk%Zv149u7vC>6uIc#YuJ7pehY22lF;51sv z?TyhoB>vX>wlpjb|NXc1HtPop8kE38+PK3FrGnJmgk|TrHvz(uF5hM|Zj`|p{CZ0b z;EzUk^NYpS0$QaNF^L|Va!N>k;KLf+MaqlF6HD{oDtL65BcZ|S0uY8-Xz)$*{8^tfNIY!ko z1qS+7at|5shB@KMYi0Iyhd+DeQ%5cT%@_!mR+dr&F`~b7A-Tvr^ zlzksHBo8rv95qA^{`m1dFGuTRmfC;InCfp4_ImFMvU^)rR&NX$90rgw!k)X>C`i#^ zfMBcO!_bi@I3Z0rV`Urm-8u4CskdeuNKhk3p?nK&cml)Rb zfPIFwc|tgv8gjaL{v~J#(=eFtT$JzwR`%9uRn`uILjwfPJ0`U=C-`5%2Yw<}&K#O+ z4>?}=Rrs9ThLEytd4?|%p7t=!VgSDfkyMk)sRIMilp0emxZtF)?P`ikPInFT&S>NP zcMsoQKJ;ciuP1W}ChUH{f$RvdsYxEO=bEjz zPqgj8X}d5(H<&6<=ZPV)Fk&M_S%YTFH6S1>Kvwqa|ZpZif?%@7^c*;0Ty71Y#&D;K#`Y>tBRR` z)Vq{4WWu?Pqrd8(+Tf^~pIjVcFRDm8XuKib`FT&5J!{U%(9v0Xttp!05~68n)XIN* zLSW(*3eLC3c zWfs9gvU}FyM?CSJJE`-M?C-`D>0hXrp#N^%! zT}*8RebOg!Saw^w9877(#ufk)`6@VQN+;=Uu zKof!LLFo*+eguKd9DAyrwj+ENlLN;WoE~Pve43fNUo;}jf6%rvpOl3c0^^Z8MFG?7 zKHRatyg58bXI7$zJJB%_5B=gzqY!9ytkRnCF4*>vml{y*N&>}FY9s3$I#d!J8cq% zmYPu0UuWU!mZZ@QtGy$-l>A-{tbTKCplC_?7Q;!yO)sF>3%gGefabK&1r9OAsUTZ` zy}>o%1W?)c^}Zp;YCZF1JKHd>rnBIe-n8S(Q+k$fkUiDzPOLIIpW8>|BpNgt#73%g zKK?OD>|%B$Dh?k-7zh06kMCWWY8KBRuWjm${^0gs!ICrQxH)_70rr5_6=XYCE>9D? z=c|>VH5_s7xtos?9#|u(zTs{>wVcU_vlPkr#V9FBI{5K&I7&9udpV*rYW3CSC|p$U z*loYToq9tID4CoSA$oh+4?U~8m$c*WE0Cn&d#id*uPA&<^Mtg$Ep2_La9eNHmcHQm zD%Z*?tz5Z`6e^GX{G_u^Q8r13vy%^?xH*6dJ`E}%h ztAX21Hs!XJ_&*((DQ&y)^hQ_cPEL;Y)Ix`sulCZu}KrezA%uQg~f>toXAuz4ERu z=yX9=Vd!6RFZX{Q+&QH9cgj-1dr4M5Cl_v>Ip&^$d(3NHi#oROi_#>b=ID8RPJH;1 zIgcThNvTPEP-L8u^=*-A<+oF7WP@{fL$?vloxuOc$7ASSxFNRSVh1O6q@Wky@FMYx zhhFwxqNcz&a^Wq|yz;c&4Po9s!mm@$y(i~$^*tWHsEwa0%NvTpJ}2` z-v9b1_ZkQBxzfW`;xs5gs}xRdX3%<9f=XUD7+Tfp8AdSl>{-uDH9272B$h)@q0t>5 zDw3xAP-*waJj1z*xxwVzH{>E=;g9^%5d{CD(AhW;f!QS)LpnP4Wjeme00#XX z5_r4&P{W+GztEEL#&e+1stjcI8*k`FC%0G~dd5)x%d`%2^3^}WLk9yJ>JYYtV69Mi z&*Bl}h;qM>uOB)h_g4rmhZjbw8@x9tz5MK{MU%+vLiVFDFiXEm>~n%(Ra+W`Mm)7X z-M<%wvh7G8DSldb7qt)RK6yo!95zW$P9XgVIzI?OVL#YYd7LQCA8zk>KY;Py0o>{S zi=F!N<*wS;9~tAexZgT+-&>=stS@QNmiv+qbcNU0M}^zRy*U(;za04_x2*dd!@Hx) z)TLs@Rg^v@4zRiXh0&SuFBM=NpH1%90rDx7ztICfyGsC?m-Ryc3Ien**)a zp08aulQx7Uicndmhr5hIeRWGR>F4`qA}qd?ujNY7@0)Uh+lz{7I(6qUZ9S0l!k4Y9 zE}wR{XC1xEXr$ZtG!0Hw>%_UdBHS=HzgBw7r-%gzZi_978}Sh_eI}PilM|Gn$C379 zqlctQoQSy$>FjkyMvBb+p7(9VoZ=Lh9*b9#0Hv?T_?P!&Q;?+lr+?}=8gl}qhlAYk z7=xd2DiA=lI|Xd0GV(Xxh}YAs(qbb4rcO}iPa9U&b%C09-J|HNcMhHalRkA~ownXE zo;|D>^TFLL6|l)K@u`t&yxhBlX;Mw5(y#2Pigc~#3C6Zct#Z&-x+NgG!qf%J0 zrz{p<6U~Ot)w`bQ_?jtPOFchM@E_ zr{Er8?_XG^^J126Nxa`;<8vWMOUDb5{yC8GwoBt|E*1zd27aRQl#{2zTiiS!QNjNyx&hQg-9)4 z30?c_5q7sMshiH<2z4eFX5ij;@>w#P!M3zlWv zGuhO}|1kjEMq4W2WVo&X6zDis)&&n;eb47WmkCGz;GAFSdEI*b*_EmPnd$0rKP*@% z?H9T`81^e8R@SgDqo!Z_1FA>G{i>cbx}JI&FF!&=ypq%f!wJ(2QI~|VEAF=CGxnSF zEdSk$&Fpfnc?S5}(WS>+mWT%zWRTa3bIYq=g4V=@^)NRHV&5AKB>p$;z%X7Y2yS#o# zz+Me#c#s=dF&d$V*37{A&^UE^9=FnBG`1#K9+ReEhq7$O6n8;;b4zJ6)JvVP3hbYBteYPLg~6p@>X)K!^@jemOiN z`5RVFemM6Nane2il}ePWeVl(nV}_@#lRiEJUlIC|t8;A{Y}C;!OJMArd^PQk%kIz7 z{v}E_(~3fKu3#oP?5RtMcGP`kV)V?85k$*z#I+mANpLBjYv&u^vg5#1)nwTW5IM6L z-3ZC|Yrh;7sHH0J?5MBa%G6#5pBh5t!X0G@Vl)~QC{ z7asJYgKXqWY3AbvKV~AsXna0y;#|a-{8QiF-#B;v9f`;|%Sw8V1ah{Rdm|7U`F=HH z+83P;hk)CRk*mKdiaIms3-V-z?(F+6mh(ffGN{6wbPB{0SPerXKFHhbq#wX(*#qu` zJpe$kx`pKitBuw+u&tfBi31jQkmlW9pLir`-+`9+b`k)G9g2wBvv+?q9AnRu0y~c$ zhIc22$Hf|(nkfK2z!B5GZZ;9u8@W%L%K(4_t8)c9x_WD&`g{Y!T}Hz)?*Oq6?7PEn zieg-UvQ66Q>e)eXpvd3J)8m)T4URI-4geyBu{6zq4!{rw$rKH~ z-6s2iUmV^FV4;)9Ibeqin|Si9rk8gxQmk;3Z1XT<{spksD-14IQJuGtmn|^USe1tf zN%_04$2~g)nA6wN!@_q*M5=w;r#2Hi`vJ<#J8G>B9TIs69jzQ*+k4pASZ#d0!DEY;^>*!NHf=cQ$9iH5 zQ;&hlp?_sxDIlg^6)GnYqQ5ECe}&417Hte650s9T)@uz9brmb+*Ge81e$r?&sO`Js z;#WwIP!D6ud6X{@t=hZXV_VOL9gwgu1Y@?C6QIHh7CeKpmxOXdEeeFy5ryV}Yy6YChYMMq4?3KVU1 z`}I|i)Xi2S?|12t?H&UN@h1Rz6MRH+{VZ^f&EL|$-NPgOojCxQe>aMtu&AgVG!I0H zk^%uBXd>tX0O@!jr8a;ufG0Z2Km-(vg0cbRZ{Qy&^dk&5PY9M=mErINq6{hJK_t5R zFjX5hivMm(2tAo%GdPk-l8X`U~+YRh3cm(F3T#!q|Ce)ndGS( z?D0>CLwAV19KLiq==@nuA!5Zx+NGCNX428l9B5GC**4t+QJmECW?*ppCc-ZoDJYa_ zKstdH{S!49|6?HG9#VRNu-IZ@HZ$XZnqZufeAL>ju|F5En;`%O;r%LP{KPuIBd(Q( zvPoj10D>P{g*^t5dhfUNqA7aTYDA6V)FD+_nmTJyg9Zj|wis~LHF&_X1^~(m<&E64dLOm8TM4a7 z*)|LeRRcM|CbF+I(^PowbdGH!@Il{H5Vl)*Q&P~VM9QIvnE?GO18ZhxBm=(V)l?;S zcr$~sKG;t600%M!$nK?JcQp*@sgpQY?Pj7z+?4cSW!~-QMece}C{+ zmB#=O>q=oEMSPYC?Sm6KH@ZPb%M^9myTReIh8zVbjEo}u`Z&;L01p5iXz{PZ@7Di# zNPvHzg+%nFzd`1if1}i*Gl5x9xIVwKer|9T04G6{dx7H2(L-Q+@qeS6F%7tm{uZ*@ F{{g>3E|~xT diff --git a/scroll.map b/scroll.map index 4927de54..9a9b8145 100755 --- a/scroll.map +++ b/scroll.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:42 Executable Image: scroll.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1a0b:0000 0000f8a0 +DGROUP 1a13:0000 0000f8a0 @@ -24,42 +24,42 @@ DGROUP 1a0b:0000 0000f8a0 Segment Class Group Address Size ======= ===== ===== ======= ==== -scroll_TEXT CODE AUTO 0000:0000 00000f4e -mapread_TEXT CODE AUTO 0000:0f50 000008ae -jsmn_TEXT CODE AUTO 0000:1800 00000919 -16_in_TEXT CODE AUTO 0000:2120 00001e80 -16_mm_TEXT CODE AUTO 0000:3fa0 00003308 -wcpu_TEXT CODE AUTO 0000:72b0 00000058 -16_head_TEXT CODE AUTO 0000:7310 00000405 -16_ca_TEXT CODE AUTO 0000:7720 000003fd -kitten_TEXT CODE AUTO 0000:7b20 0000080a -16_hc_TEXT CODE AUTO 0000:8330 0000158b -timer_TEXT CODE AUTO 0000:98c0 00000235 -_TEXT CODE AUTO 09b0:0000 00009df5 -scroll16_TEXT CODE AUTO 09b0:9e00 000036f5 -bitmap_TEXT CODE AUTO 09b0:d500 00000605 -planar_TEXT CODE AUTO 09b0:db10 00000275 -16text_TEXT CODE AUTO 09b0:dd90 0000010d -modex16_TEXT CODE AUTO 179a:0000 00002554 -16_in13_DATA FAR_DATA AUTO 19f0:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1a0a:0004 00000000 -_NULL BEGDATA DGROUP 1a0b:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1a0d:0000 00000002 -CONST DATA DGROUP 1a0d:0002 00000076 -CONST2 DATA DGROUP 1a14:0008 00000132 -_DATA DATA DGROUP 1a28:0000 00000b14 -XIB DATA DGROUP 1ad9:0004 00000000 -XI DATA DGROUP 1ad9:0004 0000003c -XIE DATA DGROUP 1add:0000 00000000 -YIB DATA DGROUP 1add:0000 00000000 -YI DATA DGROUP 1add:0000 00000018 -YIE DATA DGROUP 1ade:0008 00000000 -STRINGS DATA DGROUP 1ade:0008 00000000 -DATA DATA DGROUP 1ade:0008 00000000 -_emu_init_start EMU DGROUP 1ade:0008 00000000 -_emu_init_end EMU DGROUP 1ade:0008 00000000 -_BSS BSS DGROUP 1adf:0000 00001096 -STACK STACK DGROUP 1be9:0000 0000dac0 +scroll_TEXT CODE AUTO 0000:0000 00000f56 +mapread_TEXT CODE AUTO 0000:0f60 000008b6 +jsmn_TEXT CODE AUTO 0000:1820 00000919 +16_in_TEXT CODE AUTO 0000:2140 00001e80 +16_mm_TEXT CODE AUTO 0000:3fc0 00003308 +wcpu_TEXT CODE AUTO 0000:72d0 00000058 +16_head_TEXT CODE AUTO 0000:7330 00000405 +16_ca_TEXT CODE AUTO 0000:7740 000003fd +kitten_TEXT CODE AUTO 0000:7b40 0000080a +16_hc_TEXT CODE AUTO 0000:8350 0000158b +timer_TEXT CODE AUTO 0000:98e0 00000235 +_TEXT CODE AUTO 09b2:0000 00009df5 +scroll16_TEXT CODE AUTO 09b2:9e00 000036fd +bitmap_TEXT CODE AUTO 09b2:d500 0000060d +planar_TEXT CODE AUTO 09b2:db10 00000275 +16text_TEXT CODE AUTO 09b2:dd90 0000010d +modex16_TEXT CODE AUTO 179c:0000 000025bc +16_in13_DATA FAR_DATA AUTO 19f8:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1a12:0004 00000000 +_NULL BEGDATA DGROUP 1a13:0000 00000020 +_AFTERNULL BEGDATA DGROUP 1a15:0000 00000002 +CONST DATA DGROUP 1a15:0002 00000076 +CONST2 DATA DGROUP 1a1c:0008 00000132 +_DATA DATA DGROUP 1a30:0000 00000b14 +XIB DATA DGROUP 1ae1:0004 00000000 +XI DATA DGROUP 1ae1:0004 0000003c +XIE DATA DGROUP 1ae5:0000 00000000 +YIB DATA DGROUP 1ae5:0000 00000000 +YI DATA DGROUP 1ae5:0000 00000018 +YIE DATA DGROUP 1ae6:0008 00000000 +STRINGS DATA DGROUP 1ae6:0008 00000000 +DATA DATA DGROUP 1ae6:0008 00000000 +_emu_init_start EMU DGROUP 1ae6:0008 00000000 +_emu_init_end EMU DGROUP 1ae6:0008 00000000 +_BSS BSS DGROUP 1ae7:0000 00001096 +STACK STACK DGROUP 1bf1:0000 0000dac0 +----------------+ @@ -73,620 +73,620 @@ Address Symbol ======= ====== Module: scroll.o(/dos/z/16/src/scroll.c) -1a0b:0d40+ _player -1a0b:0e40+ _mv -1a0b:0e70+ _gvar -1a0b:0f28+ _spri -1a0b:0f2c+ _mask -1a0b:0f30+ _p -1a0b:0f34* _t -1a0b:0f38+ _bg -1a0b:0f48+ _bakapee -0000:0280 main_ +1a13:0d40+ _player +1a13:0e40+ _mv +1a13:0e70+ _gvar +1a13:0f28+ _spri +1a13:0f2c+ _mask +1a13:0f30+ _p +1a13:0f34* _t +1a13:0f38+ _bg +1a13:0f48+ _bakapee +0000:0288 main_ Module: mapread.o(/dos/z/16/src/lib/mapread.c) -0000:1028+ jsoneq_ -0000:10cc+ dump_ -0000:15c8 loadmap_ +0000:1040+ jsoneq_ +0000:10e4+ dump_ +0000:15e0 loadmap_ Module: jsmn.o(/dos/z/16/src/lib/jsmn/jsmn.c) -0000:1bd2 jsmn_parse_ -0000:20ce jsmn_init_ +0000:1bf2 jsmn_parse_ +0000:20ee jsmn_init_ Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1a0b:0f6e+ _inpu -0000:2260+ INL_KeyService_ -0000:24fa+ Mouse_ -0000:2552+ IN_GetJoyAbs_ -0000:28fc+ IN_GetJoyButtonsDB_ -0000:2b82+ IN_SetupJoy_ -0000:2d44 IN_Startup_ -0000:2e4a IN_Default_ -0000:2fae IN_Shutdown_ -0000:302e* IN_SetKeyHook_ -0000:3050+ IN_ClearKeysDown_ -0000:3130* IN_ReadCursor_ -0000:324c IN_ReadControl_ -0000:3a84* IN_SetControlType_ -0000:3ad2* IN_GetScanName_ -0000:3b5a* IN_WaitForKey_ -0000:3b90* IN_WaitForASCII_ -0000:3bc6+ IN_AckBack_ -0000:3cae IN_Ack_ -0000:3d50+ IN_IsUserInput_ -0000:3df6* IN_UserInput_ -0000:3e3a IN_KeyDown_ -0000:3e82+ IN_ClearKey_ -0000:3efa* IN_qb_ +1a13:0f6e+ _inpu +0000:2280+ INL_KeyService_ +0000:251a+ Mouse_ +0000:2572+ IN_GetJoyAbs_ +0000:291c+ IN_GetJoyButtonsDB_ +0000:2ba2+ IN_SetupJoy_ +0000:2d64 IN_Startup_ +0000:2e6a IN_Default_ +0000:2fce IN_Shutdown_ +0000:304e* IN_SetKeyHook_ +0000:3070+ IN_ClearKeysDown_ +0000:3150* IN_ReadCursor_ +0000:326c IN_ReadControl_ +0000:3aa4* IN_SetControlType_ +0000:3af2* IN_GetScanName_ +0000:3b7a* IN_WaitForKey_ +0000:3bb0* IN_WaitForASCII_ +0000:3be6+ IN_AckBack_ +0000:3cce IN_Ack_ +0000:3d70+ IN_IsUserInput_ +0000:3e16* IN_UserInput_ +0000:3e5a IN_KeyDown_ +0000:3ea2+ IN_ClearKey_ +0000:3f1a* IN_qb_ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) -0000:4984+ MML_CheckForEMS_ -0000:49e0+ MML_SetupEMS_ -0000:4b98+ MML_ShutdownEMS_ -0000:4c04+ MM_MapEMS_ -0000:4d00* MM_MapXEMS_ -0000:4e20+ MML_CheckForXMS_ -0000:4e7c* MML_SetupXMS_ -0000:4f64+ MML_ShutdownXMS_ -0000:4ff2+ MML_UseSpace_ -0000:51d0+ MML_ClearBlock_ -0000:5254* MM_Startup_ -0000:5686+ MM_Shutdown_ -0000:571c MM_GetPtr_ -0000:5b16+ MM_FreePtr_ -0000:5c0e* MM_SetPurge_ -0000:5ce8* MM_SetLock_ -0000:5dc0+ MM_SortMem_ -0000:5fae* MM_ShowMemory_ -0000:664e* MM_DumpData_ -0000:67a8+ MM_UnusedMemory_ -0000:682c+ MM_TotalFree_ -0000:68c2* MM_Report_ -0000:727a* MM_BombOnError_ -1a0b:10d0+ _beforesort -1a0b:10d4+ _aftersort -1a0b:10d8+ _XMSaddr +0000:49a4+ MML_CheckForEMS_ +0000:4a00+ MML_SetupEMS_ +0000:4bb8+ MML_ShutdownEMS_ +0000:4c24+ MM_MapEMS_ +0000:4d20* MM_MapXEMS_ +0000:4e40+ MML_CheckForXMS_ +0000:4e9c* MML_SetupXMS_ +0000:4f84+ MML_ShutdownXMS_ +0000:5012+ MML_UseSpace_ +0000:51f0+ MML_ClearBlock_ +0000:5274* MM_Startup_ +0000:56a6+ MM_Shutdown_ +0000:573c MM_GetPtr_ +0000:5b36+ MM_FreePtr_ +0000:5c2e* MM_SetPurge_ +0000:5d08* MM_SetLock_ +0000:5de0+ MM_SortMem_ +0000:5fce* MM_ShowMemory_ +0000:666e* MM_DumpData_ +0000:67c8+ MM_UnusedMemory_ +0000:684c+ MM_TotalFree_ +0000:68e2* MM_Report_ +0000:729a* MM_BombOnError_ +1a13:10d0+ _beforesort +1a13:10d4+ _aftersort +1a13:10d8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) -0000:72b0 detectcpu_ +0000:72d0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) -0000:731e* wait_ -0000:736a* filesize_ -0000:73d0 printmeminfoline_ -0000:75bc US_CheckParm_ +0000:733e* wait_ +0000:738a* filesize_ +0000:73f0 printmeminfoline_ +0000:75dc US_CheckParm_ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) -0000:774e CA_OpenDebug_ -0000:779e CA_CloseDebug_ -0000:77cc+ CA_FarRead_ -0000:784c* CA_FarWrite_ -0000:78cc* CA_ReadFile_ -0000:7948* CA_LoadFile_ -0000:79f0* CAL_OptimizeNodes_ -0000:7aa0* CA_Startup_ -0000:7af0* CA_Shutdown_ -1a0b:10e0* _finishcachebox -1a0b:10e4* _drawcachebox -1a0b:10e8* _updatecachebox +0000:776e CA_OpenDebug_ +0000:77be CA_CloseDebug_ +0000:77ec+ CA_FarRead_ +0000:786c* CA_FarWrite_ +0000:78ec* CA_ReadFile_ +0000:7968* CA_LoadFile_ +0000:7a10* CAL_OptimizeNodes_ +0000:7ac0* CA_Startup_ +0000:7b10* CA_Shutdown_ +1a13:10e0* _finishcachebox +1a13:10e4* _drawcachebox +1a13:10e8* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) -0000:7b5c KITTENGETS -0000:7bbc* kittenopen_ -0000:7e0a+ catread_ -0000:7ebe* kittenclose_ -0000:7eda+ mystrtoul_ -0000:7f8e+ processEscChars_ -0000:8114+ get_line_ -0000:8186+ db_fetch_ -0000:821c+ db_insert_ -1a0b:04d4+ __kitten_catalog +0000:7b7c KITTENGETS +0000:7bdc* kittenopen_ +0000:7e2a+ catread_ +0000:7ede* kittenclose_ +0000:7efa+ mystrtoul_ +0000:7fae+ processEscChars_ +0000:8134+ get_line_ +0000:81a6+ db_fetch_ +0000:823c+ db_insert_ +1a13:04d4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) -0000:84dc+ LargestFreeBlock_ -0000:856a+ _coreleft_ -0000:85e6+ LargestFarFreeBlock_ -0000:869c+ _farcoreleft_ -0000:874a+ LargestHugeFreeBlock_ -0000:883a* _hugecoreleft_ -0000:890a+ GetFreeSize_ -0000:8964+ GetFarFreeSize_ -0000:89ae+ GetNearFreeSize_ -0000:89fc* heapdump_ -0000:969e+ heapstat_ -0000:97dc+ heapstat0_ -0000:983e+ HC_OpenDebug_ -0000:988e* HC_CloseDebug_ +0000:84fc+ LargestFreeBlock_ +0000:858a+ _coreleft_ +0000:8606+ LargestFarFreeBlock_ +0000:86bc+ _farcoreleft_ +0000:876a+ LargestHugeFreeBlock_ +0000:885a* _hugecoreleft_ +0000:892a+ GetFreeSize_ +0000:8984+ GetFarFreeSize_ +0000:89ce+ GetNearFreeSize_ +0000:8a1c* heapdump_ +0000:96be+ heapstat_ +0000:97fc+ heapstat0_ +0000:985e+ HC_OpenDebug_ +0000:98ae* HC_CloseDebug_ Module: timer.o(/dos/z/16/src/lib/timer.c) -0000:98c0 start_timer_ -0000:99ec elapsed_timer_ -0000:9a3e ticktock_ -0000:9aaa* time_in_seconds_ +0000:98e0 start_timer_ +0000:9a0c elapsed_timer_ +0000:9a5e ticktock_ +0000:9aca* time_in_seconds_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) -09b0:0005 __STK -09b0:0025 __STKOVERFLOW_ +09b2:0005 __STK +09b2:0025 __STKOVERFLOW_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) -09b0:0042 _fmalloc_ -09b0:0042 malloc_ -1a0b:04f6 ___fheap -1a0b:04f8 ___fheapRover -1a0b:04fa ___LargestSizeB4Rover +09b2:0042 _fmalloc_ +09b2:0042 malloc_ +1a13:04f6 ___fheap +1a13:04f8 ___fheapRover +1a13:04fa ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) -09b0:01bf __PIA -09b0:01b8* __PIS +09b2:01bf __PIA +09b2:01b8* __PIS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) -09b0:01d6 printf_ +09b2:01d6 printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1a0b:04fc ___iob -1a0b:10f4 ___OpenStreams -1a0b:10f8 ___ClosedStreams +1a13:04fc ___iob +1a13:10f4 ___OpenStreams +1a13:10f8 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprintf.c) -09b0:0205 fprintf_ +09b2:0205 fprintf_ Module: gfx.lib(/dos/z/16/src/lib/scroll16.c) -09b0:9e4e walk_ -09b0:ba4e+ mapScrollRight_ -09b0:bd48+ mapScrollLeft_ -09b0:bffc+ mapScrollUp_ -09b0:c2ea+ mapScrollDown_ -09b0:c616 chkmap_ -09b0:c7a6 mapGoTo_ -09b0:ca1a+ mapDrawTile_ -09b0:cb3e+ mapDrawRow_ -09b0:ccac+ mapDrawCol_ -09b0:ce34+ mapDrawWRow_ -09b0:cf82* mapDrawWCol_ -09b0:d0e8 shinku_ -09b0:d270+ animatePlayer_ +09b2:9e56 walk_ +09b2:ba56+ mapScrollRight_ +09b2:bd50+ mapScrollLeft_ +09b2:c004+ mapScrollUp_ +09b2:c2f2+ mapScrollDown_ +09b2:c61e chkmap_ +09b2:c7ae mapGoTo_ +09b2:ca22+ mapDrawTile_ +09b2:cb46+ mapDrawRow_ +09b2:ccb4+ mapDrawCol_ +09b2:ce3c+ mapDrawWRow_ +09b2:cf8a* mapDrawWCol_ +09b2:d0f0 shinku_ +09b2:d278+ animatePlayer_ Module: gfx.lib(/dos/z/16/src/lib/bitmap.c) -09b0:d83e bitmapLoadPcx_ -09b0:d99a* bitmapLoadPcxTiles_ +09b2:d846 bitmapLoadPcx_ +09b2:d9a2* bitmapLoadPcxTiles_ Module: gfx.lib(/dos/z/16/src/lib/planar.c) -09b0:db10 planar_buf_from_bitmap_ -09b0:dc30+ planar_buf_alloc_ -09b0:dd2a* planar_buf_free_ +09b2:db10 planar_buf_from_bitmap_ +09b2:dc30+ planar_buf_alloc_ +09b2:dd2a* planar_buf_free_ Module: gfx.lib(/dos/z/16/src/lib/16text.c) -09b0:de06 textInit_ -1a0b:1180 _romFonts +09b2:de06 textInit_ +1a13:1180 _romFonts Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -179a:01f4 VGAmodeX_ -179a:0286+ vgaGetMode_ -179a:02bc+ modexEnter_ -179a:040a* modexLeave_ -179a:0422+ modexsetBaseXMode_ -179a:0474 modexDefaultPage_ -179a:0526 modexNextPage_ -179a:05f2 modexNextPageFlexibleSize_ -179a:06c0 modexShowPage_ -179a:07bc* modexPanPage_ -179a:080c* modexSelectPlane_ -179a:0830 modexClearRegion_ -179a:0948* oldDrawBmp_ -179a:0aae* CDrawBmp_ -179a:0c12* modexDrawBmp_ -179a:0c78+ modexDrawBmpRegion_ -179a:0de2* modex_sparky4_DrawBmpRegion_ -179a:0f4c* modexDrawPlanarBuf_ -179a:0f6a* modexDrawSprite_ -179a:0fd0+ modexDrawSpriteRegion_ -179a:1148 modexCopyPageRegion_ -179a:12b2* modexFadeOn_ -179a:12e2* modexFadeOff_ -179a:1310* modexFlashOn_ -179a:133e* modexFlashOff_ -179a:140e+ modexPalSave_ -179a:1464 modexNewPal_ -179a:14b4* modexLoadPalFile_ -179a:1596* modexSavePalFile_ -179a:160e* modexPalBlack_ -179a:1638* modexPalWhite_ -179a:1662+ modexPalUpdate_ -179a:1bd2+ modexPalUpdate1_ -179a:1c4c* modexPalUpdate0_ -179a:1c98+ chkcolor_ -179a:1fb0+ modexputPixel_ -179a:203e* modexgetPixel_ -179a:20c4* modexhlin_ -179a:2148 modexprint_ -179a:22e2* modexprintbig_ -179a:245e pdump_ -179a:24be* cls_ -179a:252c modexWaitBorder_ -1a0b:0b54+ _VGA +179c:01fc VGAmodeX_ +179c:028e+ vgaGetMode_ +179c:02c4+ modexEnter_ +179c:0472* modexLeave_ +179c:048a+ modexsetBaseXMode_ +179c:04dc modexDefaultPage_ +179c:058e modexNextPage_ +179c:065a modexNextPageFlexibleSize_ +179c:0728 modexShowPage_ +179c:0824* modexPanPage_ +179c:0874* modexSelectPlane_ +179c:0898 modexClearRegion_ +179c:09b0* oldDrawBmp_ +179c:0b16* CDrawBmp_ +179c:0c7a* modexDrawBmp_ +179c:0ce0+ modexDrawBmpRegion_ +179c:0e4a* modex_sparky4_DrawBmpRegion_ +179c:0fb4* modexDrawPlanarBuf_ +179c:0fd2* modexDrawSprite_ +179c:1038+ modexDrawSpriteRegion_ +179c:11b0 modexCopyPageRegion_ +179c:131a* modexFadeOn_ +179c:134a* modexFadeOff_ +179c:1378* modexFlashOn_ +179c:13a6* modexFlashOff_ +179c:1476+ modexPalSave_ +179c:14cc modexNewPal_ +179c:151c* modexLoadPalFile_ +179c:15fe* modexSavePalFile_ +179c:1676* modexPalBlack_ +179c:16a0* modexPalWhite_ +179c:16ca+ modexPalUpdate_ +179c:1c3a+ modexPalUpdate1_ +179c:1cb4* modexPalUpdate0_ +179c:1d00+ chkcolor_ +179c:2018+ modexputPixel_ +179c:20a6* modexgetPixel_ +179c:212c* modexhlin_ +179c:21b0 modexprint_ +179c:234a* modexprintbig_ +179c:24c6 pdump_ +179c:2526* cls_ +179c:2594 modexWaitBorder_ +1a13:0b54+ _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sound.c) -09b0:0234 sound_ -09b0:026f nosound_ +09b2:0234 sound_ +09b2:026f nosound_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(atoi.c) -09b0:027b atoi_ +09b2:027b atoi_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1a0b:0000* __nullarea -1a0b:0b68* __ovlflag -1a0b:0b69* __intno -1a0b:0b6a* __ovlvec -09b0:0318 _cstart_ -09b0:03eb* _Not_Enough_Memory_ -09b0:051d __exit_ -09b0:053c __do_exit_with_msg__ -09b0:0599 __GETDS +1a13:0000* __nullarea +1a13:0b68* __ovlflag +1a13:0b69* __intno +1a13:0b6a* __ovlvec +09b2:0318 _cstart_ +09b2:03eb* _Not_Enough_Memory_ +09b2:051d __exit_ +09b2:053c __do_exit_with_msg__ +09b2:0599 __GETDS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) -09b0:05a4 _big_code_ -09b0:05a4* CodeModelMismatch +09b2:05a4 _big_code_ +09b2:05a4* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1a0b:1a98 __argv -1a0b:1a9c ___argv -1a0b:1aa0 __argc -1a0b:1aa2 ___argc +1a13:1a98 __argv +1a13:1a9c ___argv +1a13:1aa0 __argc +1a13:1aa2 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncmp.c) -09b0:05a4 strncmp_ +09b2:05a4 strncmp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strstr.c) -09b0:05e1 strstr_ +09b2:05e1 strstr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fopen.c) -09b0:06e3+ __open_flags_ -09b0:09a4+ _fsopen_ -09b0:0a0b fopen_ -09b0:0b2e* freopen_ +09b2:06e3+ __open_flags_ +09b2:09a4+ _fsopen_ +09b2:0a0b fopen_ +09b2:0b2e* freopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fread.c) -09b0:0bb8 fread_ +09b2:0bb8 fread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) -09b0:0f2f __get_errno_ptr_ -1a0b:1aa4 _errno +09b2:0f2f __get_errno_ptr_ +1a13:1aa4 _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(frealloc.c) -09b0:0f36* _frealloc_ -09b0:0f36 realloc_ +09b2:0f36* _frealloc_ +09b2:0f36 realloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) -09b0:1012 __PTC +09b2:1012 __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strncpy.c) -09b0:1045 strncpy_ +09b2:1045 strncpy_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) -09b0:1081+ int86x_ -09b0:1204 int86_ +09b2:1081+ int86x_ +09b2:1204 int86_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4m.asm) -09b0:1232 __I4M -09b0:1232 __U4M +09b2:1232 __I4M +09b2:1232 __U4M Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_getvec.c) -09b0:124a _dos_getvect_ +09b2:124a _dos_getvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(d_setvec.c) -09b0:1255 _dos_setvect_ +09b2:1255 _dos_setvect_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i4d.asm) -09b0:1262 __I4D -09b0:12b1 __U4D +09b2:1262 __I4D +09b2:12b1 __U4D Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapgrow.c) -09b0:1335* _heapgrow_ -09b0:1335 _fheapgrow_ -09b0:1336 _nheapgrow_ +09b2:1335* _heapgrow_ +09b2:1335 _fheapgrow_ +09b2:1336 _nheapgrow_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) -09b0:13ae _memavl_ +09b2:13ae _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) -09b0:13f3 _nmalloc_ -1a0b:0b6e ___nheapbeg -1a0b:0b70 ___MiniHeapRover -1a0b:0b72 ___LargestSizeB4MiniHeapRover +09b2:13f3 _nmalloc_ +1a13:0b6e ___nheapbeg +1a13:0b70 ___MiniHeapRover +1a13:0b72 ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) -09b0:14cd _ffree_ -09b0:14cd free_ +09b2:14cd _ffree_ +09b2:14cd free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) -09b0:153a _nfree_ -1a0b:1aa6+ ___MiniHeapFreeRover +09b2:153a _nfree_ +1a13:1aa6+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) -09b0:1635+ _null_exit_rtn_ -09b0:1635+ __null_int23_exit_ -09b0:1636 exit_ -09b0:1657+ _exit_ -1a0b:0b74+ ___int23_exit -1a0b:0b78 ___FPE_handler_exit +09b2:1635+ _null_exit_rtn_ +09b2:1635+ __null_int23_exit_ +09b2:1636 exit_ +09b2:1657+ _exit_ +1a13:0b74+ ___int23_exit +1a13:0b78 ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) -09b0:1673 ultoa_ -09b0:1731* ltoa_ +09b2:1673 ultoa_ +09b2:1731* ltoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(write.c) -09b0:18b7 write_ +09b2:18b7 write_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) -09b0:1ae3 __doclose_ -09b0:1c2d __shutdown_stream_ -09b0:1c47 fclose_ -1a0b:1aa8+ ___RmTmpFileFn +09b2:1ae3 __doclose_ +09b2:1c2d __shutdown_stream_ +09b2:1c47 fclose_ +1a13:1aa8+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) -09b0:1cb2+ __ibm_bios_get_ticks_ -09b0:1d29 clock_ +09b2:1cb2+ __ibm_bios_get_ticks_ +09b2:1d29 clock_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) -09b0:1d50 kbhit_ +09b2:1d50 kbhit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) -09b0:1d67 ftell_ +09b2:1d67 ftell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) -09b0:1f4d fseek_ +09b2:1f4d fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1a0b:0098 __IsTable +1a13:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) -09b0:2145 tolower_ +09b2:2145 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) -09b0:2153 unlink_ +09b2:2153 unlink_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(open.c) -09b0:2383 open_ -09b0:23ba sopen_ +09b2:2383 open_ +09b2:23ba sopen_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(close.c) -09b0:23e7 close_ +09b2:23e7 close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filelen.c) -09b0:23ec filelength_ +09b2:23ec filelength_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sprintf.c) -09b0:244a sprintf_ +09b2:244a sprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getenv.c) -09b0:2479 getenv_ +09b2:2479 getenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pts.asm) -09b0:2564 __PTS +09b2:2564 __PTS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(read.c) -09b0:2595 read_ +09b2:2595 read_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strdup.c) -09b0:26ce* __clib_strdup_ -09b0:26ce strdup_ +09b2:26ce* __clib_strdup_ +09b2:26ce strdup_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(haloc.c) -09b0:2735 halloc_ -09b0:281a hfree_ +09b2:2735 halloc_ +09b2:281a hfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fheapwal.c) -09b0:2821 _heapwalk_ -09b0:2821 _fheapwalk_ +09b2:2821 _heapwalk_ +09b2:2821 _fheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) -09b0:2836+ __NHeapWalk_ -09b0:292f _nheapwalk_ +09b2:2836+ __NHeapWalk_ +09b2:292f _nheapwalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) -09b0:293f stackavail_ +09b2:293f stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1a0b:0b84 __8087 -1a0b:0b85 __real87 -1a0b:0b86 __dos87emucall -1a0b:0b88 __dos87real +1a13:0b84 __8087 +1a13:0b85 __real87 +1a13:0b86 __dos87emucall +1a13:0b88 __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) -09b0:294e* __exit_with_msg_ -09b0:2953 __fatal_runtime_error_ +09b2:294e* __exit_with_msg_ +09b2:2953 __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1a0b:0b8a __curbrk -1a0b:0b92 __STACKLOW -1a0b:0b94 __STACKTOP -1a0b:0b96 __cbyte -1a0b:0b98 __child -1a0b:0b9a __no87 -1a0b:0ba7 ___FPE_handler -1a0b:0b8c __psp -1a0b:0b9b __get_ovl_stack -1a0b:0b9f __restore_ovl_stack -1a0b:0ba3 __close_ovl_file -1a0b:0bab __LpCmdLine -1a0b:0baf __LpPgmName -1a0b:0b8e __osmajor -1a0b:0b8f __osminor -1a0b:0b90 __osmode -1a0b:0b91 __HShift +1a13:0b8a __curbrk +1a13:0b92 __STACKLOW +1a13:0b94 __STACKTOP +1a13:0b96 __cbyte +1a13:0b98 __child +1a13:0b9a __no87 +1a13:0ba7 ___FPE_handler +1a13:0b8c __psp +1a13:0b9b __get_ovl_stack +1a13:0b9f __restore_ovl_stack +1a13:0ba3 __close_ovl_file +1a13:0bab __LpCmdLine +1a13:0baf __LpPgmName +1a13:0b8e __osmajor +1a13:0b8f __osminor +1a13:0b90 __osmode +1a13:0b91 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mem.c) -09b0:2980 __MemAllocator -09b0:2a4a __MemFree +09b2:2980 __MemAllocator +09b2:2a4a __MemFree Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(growseg.c) -09b0:2ba7 __GrowSeg_ +09b2:2ba7 __GrowSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) -09b0:2d1d __AllocSeg_ +09b2:2d1d __AllocSeg_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) -09b0:2e0c __fmemneed_ +09b2:2e0c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) -09b0:2e29 __fprtf_ +09b2:2e29 __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initfile.c) -09b0:2f7c __InitFiles_ +09b2:2f7c __InitFiles_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) -09b0:31b0* fcloseall_ -09b0:31b7 __full_io_exit_ +09b2:31b0* fcloseall_ +09b2:31b7 __full_io_exit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fltused.c) -1a0b:0bb4 _fltused_ +1a13:0bb4 _fltused_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) -09b0:31c1 __fill_buffer_ -09b0:3410 fgetc_ +09b2:31c1 __fill_buffer_ +09b2:3410 fgetc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) -09b0:3557 fwrite_ +09b2:3557 fwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) -09b0:38d0 rand_ -09b0:3912* srand_ +09b2:38d0 rand_ +09b2:3912* srand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmain086.c) -09b0:3935 __CMain +09b2:3935 __CMain Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) -09b0:399b __InitRtns -09b0:399b* __FInitRtns -09b0:39f6 __FiniRtns -09b0:39f6* __FFiniRtns +09b2:399b __InitRtns +09b2:399b* __FInitRtns +09b2:39f6 __FiniRtns +09b2:39f6* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1a0b:0bba ___uselfn +1a13:0bba ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) -09b0:3a5a __DOSseg__ +09b2:3a5a __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) -09b0:3a5b __Init_Argv_ -09b0:3abe+ _getargv_ -09b0:3dee __Fini_Argv_ +09b2:3a5b __Init_Argv_ +09b2:3abe+ _getargv_ +09b2:3dee __Fini_Argv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) -09b0:3e18* __set_commode_ -1a0b:0bbc __commode +09b2:3e18* __set_commode_ +1a13:0bbc __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1a0b:0bbe __fmode +1a13:0bbe __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) -09b0:3e24 __chktty_ +09b2:3e24 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) -09b0:3e83 __freefp_ -09b0:3f24 __purgefp_ +09b2:3e83 __freefp_ +09b2:3f24 __purgefp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocfp.c) -09b0:3f65 __allocfp_ +09b2:3f65 __allocfp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioalloc.c) -09b0:4115 __ioalloc_ +09b2:4115 __ioalloc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qread.c) -09b0:42a3 __qread_ +09b2:42a3 __qread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmsize.c) -09b0:42c3* _msize_ -09b0:42de _fmsize_ +09b2:42c3* _msize_ +09b2:42de _fmsize_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nexpand.c) -09b0:42ef __HeapManager_expand_ -09b0:47f8 _nexpand_ +09b2:42ef __HeapManager_expand_ +09b2:47f8 _nexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fexpand.c) -09b0:484b _fexpand_ -09b0:484b* _expand_ +09b2:484b _fexpand_ +09b2:484b* _expand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intr.c) -09b0:4880 intr_ +09b2:4880 intr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(segread.c) -09b0:4894 segread_ +09b2:4894 segread_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grownear.c) -09b0:497f __LastFree_ -09b0:49f9 __ExpandDGROUP_ +09b2:497f __LastFree_ +09b2:49f9 __ExpandDGROUP_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmemneed.c) -09b0:4b0a __nmemneed_ +09b2:4b0a __nmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1a0b:0050 ___Alphabet +1a13:0050 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) -09b0:4b0d __lseek_ +09b2:4b0d __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) -09b0:4b47* __dosretax -09b0:4b4c* __dosret0 -09b0:4b53 __set_errno_dos_ -09b0:4bbb* __set_errno_dos_reterr_ +09b2:4b47* __dosretax +09b2:4b4c* __dosret0 +09b2:4b53 __set_errno_dos_ +09b2:4bbb* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) -09b0:4bc6 __GetIOMode_ -09b0:4bfb __SetIOMode_nogrow_ -1a0b:0bd4 ___NFiles -1a0b:0bd6 ___init_mode -1a0b:0bfe ___io_mode +09b2:4bc6 __GetIOMode_ +09b2:4bfb __SetIOMode_nogrow_ +1a13:0bd4 ___NFiles +1a13:0bd6 ___init_mode +1a13:0bfe ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_clse.c) -09b0:4c30 __close_ +09b2:4c30 __close_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flush.c) -09b0:4c66 __flush_ +09b2:4c66 __flush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fflush.c) -09b0:4eaf fflush_ +09b2:4eaf fflush_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tell.c) -09b0:4ec4 tell_ +09b2:4ec4 tell_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lseek.c) -09b0:4ed5 lseek_ +09b2:4ed5 lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(error086.asm) -09b0:4f06 __doserror_ -09b0:4f14 __doserror1_ +09b2:4f06 __doserror_ +09b2:4f14 __doserror1_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(opendos.c) -09b0:4f20 _dos_open_ +09b2:4f20 _dos_open_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) -09b0:4f46 isatty_ +09b2:4f46 isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) -09b0:4f62* __get_doserrno_ptr_ -1a0b:1ab4 __doserrno +09b2:4f62* __get_doserrno_ptr_ +1a13:1ab4 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1a0b:0c02 ___umaskval +1a13:0c02 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) -09b0:4f69 _dos_creat_ -09b0:4f8d* _dos_creatnew_ +09b2:4f69 _dos_creat_ +09b2:4f8d* _dos_creatnew_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) -09b0:4fae+ __grow_iomode_ -09b0:5095+ __shrink_iomode_ -09b0:50d6 __SetIOMode_ +09b2:4fae+ __grow_iomode_ +09b2:5095+ __shrink_iomode_ +09b2:50d6 __SetIOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) -09b0:5196 vsprintf_ +09b2:5196 vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1a0b:1ab8 ___env_mask -1a0b:1abc _environ -1a0b:1ac0* __wenviron +1a13:1ab8 ___env_mask +1a13:1abc _environ +1a13:1ac0* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) -09b0:51d8 _mbterm_ +09b2:51d8 _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) -09b0:522b _mbsnextc_ +09b2:522b _mbsnextc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbcupper.c) -09b0:5286 _mbctoupper_ +09b2:5286 _mbctoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsinc.c) -09b0:52a9 _mbsinc_ +09b2:52a9 _mbsinc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomodtty.c) -09b0:52fb __ChkTTYIOMode_ -09b0:5369* __IOMode_ +09b2:52fb __ChkTTYIOMode_ +09b2:5369* __IOMode_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapwalk.c) -09b0:543b __HeapWalk_ +09b2:543b __HeapWalk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) -09b0:567b* _fpreset_ -09b0:56a6 __init_8087_ -09b0:56d9+ __default_sigfpe_handler_ -09b0:572e __chk8087_ +09b2:567b* _fpreset_ +09b2:56a6 __init_8087_ +09b2:56d9+ __default_sigfpe_handler_ +09b2:572e __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) -09b0:579e __EnterWVIDEO_ -1a0b:0c22+ ___WD_Present +09b2:579e __EnterWVIDEO_ +1a13:0c22+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) -09b0:57c2* _heapenable_ -1a0b:0c24 ___heap_enabled +09b2:57c2* _heapenable_ +1a13:0c24 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1a0b:0c26 __amblksiz +1a13:0c26 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fputc.c) -09b0:57d3 fputc_ +09b2:57d3 fputc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) -09b0:59a8 __prtf_ +09b2:59a8 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setefg.c) -09b0:6b18 __setEFGfmt_ +09b2:6b18 __setEFGfmt_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) -09b0:6b36 __flushall_ -09b0:6bca flushall_ +09b2:6b36 __flushall_ +09b2:6bca flushall_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(gtche.c) -09b0:6bd0 getche_ +09b2:6bd0 getche_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(qwrit.c) -09b0:6bea __qwrite_ +09b2:6bea __qwrite_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1a0b:1ac4 ____Argv -1a0b:1ac8 ____Argc +1a13:1ac4 ____Argv +1a13:1ac8 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1a0b:0ce4 __Start_XI -1a0b:0d20 __End_XI -1a0b:0d20 __Start_YI -1a0b:0d38 __End_YI +1a13:0ce4 __Start_XI +1a13:0d20 __End_XI +1a13:0d20 __Start_YI +1a13:0d38 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1a0b:1aca ___historical_splitparms +1a13:1aca ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) -09b0:6c4a _bfree_ +09b2:6c4a _bfree_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) -09b0:6c6f _bexpand_ +09b2:6c6f _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) -09b0:6cc8 _DoINTR_ +09b2:6cc8 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) -09b0:7041* sbrk_ -09b0:7051 __brk_ +09b2:7041* sbrk_ +09b2:7051 __brk_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fsync.c) -09b0:70b9 fsync_ +09b2:70b9 fsync_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) -09b0:70dc __setenvp_ -09b0:7268 __freeenvp_ +09b2:70dc __setenvp_ +09b2:7268 __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1a0b:0c28 ___IsDBCS +1a13:0c28 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) -09b0:72c6* _ismbblead_ -1a0b:1ad0 ___MBCSIsTable +09b2:72c6* _ismbblead_ +1a13:1ad0 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) -09b0:7320 __mbinit_ -1a0b:0c2a ___MBCodePage +09b2:7320 __mbinit_ +1a13:0c2a ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) -09b0:73fb _mbdtoupper_ +09b2:73fb _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) -09b0:7409 toupper_ +09b2:7409 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1a0b:0c2c __8087cw +1a13:0c2c __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1a0b:0c2e ___Save8087 -1a0b:0c32 ___Rest8087 +1a13:0c2e ___Save8087 +1a13:0c32 ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) -09b0:7418 __GrabFP87_ +09b2:7418 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) -09b0:744a* __init_8087_emu -09b0:7450 __x87id +09b2:744a* __init_8087_emu +09b2:7450 __x87id Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(wctomb.c) -09b0:74ad wctomb_ +09b2:74ad wctomb_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(itoa.c) -09b0:74f8+ utoa_ -09b0:7599 itoa_ +09b2:74f8+ utoa_ +09b2:7599 itoa_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(strupr.c) -09b0:75e3 strupr_ +09b2:75e3 strupr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1a0b:0c36 ___EFG_printf -1a0b:0c3a ___EFG_scanf +1a13:0c36 ___EFG_printf +1a13:0c3a ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(lltoa.c) -09b0:762b ulltoa_ -09b0:7768* lltoa_ +09b2:762b ulltoa_ +09b2:7768* lltoa_ Module: /dos/fdos/watcom2/lib286/math87h.lib(efgfmt.c) -09b0:77d9 _EFG_Format_ +09b2:77d9 _EFG_Format_ Module: /dos/fdos/watcom2/lib286/math87h.lib(cnvs2d.c) -09b0:79ab __cnvs2d_ +09b2:79ab __cnvs2d_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(filedos.c) -09b0:79ca* _dos_close_ -09b0:79d8 _dos_commit_ +09b2:79ca* _dos_close_ +09b2:79d8 _dos_commit_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clearenv.c) -09b0:79e7 clearenv_ +09b2:79e7 clearenv_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpe87.asm) -09b0:7b1c __Init_FPE_handler_ -09b0:7b55 __Fini_FPE_handler_ -09b0:7b8c* __FPEHandler +09b2:7b1c __Init_FPE_handler_ +09b2:7b55 __Fini_FPE_handler_ +09b2:7b8c* __FPEHandler Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rtcntrl.c) -09b0:7e1e* _SetLD64bit_ -09b0:7e29* _SetLD80bit_ -09b0:7e34 _LDisDouble_ +09b2:7e1e* _SetLD64bit_ +09b2:7e29* _SetLD80bit_ +09b2:7e34 _LDisDouble_ Module: /dos/fdos/watcom2/lib286/math87h.lib(ldcvt.c) -09b0:7f99 _LDScale10x_ -09b0:8871 __LDcvt_ +09b2:7f99 _LDScale10x_ +09b2:8871 __LDcvt_ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJSRQQ 0000:0000* FISRQQ @@ -697,30 +697,30 @@ Module: /dos/fdos/watcom2/lib286/noemu87.lib(emustub.asm) 0000:0000* FJARQQ 0000:0000* FICRQQ 0000:0000* FIARQQ -09b0:904e* __init_87_emulator +09b2:904e* __init_87_emulator Module: /dos/fdos/watcom2/lib286/math87h.lib(strtod.c) -09b0:987f+ __Strtold_ -09b0:9abe strtod_ +09b2:987f+ __Strtold_ +09b2:9abe strtod_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1a0b:0ce2 ___FPE_int +1a13:0ce2 ___FPE_int Module: /dos/fdos/watcom2/lib286/math87h.lib(ldclass.c) -09b0:9c6b __LDClass_ -09b0:9cf1* _FLClass_ +09b2:9c6b __LDClass_ +09b2:9cf1* _FLClass_ Module: /dos/fdos/watcom2/lib286/math87h.lib(bufld086.asm) -09b0:9d06 __ZBuf2LD +09b2:9d06 __ZBuf2LD Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(seterrno.c) -09b0:9dce* __set_EDOM_ -09b0:9dda __set_ERANGE_ -09b0:9de6* __set_EINVAL_ +09b2:9dce* __set_EDOM_ +09b2:9dda __set_ERANGE_ +09b2:9de6* __set_EINVAL_ Module: /dos/fdos/watcom2/lib286/math87h.lib(inf_nan.c) -1a0b:019a* ___f_infinity -1a0b:019e* ___f_posqnan -1a0b:01a2 ___d_infinity -1a0b:01aa ___d_posqnan -1a0b:01b2* ___ld_infinity -1a0b:01ba* ___ld_posqnan +1a13:019a* ___f_infinity +1a13:019e* ___f_posqnan +1a13:01a2 ___d_infinity +1a13:01aa ___d_posqnan +1a13:01b2* ___ld_infinity +1a13:01ba* ___ld_posqnan Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(hugeval.c) -1a0b:01c2 __HugeValue +1a13:01c2 __HugeValue +--------------------+ @@ -738,6 +738,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00029950 (170320.) -Entry point address: 09b0:0318 +Memory size: 000299d0 (170448.) +Entry point address: 09b2:0318 Link time: 00:00.00 diff --git a/src/lib/modex16.c b/src/lib/modex16.c index e68e57f1..6483559e 100755 --- a/src/lib/modex16.c +++ b/src/lib/modex16.c @@ -98,18 +98,11 @@ modexEnter(sword vq, global_game_variables_t *gv) switch(vq) { case 0: - case 1://---- + //case 1://---- CRTParmCount = sizeof(ModeX_320x240regs) / sizeof(ModeX_320x240regs[0]); /* width and height */ gv->video.page[0].sw=320; gv->video.page[0].sh=240; - //printf("%dx%d\n", gv->video.page[0].sw, gv->video.page[0].sh); - gv->video.page[0].tilesw = gv->video.page[0].sw/TILEWH; - gv->video.page[0].tilesh = gv->video.page[0].sh/TILEWH; - //printf("%dx%d\n", gv->video.page[0].tilesw, gv->video.page[0].tilesh); - //TODO MAKE FLEXIBLE~ - gv->video.page[0].tilemidposscreenx = gv->video.page[0].tilesw; - gv->video.page[0].tilemidposscreeny = (gv->video.page[0].tilesh/2)+1; /* send the CRTParms */ for(i=0; ivideo.page[0].sw=192; + gv->video.page[0].sh=144; /* send the CRTParms */ for(i=0; ivideo.page[0].tilesw = gv->video.page[0].sw/TILEWH; + gv->video.page[0].tilesh = gv->video.page[0].sh/TILEWH; + //TODO MAKE FLEXIBLE~ + gv->video.page[0].tilemidposscreenx = gv->video.page[0].tilesw; + gv->video.page[0].tilemidposscreeny = (gv->video.page[0].tilesh/2)+1; #define PAGE_SIZE (word)(gv->video.page[0].sw/4 * gv->video.page[0].sh) } @@ -196,6 +196,7 @@ modexDefaultPage(page_t *p) page.th = page.sh/TILEWH; page.tilemidposscreenx = page.tw/2; page.tilemidposscreeny = (page.th/2)+1; + //pageSize = p->sw*p->sh; page.id = 0; return page; diff --git a/src/lib/modex16/192x144.h b/src/lib/modex16/192x144.h index efbff3a5..73b0c6d5 100755 --- a/src/lib/modex16/192x144.h +++ b/src/lib/modex16/192x144.h @@ -55,17 +55,19 @@ };*/ static const word ModeX_192x144regs[] = { - 0x4f01, /* horizontal display enable end */ - 0x5002, /* Start horizontal blanking */ - 0x5404, /* End horizontal blanking */ - 0x8005, /* End horizontal retrace */ + 0x3200, /* Horizontal total */ + 0x2701, /* horizontal display enable end */ + 0x2802, /* Start horizontal blanking */ + 0x2003, /* End horizontal blanking */ + 0x2b04, /* Start horizontal retrace */ + 0x7005, /* End horizontal retrace */ 0x0d06, /* vertical total */ 0x3e07, /* overflow (bit 8 of vertical counts) */ - 0x4109, /* cell height (2 to double-scan */ + 0x4309, /* cell height (2 to double-scan */ 0xea10, /* v sync start */ 0xac11, /* v sync end and protect cr0-cr7 */ 0xdf12, /* vertical displayed */ - 0x2813, /* offset/logical width */ + 0x1413, /* offset/logical width */ 0x0014, /* turn off dword mode */ 0xe715, /* v blank start */ 0x0616, /* v blank end */ @@ -75,114 +77,72 @@ static const word ModeX_192x144regs[] = { #endif /*_SMALLMODEXRES_H_*/ /* voidtg::mode160x120(){ - int crtc11; outp(0x3d4, 0x11); // unlock crtc - crtc11 = inp(0x3d5) & 0x7f; - outp(0x3d4, 0x11); - outp(0x3d5, crtc11); - - width = 160; - height = 120; - maxx = 159; - maxy = 119; - pages = 13; - lineSize = 40; - pageSize = 19200; - modeName = "160x120"; - - outp(0x3c2, 0xe3); // mor - - outp(0x3d4, 0x00); // crtc - outp(0x3d5, 0x32); - - outp(0x3d4, 0x01); // crtc - outp(0x3d5, 0x27); - - outp(0x3d4, 0x02); // crtc - outp(0x3d5, 0x28); - - outp(0x3d4, 0x03); // crtc - outp(0x3d5, 0x20); - - outp(0x3d4, 0x04); // crtc - outp(0x3d5, 0x2b); - - outp(0x3d4, 0x05); // crtc - outp(0x3d5, 0x70); - - outp(0x3d4, 0x06); // crtc - outp(0x3d5, 0x0d); - - outp(0x3d4, 0x07); // crtc - outp(0x3d5, 0x3e); - - outp(0x3d4, 0x08); // crtc - outp(0x3d5, 0x00); - - outp(0x3d4, 0x09); // crtc - outp(0x3d5, 0x43); - - outp(0x3d4, 0x10); // crtc - outp(0x3d5, 0xea); - - outp(0x3d4, 0x11); // crtc - outp(0x3d5, 0xac); - - outp(0x3d4, 0x12); // crtc - outp(0x3d5, 0xdf); - - outp(0x3d4, 0x13); // crtc - outp(0x3d5, 0x14); - - outp(0x3d4, 0x14); // crtc - outp(0x3d5, 0x00); - - outp(0x3d4, 0x15); // crtc - outp(0x3d5, 0xe7); - - outp(0x3d4, 0x16); // crtc - outp(0x3d5, 0x06); - - outp(0x3d4, 0x17); // crtc - outp(0x3d5, 0xe3); - - outp(0x3c4, 0x01); // seq - outp(0x3c5, 0x01); - - outp(0x3c4, 0x03); // seq - outp(0x3c5, 0x00); - - outp(0x3c4, 0x04); // seq - outp(0x3c5, 0x06); - - outp(0x3ce, 0x05); // gcr - outp(0x3cf, 0x40); - - outp(0x3ce, 0x06); // gcr - outp(0x3cf, 0x05); - - inp(0x3da); // acr - outp(0x3c0, 0x10 | 0x20); - outp(0x3c0, 0x41); - - inp(0x3da); // acr - outp(0x3c0, 0x11 | 0x20); - outp(0x3c0, 0x00); - - inp(0x3da); // acr - outp(0x3c0, 0x12 | 0x20); - outp(0x3c0, 0x0f); - - inp(0x3da); // acr - outp(0x3c0, 0x13 | 0x20); - outp(0x3c0, 0x00); - - inp(0x3da); // acr - outp(0x3c0, 0x14 | 0x20); - outp(0x3c0, 0x00); - - outp(0x3d4, 0x11); // lock crtc - crtc11 = inp(0x3d5) | 0x80; - outp(0x3d4, 0x11); - outp(0x3d5, crtc11); + int crtc11; outp(CRTC_INDEX, 0x11); // unlock crtc + crtc11 = inp(CRTC_DATA) & 0x7f; + outp(CRTC_INDEX, 0x11); + outp(CRTC_DATA, crtc11); + width = 160; + height = 120; + maxx = 159; + maxy = 119; + pages = 13; + lineSize = 40; + pageSize = 19200; + modeName = "160x120"; + + outp(MISC_OUTPUT, 0xe3); // mor + + outp(CRTC_INDEX, 0x00); outp(CRTC_DATA, 0x32); + outp(CRTC_INDEX, 0x01); outp(CRTC_DATA, 0x27); + outp(CRTC_INDEX, 0x02); outp(CRTC_DATA, 0x28); + outp(CRTC_INDEX, 0x03); outp(CRTC_DATA, 0x20); + outp(CRTC_INDEX, 0x04); outp(CRTC_DATA, 0x2b); + outp(CRTC_INDEX, 0x05); outp(CRTC_DATA, 0x70); + outp(CRTC_INDEX, 0x06); outp(CRTC_DATA, 0x0d); + outp(CRTC_INDEX, 0x07); outp(CRTC_DATA, 0x3e); + outp(CRTC_INDEX, 0x08); outp(CRTC_DATA, 0x00); + outp(CRTC_INDEX, 0x09); outp(CRTC_DATA, 0x43); + outp(CRTC_INDEX, 0x10); outp(CRTC_DATA, 0xea); + outp(CRTC_INDEX, 0x11); outp(CRTC_DATA, 0xac); + outp(CRTC_INDEX, 0x12); outp(CRTC_DATA, 0xdf); + outp(CRTC_INDEX, 0x13); outp(CRTC_DATA, 0x14); + outp(CRTC_INDEX, 0x14); outp(CRTC_DATA, 0x00); + outp(CRTC_INDEX, 0x15); outp(CRTC_DATA, 0xe7); + outp(CRTC_INDEX, 0x16); outp(CRTC_DATA, 0x06); + outp(CRTC_INDEX, 0x17); outp(CRTC_DATA, 0xe3); + + outp(0xSC_INDEX, 0x01); // seq + outp(0xSC_DATA, 0x01); + outp(0xSC_INDEX, 0x03); // seq + outp(0xSC_DATA, 0x00); + outp(0xSC_INDEX, 0x04); // seq + outp(0xSC_DATA, 0x06); + + outp(GC_INDEX, 0x05); // gcr + outp(0x3cf, 0x40); + + outp(GC_INDEX, 0x06); // gcr + outp(0x3cf, 0x05); + + inp(0x3da); // acr + outp(0x3c0, 0x10 | 0x20); + outp(0x3c0, 0x41); + inp(0x3da); // acr + outp(0x3c0, 0x11 | 0x20); + outp(0x3c0, 0x00); + inp(0x3da); // acr + outp(0x3c0, 0x12 | 0x20); + outp(0x3c0, 0x0f); + inp(0x3da); // acr + outp(0x3c0, 0x13 | 0x20); + outp(0x3c0, 0x00); + inp(0x3da); // acr + outp(0x3c0, 0x14 | 0x20); + outp(0x3c0, 0x00); + + outp(CRTC_INDEX, 0x11); // lock crtc + crtc11 = inp(CRTC_DATA) | 0x80; + outp(CRTC_INDEX, 0x11); + outp(CRTC_DATA, crtc11); } */ diff --git a/src/lib/modex16/320x240.h b/src/lib/modex16/320x240.h index 046bac88..abf4e0e0 100755 --- a/src/lib/modex16/320x240.h +++ b/src/lib/modex16/320x240.h @@ -55,9 +55,11 @@ };*/ static const word ModeX_320x240regs[] = { + 0x5f00, /* Horizontal total */ 0x4f01, /* horizontal display enable end */ 0x5002, /* Start horizontal blanking */ - 0x5404, /* End horizontal blanking */ + 0x8203, /* End horizontal blanking */ + 0x5404, /* Start horizontal retrace */ 0x8005, /* End horizontal retrace */ 0x0d06, /* vertical total */ 0x3e07, /* overflow (bit 8 of vertical counts) */ diff --git a/test.exe b/test.exe index 62a225faadbbbbbaaac60e14ea24523b543ca6dc..5d42c3c14b28c530ac3f363dc3820e0aab75b94c 100755 GIT binary patch delta 20786 zcmW)m`#;l-`^R_QY{MMqd_Lx!keriKMGiTPh&0JLHk8AL$PB6O*6Hq!bU-P}dCB>d z4o)%VtixtbNyuUE@8|Koez+djU+}uF*Xw%LRe+}|z!X>iK(G!741$1CMF2om;D5va zm)!q40^|j6BprDF<1}qcp}dxw-wTI>3R!SAw6Jym_tQOnYLqB0;pffQl|n*72t}l1 zGaAHvMD_0XcK1^jghB<*4RGrgevX|F$px982HnjAf!+Y;Lr5V8=ZRrJ2sw(RPl*eO zx&t~322MfTp_#DLaDa*sL|O~j;ebVvm!dObTH*jm;-@46zW@Rbf;AypP$k%s4m?iz zyuGxzu!A>1``%Cp60+t19S|=MPaoebU@5sEs5^hqXumc81I@9U3F&PJPZ#1~<4spp z>n_pS*tAixkKbuNp8?NHl%fVOh}O@h{ldt!#3|Y)>@z{o#$%{a$tHNcL|u^0frJJF zCJeM^)K^fK4zxUk6g7&*m^qHH9YzHy@gYT>=_51w1G0ttto-)hPw6ev1&NQDTIshD z=f+H|%w0c=3QvVVjxZagtm+>299k}HKbRnEd`5LlOEKLJLhGOuPIMUWW+9cBGR>7b zm%=33{vSflNUUl*hBi>so-*rCx$9Yoz#$V%oZr;C&p?(PP<^OtVj29JE7e0BBL7Qg z)&VA)fkyGb`Gy0a!k__nJ{{Jm1kzLDVuoViN(mU{7hP?&u7Mohos3Y)$GiJcyZHV$G6I!>ZuMs=c5oGB4n z(0V;;RwRUp)p|8=G1ueB>RMK{i1r!ToL_=WttruV6BlW26ROcaAp76w>5E3}SNA1j zzxjN#X9I8pl~`KVu2OX>zII8xPQ6!h3VR*>{v1V;$2MA*-kPRIMfuDd#jk}#%P>~< z#$`8%lMId^VFUrpAI_WCSdNq-T_=S&x+5x|x9B8emI8{?`Xd8)Ab9_}pF^b6q$YAYnk*egqrxIop0H9Xs`lPn$0J7!yp382&M1LSr!@ z=>)NTgk*iYaQ+15JrY`?Z+&Y9E_*c5N3_kUa$PtZ=Q8?WucM>8GCBx%GeA`|N^(Oc zZa^s_X*p+;&VJ#uqiS3Cvk1-pAup6rLD;9kY1<7FA$y{Iw=5C*GA?_2$}_uJdsZ9u z#XH?@G`8TTD7$m%Rbc6@s|X!LT2_@D;^M7Zga$^?qo4s}=zAD<^6af22vtfwtNs&B z6ny9YZF8lm1TWgo{wEAJ`ut0myx~sgI9fP>O}vx8ImR$i{PpQ zk#AZ7g-13SGt;J?r@y}uG3RVd;B^qAp~~YF>f{q z%MKS3zvwd^0BKm!0DJB%=lk$4f2fjVv;4=H{a&6~eo3QE5|j-?wcOFNzSH8ihtX`w0l$l^d0g+fxexS_VcBew z2<1qthDC<8gl(~mJJ-O+CJ)wXnbZuUy78I4u^^GU9^@3Cw`dtpM$C#C=$)|)2^Gj> z@jS`=7m^h=->h{ zJ9?Jw4m|yxJ83*5&-@K)dx}}Q_AFmVuyn3@yZJ@I7YfNb8z3D4EJG7YEYFc!L%m>I zMgVWfCfSzPQ}{z;zRr4cz#{sxa9uGICq~g+z%+R)%$YC6D_KcEoTy_}{Dp z=%;k8fcHW|%6Ck1gL3!>-JaK-*|FL6-gc%%yKn9ZAoI+73^k92S7uAf`-#_q`I~GR z2s*mq3>jr>>^YN6{Ro-Z@rq1!xA%wNo2h~Ad}fb#Fr+dsW_8|{-`&b2JQ%P7C``b7 z1H@v&l0(a1Y+0J&uF+adVmW{RK zP*RBP*{IDbq^vaRW$2w5lOEY2Q0gc(UiSy+4x6pzPGL$*7o|``>yfgkd`MC|>Tfuh zJ2q&P1}4cgs609%iNy&^lPEc&l58eb@%tRVL3u0g8@9fcqaVdva!%tY$QBt+`c1sG zyIqb#vwf#A1$@YxN~z;2@OP=nin1r$T&K`}2*VF1b*)3$?ZX{j8sDG6*iYrIviY6| zcjnyl^j0S;$p0)u@|tnS%1Z ze~6h@oEW*1=OzIZ>-?BKUgNBN>>v7R$O#cXcJ9Ylc&&!%GF@_HLN8*p9!gsn5dSoQ zq0@jAZV2!fSMD=12RmKEJ)NU?Pwt4yhDDts&G+h!(*yB)^na2_`MYgLZb8L#Gxm}> z&-mf(qjooQ%tS*Q;gx@YYdd1~KGxg5Q{Bi2>f8meZ8hbU`&&%;#&DjZNSYzQ3Eo?^ zc4_C8J$6N$_2G{*5hvywH|&C>nMa;)ob)mgMGjm>o?FPajxTo@$~Vcnp63MKD^nt2 z%Mb^Q>zb4f|B0li3v>o<{F>|u^PA~gqpI(cC7k9hX0tMrx)Y2Y+uKA@aT72I{t6vl zZ#eNyjY6{`_-dUi0L4wddT${H7(X4&vU#wX$b?DC2F7uJho%m?U16(7-mrb~Q>v(> zTCTavG8c{xn34j6)}O)@>VNM(&I(OTKY$l|bk1e)hO$m|dlKGAmRq^L!%77$3Ud;E zOP6NjW?`h0KMzD7ei3fBw$>N6)9pC!VPFNJ)C0$ZxO&%sO~JLX7|s2C zsXnc;)7ZO~ICZ(&^$Sp-RCvwn^!1HsgGY6~Ox^5jrL@9H+_+|eTpkkLET|#vb`U~= zNW=~pW3R2lXXxH0zB;v=a4W(*3Da}@B`E4&u(FMramNw0%`r)ZbB{o--kOe7pUb~m z0N|=G3x2H+SpdDkCB6>%9J0XwhYJHOM#b_goX;vrAYk zR}1ifR6y>O1?{=a|Kh0rx;cdx#(~d#6|$E-gTu<3zGuFbOV`|8yY<5L328!SD_Sz; zo>6=daaQH4&bYpb+csad2@EohixHETQ6J9U%U_aXVx0|Teb>UAXS^upGDQMc9dUlL z@wb&@(@`W}CZaFp9wql;k+C^yiFg8!Q?(fuJhPo#6>4d}=ByYH4ZWoqxg3)w$UKJH z!56ae4;!$)aZl(W{Wt4)8?%QwZFe04X^T|;DI(`3@^OI@v)4sP977H2CiGW8dkhc$ zSEoIfC~0k%Av<5XN;x)xep+=SlGbpE;~Doyb{|bWhqmA~eaut2cryv~}FV)c9omBi_2F$;e*jQK9y;wd&=ocJLG8droX^ zj6|qRkDTKZX)9h+)>qxH?w(b`U+U!0*V?exlozh9R1egh7Ngw%vlaF^v|JY9FJl|j z)_+7Nk@wvieX8r}N>-7$a+Jr7Tto2{VYjy!<3w3S$@a80;)>!S*oeB}fHBLoP3?I?H8RNC$lS&>J& zru$TFO0kU=LDiJOYi`z!GR6{yI=I2&o0xgj7@Pqs9hV9fJ{I;5|=yu8M z`H|-XNDwQnPsUg-%J2%glq0t(g-K90j~)sNSNDnhWOZkm)+%hVGysn7(thFH6`(sA zCI3S!$aIg^{&y{M`9YGDZ8$i93zEm&a19r0QW1bsD3qP8#LwIa(7+eE6lgAJr8>`xu6RvojXu0+21LU$r``EeKTWDvyhA>A|JuGP~*TZx9ZzJq3epNehv z{eE&5+m3C-v^^w`H7x1+w-_){D$EaHWE6U_d7XMH(o-CPlrqxSCkcO)Qs|X^`G+sC zU`>#uaDPIl0@%lp^JdaKkd%>I!qU+T*zvPVqRO4sj-#r{j+q0y)W; z|E}4H`mp1AFRDE=2XYOh*Sa0we|3=FY+j_ErlZm_D*eki21|aShNd3@yuC&G(hj+U zuKAOvo;=Juc^PvK8L%?}Jw4~he}L((=DnrpiEUBOgLm-J)RRB)gJ}{)Tsi)n=;!E( z|Ki0NDR2!hP}{ruX2Avocm#ncK4wmu6k|ra8T2%E+trrfWz|tkus>}ds#-f~8Z1&KM*V#Ckv&Df1a zCrDD+HW2-+?G6NQ?Z||7yeVa5x)8m|Tg~9h{vzQE!dIRxzd+ri0NpSMuLtvmlTrBU zv7$(HDeMGXd_q^yz{EoFl~!TsdE< zjd=U>d{QW=!wYEvHA|*iW4-INtlWK1{Bj3A@({j0F2@|$ry9hvD3hHq(W|n-AEwl4 zzePn>q>3KV`&r5x`Mpet`n`ENw@A|yvtg6ZIyVjWWF~Nnq@X3Oz*vu9|Jwn@TV9be zkJK3;@DZ#N+SFVLbYqkGgv1gQG77x~$r=HxI=oQS>jZKm;L2XI?O)#iLGm&c{0a z1`nU;0`ti+P&`fCVzfi8`ZyDEkro&ULDi@8&o$N>6v3bpNTYP~QL+^j&K#w|XDoaT zDOw0#tmY}KMRt<-8p^^6WvmQ4BRY;okN#!#X1^V3=NA9dA#Sid9e@cw1>dy_2NM4=1WbSqFXJ*9MeFV&otpeFcQdzm#jkKRjkr^|tV>xY+tmqvpD zMO!51K6ta^IGJZ}aHi=XbV2^$8^mP7*C-wr(|w|SH>+Kg+=`p|lFO9`n(&|fURZ32 z^Sb|haL`c5Ipci|@+|f#R|b&2V<_%?j$)Z*of&idqvvvyz zS1Kr3Gt7y}%>dZgM3I$3kH@>K;nM7E_C)F%CH9rIB+Bql`WaE$GfTaJptVp+BO#U2 z-Y%_%@Lot?8@(SfYEd(<0gbt~m&L=2vPi`)KFP+@+l`;ilx=6-&fka#3s4xw22(8M zbsxgKq6K@wYX`g^t9WB{&Lk&~0mp*OVcOe^5_&%AQU&VQkHI2gfgu|;{%3wh-k;ex z$C+#SUL*tlXG5k~90_5L_V`k$e&!Xo_fBj+&EO-jj`NS>fV&B2EeAnXv$li3<%;ct zlS_=ub2f5}8Qm+^tM4V08{BK0NeXk_%F&-PuWsJIzp3!urh{^uUu^#MEA!{f@J57* zgYa7z><2>fJxTz(2NMF1;RI$xrNq-;&1S?Bt zM-B&!@>ctdT9NgoLKNQnah^3{=wuVq%lvP9yok#xt=+YFghgmyWFEYAyk1Bz2_2@B zHI|e^6NVZk@g%gTtCD1&T>;{*Fy46Kr3ZM;nG=QjC7;E0^$pb4B*;x}!;AOJgvt{J zs>T{#)Gj9_DVJ;(A_f)~*(V+yXX%i!+5z4y#D9hR+fzIiMSGwNYa$`pa@^K%b9gr3 zi*Tg4Yry4qKI(tK1A6HTwR}z5p9o=I75RR5iDg=)+z4nyq`KN_qP85{aXkPlR)VOI z?cT&?-BG45bSlqsgcrnku$#^jMnb1EE*kLWtBOq7IK%yKRLw7HhW4M^ws*2W&EFh* z*EpZiB{+|!yuCf{g7%9D12mO7AK<@t3PxYr=@q&tek!3H;r*Qm(_j$%M9Z z)oeCZ?h!JUrFu%vu8_92=_uN0FBtuYg(>P6R-7;LJPCn|R{^rpPz(B4oB&cv(&R(( z$Jz^`KEXcb_e#7@m$;U-^jq11Qc-JG{vb+>g%XH@OX$+NY4hA?Pavr-WWz(ZZZE)7 zX^)C$6JsG70mf;NO~uGs%5E9=5(B1#RP3G^q>wz4~gKg8x2<>7D1Ze z`b{G!sliS}QPn(3GcI>h-*H#ZMqorvNnw8lWlNGB@ISyGyc=nd;BWsTrdoQ)^zcyH zpb{m##mDkKQ#%2ac96Uv(slU9?U{Hwa~lnwg?GubJ@^7wP5<;b+#RLx8>nEZ=LJto z-#8`?DF^Jc%cx}Nm&u-p2KaQ&9&Ku0Tc_guyCZKw262t&L|W?Iw2Ra%S+sV{hnF^2 zsGoO~sA1a_J=uDQ2lc~a=$0DF6%4k5P{v;3G%tRjUP;2*uEPZyBjA`eNSO0f()xi( zB+s5_P|#6hoxG;*?F)=zq&oD`hGIdCHYo;D!Ua|_d-kDD5f2K>qPMePAm?;mEl;Zh zgRxI-99=mxzxL}()y8j*k5lWq&*6UQ$LFTbxrzEjm3?|#^#eN=A#{?=$=sJNetbe7 zba2fg;{)>v?C+lDOksO+pngh{?LG6c!Bkw;cZ>IK2?7mZ*sJXhCPMec!>wt^DX)d1 zX^DRFl|b!h^#@K+ke|qnNeh%3CVD}m_LH6{y3+93YKH_JWDxz35FsEPRgLW;?;%YC zGrZpQhkL+GWK&Y$t1g!A1ujJD4J(i7qO0Pygi}hV-$BehfzIg=;k>zjL%8J`;;#B% z#Q(!%rZI{#>sT)fAs~3_X*Ng;tbHMJQ`dtf!5x31BUSdTt4z*Ag(6qNO1!7eoR=P> zzkBK9a)mjC-M>~oMIg-L>Gys3yb1A3OUKICMl_XDp#depWJ(Fp8IIHBsa6MuNl5rs zNubXpJc{lTGAb8cH>#eSm|_*pB({;K$zW@l(>!Oc@qTZ@O{Rwjc*hK)qAwxxIEoQ-*z}tm-lrIYw05O zirbG5JvUR5bz2LokPy&Otyo0e@Xho5Qoq0NP&4Kn{o2mZsCA1sAG8xU>jc)&+n%p~gYT1EPp)3BE0&9NAW$_&you8HG?-4B} zCPB+I19)+&zcEU!=$b2_t+6Th8i7R6OQT=%Ugx&Wi82_J8h4KBH2l4RAH#e zu``l=CU8Z{kwCK&7B=RX!bItj`vUa&n0!nvO(SRUT8dv$?TJ=xpE|ibz=8!PDNl7N z7_wsjp_|bsPeD|tBX9DGMzx$wg*0b*y+!^wbS6g8RDQA~L}<=yS&(mD?(F#07pWCzY3oXNW(gBl-{V@huF-OKf}-r~S|5rSh6oR{A&Z5__+Iwboy~ZbO{ZggQlJJ#fj=}0v`&g)<|6v7d6*MU8o<)J8L_jX- zJsvM={}0&)%YDV4X%Ow0ygFre+qE7gbgI(h>kyx)@Coj!??Gqn{LN|y1B=6}o8#0r zoDXIm+HGnUw1S+@8mkQBkC7o39cP0mPaYu=?ov8=YvCg@bon92Cww1U6nVcGC?fee z4kP=ovI-=$UW28*lW8Pm$}MT}1lWr>vT*7zOVWE9Hq~^#Qaj8x-<@-$?LnX`L^Nq< zlJ2;3^YdvFl`x-u)fh+X2?W%Dx=jN2*MM(lp$!i*X3-9V&2|!dMULZ0V@d_cEq77&a84D-{NKN0hGlXySlC$epN*i&qI2un_cO-4kzdE;EB73- zpRI9P#zb42O5>PXNY`Llohov<+t#dAxU^d@8Nx-$QAMGnYiG(2dIgvu zT#cD6xPU*SaZL(Fo2@NtGowdk1RzBgoWXz;^;J{Iv#DZk!5iKtAu$Gg7%V1U+k?TS&& zrl!6h88;a9>bLTQl_JwvPf_zd;$b|jdshCW6q->AAV$@G{?9la{!#kVn*@y7-JLhN zK$V!?`nm>3+jfXKvH=PevI826iXSEh-f!8*U*%TbltkzZa;eIjMWA$h%njq`qV$=ja~RdYJXj^zeye! zv}Oh$TG?ugg=Ko%#ViUdAu!kPIl)wG%_5!)O`gaf6HAZby-9jJ^I3>**RVO{s5;(R z?Y28|^~Z{D^-E-oEa7g^=e%_vUAF7>Mf~;^+OR-J%{f~N+b5BeSkY0B*%}W&R_@T@ zThs2!QBHj>r*Ty6vc-e5OA~2+_0_Vs5S^3}*T>zc5~`8WQuIhsAqRihPj>g4d%2o# z48BT^BJ?h!{jaQ(IxKl9?GOnQa=aqiO$bCk86qp6CK8}Zs+zhIij|jz+li#4swO!o z)pa!cUE)z1yo%F$p0Pkao2jPLSjn3^*5=(w?*GNEuA8^BlKiFe+~GQ#(oe7m+b;)` zVCV6sTE|iPQ!KI))>(BFBZNM2e-_(a*iF6XV467Zb0`@kTrX3eNi?atnV!6K%R z2(^6$fNQ?X_L4meU)Hcd(t@*R&3BJ@#Gu-N;K81|jyvZ_>i3`KeVfwgOxF0Fi!5|} z(rc&#T*ywSN_nY~y3h`=FZ3LgY}Ob|q}bfsBk2Z5bNY9777kb0j)YpZ#tA7O(&T*? z)f5}NHh_$dhI@XSY|2HQ?dbGY@N9EG*y7VaxBwmB$>_Bh$YQ<|4sWX%IM0x9i805_Xlo6K54QsS`eZ(X0wJ7ukr5F|h$2Qa zVqyt##7m6$1OkajW+WyNl8KiYS5gS6#56`ag+L`zG8mazgsa4CM$R=tE|JE_qZ9Ip z1&qS$gd*Y%#?4!Ve~7mkj5~z8#CweU4+#GfA2R-XL?|YfFdml@o)Diho;@d&5z84B zF9|%8H5PFGy z6vl^s!bjpK#^*1D0pcKIXqYfU{K^;|Be00$j0rYjk~qbfo*~Q<=NR(~gm1*}j2}M< z93q#o$RjKfml-Rogf-$X#`18yCY( zCvs^Tsc)G+yGamUtrx@Wie6x@xWDmPj8Ghyb>Oky_leJ-Xf`&Wf*MB&d-whrU#>l? z9a{d;j!92|OGgtt0vaswVHHpI~qpQqLCr$J7hd`0Oa<7)O?3K-QpbF7y7H!Y~kK<@+ z%(SyqQARAaxwG49n-YDu-C#KJy>?{ffy4r*r@vT9Z!{T>SNXxX3%|26D8@--XCe2q zkFFpKQtSC!<~_^gQ(4+2kpJ2+#?X@Vq6qkn156eU3x>Sf>~TWlsAjo<6U-smhgzj&0^WhsfWGMaX2O;fxq>e!io5Kzoq-LIDF|L?;hl5 zP{ZMjo}z2FkQx1b^DSRNSCG>8E2P&1{IW9BNu2K=lsc@al5c%7OXI)-RZ2F`#eRrB zuvh~L?Qt}|6d_GfO=Ug;g4O4KncnRHJ^9&n?%zt_0)d|Cc;bO?N^r?Y%}cR=wZ;PN zf_!Cu6wM|o$WdtH83!I?EdIEBNPE%km}e%Zd+gZ!tbN9y&WD>a8Unab8QgjKUcn}* z?ny-^)*)k`h0jPO!87qo#jHaNQ0L8ACc9?EuSPj1tDjyYeZJ**=3 zDVRTz;aJXrMCr+{edQ)5!e(WX1$(eE6iL!TSg{zW$qaB9Un}ZL+-}uHhCF~3Wcw|KEAlY zF_;x@uAhVVcA=@O%G#XQ*qxsG`pF-=O19`TS%9<(}Q=W1H-B>-Nxa~jt+tcR7E z3Cx1Qx-6Hw0{29AhstmyMMU_-Sr_gxplk_3nwNJ0G^DA%=~{M)xwKn!>UEut(?l=SGqN}*-Mf=o{=9hN7W}BF_hU) z`gM*gqpKUcIUI468Z}K|X{&$b!vVYv)Uj?FGE0~ze#6C1ZfZMDjBdWbe={tYNY6QW zXKxZTnr^q7W7?4?e>lg)qb^1f2jxk}`^4~C;>JDEx#RCuFa zv*IXk@r}gvI*w@woz{vqwM-yW+~Z={zDg>@S*reS!d~<@MgP}5y0g5sSs)SDS3V)T ziHR1vzsxB^7&GP%wflt67c?$;k%nB)&+0@eetRQ>`||C_`KrVpglkfQ3)$8C-*0Xi zWi<(%<~)1deJuR+h<$9%Zhl(;$YEDji4y@48r=KZW*zaKsp&u5!2417{(`9 znkQw(`t(~3b#xc(J^S;~wJb=yx*A-WqKr*4P2RXuIT&{8)Z2`%g^?Kbbv^b|uqQwZ zMDgl7y{?e6kPxjJmPv=;P$NH}Qpy8j!cMEFJE+I(auT|Vo=hta(33=|mipu5i;4zf z@X;Ht>d|sh&-}01AF`ngp}i}C@vfq0XVC9?<{DcwuQaHxuuT;AB2WYGN2gW=Rm-<^ z=F%}YD<{I-vQ_8g%R4!02G8AdN6UfAPM7*TMays?LCx}Hf|sSs0NEpH!N!zacOYt$ zgE~}C=Z3un?QHSxCrK_`u?Z)KR9+8A-i%|wF9nP^0+yr%ih^Yn`DBvC5hVGIynHBC zIgNLUcV2RepNO)&LLw9g*viyoS_h~W+X@0<)qljC*Yv%XBFHN`8X0q^Vul72%^G}3 zyAqaeqG7Nr=VANq zU)L$K*)$qojbLPluh9+REsHQJX?xjR>P)7)BT%KH3Hknlaf9ib1>NZ)OF zzm6)o&Onc6;(zV}=$wqItA}=_4wUT_?;S#&GN3#w5k~DVfZKT0y1l3nR)==FocUi$ zyWY3tu$g+cZ2IRII`3nNKFn3ENi64ddaQ&st|+UsB)U->Fm7FcwKeGCwoLnyP2E<@ z-b^Fpk4m6KsEa^*+QV+7Pff}xnxgVQR>|a1VM&BwQ&xLqDW6@JCoH+G4t!lV0~4?l}YTC>gn?41Z4xBUvVIx8~U^hwoDeaq(L zSX+!jcW_MO_evtJ-pH!2H7vB1pU9~d>nM~oI2CkDWl*R2*Cfv)u|rqmy8~rV4yjba zqFd>P251SoI9u};c*gCKi-z?;Yff`mV?=6m9D1;f;SiuxEj!SQms3--aDu;)u7VY>oTa z-WQkpg864R@$Zcm^V`*e-JBfB`8C03Z&6kSKyhggskQ3zF6dfsVi~FvlyLpHU7`3f zscSJA8`u3&`ddwsQ1OeR&u{^_ypCfsA_pXkFR$st9^3Q&3+|prPE!W(v|(hAy|X!@ z%*)pfA=K8ef*?CA{c9)_b#5^>((-%)plj)#pkwKfuyMfBo+L{~hhMoT`?*Oau__nY zDQef`!flzp;>#7v#s-|=ZkWNj5(cbTQdW;8Io3V3g`7IFLF6xMjvKNNiH^@9o5=KY^U+LZe}WndDXTj#0}?zZWlU0HcmaZN&2h?mdkLJ z)@TLw7W!c}y{N^7c9iSC@cBwys5Pz#~?Ue2h~>(a(s-DBrWE90)Jx_|#)7dOT_UC+>6P zeWPDAH%rvt(bH*hBUwiK>d2|GAPxRkWz98TLQo-VCU;RC>kesLOMV%SotzAC-`(X# z0vPvH{!Ly!53@DH%*mR;RLEZCXB$SF7<&mU3GnG9y>suZmAF&;eL7zLgh8DYdXV1T}T=VY-M@v9qvKo%*p{BjH;%v5&5dJ!39}1 zSpvSKE9?tswLIc#$r4Y;Kt`cv#Du^-%dq&+r1MIu*^5_wd+ywXfB110g1F{$H>cwx zcslYg2fx#3`6!b!vn}@esUM5Q;WwA}7VmEgA-Wk%O0Ag&ntd z&fiW7gxpx9>{<3{4M=`X$JaS-UbyJhPBus})eQ+%OhI#U&mR^g4U%SxG5BMx==9hz zH23C-8g|B_rx0LxFY%_O6RFV2+w`cxR8G;3 z>Vqp)e2XgC{A?y0f0YlP4XAhFUcSmtYS3YzvbT)%4+yV4ruNOqWmz7HMz#awyJ?&s5~iUT|t1AE7ztP=x0rWe>!dg7PcSu zLZ>ohxGNdk0^7@kYJRYMq@2JU=RrdWh@hd!4dnZ2Dqo3QJOCC2YOQ?e*=_65&{pX|`o`c&cz;d7-DCBf;FlWAKNT4 zk^>hwGrP5`cITa)%E#ID$-A86FkfOJK-=|@5@mv;cOQEk85$`6pxDQm{c;@B@$!ocPU{byghyU+t{)1>9r5Ix5C&i z1rUnykm;70DOiCu$dY`poA)Rl0Kl#R%ZZpxQ+$gP&Yb2rp|q>cKE{Q)*>pvsc!Zv||FoHZ7H^=9p$(@RoCDVo1T zfn3uNGp(LzUO&cTi#n714Xl=BflRV}H#oo>1_zJlht6F+NRiPEv6N2Qfx+x@k=Ujp zpL?y<#mHwD#Z^K~K1yOc-6j7VBGzmAi$Zj@CjfQFPU=FP6JVL4w8N#t)NBrWlHDAy zZFf7q0-f=Goev!c2@Yjq=Uga(e}tmN*J{7LtaC1_n|(%GT@R|D?+AA4XVYW&9mZ@^ z3-Nftf`IIH;rK&Mx1cvJ-pNd#5m%u@0=m9D&dR>OPm-Vy)7zLv#(l=^xih`SytdEu zy4D`iRbxQh(TTM!-qswHT4|H>860^ttV-iO_|fy%}cg=`=qmQe?2#j{7s?&r)q%QkJw zeTmF|?IOb7UN3XT_dZs*@cQVx6R)$g#|L~qNLraZ%uCn`43NDR)z!LU-1SK1RlFTAny2O@iuLloe46ng9Xt7jNI!|$?KfR*2D!BK zy>rL1F^j=;N}}fTrdC}Aaquach>0Rg@5g((zFuq>4?|H^^h_}gzP;~N=IU#P z=2V^u|D?yuLUTJG1=DzM-`)EU`=MzU!TwTiWF*dCutxIk>t3^Tx58XVr3)NYQ(PSr z)lL|jr~P%&X=|*6G@=<2Uo_9uoDi{^oBr=y9D4sciUsrthHsx28ufVDK6vm|5|Gieh}CYZ0(aRtwZB@qc$Uz?uJx6= zTD=F}{MDi`Qu=PPbO_>ivstOGy@RF2k8`%-Ps8l3_V2txaQHm{w-IEur!o`Bc?UGX zFs77@3{YhNYEO5zsSv=O^gr>KZHjOUf71AN;41?8Bqa-^U>xaQ-5V-0p`8F3@&5$O zcWf!jp4mYwaqgd;=>rO9vNkP2B#aBh^xs1DH8(bn^E=#T(}nrg^pqAwg!{P@{Gp3wW7j zX0688yDdB`Bit=h+a{79z?90!cAd{!PGuguQoyG`vwrf;X9T`^7wcqcRR)QEbsP{} zgqVcYLI&)YX9r(sdkGC}xaq|wHXHr#Pkd zK4Ba^+!8>y9u@-MW4$=bKGyDt3lvhD%pU?qL&XnzehFsb&Z^?0yxSyGhx)@;SsL%b zl$Rt8i_P>aiE4uNw&_a=5->8Ik`}8r!JWeh0yzlG@)o~kWSjeo&&8|1s11J3^h{T? zoK@2+Sl+g9JW|p1&ucrShJkbzzPiFK#YYlic6;`n)>>9O{Lxog70^*--@4P;l7MJX|ru9;_g;j>)OVG zN8gGcVs&cw$+J(3`&db>YYPbay%l$6p|qENmx~kK{yPub)1E1R82473#M;yD>tn}@ zCtGc=Yu5@V7PhB~&90XSnpSI_!QFaIzhGqwFUZd< z#*gA3pg)2NIY(h5k)fiLAl*shA(8S)SI>dYaJ}OZ`BG=M3o(o;xZSiYEb9S1dI zDaMKP(mai^^2v`PgqnNV$@Q4VCF&Q+%A3d{xBtnCk+-n8gGf}2HYdH3JlY&*UW_cr zXO5P0UoL~WwUELpshZ@&`lz72UuGvRgRjqc)qRjWPjI_{0#FpXH^RavhFqhFHp%I% z6qRQ<`w^sOJ4@#L;iG8Pg|7F4DHiYq^U5gYf+HSvN~pt|%E+46Ae~1jC+OLaxR3f# zv{4gZ1ucTHS0%64B82Zq-PAM#;qRUWm`0#5Y^b%jtYkO_id$CwRmB)7)%Igfkp zSIAOCO1q8(#Wg&9(4>^}He}cRXl$dhp`ZJKx>VhxL%OR+=APTWjt+N!qWgME<(uxe z3vZj>JXiT1_28QmBve&SSMJ|?)cy!LOW6CU0>=%IvGXwg*i9z}i((QrOc#v2-+TUiw*j1=>P&e)Crwm?Q*o3MTp6o39` zrS?IRGOya&;om6z^TuOv7qDE$AHSn@xC(kKj$;Zs^BZ#46}_T$xLw>L;859spfy5m zCF8HT7sWd%d8p{z;D>-F&h}Ds5h38sr7qupc4w$z{8z8`UPK6$B9va|Go7-Rp*<7^~oj>07^OsLKj_fXX=6Cg(&v1C{ZT9o4g0FA5rEc%3xLIHjzt6bupz*$+@RJa|6v!67-- zC^1cglcBLuXg3l4rO@z5Y>1Lh;`wwTXu>urB%YLR>(=gEj}HxLClNgr^wHEJ3Dk}| zr9n4#wX(Fx&-RproGb2&e1q;l1GEWd3#voTJX3~i_0_x-uNY)CPZzTVyaa-$mtD$= zvCj-AQx8vcm-;PdniSPpt~gGvcx<`^jjp;x z8+PvzoH!kO0?$|F#KvVy^;djWjN)`CI<61(g`qw*BdC1dEutc zsEv(PTzTV4#9q^^tx95{3IMYLQR7#bZ*b(VFM#^iqk`EsYwN=tX2riIQ_GYzMgVP) z>z?_ZdI&hJQ~6q446rt757010NLGZ6nd-^jQFUB%w@P*t-@UM|VfI963;Ec&;!up> zf&OtCQlU&{XOLyDQkE2=PT|az$oyDmtJ}hu#l`76h*oXKLs+a;Rcl<}L8Ro@MbE%k0D>`zMmg!~TyxOAhI>VrT{aO*%J*16zA zW@}`nW9cgvAzEys_qX1!s%qZZ{vRrtGHI}>fseK@%Jk>_foRs})q(z^kHV)O>GVA} z#HHkZ(Y*ZVT;;3IdSe3XAS)K!Z0DdRu#ymRiBCbZJZu6&Z2Z2Pt9t*{bH@G+T2aUqW;E1$K`8NPjr zF(%c}F(@+$>_}Ow?aGxGGF)iIVnyon!UQ%1n+!!{`Y)U{m%&#gK&LD?(Ev^hr2<4b*Lqy{R-Pm z)Mwx!)$k&3=eZ2}bL^duz6ZbXocBCDxpu<3tYV^5Oi`2MjPYCKhu z1cVGq;`J3G{2o08H#Xpc*nfFWWx6?VQR&2njDT#bE*#rtlkA@Pm=eO<3rb z+|kGhsI5ezdx;d04c3V=bcXjws>?K_?Upo6!M~Nfi`q{#8~su8K49=iA=Gp>@92EN z_j>w26ll=@PzbRHxE}`Fn~qxOsnQN8X1P0BP`LVNF=q7rh1rE*a<@W{qBmxs!6Ra4BfB~3iH0I75GO3zJl%rRRJtv(jYpD(H14M;rW{v zIwF-4t9!MCp(z|-=G^nU1Vn;NwnLn%M$6);_uCb=69<1$)|!gLr3rATUKG>dvE)6? zOQ`Pp0MkXh^wuucv;n0S>;ux|vBH@x<+S3IM=(U@sd+quF9H{uR_ahF#w9U=m^KdT zJDqmyY6RZc-T(j*gRI&`S3M544L96^Cf@sYRe&zrwsbOn*dz0x@a2w`Z<`Nsjoj%K zEB#`3-~)d?ZBFiEpe9f`QOdan^Sbtd|Jz%GAZ-vS``#u4H^fw14BWmzfveF7tdt_{ ziwSNF>ICZ*b7XsH|NgRD0{Cuo`ULC_CI2N!uzfu7ngL^YKW~_q>1T}pp&BXyyP=w8 zI3O#QZN<1w(-Z(8V>q{(06{OpR@`GAqnb_zLS%p9Ch9^N_BjUU+>Ydy31f5Y&*bhr z=nR+0W>dR1od_9KwhIP1GgGWuUl{+PH@`bQ6&;EB zwhwv2qy#S;CS|b6n3~A|TAL8ezAm#)^bCmC|3H|ZW*^b( z0V_)caQEY2XrUi~_@~d95QosvG6B^__L5)F4N4P>#&C?6|E?!G7CYkL<(?*XSL#mtpR-ziZNT+_^Opk z|H+40smA66Hx6(NkL)Lt#6>NCZyf0V1Ge}D>|OBxcBXaV|1;3wBoDiY2mgj|F8+@A zY!$O1WWfwwp-+VWX}n*Tka>ldBJyRI6?rk20vY26Y)J+PB} z0mB6F(pLl5l9ey}l^`DFl|nCZl?m+!my(q)caZa5{nWhPYLYV`|`I9IFykXyTC+H)ilyN&&Wo{S77wFCm5qY_b zD;;|95C4-vjkF5T^y;=n?gXhi?eGwINFdLF*OON8FdXu}2yY*w1iucq(1nPMw0$%> z0W_2bIzJOk3O@A_+{80*5 zC8OK?x(@c@*h84wAOJA2moLd*O9)&s=`3aqfL+-!Eee)?|HWX|E;n0O17M3A3s{QT z2wf~LR8Pm#)sa|=t62ShxQqeR^N6a`wy$IW@4R+rG4@rVSZh#9-+~eUtdSA_iWj)J z11h;z7O*Y$6Yb)E&ygezTMmf*7l8VIcQ?NTKXwDg2k<{ef&NCy+`2EtCmLYhBJ}@G z)>}O6>zrCdMtOqALs?x!Xmo>KtjzrtLpNYEkq}KmPt^2RIM_V1$6(Q6nLWp=X=eckZccER&3~k*yw$K73G5H-zlM$>%9r7d^3+zLCzIT zk5EFmKn5?0=sx{)LRulyb_ppKl_iWR6ojW3QMS8|%WxhS$^g%w503)BVYH(+Re^Nu z*igWWam~VJ7Wd`@-eC?+Xx1Y(9ijp)AO=rDyMO}gU*Z^@F@fqofB|iZ=K!!A=tBCa zhxLMg+5}hat#uIb?FK-q?MCA8%rgZm$TWL%%exgp!aAW9e#iIoh0^R;tpX2<`$RVJ zgTptf=xusGnVg^!cw+V}|6q=!pIb)YP&M_-lQBaTEB`MG#)HEFHYXLiELQW1mF%~- z%QRS)W(a-x1&;DI+DrvNZbyFLqL%-S1j%=QiUny31|7lz{~oBVx3UfS)Z5$x1Ni`M zvpLvC>iKc(T(iUZ8YjT{9G?J%_H&Jpk_N~5UyTJAyS@6>#BKQpLy(OREsZ2{I717t zjW)LV9`lSb8*MfP%`~2bANqE7Z^RutyV!DK1a$6m)zJp3jE!L$e+}i}=h4xFagh^$ zlYO&{#D3}pdnM^aXkIN*+ZQQi&~QJNR0iroP!&op2h3h>b&`<%RR=&b4N@IBO%o=YNs6N?}nVBuN{02EQ7>k0|mDEt?5 zBd0Y4RL%G+SS-{EmL8BFWCU=NieDqfM2nIb(E|U*igRG2M{Or-g4U;XZwJ_n(NPLH zif69lT2cwTmH~5w3R=gy^#+dFrE8}svtJFRtc?s6iC)|;SoS5(+n ztolJ0Qw>}PZM*p#|8vyzzDRce@_X01Q>Gs|3{a0t|BOT`|%KM>{(LITkWv_$~)q zI{^kjP0acVPX}5AZU2bk3!wWOz;Tmjlg0sFMI=CcIAhVG6>+VB3t`4 z&lTYCKwa#}G>|7axu*LLqmHF-Y`Gd636y{|Zjp>_ z(N^vR)}CGt(N4QH=t(a$PENqlZUYQZ9sCCwAcY=v8&HNE*cSs#Gc+)l!Zz!R25O*# z)}sUL_yZ)|INS{M15?lanEmNm{w3Y%k%bpJ1|;*fp4YpD&b>}Jc@k@eSH-jeX3hgZ zMPkv~C+;j^@QsB?K!9rc_fgA#U5feylk3<)JthOaLd71m0k#8*^WlgGy$=EaWsS)L zC;{{Zhm9>J-C(-T_KLU_-S1&Ax8xfj@Bpsdk%aHr8v}_VMOe?m1G?KPx zWPOAbQRqEQ2D(?Pgubu%wfPXBB34iY(TgycBTIO8IK}V?ZC(YilS)K?zTO3c#{||S z;=>5_DkgZ{@=&aa;rUPjqEy{dgT@5CVE^VjOJ#C{$WSj;f4igstA9kB|01{fgM<2k z>=*<*=658GmgIu_RrA9xKVd!aaCc@9-xMzYrx<^s$H|mar$d=1=H!?MF<`t5fzuuJ zgF-|I7UkH2F+ld{;e(KW6ddFfph+v+s3H>8Tuudi-RrLg5HF~>1G4}Ljh^4t;`_MA z;QNI88=F~0Loc{NC`Bc)LA@Xfbv2Eji@h-chTKK5d<;~o+XQe?LjSri46Nwy4sw#m z(MOTT@}6?uoD`SnH0+ZJAmOrr zJQ?n;1qKPDc|ezc3&K%?jvVMDqvSn;nd&@rIU#8>MGWq;;6nkBf~Crbh5y)f|CaUX zZT}p%7H{fl=qBz!6~DB0Yq0~1a%wH%3Mv9uu?-E{Y&zmKg77F?TvF5KSl9LR0oGly zgNNvQZW(kw5PV^ljQG)R@SNE&f)&g#4O-*-P!3yF@T%i~9vRBIqX-*>?sAZzWG89B znJ?pj1%^)*dgWz9Su6WjnH#E2cRLj;~zz9ozqky{>2*O8gku~UxcJ@i|uEZGH!HU78 zvkXEaXb@X}MdIG6yKsO~0<;cw`2b125S``#%mA2g6f4;2fA}#7ssJ~Q^i}`3FcFHr z_W#X*5Cii>v15rF^NEU{RxRC#k9&Zb3youxWee5oe^wN3wtxo#JRa!Re++RR@QDWy z55Y;N-wbtgsDB#P0xpzj3RDP29fB6oYS{<^L`raf-2&)dvI_&fa9hUzWNFBO>@mp@ z@x}+Wm|V$7DpBy|IID14iLaP2tzBf0RV;o04#2&Aasat5@AFf ztRP>3a&=>Lt99Xfa{xvcS{5MQ_$_d6)&)5Bb9HbC+j0kPb3_@SWCGv5FflSS|1>o= zH#j+eVPb$|E4f=&Y4&PsY;7U-aB*@-i|>ASczJpN$pGYR1OO$251`=>4h->Do!KeQ*bD#w5bT5r1MC4GA!QeK0uxgL*#H6&4+8)LWg|NT0001jZ~+k4PZR?OMeG9r z0xqHVh5|$g21W^&`wIpH1psOSZx06~1_<_l0soy*7VMo-R2(26H-G^+P!HK4-$ytF z#h+1vIyV&CO)XQ`Ckg?722LCpBp`wHEXPDdZ<6d%DsNde7B2sECSeyK2|GKtt_?4K z(^w=1TTTKE|5R)YHdFzW0sXTSE)XvP-xc6d0VM(d5?Ub(FGv9q|BDZ6YAPw0VQ&_H zqc#DJD*yi^BPyL3Ba#~1H;xf3cP&F18ul0s5gP>k3LIMjyx&r{76Tj>|3M2@D=9b- z5C0-2AQc)KA6x$$lnopVjR7P`gkLO?LmSgKw@$GWI{z^oRVOAJC}jUI5IAuZ8w?!( z5{&f@$N@qD_6eFiGavp78B=hgW=Qq!rmJ+35|&$*`?b~u ziRDhxW^R>6Zm;j>e4jr&&+|Wcobx=7i3(_a1r%`cH-_p!pb!`&Sri221^zewKl1-; zVTc>@O{jKD+i}{u;`2IcK_3zcDPkjs;YDpbf1YgXQKQ8~5`SK;s>I{*C?zzZ84h9Y zrFyZv+ZRsqoWaC?%S#2gHX)gAfSx z7|acE97Ix4LTF0?8&G6H^rhI8xF#q8k^D(ONqs-1aKhe;2L5O)g5WJVOc3Vj?&0l| zy$Gfh2KN*U7_@B_yr((zz>@kJLwE3;yXTCjR21^<#9LCirRQsBUPEDZ%y219b6yw(Z40m{A4j*DvR!VvCn}ZHzOZwGmno^ z@Tn}^2I`mTYh$p<{xQSgSRse;G0d>e9SkQ~lotFu1~bhTW#XbVXU!UW9oT8za%KVE zLlN{l&7VKtcu#xFS?|#I} zMaEcZ701h16{eF#1Gh5bRl`5r`_w8V5mR`Y51t72_X`MeVZDYxzyiW!2+OQ1aaCTY zOR=)gOisiXTxXl1h9SuqS*2mL{3;oy^!9~+qpgm$opkHa&sOWODB`gsFg#)3>FBs* zWysF@xAn2?Z>=ev*#i*z#5YBt2>h0h{|Zt1@*RYKVR7>y$Kuz*V~IYk$=7V)XP`sZ zp2KaRylXtTJRpl{92za15~{C(N^H?b2fjlOP=Zu;!9nX!JEL&6dTG61(LRt}d-$Vr z!4z23+nNcVx^qQh?e#_SCixf&oR7EM@_jp!#v#w}&=>0>XvH&v|br-}u~<`wXX6@EK3 zByFQnGIU$4{h9|#4{CEQ6D1A3bFBoWgQ8?t%cDZCu~6z*qU={=c@_c>9jlHt3Nf^zGpzCv=E+FJ64iCLZz2vN+S`Dh;4Q41Cs0u0P~EgZ z^H2fBES^U%r58YP$8iG2p>4&AtYiVTGnM>cP@fwNF(*?n(YTFzHLXmSDpl!iiW4u? zTt`sk8+J3+++IpOVDlz#3C%Ob+}L0)m8}-&>%^4JY%Dbw27D%imN_8#pm|s#z4TcU zrOnd{VF2<5ldY_Iy+uXpQL~mYd^6PfG2w^IP!YgVW0zO4WkBI5u`?|*1bJgz#KF!D z)Lo4BK|#8gW_1gmt8A2#7rc9FAMaUU*yh%n*G4XF%57T!ogZzgPu7UgtaK-g`AR@{ zWB9W5Fu}%=K#ZrghshN6Lm_PQsb_kfo85Ed)E&g;(Xq>+xzbsK**jGH!Zc ztOJ_^q_H|MSUx&L&Jg1lcC*E(*LV=JF--kI`UtsMKc?xn%aoBRUZ;k|q2(~ru;mWS zzX2%s)jNYNC|R~~&p}H9PDDwD42TI4mGFD-Id2 z9(|pC9peeB_n9OV?jjlf(*Nxde7ljNWPG%}WJ0hS74kl+zAfiT$J0(vmmdh1G5I4G zcN;wRZMt>l%U=xD|CxPti_a0>Rne7#d4gUzN|2 zJ?QiIeO4MLR{oi-Q9WQ;FtN>h(`GmMmwp^}Lv&YW=Hpjnq`?K#wmIDVFUTuEr3JY^5pOeu!ugSqlNvUK}DLNd2t>tNP3lm-d5 zy~=sI+ut#qebodf*82weHvrre7Wa;`-0%_}F7q|&NY|UStl)i2^0T#Do>5}x^AFM8-vuq_p3?`7WU`g=9iinL zL^7@%?(xJjJE`use@;pWLH|JPe|A6>E2IoYuyWgy4>9k7{R4Ovj+ zB>pih!-^o<$wxnF$Hc!Fus9(3A0<*wPSRmJ%)%MS`NOQ)eiSW*4oi&FNk;b?)Vx!9 zt=742#q|bd*!U|+LeDMf7QBaTX{+nM>1J(NFETrtMYspRaTKu^xfjCK1@U~@)sbib+z z!2pC#oM9>Mw=k04;$`IHQ@4b)@araHiw?hpuuNM_ZTcLiUEiKbTiAu%59hoTek4ciOLE3bNKu3R3Ro4W z-jMM7FCA@BD6$Odg4rx8S=Q`M9|iAo_>Ebe;nAiCyx%vBwjbMyBy{Z5sjWEKX!}cS zn~phQU&u=L{%}y1S>!c+RV2B2R0`*OmaiAQgu^MDe_vLw$vNI24wS5)e(~wKw4A>G zU@!`QFhGY_{ln6uJN?OWv5W-P{nQnkOA^8qSL_`_xq2nS1*vfA^6q|wn*LM6Om=&X z>gQ1{K0^MR&R(5MDTSG0XFBGK#>SCrXvHpEX+l*JN9R0sYhqS9ZO-7Gmof2jZ=2}y z0y-wco1ZJqHdsJD2lAI3f>vK0l{>X@)ZmUvCj@J{EFKhZhECYKuS36MnL58)i|y`+ zCd}#%^&dc^F0{Xsy(6z5mReTMndWz5mG77iMg^=zPUsbhK?=vL%Ub|x9{I0AO~y$8|z7*xRI#ORC+HYcun{k z4xn?%AfbDFxnHj{maSs~?`}=nHSI})D=c+O9rX`}?Z4;mF>%YHNYlFVMZ3>H>%S-B z@BTclKY_!Eya{YyqKqtdkOo>)nD%?d-b2xX;S0Rq)MFmL68h+N1HB}&uyn|3AN%Fs zUFYwseguH~Q+9$qcF1(LQHBTUFi1#T6vtVfSbQfY)a5`MPLX%$m!eE9S|kZ)o5rd+ zOcMO3b*elM8|nJj+{nty6uq$8>$>J5R{H_@G z(`eLviT`TSkoumew?Yj<%Z(@~>Iv!)^b=Wph8g49d*MxwO=-E*gc=4q<#PanG}Y&4 z4?@aBKhkLQ{!b|YZvb~8=%BzE8(6cyg@RdIl&VNfnMRy2r}QD&-xN_%GA4Q|4YZ!2p=j19+RHP(h=&cUpyM5NEhkTZNFM>=R? z`IJ@+>gSzPVU3VZ&jV(1Ce#i~T>WzkTc4~GzuZ3XP`f_f&WG%eHBO3W16_zYF%`M@ zD76{dAJeo|>EZ{ESbgjZ1$|7I!0i+|_pydVGuEnrEj>w%^+@Cvw@Gu_C}3wVE4p84 z&T~}u-Ggul)$X9^M?s71euSJ`V2D!dX>tVWecqIxX1KI$X!wLI>CX@3&j6ri6#nEs(Wgriry z1T{e3FzAA(C&Lo`eFF}s&mwpX)(5QV0OdvhTI_*YK_JPEE)V^KjVNbA zheJTLbqBg+2ikd|oJs6Mh)oh?>P5i$9k$5rSCn}$EV zFtd>0_5A*8Pg5)n^eTlt9ecvp@)7mS~ZC`4%%mW{jURmd+s7r>;&VfB8ud zfUpAg9zvosrq4QE+e>rLb?o85$&?ZBkd!Gxt7$`0_j*Q|v|899#3+ItwB}tK_$F$- zWbNbzr}am%wQTqr>R}EVQpfCl2>1|8E7Z0<_|s2z?NFlSvv)!DL@DzDNWaDO{y*{& zcG4-D2BD^EXawRPicp0SXvHD$P$Q9b0Ws+*350Znu=gN}C5!Bs2Ss`bzf8gax>8g)uO#8g zeUIde$M^$t(T7p#OOw5b#gmbU8^wAmygy@b)-T-zC8oEtMg0QjQ1m~k0 z*X2>Q@!PEsF=&z%{e|&Ux0qU8_O>;3s6#jBz%g<438`Y+C_tb0*?`d&<@4RdiPtbn zGd?(cV0er7&Y%swRE7umycV9NANlC3CeKyVe+i;YXIh5~XUN#E;~;b2q}q1DuN_A?~oXK7aVj z^yg7wiD8%XU*9&XLHl)2e6OSJ(sm++dDTHBJrU+n7vzVmjYMlm7NarGaq*Ws0}$<{ z6}dfKeB#Yn{W+?}XH|W-)lVB4IUi>q zk5s*xC7u&X5d>ad=Q|7f`riigGz{(_fBg`WJGYsDmz6l?+h|tP2%{3+e(1<|9@jIX zZI+rGNJl?_^5ayFp>0%X&8rTt#O&;1lKx_gS#YJH*<$L^Oo?bv4h46mkB$j?`}x zJl2R5NoOZZ&h44vUNzo#G$f_adyNq6<)NA_Fdo`@oQVzQfB%KiUW*aU7FpA~2*U?2 zX+Ze~%W$%dC|YIAR3mku8lTNnRD0KHhRqr5$O**wfD~n(9>_He8dA5UlK0I_o z4{~ZXIrBXe;rwqyW2&fQH7GD`#rn4CNP3ybk5Dt$^hAM1sKBe$PA1Cl#liJS#Ia}J zCy6?g8>vjKr`mBx05s*!o8(%chbj2orLII*O)x-z6* zBlMJ)FP+zz6EZva=M%5}+NJ15-n%2C66d}hDz7)7**oGNT7!`j)}Z&7X$_u3P0$BP z9UsXM!8eIkF`io4Fxdr)(ZQGc`b}H!VGtJj59(OZlY}~dml>(F3lm;9Re6j3+-!0* zuGwN|T&dhaF2noPrT`#zI$L^K!s_uUBcXuUD0MpLNhdek``0chXtv7yaS^-JA#4r+Bv>k4YEZoj%VvmZn~9!uV& z3d1801(M!HA}xV~L9wN5+{7WpQOAQu0)*L#0xT^`eLx7GR#O~xM2lKkFE0t2v7uz; z?j}Wj_SAoL6Z)|?FqP)0tGwcunvOAejdori(Vr-hMNo=SXej`FJ+`2$!a9uwL;c?*g8sno_J`ilqMyG`dyHbM6;BBUzRN|B-F%qYR**^ zKWaDj0q7v0-j_|Mp83`N@>{1!TiM8EYT)k=T&YU8!VtBl9UMHwKVI>|9zh7|c2c2| zc0(RnDqTwK5=9fhabN8=wu*I*PY9T3mo#7$js>_6R_wAAOOP%>?(~|?f2a=l!tR$9 z{x@p7NF}xl-V(;YTdKb1%BIsJ0SA-2%676m^+CI5o5YN6WM68hmc+63tXHmQ=^#RcDl4FUG? z``MH|rCfNk+;wf&R}oTYBDo7D2XY~yrU(9wgp?ncl0j58|K$Xa7kB^0mW;r>@Lxv` zR&LXuVy8K+BdV>_`~zMHe&@Cl`hiZ~*v$@9q;wA0G!iiY&xnD+pGt}ePF9-dM7%k& zj6r$Bguv$`XLN?#N0i00z4b#uAYsI*v%9QZB?i4zXl;@y+~c6T3gco9P}Sg-tAQT- zo(4ps?ieyfG6Mb%Pd8Z@@H1Pdr-m=e9kdED*q72u;(EOR3zz!3k9whQ^w(NttHEUb zrwm2+6bBRTIAsbQXV&NStI60#8TSRiytZHig9GpN9^!CsZKN9>u7oQCw|T#n?$Aox zQ-uxq5gI|h6p^y%KS9bCwMxv>x|SL(xO+bk1=9+*q$O`SfVg4jpTnyM&&@kbRdB%J z>{-NN$XtkDtKI2l=2LOe)9k74Kj%t|I#m)U>Z0F(QW3G6}Q9`J(!i7BzD&&cf)E=CIG16ua zKV4-sT?E9SuGe9ZNmXbh zUBJx5FDB0qzAbcmLy`ud5w`h-#}M zd$r`ssSY9?)v1Gjs5vICoyBj@X?Jgw(f;5fmV3lDrA#--*nKhX+>@h7>@Nk_Qv079 ziGqJ!Pgd!p8w?W73!n2o@d~fN6NF6& zm;Nh8_TNCr0p|tzWH>iHTgL_1ZZk4#ZOt z=@W1O_4n>&N3j5tV{*rRN>OEpm`wmqBnAV!iH8*oIg0GHRtjQuqCEdgVS~x|(-oR5 zIzT7Une@0K|2=wPyGpE&kj{_LDHyEtLvaie!GIxIC^QMf5MT*nNjQcO3olF(VTiKC z#7PniNftqhB+Zav$;y%B844^#B@&UL%-W-}SGAK%E7HDj9rjV99;*psX=#&m7$lai z9%(N_pJlL*WXRahGBPHaFb)7L(}N^4hB?c^l4QlOX4%-1>==hw_6{URh7-&AFzE>6 zD9go_bd2G~a`zy4GLEynyh%O`UzVRgDS#2k3JNBjV1%$jPm;nIr&!^qNoN=lth14% zC`L3ZCYBV(h-aNkASE)$EJ_k7nUTUepGrz&q_Zw$kN^gi1!QKCvKbdyIk}`uj64=C zpG0RAunLPvml?&ZD_2R^82_=Z-yq#&++y7>A>CozW!<|^dcb(dDt$yMV?1U(c}jZ5 zC}%ydAiZF`WL3T*RWYhrH4M^gMlGwZp47l-WW8x3F&S@J%`K!>MjNZWgVf3BVs-bB zdKrDJcfflRi}8W=@e}DYqn|Y}NczGUVtpMZjWF1(Z=<9!#yD$Yk~GDbX3fl!zBA@n zKjuk485|aOfy84hvX+)fD~wgvuivCUj5XF@K53n?!TPsJ+G1?8c6Lc%i&`T5-k9_~ z#h2;=2HxrT(ySW`gduo&%k5+zdI-}(0cbqjeB-(z{?x)4S;$yV054cR#j#v5B-E$x z4-_(60Lixd=JL$QE-b`PQVkkqy@;`e!eKQ@UrdT1M__I;E`Kq9}ozhH{F zCoBvY*XGe&(wS$xlld@So#&0%)PNufky^tO1pG9Vef&|tk56@wmh>kg*y-5n1+f~TW35I zpI5z;yb8f@aMV8+*B|`sM|VKnxbrHly>uwzrTSg> zS3x$-KC&N*r7|@=oE3 z5JkGDQHhD8KSQs-lgLdQy}kkc%$^vX3eaBM<<1>D+gQHm+U<>VAGjp&(!XR5R~ZyI zeF0YkZ*u(d{mH!ux&76LW)pm*`=I8wkxv!7g4d)CPuQ-Ka{*sML{8~={RX`^@5*`c zdm-WPEKHqc2h2Mls`Otj?>vkm6-~-@3pWtu7&0MQSjh&A$ZD|vRy@<4w6RU*X~}X* zoexQW2iYsd2^?lDANoHx$yvB(C9%9Ooz80~Y+&MsI~$P@E=L!9)XLESxiw2Rq3?!L znd^c*{da(UgG>lws83(5Ec(?a$%jM8B-??u&1gkx!rl`4sLnM><1u!@Oq*2u^tC?8 zBgodxwS2U>8uIN<##)|uyxQd(pY*R&u;;HlY~$7N%&p3v(t`~jPzR_A~P z+CB!=J1keOtgYvABrvLwErCVW^78xRdrjaDlhLqg#_an!;?y`_%VD^d|6=c)eqlyk z?$N#5n=K*Z{7VW4a7Ja3S-w5*-K}(cLDzAG+5wRFm72jNQr<`F54UtNi4f6< zL|vt{Vcvpd_~e`jQy(?yHfe00NCDhtV(Wc~dsx$Y(DlUam_DVts>`Hl-t-KZB=Y>~ zs4*WqhA-iam7@%2b@yNN9+;JTosX1nRL% z3&QXkx}K&kWTRp3wbe$Qa)Y~x0n+~NNTd1-4fOmYvFn_~?&8PIN)PEPVpMZI3gfI& zusHV0OBc0K`EO7C@pfmefX9L_0>ExY@zXbg7kH-X>#eWUsV)f2BKIswQMcIvO&e#S{D3Rvmjo)8sr(trY!5YWOf`I9~?-E zYxE&YuA943`cKEuCT+wrOM3vGk+}n<^_0H>Quk3=whCf}$a|nr4NoE5?an#!E6?t3 zP;c6#oAJOQgcR^0AGu?$OI9#f(TP+|J&J(ZcM}XEeVVjacoWicc0b&7t8sim1Y+$J zV&~yEp%Y7Zb-vvb#AF)bMlKShpw#mY$?=-s*m+Dmyi!w0bIqK3=T?1$tk?U;0d;4S z{KJ~}>mspa3Vj%%_j3!B%!OBDBDSQx%GVxlM_?Z81)d7qVYa?Q+j*pueWIb&omxBc zrvHE(-M*BMQ)97m8J{NTybrc|m=e_{_1yA|DM?F_;x1I_*c&ZFuOe<1?vBOe3lnBs^MtSY z@98iB7Lh(NuD%?7-X(os(TZC9`HhX7cj?x~pD;OJyf!qLDM83Y!ssh3h z4Mw*Y2QRm%MK}wrcso5T0e7Zfz;C#_D%f(i-v2BnYc{uQZr=#{mI+q0T~SJI*CRWI zy)zx9#90#*d)i|Ye}o5!7{pqtP~(V&M`u2+}66GvKvQG3X_EjCC~M-SN_p*nO#$R!bLe zNNuNmpD1S=2t4?83z|4^)9ctU9T-*0{$a^2Qfs>0%X|G*d&3M3SQZX^(MDWf?3ZZc zuYdE-l-RHTnegA%;=e1ciPwd~dUE?ov#WAXF=s45xre=uhRil!FS3Vmk69gB{-g?* zhux7;2U7(I^L&-cuSP`@4Y$rdaF4DX6SU};y?8Q>w>L{5}A-9Xk2^lpqd?xTsV3%$;Q~dSacv!fiiVN_MH#dA zvmB*f61nIr{0el>c)-;(4nIB)`=6i`o)~1laXKL^`IL91Omw_@f|C!hPOL3$rWFArK zRSaym@h)zsXb;t!H!YD)z}lX>-+dQ;hFTxG@hs%=XL%ncA`7}I`Bvy46A2v!nZ=iA zZpHwMa|0Zprpvl@P_URXLsP{{6o)|A+4TYZLeen)pSbu5NvZr$+sHuVVX%kFm4RdYvjQIS`S?cS!` z;!Vdpsk@o}wi~UCEc!%I`@&wrSDARTt5?|rk`n}XY=>0*HE zFEvmkI@H9yD2B(9a$lcC2WW*Z6CJ!ys zM6A4>S%bDYdRFsLXygZ7T%H?g>1?=0tiKq-PpcL@;y|T+cZ>ne;lwpA9pPjZ@3G)o zcCv&Vo!f?E z#%2-;vW4|I9ZCuNuaV(bzT8A+v`FlsBLnE4v+c1ZY(E2Z!|H-jr{^Z&EQ>L{!OroM}4Cz(&+A2F;w|A zRrX)DNw%1^AZ%EY8Z%#_#egQpJzV&s61{KT?Ioe)E3#K_6zT7dWi1uLXojpfJy5Is9~~r>+wD z@FLO25=to>yw1HZSJx-kgKNJ`{!vDPKVI?r69wGtO~N;Qc_@BnS5hL{kZ-hEj3v@4 z)M6$kLt=X4Q|%+=XBx8GGb2U^Mq4D0Hxs9`UPuW7%e|~OoQfqA663r{|y_Di+A$2BQfMDxnxiX@v z^>d_)_V1d`o2*L28%dJn=VO7#qA-#vJ^bPb$yOym_D>Omtv6!{kE_&6RwMErq8NsB z!I*D+{}gBXzv4pifFhxYjd%D;_m?>X`+|})!QKU&R(mznhVJ+(XX5M$zs`m_A8CQ@ zFzBzPR>kLK<0)l>u$rrB#E$4rw);-Jlf`Z`!p>r6?<*9icN^rsfmm$Yw*+zCf=w7H zV;~a(tE|Ut`%ROJK;qH5Blh*iDA$3qn5%o>TLaJSq+^#~h4#qa|4bzC@W^ zP~Fwl5teOJ>@lj#77dVQ8f0Q!vb&eklMkg90^9KHpSz}0VskHaY|xqk!D0>-{jv+N zsMD~>{!2@311~&075X`@0SQS4shUu&h=qMwr)zNQ*AR3)ZSyQd<7lu%RvPe1|7f}d zG~;yO?T=Q&o+hj@{X-HF8ZQhgPb<~;>GYL5hQ+)Pk=a!v?pMNG2QTVUWz zvbtHuQfiW_P^@*v;=Ckcfeu`lR2}8cNC|-`A?*6tZtDd1?bsAnF@8LH-O zssV)?V`dHqyS+54vTPblGo-3(D(%vTQrZrPmvpcX~t>h5g6egTobf1~8r`6jD z?eyJ=rQgqk)Y}nfUvII`RWz{<9JKiG?{iRv*Qle_X;lO&F9)N`|Vi8JJyZLMqX>JubV z(l)f_>J*cTI{t}I&y~sJ0}6j*GmU}S>c$kv=tFf-FbB`J-Wk_kb!@oA=L8@Ynv zIm>t_rG1n6EUGw)c6QiNbsZkJeq zf)mO2wRC%z?MxlAQc$I*yG3Z-44G&e5UqSr&Yc*8Ik2RRj;MloKfn~hTRw<<2#Tgv z8Y#*h3$Az55E;W*6ShR4Na2|M-u0T5wtyyh3}4h83Ywu4g`f_xS{yXD_Q|th?#W*r zi;?^e9ZA4tAfn8O>?R69L}Wc!%3LY{d>ts0APBRN+!?V0nP`~153 zS@<4S^qjFHtVc!OPu}!4^hTuoc|>}2p~D*Fv{RlpsG_TEAL$_JOSdYE4N_%Bl7b;; zRNRUCAesRhLD5yGRj%QRb%QrBGQ}~u=tqBowSdCY=^93eN0(xkcq;}{aNBLD^b@5K z>P*{iVY&-}Bw!Qa>@LMzGHEQIITK$}-Qr{ty zkr?YNJ%)`YqipLDn6f1G-%YppYSf`=jxnV14^rPnV%aUeLo+#GzgxeorI6})=0DS? zfY(aOVDZUE?;Dypi@%zS{Q|Cge0GY2%aOB>Lx)F@St5BCHfRGRucPPoTLIq4NN4S zj!PgWCv2T1pN&1EoDm-oWT7^xL_8PY1E7dJVO^}8ps9*AwlaK)Ob)lKKZ`y%?@0WX z48e*f?IS|$w=}g=wai|g(t^yLl{4^!-8O*TS5_vrK{P@Gw$$|ZoeJ7-EbqV;*9blu z78fnys-hRhj)=l_5Oo$$W#G|?_Wj#HdeSSa~oxQB(FAXE+M=+*`_lElNw zWyQXTHKhCi=JR+psSzHqZT%bqMoxvuc(l_W+Z=vZS(iU41g-ZJn9Q5_US6!}E+mxY zuSSd%@~Swph=$fG`6S`uAn#pMnclefvH>uy<70nNPk1!==Ge7-8xOZqLqDVXaQn{Fi=3rLz0x?e z9F8{t?!iRPEqKOKckoMAH&TcOCZy%E|s;})Uck_4&`Fh!_#w`+eKLCI6?8+OHe z(bmvCj^eCGUX*|u!VeyLHRnpCaOqM2@k9{aq!RS(f#CP0G1v^mXbXOHI^w}$(c+^ET=CsckU1(NcwpVg9DjRrh zx2MI%G^UddR$4a=!D&IOdaLwH(kCFTp)1*2ij?i&jWFS+uAmHl){2o`bjmhlAktb& zx?vB(ViiJ7SiTAc#IsvbKOdATArJb*RZH21f5cq6S?bw1ArA52WXkKOB2X(P&d`<3 z>hDb*@F%z4zzGdF(M!N`(^vSS_S}Uloo_{`-+`?>c2xatfP3J+FGij24c4k}Ek|fQ zstq#^qjfos1*_u*ZEMYwh=(&urjDg3cfsr`8=o(2vzq~cK`DaUFU~$7=B1;6u}h0} zSQHW@%M^bUMt9aA8LvgJ{1&s`98ul_S>3>6*D|!md^g0YHy4l`PmV4<}gE|`i=bw^cO{8RzJVfEVcgJt_C+P*F0~$*k7fLVpDeDy>rdHO}*VUK>i0kVG zqY59+3GQ6GjhP20D}T0}H648UZs6O8oSZN15B4;Fv_yIH z+5H-Y`fLQGKg{msgMR4Ak00KP6Z7hAx4Y&HUqswJ0`zy=9WmW$2H%J&w8gsxSY(|(T#6783Lz_$AYIDY-~ zesfJ|w!1AppYYXt#>|4!dR1w{s0RKD&a8ssqwDhACZFoJ;c#Z6`KJK^p{CIz0_{gd zi=K`C1L%?^(kp6(M|8xUr1TU4c?|jAR<&VM8~pT(hE_yZcX0x7l<=aRq;Kas4r_>kny`c(Y)*)fTD#4bi{$TYhYhy=42U_qZEabuDB;0?Zmn`Dz&C!CPeGbSW#d-9=FYzwgX z2K>>wuxy*3D;Vcgv;dCgvjzSy8xiF0g_Ha4io>c&En5t*yAKNUs(cmrj|0B$0b1<< z*!j{yIuxR=OH;yOoELN=YpQ!!wFAjQ9Kq(ele+|iIz;1$zYg;rjF{J+IH*&x7gkQgaCtU+C>$^J+^~4VB99RlXg{$fOD;MGPZsr z^C&!hBbC>Kn_%PI=|xJF-D2Pa+dfY2V`3(>(G+q~fs3y8fwuo!gWDhwDSqB212@Ef z)>I7d+(3b}s|ah9B0Gx-TMX*D1Qm1MWN82X*0KWI_;dQZ1P&$t2}x{y4q0JCEVr1K z>BeXOp$#e%yF!{}a3EGL+Qqn>(!R>uxj+z?~(3!8EV6XGE<>JZ8H&j#E) z_#-UKqJAn>1mhhq*Ud2^cQ;Edk%TRu*N=3_40;DNAX?yx}K z(qrx%;D_WhBEi82aKd9y*b044^_dW34jOEinc}(V8yo)$W|_ONoe0fU3xEcs|7)3A z4f+`WHxpm6r4`$W`42H~)mHdZ7L%@efKwTdtapJr1VJylCS@^29D*&PtPs9`!n5E` z^v#HK|D8XJAJOX<=QjlR;|6F5TJQ2N>w%ev4Gm@i4Mz4^Uo8y*sH?YLjM@X>r;4-K zp|Jx~Kpc7vwr(E=1J@ZUix8j+#F7lS73^%xuww<_UPsy$sL(RBL9all2y6G^g<1j8 zeF({=*}3?txQDNpG7s0O#^wYEHx6(NkL-_=`$a8(XdD-{1NdwO?7Hy(?WT3%|1;3w zBoByo2mglNF8+@A*cG!wWP%L4IZyuyX?e$&AoA^(MdZzwD)O0^1zts$NW3MNl@_K# z;pknKXXgXT6Q&_BLSUJBVUz^`j8xwwbhfvYjB)K& zWw;l{U+4@tMtO_mD|CABJ^z#jOg9SjLh41@?gXbgweS$&NP`(YKa^PT!yLZJ2)-W# zjK4b{YeI}7(0$N40nn5N=s!$MD?SU=@HPa0f>ef9qVf(yvIh_7G2JjhZ4Oz~k15mKgF{84D{m!tf;Zw~g@L-d&FlK^3{FE5hEmI%2q(kx~hT>-M0Efp<(|BGNQ ztT$V(Yru;(3$co_2whk%g8oZ8l31*(QvJn#z>E%yrHIp2ut#JERC;!2G4`eK3TuK; zcaH5*lCu&1iWj)J11eVL7A?T`PlY#sh>|g-Zw`nTfcE--cQ*w8KXwDg2R}x^f&Lp} zx^pil9q0$WBJ}@Gty?_U>zrDMjS+$eef?Qo5uywR_OQ(TZW7PHn~@+*f}d>kx(bx7 z$+zy7y2)3op;ypZR-rVN_)o8qi7XW;VzsXNd3@HgsKI?%4*vB;kyBBiv3=fu_LV&V zPCyI*VXX+&J`TA1UI1);NtXdFB)CV}c5j!EAO^vun;`X&No&9i4E7;rTi=d=*(~MI z+hwW%U#tX)3MmR<TVBXBESywp|0_XL z!prNBXz#D%x*r1SXdskNIW^%yj<*Yq_=oTsX36|@< zOR3_Uk5dM%bWKowLO{U=ihJlj{X(Hy(+jY1DYUF5DP$BSI2a1syN}B_9t&cCXP=0zKN4hv1zK?u(2q5>dl27E%h0ta7Q;u!BSfj@u& zQ;BWo033qo6_P|eh1vywXYUnoVTSFB1_gyu;x_OzU@2f*G;`X^yA=*P6kDyw_xJOK z(pX@v0(y%3A&Ier!@a8L)sD(PW}Fg%V&L|%|ALN@H*H4XK-P`RF-=1OEB`MG#)HEF zHjfp$EQ!%LQiQg*%j-0jW&scRZN82++DV871>ewq;70$rPYua`ins-7MRv6U{}mp) z6><&vElu15umkx98~cgs`3~$WmYDftCs_FdpO65)+l`RGlGyp+$Bm;?7y7!yZNT~R ztBnEVm5q)#NOp6LHWtJAx?4}f`!)qMo1PyC`s{XZ#5+6Kc5-3_>F#sUs|Ji!3i&jD z8|CN0(a{G9A|@+;`WubJe(DN#z3GV1URzNYwkc)M;Xf@@2Gl}ODoR`jyk6bil92jU z2S8^HQEYURWB&gK>CFE8bai2Z90gHyA1hj|-8H+6h@Tk}w%G15PMZFfg$50>b%ejT zj*h~i76HJz$o)j=O8pHu(uTW~3Vs!O%@h)fH@2Ya;ieimZZOhWtAVM6w6juk7^HN# zk@>MRlB^1Bn=PIkc5)ySc4Y+c@N-~<6Ch9pvJ>(FImwX&s_;E*lhjKhe|w7{9bn;F z3IGuluXoStN7os5`)44wiR+a*mr;2^Yc4P zt#YgGB9ulmv!J3^j_<;(`WFhC4F~0I+xZ;-n65{a!0UmWZxF!IFDJuLL|wo^j0t_k z%2_NCFrbX=7xjrHg^l_WyRA>#eBKoji3!E_eIhFt$s{=d14M=Ae~QLGUGRAuG6-OE z+}knO4g{7JL)$d_V3ppqu?T_t>tGNW9O|8mPN?&5s3^n?GVat472x&5st!D z_w2^%rK^RJ13>{?RiWZZP$=KjHK9-Yhaq1x91;{;>yI796kr@P21i}r>FJJ1tp*I( z&0R+M=-G~toIM3ne?vG0Qi%PQ{|*4?ND?Ai^zjP%;p&Tt$M#!y9?!J^3|g!01oQ$x z&I(1>g$Fyd0R{xnWcr{_aauzE-iQn!5uycH$?$E6kR)2b@QCWx zz%U~4d^KH7tRFHFz^+7F6?^{`;P60Q?8r2bCtVd_X&^*fe^`0!|A#j3!ZioO-FCBs z-UkEx4^M>u7?l99>y4FPc}RIdNogz_d3pCc28kP@yP@b>D>FGx=z^M+K5 z%rP(Fh9Plkf5QKh+Jfv~lF?@D(ZN>kHJ)C$4o>do%r9u2PJq$e0}P-#lm{~)3Lfhl zP#g`g7Xz3xYA}Z}@k-nVYM_JGqXX>t10>uy+zj*sQ_uaF{pni%CEe-yg%>&oB=fbM z*CT_yP8@j&YeQFJv;niu0ir;$(QPO07GZexg(N^ge>M8|D9f&jg8E78px8dX1KvW# z9<+d4n~L*rhzEzg0=*Oj77SzF*G+hTi)ET`6I(ii4^!1(5LYbT*6H zi%#};Wxfe6ZTJ=M(e6;rYzyoz4f6beU3=31yG2JkR&{c*Tw#?3hVI5{DFXL z!U1p*ftQ)blY){I=ID~_WCDZc2BR;immzq$f23d`w}NlY>gXiM?gF>8c5Cjj1B+@!q2Ve(O0nS$ zt=c+<;)12{TMJUti{{rJ^a0kZv9N>a?Ry!*bUu6xmOqTqZtxS?hJpgjrVR_@fBQ-f z3srUS;}@~l%A-RFgmUh3pbRICz$KaBOM$RY!hE2o!xK3)$Wd;n^uE{zis}YdZF7nF z?qy+ZX!b$O@?>#~<85e=vt-+)ed6?W%*b)qfB5TwkXS6PJl2RCG`Ok)W!B(b1WP;% zfdu`J_Kw1W5(PtVHH&ukLhwz*e|8wbiq*j&vtkn>K!RH@-fu~LfKmV;wBU951iq08 z=GXuL3!obmGw?}(g8&E`2&0vM^i{Yp5sLV}_W#Wg05+4|g)tGh`-z^VHE89C`x}{^ zaXOWSZR$&askAq~RR>nwB3S=`FnM_Ji3dX$1tw35k-MmW8g>FMoWkgHe-NS+y%4t9 zY6!{#B32Lr?dV<$l>_~7=u`ibY2ko>BUd&MIK~ItpbBfd%YTp-3yKINL3Xo$7Dg0i z0jxtU+YS&6LI5noI{^SFU;qNj4tOARZ-D_MLBdQ0A^LK4V{~=5>2m1OQ@$Ps%300Sc_*aQFo1QP*<5Kp)i13yIr00Lz$4u%3X&(Q`6f4-Ls1_TA#00NsA z2PFLl2m$|%QM(q6QB(&Y5DkCE8DBUVN#>Br?4Rd_rerG_T9 zq9Cl$3?H_Shrfmd94^Ldec+b*G16bX1mYA3@wf_s$bl!qDd9#j38%rN=+hw~mjDF- z0)|45!aU$v2=Ev(10{jB76a|1=B1y>Ov!?}1c=-ZB8mjgLUu!U!gS%A>Ii-0Sq%jT z6u|*>@?m^$+7H2l);tZUx2KoyrXPJlvnc>5q_eZx7L^C}a+#_n_!DkYxB@elDapWTkfB8cUKE(8#p8$!Hvo9G>G2598(|P!p#a zzgo@uA;lBtMMYx`YTX)tnF!`F*yyR6G;X&{z7TEv4Rl!a0GbLG@h%>5Eh`GoN2$^c zr&kj!(20-7nlu$BGf5R4Lrh6Dx# z1uq<7Lm>AGhz}qj+oC5)S-(d$A$kc@w9WBf=UAXdCVMaiL!)SAkOEU<;{%&kx}YrR z7IYZ~_crP%fx?t_+)nwT+U&skl8?s$Y9D6dAK2n4@(iO?M4@k^)B|zmj60raQ(^}r z>D;;j@be%?&z_u(SNGcqL?*Rwb)yuq>4aZ?&4=`eF>Xh{>y8~UO| z9Ig$Nz-SjfH$n-_u`k23(7#kTYa1M~efxI|&e17r2q@YOa_SDf*C?0*i~8F$A^Ar@ zaQEU?wfe8;h22z;oL1DO!?d2rW>^b24Af%i9k|`E2ivN-?tIGc%pX+Vae~#TorzSfc#~^C!b}IerTD zbL#Xsv-ke?7(`ts7slY-Yz`&2OTqQQ!QCCdp3tqi5@PbgrYDGU%yt1Dn1GZ|@li8T zv*35go<$$#LQ_Mf@(&)K@2>%F1>clQ=rxinZu1a~neMP2wOw6omQn_x&|fe7DHhBb zP7}?w{gngW+rw+SV6bSaoYho|p4 zqmwbJcCJ+}rz12WB!JCWe$7}lY5{SOI{(N?Yo#Bo=h)qa!tpB6Znqq)+oU*6i@$ZR zUx;3WQsiWXZR=4T8r(J~YLU{STmIWA1SFPzwM;yQbCLI})>VlWwEyh?V$;KPJ z%E_%_+htEQ(+@Vq!aTkj;XQ|!-OL%GO1eM8CERtCqg!JwBvVdrvHa5*{Y7KBB@LH1 zSg(1WR6pmozEL64)-0Rf)(pKP+$XNTk=|1fGI5ditrqiG#biuVjJ7rK(h>)g1K)o(?KC~Z&4_$$Qcy*4fB6JlzpQl2#qxpSC?qe z-r^@;U||{Phc~1%4<;9M$;JJ%xpRDo2}H{kR~;kZO7!9ap{xx(bOhblboIWOf5^L7 z^>GP@v$0}J)$`er(uS79>+#5rLZYQnfGOhB?Qgvdbd#q-38??SEaNe2&UD?g< z9w;R-34Ys;|D^l$q^6WMOh(rK(mMDlU9*-FNPGDt;a!$-pzrgKqG6_>9u5>O^kze=CdbOcYgiJ z5UnXvbvv$zc%T0Cf8Z-$1hrZ?caJ4dAM)`p!MV7wjbA&fP}z0)-by_i-FXNJ3GLjr z7Mv!ZuL^o5qcC&7f99-*1-<#Vb*o8N3d&jB_IUa+TB@#*UrEPyIRI0uJ3Vzw(&_rk zE+UtkKe{)LT4{1hjQ%9|XgRbfpx&@HWUt7^4s01Alv(28u8p$jKT)nz-qo_jV(|$q zEL*8YVC(i5Bc%ATP$@@0iHf66k1DDbP!+a%Rq(<{W6D%7#V z)iE|X{u{(WirKI-V`);*2ShP;@Kn!^dNUi;(zqq_Kunz1{>ZSFLx(=TG&F6JT3noy zEH76} z1Ln{GwRt7Ej)lDr|J^P$VEiFsXLc^P72g}-O$lQH#NtAJ#)Ib1u1G5_S;+15~nK1ejA)l4iA~hE8|WRR}IosIzcQyTJ=8ZmmMo zXiF2-L?xS0GvVQ>d;Pvbr6$x7r!TIylnNl>Z8{d(PZe)ypxs1zE^R4%-iNVjZ~yxn z_0*4F@O$_yiD_lI{dtV=&lksvKRovDVu@8(Y}~#}FT+Z5Fv|j#xLeI#IjrB995$tR z%O)L3D5h^9{WJFk&l(3wzJ*mo*lWk6K2JWZH;@p`RmSPQ8)WSD{&i|#7 zjSuseDQebZJtqpk8!VK(GHAilst68r@l zQ=_pE;Y0@LADkm|aoCBr z!ShUX_k9KDZQcs5n7@kDD@ZLjl))?ZL!L_?TBF1 zeUaLezw?BCM`ErAJxoH&ovZ?`cCo}))sRdd+P>dE2kMdk*#Wr6dlvc6Aa}B%Hb#R# z)3k-piK>_sE8Z}caJ>* zu&^$IQTZ}Oohs2bp{Fd9sZv!Luy~&Y$c*&Y)ldt|L@Fv-7AiQ-u?!dcoh9(f;Mavj z4zfFv#i}vF_F`jx2*k3GLW~s`HSEyK2oh+^g8hHbtmA|h z%B9>=mXz4~&TYXH6AqOp;$&JE%h4M7hy%=;YQAgkF681znt0u5{LVdj;=ZKaEC8#( zK5$|ANPSg+hj2>_oo}AQh?Tpds6Zgn0tislM^?q4NR@2Favau>~DC>sM=|k_ru#BjZ(#0)tDP%Bj6e?Wb2P zP|8pcpv5pv6`?u8aY~Zh^Tm3u!a%YN&B0v`Z4#U0y_==>>m=!{_zs9mcl<(&L5|r! z4xeB_(+hj)vSBXRl;jQHm1K?k?|e$yss#^CI;4~w-~YxX#L7d<6I2C7g3^6H{r{88 zNO=bCeIGTzIkYCKQ=zzMG!Rs@lKvy@=bsBS5ZXQ^y=$E%D3ZrUznuf;FHJX?DSjjQ z&IxKtCdTO2r*yiw3?hm@wgapk@9;zDp7QTy2kP;HM;W9BX`WI252IN=8bl73x17DM zq`>cbs_@%mM*x>FDTQm0vArFz_q2F$1ztMfO%WuwJjzh*7e$_%xsgRFQh3$D14f_e z7lm7RG*a;fheMXlm6QdmajjcfZ*M{|p&Zg1gFCrfArU3g_lx>9!pr6bEo+?8-e^l@ zq#4z`x_Z@}T|I53)TcZql~1P{G100U9)wH8gK zU>kJAxj}8?i}zoMiiS_~uP%NAeE4_?#gAeLeH9e!nm<>) zG)SdMF3qE9j1|-Q{VYidb?-1uN(?PFgfxC5;e3B>dcJbP*h;l20grDH9|g)@RsBZYfN7Pl zvF5B+iXDHhdoS*G@pkM-k*at?Rgk1+&+#T!UhIpjhg;fL}u95=%{&quE8r>R|nr^h?NHp}@><`PmWo#I-MKq5LM0`D2_q_PsfkUd&E;{f zcWJ*<;l+q9GKT$!oKAm~t3>>1i7xs=WMagc$S`nZPTPT3WAdhEObU_LL=N96Jx9!v zcL;r00jM{i7j3IDKS_e@yxM5YnlPr^C{ky+csN35bA=@p)biW6r$AnJ4qSxI?AI~43w zOc_X+Pus=ek>R&IL-7(xFKk4-tbXy$x`}hZh(&C7-7D*3foF$yKJoVrjqNlUyC6fB zw~M%Y$v>;j5j2Dgrgy8HllSl{-uDywUGm_HV`21M#py-*;x`%nUCrLtfvc{iaR`9WBqFh2K_kx^gCjpL;?Q z#(+_h!3jkjc>Jv+)9XPGLuyu!*RNqt-F)Ho!=kd6R6LaMXSZb)v)^0)Zn@2#cQSXN<-SF?+Fbvv7au67oll;6f4gBeOErKb3?;jXUAD9ez(~JXRL7 z&v&;==?fj}O=C7HDWDWWgNqp1ePy<%mfc>?7QG+|8AZ41LgpI%NY!`%)jCtq_2KT0 z9Xgf^`}dmVF`b=t)YvRMBG2+7nEAC8=@v8*cD_$s<^1edaV=HPUB#k?)>wZ*lMLYL zI^o)WMIq#Itjni}-hG!e6rqKC%Kza)Yi>r`)`e}H2;gqX-8j1=uw&iYzun|V#fAfz z@-NF?d;GZS=C3!VbxoUabi-983njNhEDf{yBcC&pxZaSm|MzD>qfic zG|b~wjj@`;ALB=k!oF(>@2%_BxHRH{or2e{2e^=F&q8K+#vfyX^8ANgf~m|-sSk61 z;eGTGNXQg=lKvTDvHh6}v*->D>DnWy-#xCdVS-yW%>?yybKU?bDoDuT(xfUfs87Y) z&SfyVq&(Sh-Z4}je;Iz}N6Tt>lNl7(Jak|8(BXq%76&RlB$L9gVqjnWH zX9eQ{5)m|9&Y7sAzsp8Zu45?pN64fhlwAj{s|w<=!)rb&zSzER*Cir0yyal$6stJ7 z^>EN>TIXN-(ZHOVvI{%_S?x`#zx{ypz`_u7df}Uvf!~kN6%tNUZ(%{kMXIIAS*i`3 zswE((wIcEqXR2cHBeUVm_!);Q&bd*V6T&8Rmb zUA}i>{u}Dsg_{fJ81n?36?d$=pHq$8sw*-hLQGHX(C8YM_JBFRx|G%b9#TyYkN@N{g_dzMf{}G>Lh-g4kiqg>j6-soH~_ z|AdHK{c#plAF=`v8zg9=Mc;Acg|($B@t9m582xYGv9BEYZ*U2nRaJ1pXtl>#bbD5w zXwo}B22K=f@3AWk6)9hM^dYPJn*Ne}0;G=ltNn#>SH|3SVqK7K7cu55KWu2FZzHH) z8}Y?XaTFh^q!N<5hI9DO)O=(p1h&B0e!reP!H|@U%m9WIR!V(*UyvXAE1rMsQ_c9( z>NepTkBwSUAsJ{6$sVFSPTbm9rw#DWpwHMb>C0;xAdgQ0dudCwA?Dr%j=mXSN!vE( z_tD@tt9#Q?g9a6iv>R4M9z$Y7s6j;e>7~Y}5X}R!nzXl2^f31R+Of)gc2aZ#THJ(W zNRb4hSjL7E$*!-LAD8D@;3jNjh?!LWZyMijyBJS=bz!L}igD792xASr^BcwEK-q`R>7 z@voOq22a*!;jjG>0mHh5y4)hzMK)+<2T8?P1wys}I8q(Lwr@K$ZF{I$OhOv9r*IY* zvgP}x3?D5gL-7R{#)^0RBba69M^e{_ubRBH=@O>hN1||_Lxi!@cG~sVVeT<4Z5F8K zutbu@PH3ah1WswTleV%p2b={pI~$CNo2JTQ^UCUIJu1c)OC1U36L<#J!9^P)E|RP-L$P?$CCkm|;PA{|&pvTD|F} z4Cv!@+=+3V`bdLD5Lf4JGkkopzX|!|*=>z?T2%cf#jkiTA=Y<*h#6_OD)pISZA+#< z?rVyc8a-oRLp>u(1W34eYg01b!c{%^80ceY3+$QH!p^rDhVR5}r&k-r&LE*@Vqvb_ z@MiE%EJkXb&Zd z2eK>fHWN$dcEgaEB-}yU3gP|+nHN3mFw!}9nffKDcxp! z-9{b(553qeIi#QC7%^l6dK7%*sHNYaM4K)nr!350Cx+H*E8}!K39nY^e^BI#W+SqB zXEJz*>4RYgqs)23G|$O7dY{zi7Q)FRjNf{%L8q!6TEmF}HIJduQ-!Iqe8A`=AjwFr zhuJlpafJ0#Gcp5?MeEFb92g!tM?LG|%rfw6Bs>vR@Xd#4&0r|P{1>%U@`O)2cBf!; z1w4XhzHbVQ@jsNBiV)PwUDiaJwG2NU>g|nvC#3FAHZWL9P(VN_^S_ydxT1`&uUvjX zKfqd6sPS;t0KuX=P`mFCY!Nuk2!0O3DEh5O)Z1@7;=$z-q|HaERg$ok{y@i;Q!46=rmA&rG`EP_@N~|HM8cnkJ|3DJ@DJ&EcQl z*nQ?-vj&dsSsLzomR0F+SsGo`wq?#q(zdYB<8X(+Vre1|rZV&w5FA|r<&1`bsxxTP zEok?`A*#S3^!RQHeAZ$&>csfmr!N9NuK&%ua2FYBgw*njJiZE;)e_Y5)IyaY==<~A z$zy2y_>d25@zFy*UlHW!5X!Eg>DKf~up)vtK^w9NAkO)JYp( zU^LcX5?hXg^nL(Ra|hOX=zmR@!s>R}4VaF3KSxSuLZJrHt|IwiIR{M8YllchODq#V z@Sy$DhRIU2P3a=f` z9)9ajUm4wZrpltOR|jtDNf(f0IF!nRgSYpHQku=oHQ>M__l7(XXY9kHJVdA0-7o~| zp5kk<&>0_1JKTd~3Fkh8jyQ9~gq}#w;Lw`bxqmDi7ZHf)U{kd6=i@Qfx7MIfMeQTS zwu&d$>@#xEka+oiM;*su{*%)2ap{NKsKcAI0~%kr4|;I;B;UrIRQ6yiOK zh)EL@|AW9oUr33y$;cBn5%QAuBMm6UWSXwq>a=J{-btDuolZ+f75|K)^+Hzplv z=<+tapiN*s5ODZv%cQsYpG0YFkg)5>%Eyfwldey!-54t_-XQrUR^OB5mCKN<5v;@j zx_Y8R%EkS&=fTADrkA}*3PXa{tT8d0uD9eIqb}_gYNPvyc|u(`9_{e7F}$hXcX2sc zqinhe^{%1XE#t2uNB^U^o{^A~xvBDZ;-`S4H5 zpU@!#`0&~KZPU)=h_iy~fQ9o-_R2Q^FROD_Lw(Tps|Vm=+*)>2!cLjcRESqBT)iO@rba}v52i*eE25a~kU4S%#exqa;RSH(NUD*Og%Rb@tbc4tiF8ZfBl)2HAwp-gr}bm0K)G*S3q z@q-?dLsPoW7E;|RB@R^iz9u!6xSk|lzo!JfYx-b|vq3ot{AJC5;epxL)2AHUN!y?QeGyXVmgyu+ts*f8@M$M3H?o`@#5 zuoSp@S1pYfY?h#&hf+KgiaRRh+g_&+zJZ6tsg#OYMflsvX5)#SLjc-T~iT{@!hR!0|J-2JZLyB8hqlg&m4 z#_hPcgr>CQNySd|sDBtbulPk$6{kJIf*?M=-aDpp)!F&wsP6_-+J1R)qZj!+10>WO z9dj+?VpIVRqN^P7CuRLDQzyG^Iv;JhiJZBy%RN@ls2Upa> zqXuSM=l%n#2lXG5I`iRLuHr&49pTnxx!4=LB@JwjR7g?OP~l^z0KOww0i)D>Re`(Y zq${qI5TV<>f-&3&Ov-@fNe#L!5RAJiy^+P2Hp41b)MxDdPr62uC;I{S=r#-`{UW{( z%DuTj?2q$$7mW+r;fxD@??tHkww$n*%Og0|4~whO7t+{E@82SY<8bFm7<>9F`P+S< z(NCzi=sYTX8dPVzSeTxBSgCzn1WC6m`VwoJud;uC_&#wQ(f_SlXUUtDV$gluEPGh+ zNoHb`uTFhyd~$T9houf-MjPB#8|4b-YCI3hL3&P zw&K*Bp1mMbrUiyRG%5K?`6pZ<4i6;U;#Htx_k3;G>nrF`amry?%_$G##3W0ed2+&Bw^e6%`L(UE--0^@P8qR>pl4Dv#`?|y_erC} zQE0V)6kZn}9>ev~Rt+9bLA@A5!I-)OJ!3cM=xfh=%=UHbNWX^$#mIYl-QcD*GNr>T zQa<-Tm{c2MVjn&dS{hPMtGUU3D%@Ga+@T!wC{W|QgUw#-)o4?&|LvpiSfRjNXZ<;- zlW_!r;h(Ms>kP5MsUev)oE=P{(ttPifNXm-Nb+}oF$)S?QG8HE-Rv6;$X5d`qm#5P z4<~JSTOOo(K1vhXm{NDeP6#o3H!1e~D9DD5;8rp*dDbSHnjh|C+;~oS4SF%V{eiP3 zB}sMNGM0MS!uCLeuZqgyj06qAQ9V4-%oMlmC^^snF8P)BIo-$=U z|HL)xfqObupRW%ebnjpc#! z2qM@c_Q-`BmoG`2anF-13DoQ|i;eMHboZY&7F;M8K}6S8I=6{(-lW<}49sHaKw%oi zuLWDt2n>;AIU1y@tFxZNi?qX!oBl+gR9`B5^6Y4Rc#=agbonXxy8gUcCFTU0woS*D zp;&zggPkcqyNlHhlwLc7J&=C?eN>~Vk9E8Ws1T!LcUR8BFztZWCwUXe!rP*1Udk!j zy02(M?7?kC#C_wTn5Kah7i+M4Af#<>$HCH1#lRC+)JpT;rvy%-`&Ny@dd3Vzx0R`w z-4Oi6XENxj{^;FKLk`8|jnJH$V4I^_-4}oS`{+rjk0yJ-NYicDa}evUKs*HZ3rLM^k0*I0FFH_RtP#I5G5fu~k zkEip)-7;oAKD)xyVzokCo~Y03@JungaV^rznfvlo8ICA zD_H8z`4Io=tedMHN`9x~9>-T?1m9l$--Y)m7TvRQ=oq9f8aY&UR)qOq1bH=d(}Cj1 z({B{_h!ij$vTs4+%|5h?70NYUH_&f)r&z}M=__k-U`>J9O6EJC!2nG7%Dx+z?p3EW zzU6j>6!+XYkpJoiKL*5kq;6jp6bNwZQ>kBl;vRG1SZZ$eC$K+0hN&Vp}o8#cXPP zmp)Nzr17kD@C{Y{akPA;dD#cYY{uhaL<4zuHf{ntbP9_CgMy(`9CZEXCBF_8C z^dG-WdK(J6h4NLs(JlYZ!Q%WM9%*CFGAorgC31gp)zWTAYsHygCcWk>B;xSN_?u!) zD8A{`jx{KMt`bV{h|BpvRya%ZTHYF@AW;(bGOY;ls&+tsiYg@wGese8J;BsJ8&J3+ zThsr?C8PN)v}V13;kcb#TrsuMWF#5gLXHoGRYI_weJ9OM@!p7{9#@pLJT$VWB z9U`tQ0+1C5$3y#F`$fU9v`YXwPwc1tQ0Axb^ah%<@N}v-)krIa92IV+hULA?J8?l~ z*k#JL^cBewn{NMj4a>h=UALp*P%o_O;F0cg&hZx@da8wtJC%ux-uq^&p>PEmh5s4b zEs8hua6-!Kn|6e=N}ROA-RG~mH_ev4dZ~WV7&s+AfO-9X{1w9A$* zz%Zrgy^npPQEu^u>+OZ}+ZJS0!BP&F)SJD%U;m-P4FBKlWJCQOnARMV0mHqhO3vI~ z`tw_+zNvlvm7V6h>DBi)EINZxuJvCNH=R9Y457?8UB~!( z40|2{*ZyX!ww;&LU0#)Em7&_`0fB-^ZW-1C5O^ZP>XFw~uof>sS!yPNdbP4mw_tf6 za4%b8jP&iL=NZl9vI(0T34m?+9r60681w~w%ech7Pmz;A#)d5Io#5;1ylK+68863= zGMC)a0-e74-I+iNPocA?LiXJxHDu}B=`7FCH1UVt#7+nu=R@{D#qw=%IK)yTS#VA1`qpRHn^LdlsHPM-|L?xy(noa zCUZ$^cjPuH!uz@07wVCyeMm8eX&HZ|yiHu?7fJ5j#X2_y0^A_FE9)@AdVL0Z-j+iZ(wI~%#Piw z6E$@>S~$3BeW|l_4${&q4!R>4L#VHPEt^CZ#lZtR!_W>&kCxKpxo%iy+Uv@gS6A_#=q))>~|^ zxFs&g_@%Np1n};ncV)mEqs+UwNl1l&XwT4;7SMcXB#{he1UZnD(^V}&3KR`hBCxrW+~^lsn}=3QnKeH)b5X5jsK{;Fr7mR z=D-_NwJmt?B;9EWf#bUuYt*k~+Xq^Sz~nCTZrWIzUe&~|LYLNuoa9=2@BPl(c3}aT zGjyOnnd*x73KgZJ3sbj0uD4oKkJ5p1y&Kt~Rd6?>{tV=$9u`MR9IBXrLk3Fahui~Q z#3dJ5-v{}g3E(dpHfo1r-EAp5z}W*!22TnTyDbPDQL4#N?ko0o!VNed<3ERO31- zU^@BL?2zCCG;9aMYJYay&!EPL@35)w1G2dpP^O z?sTblW`ikM7D&mM)eV{n*xKNyVWc#!b$hwzn-Tk*yPiXQre72QHx{L0Ka0BrYsQG- z32`gm=+BUG6%ARBCtsuIw4Jy z8D}@BbRD8{MNY{4!0a?x-t%S;F7Gw4M=-!7e&qJc?0E$eaA;8^q1DL-yUG}lImay4JAUeCb@6+$ZC9RnC}x`&fDl{>rm0ZjTpr{ zdKNy@i73$+bPk80pkrKXzOuV|GmV&x^sNX-Bm%7n)xrDt>yw?M{aXaqQjB6|N@me@eox86G{!_>hytKbItTCEN3Zhnzj@>Ll3{Z5>2 zEKIL<7h9i9HmEgZ8yS;LYIn2u>?QB3HD#OaC!5zEU|U#{t!k~=HnsrSuGXII;7E3= zb!NM`k`LA%V!ItCAE|X`AN3%6)_SqMkCA<9ec68gjZx8^Mk| zK|Wa<#Xc2HK3#i;9TQ8AtBq$ToF)HLo5)V0kg2sacJev$zqRMtDXHYN+H^LMLC&nr zV$-w9Ikma$3wdOKQJc?Z7LW^Ti`W;7$(L#`vrDd!uhw2;U%x@VS$m6p`wsbT?SJfh zrR1{O`|R=uEU9|mz-VN+RN@QIf8@SS z;5$AmbtrnsxO(7`kS7kO>dQ_Y;(vnIeD;j}Zy2kwoSNC+CBCKCSq-&wK?P@Ud6 zvL^iGn>(RU;cUAqxBO;#$2=^>PMv$W+rJ+upV)M@+D4pnu=;zw6vf-_2l>qqtF4Nu z3EnHP1rbEaT!iqhW3FvYA1?w?n{jT^ai3Au?hnw-2P#n)p9<*^)jcs!OCusPZ`CBh zq7UEv89%(PhQc;&VCAMlLV2gaqQ6sgO9%y*agbg_DVp^AeoiXi52_pLA~gQS1J<-b z2ZuA$zdvAa3w^?RPL9Ev(Quk@|FNnOg=W+-mLc| z8m!O{Qq|rzkh}F&apxUuL#H(AiAtu7_X>S6RmJrDMj-&F|F}Lil^8#PfMOM+LdIpB zhde(pVL?%_A%a$!QA7|-@y8JG+uh(R?UjixRPV_6Zs_6nlj0=^=8U1YR^?1}2!SAc zYkYWv08Ni70<#`#qZiHC4aSayp`Fmtnkcog*ZT053>^3v%1lvDOy6DJA2;YUZIijp zD?RfZeg9CdW0x~Dc$c=PbsY0?AHgdiY`CFeL=Tuq_UW{%$zmJ& zaddvSMZTo`)v-uFPt&fFupLidkefg-(}EJmy7)5^Gaw4bTHkK}n9l#X%_n8n#DzVM z_e$5^u+(N<5m_`iAL!jR<>|s4-_Xo=aRC-tBIPb4AqL_aUKEW^g zFP~$m*FW?J^p9r(|K&mA9MqqE-J}ga8l>%S89eYVa*$Q?h;Z%D;S{OCg~xhIwuDn2 zHM)8wmm2H|dsBM!zNIN0Bb@TBk=H9Z)!voH|j1&?~WOI7^_UZ0UW| zQ%WS9I#(moE0JBM6?Ms8ntlyFz3-iN zLxUmJX7SZPV1waDOm_s(fJXPpg!qr28j8F_`{anqPqQw0{<4NX3=x|5CoJhvoxA)?=HrdfO%?ERab8^H`0@1D84_C{l87oUiUnpvrzr7d(pZJsbWc^tS}mIlfm9jgs3i z;qoImKNmvIbm_}Y9*L%J?B$V9Z0dUWC1~jQV|Ni#$z%59qsulA4!)p8dyJ4@#A{yr z_RZwwg35zp&F0vsZyXnx7F$_a>rZjH!kJUb*AcOWL(XgReA7=_aT*Y%x%8Sfo4E2| z;IsA_a%>1h>qHG6;v&vy3672Wqb06TC^0X}l@7{9pZRrNzA&mXRlDa*=PS_@k?_Y~JdsZD$Rc+jOS|Uj!epg~d z#}ObrHZ~zLe28)qW*_U59&wry7yfY%Vr346H7qHc5dzJyM7VRnlBCYmYW}oYbtG;M7fm)4Oe_|ybnfM0qANSds#D`k{Pp7 zSp|8^CRh`=)uQLY+5a^ohF*!j?LG~W*WejF|Gy5-!yoF$kK>=u?K_9Vxf9CSdzBHA zP16iTRz}JUXJj1Cx;td0H0aV05h+B&NYZpFS#il%=D8$WpI?vPfAN05Ua#j<$hB=A zdq9fGkW8OUeFYjWWZUvqZce=&<;gQWl_&45+QF*Rld{EbApBj;ej%yYRLw(pmp7I` z1fG!ps{(c{3>mYzB=%141k(4viLVt3d#qpp-<5{oe_WDwrnqJ6=Uh}8%*!86wKBFI z04vF>tk|h&J`(|bxs~HaTHDY`dTIf{#0GgovSp`oC7Ik zekl-`hbO;NT@Zq@Y9khOe&%c6=D0`0bE)1_yMCcUvyn2@6|Y350!MC7+gdlpv_;`* zHu5n#g3Vns158w;5?%q8=;N{&~b%Tw7lVcYT}=PRuv4Yo&J zSA(X^t8o|TBhMb9xuIGUB>PyOjz%@4)cF0rf}99!d+J7nO@M+~tXPh%1W!(+745`5;E)h1QYsB}5*<iX?;U~tzM?d0(d zA7awYEoDzjgVWa?`%ma}^&G+&)-%R1K6|+jWIc8IbasyW?0{mI+NPpTI-~Rnkuqr~5^Udd8gz{{EMn2| zMNaCAlACtcJ~0DFd+E1w&)-gqXb~LFPXRY1HO<-A?TT*J#9dKW+_DywiUmS=qA_D- zkG^xwQ^C~-R~g3CwfxQl>cMG-*vA)Y$>B~bu)Q#%V9Gt})xkp(j7np>c{K{_?^^T8_0AKLlad*_BKwArL)<1t zzYC+nA9Rk(VzTlnn%U`Bbh-x|QfmWTbuMnHj$gs94Nr<*qEErSM9NZuz7zGgriQCI zi-Fb+y=80OdU$)(z zm&hNM&#}W-Tx2hM^dY$Y*au-W;Xt1u05}&HZjC$F0kCi`vLrS-jumyp<~>`sInvxz zF+zrNF47-Gp;3i3^8|2(M%|Gu#ep#`g+33AqwOf6aX@C9$ZiTCx2>XfUUk4EKoy8O zBdD9^azr=HK~|RH3yAsM^vtv$3h>fmX1_<*S7rlAYz?+F_ zGMyLX^^dj0jJROO!gbSIj2vuBdpzt71#JO%cGc%9!c8O34dCAd*;jJ^U8ze(orGG& zeN8-D-cP54P2P)JQU)zY28=Iv6)tqNNdL2Elxp$Zc)C&Q@)Os!ghAYykp!lNMVqnC zq(03caL^_wdHQiFy$A0&(X89wfdH*a&o8r4;La$G@+x4K$LAFP7>u0#qV;tXB;_sFgEBo~s;L_ej z`tqh6bxC_&xb-EnmA1yZAULu~}=d7IKdWwxf7X2VOL z=)`L$pZua7djypR3E)I*2E2J6(ZWgkolQyxcoK2XmH|m>;`c=??m?#kK_+&oRmqUz z@bzNyfsV*#L*uuMM)WQhg%OUG9B~(ZQ?bFqw`-d`Y|fT@r?|e>4k#6zUOhmYwMZ8f z!v5$&rTsu(4CD^03Zxd#Uq-`REn>hCX!_}P3j(#+0s-J+{g2j8coPo`pCaME ziDdA$Tfe~P)h6F#uS5`1|CO9MgL=A$yznNd-7`+tIZKKP@?>S(VQF!kS>mYfh4!75 zW9ar+lLsZ(1G+jsD)EOWXmE#?vXTO^0HWjxWe;-AugL~PP%SZYPp$A(bVC+0)mP;8 z68-eK&*s6XeYZV@p5QvQg-EwM2PC?Ge-`|#kQis&5HMFPt>LD3?^P5D3ysoDE9_kf zO>4Qs9Q(g(+w9e1Yv#SW!C%WttBO{mZBqY606T{|)q@n9NuQO^(ZIg^B+Y(6E#~^x z*UG^Y24c(q{T977*f6L4ws4B<#w0&^XCC#MQD(C`{-N*r+jr(hGKEaLw4KP}j|YQl ze?C8B)PKL1GxRR?I8+>@`(EBPp$# zZpV`czV^-R?z{Wf=Y&5^jzdK@SQYtYcjG4FZ<+q06=4!|mwI(xehcS2bO%-!i6v$% zKlsg9^1@MgDLd_o2!+9DPRosblC>aF2-Z61#!oX*mQifeZ-B$S+Q4yJ{^J~{xJsRN zd_G=eoEd}_>~9^$e(Dgx9WP%mTQihcWV}ACE_Q&dwTmW=p~b9k*6(R;hX>X0rV)S! zREYGQ8wDh9$Fg~J)i$9`*FyF6X#``1(D!!j;P(ky;eFFfXW(RpC+U_ z`#-HE8K8t{@Y(J*A_;x;OSvAIbER!%5V0zFVVDm$7mq!nvNNy=RM+V{a0F;jyo#A% z_u#;NzHI#QmDq@ZDYg(;Nw=~yh+$ezSe|D?kKo?6tS4gigefVRmC#%`QFEwEDhL5Q zt`M$oyn#Bl`g|Vx&v2G!$zf(4p37gEg_wg_8nglNm|fKeLp1Sm^}@J_-__!UYvPjc zaQZBuex;vo{N^PJxYXF2ae=%g_m8hYKkMKbT7GClILdw>o|BbT_|gYknVnXWfAm!> z@`|GSAuPA*8SxXhq1W{A)vAqez$csK_d<|kSehdI?>B9{+E@L-^KYtljT8nf|Z5@dj*_|RE z{9FEE=f%Bd7xteU)t_;?QACP>FYLGHRr?QGp>fn1_d8xIX;7Fay`Dl4xU94ZH+<>6 zgpV6FPaCem=$BlVW7T5uqq7L{Iw#sfF!c9f7;LRAdIKR;uLzYMY)}5xk&kt1zIy-`MLrwqZt2$=zJp-wqT-c789`Y6IDJsxwll& z|Kt4RJ|0(MT;6{S=-BH&$u$yE|2UGz-8Xh>d33-1l&pW;XqrlXV=(26KaD5EwJMwB z%5l-(BmGrEamh+vz8C%9OG4Lj39mm4CPK%8-nU)P!nlSBpmL4m=RC*~(~zP43&9?7 z#(J2r?$a-BYc3vnt><0fSFgl%rQJekiySIfY�KS8=SKzQ!g7-_#8l+z03B7)Xr zICH2*?%e8+j$kVp@GifCmMfdh-pvMWYgT*|s{~7Knz#JRp?7jknSjagNRg+c{Hc5lOZCc7deB#z$`V5@@ z3O+Gtk>yngUweq0pW{P!nyKISZ1-^$gmXsFP?lEW-~2Ukzs3L|JTuh50a83Osm-GI zy0Xan1+1FaAWJ-^^8Hi5|pu-*b&_RKLSYx2+A?G zTh)@P+kLcf>!er^o8a3v$`(bojg5)~Npk5`y`(lN10%E>UT)X(e zvL_yWfX6y6w!l+@`_7-*g$?l=Opcj?@5-Rn<>_J|w?T19 zTif|7M%P#)$jaBt0MxX+ozejLQnd^(dd`2!WPu?9Oeu_)qVrvc%FN?#HLBaEQoiT9 z#5m`CVv;li)FMn{PwTFT1P?fdfb5tB+Ri7Lr$w0Cg=2K(;LRvVs9PTbhH5$tW4Lz}&i(`dIc^-xU2B8vL-SB@T3bBq_i_x{gJA?kL^ z9#F1qu7hqX{o9sok52-FL+PrA+!R%J6Gg8}w1quLe`~x8Je+#E zVmv)P^gzld- zvsBQ4@e>%9o`xs7zOP@Jrw#wJ8U-a2I14C5^-kLf{<}TIkVL)2=(la+7H^CVw|iE3 zzcc%fx7WgEnUA)R8j@Xz<~^on_pV(LMW0Gvc?G4XcT=iu9lwec2yhcK6rxEt1p+y--wOqdf99;7u<}uV~OGmXsC()s21|rYAa7CLR z5nOPJDX#E`&@D%X{H%~UShidwl$7V!{2?dTJ_|d)uvFr$%#!{;NNt!vA&ptC0XV$jW?Y-nsC>tdD8D~?K z@B2~jfUu76VZqL#EwkMk;N*`K_)}jG3Jx~7^$gtYr};k zNh}7XxE-fuW&t}-kEct%LXZJt;7T9Fn$P=AzuJ)8=qC$%%1X381MFUFNLf;I}y<`y*JoR#fG2sp&&$A{?bBm^_|T zw?i-tpS+-IJ3bw%N{BQScgF7}Id3xur-c}1H$}0+!M|iraAz4=?c({pAAv9UkQzk& zGEDpf4eiyg+fTPMX@D68?Z)xv>P)xr-|0NXwEQ zB*TyF(bqAQ_{E(~ID3{ZSQ!pqgTGgJzZc)V3^)6Dd3&bcp?IXnFg#<3-tttsj^RE#hs9emaxkQWQQo>Q%Ui8Pc>0 zU`VnZCE#AI-6$qQqd7}@CiAEu;rvmRj?<45-Ko#lg4fj_o7XxbZnFF?+p7PH-P_H@ zjCdo9&mO9cSwYf!5DHBbm>quzvCxv^;(f-tBZ#|s$GMOG%K6YAXv&196&Upz@A%>m z!EtG&=C@XsS*Iy~A}$Df@2M7aw?(aGN3W9} zwrs!h=WCRd!!6}_6}!ytILCBrC)1U8MGAZw1}ncK0WZmd(4Qq#+p?*xdiVh7op2v?dUW0To7=2~lG>sV4xCcbT}U{v>)0jme=o zjpr@9w&;j#F`utM?+Hk$-``$(F~WZ{%2hQzzR9hfEUOxvx!f7J+!lT8^3|HyLbtB? zyU{x5;`t1;l`vB2u9}RGRW#^Dgpo`1R#Y%RU%4e`0h z0H;{pUPAmU^vGpg$Ssp0(m9Oi51NU5G)evf2@2926e9Q&;|t2n*pQEt(e4>uBM!Jv+e?n<1E(0}Ox#h?v3wc!~Tx;-BnTpdLhBCBXc#$)^NH zoRL5LhBuWB*D1e7nQxxR%;_}A@(O?M(H4?Q5s?lfFAk|BLT(#>G^36r0BTf*g z2#R{h3TQ(IOeAGIrAh>$e{-^ZJ0v9pM?|C$INF9Z*bFLLra~HpR$!eDM9?#&Y8vZG z|8-SXl2QSb$15=;xHLqv5O7mh-AjOk<&-Gm%s)a4uR%+RRpl%D_88FK5T)?aqQuP| zE{Bft1jD>xkhrZe=qfC!x3E*M+ONLvq-P%ZTpF#_8@`eNR;nNuOx55EMVS|Lp2@3= z34EIQ2Hx2?yDUN`gWCJS3Ctn_hXS~u4)}k;bU`uA;~dym{L8}_OZg|AZXZ4_B>6uW CVd{DS delta 23151 zcmW)n_gm8M`~KM=AmRe|*4(3rWu5qnV~s&e)@jzAFkv61McIx&iiqmm!KY8TMwq~3$p~9g1{gMs7)FGx+VTy z{{K<=S0zE!@TO>!*48lQs@lUkdf_WL98}DOtHFxfw|?K-G^HoV;M2Zed{%|S;SlOb zLJJtgk)j9n2l<6+N3X7S0#fwb7;k&zc@ ziZn2=AL0i)0H-4WNu-m6EB=Qx@I+=(R$mSzzww=bAkL_20iHq3Z;iG92T95Im3L8-YtWJQWN&kBCljfrIT36&exbj$%lvLhU$kfKY9?HS0zxm&`fjg83}q&hu+5#PPiS5|^lo_p7MV3CEWw?UAXp2aR=FN*pb|-#HQg z+@5+Bes4aEr;?VJehN?)FEX+hVOf)yJwVY>Kok`jMhcJE*U--{Bmj3o{r25yDn`6+ zwdn5vs+b$P%C$!{48KGb)(s-tR45Sje%w}A<8v?vy!rPhB+#t-aJ&YvKb@RJv$BY6i3U0vP#ImM+aRi&h zP3+cYqd7RBxy7h3SUefTmuJpLq+Kkb2?{{_Q^+$(8X?3>Sr8&v`qCAM9eDqx0Z1VD z!6j{|4vhgx;(V_GC(E(MhF;8oH>gmK7;w4wW@8M>)hj0@7H!?~~Nf;5gEzWpaSi5L+ASHu`A*=1A3KyaNjX6~~05 zR3(cOt-u`>(5yOc$DWTAK9afQyD#Xzy7C{x0 z2=??$@;A_qg^eKAeB(8hr0=*qf#!K2qW7A7%Z8bJiJx$0OgGWVC26?{KdAx+|9&P8 zrP#B$jYpMty>tg~fqnsn1I3dI%~v4Gb&h}A6x735%bUQHGwsw3)_Wt-o87f8avZg5 zki4d|S(wlQ|L~jL{@D~sKU!JOq?~RKp_cb-8g_hhmFc1*muGfdS*+9c$EZR5dQX0Z<_KqCH zW2uq=;OAzEONKv^74j3pK|QFs5}1aQ&B`05N{#wrl6^hoJDhHzTcnO}a#}-~eZ^y4 zm%`7jN3`>s{X@Jadq+iZ7v(d8@mBE74J)isR(5w`WYXF6+0UpCiBs^WZ`Q`W#11^k zuQp`Gv}^c8+VI?wfO_LW{&C=7M&v7$?dL@Wr^qiH9M?EqdH3{2O^F(N{4I~L1PQqn zJ}=Er=?o8yC#G-OA6`gDSaZbap7cbA$To=YCM#P6uq=zCAjMv5;*cT1?|ZVZt%Xrs z8?38jqR!?>#@P%s6M4@<8sfTG*eTCDv9WEikwuF&Dmf4)N4R9b3j?ob7$6TJ%oCRH zB!x!4J zj&|lu(@j5wjfAA&d)9x_MF#jb<#mhU)%1;a!Qd9F?|Qf7wgrQ9@q=k_`nvzg^Xnx8 ziGo^5%jE>rd;K}Pl6v$goX2$@MJ7oqABmV-hBKZ?m$MFL0+KzS7@>c9y}IfT!TkOd zcy+$3Fka{^9CK!@d=HPXFw)5l&Od;#rmb~KQf-~~Ii!X`1kyXKm}0O=2C7Qn55nl;DDoZyy*39b5)XO&cz1Wyj(BH zhe;~yv|^iyS^~9Uz&}G0_3qCv^lC@=rT=Aa>Yu=as~5!Ok7FO=8;%PYf#^JUTI=#Q z|GkH^jSxSJ*Un%2p1;07Q|nT-+26%(?fhm%$=B=YvX^DK?>8f1DjaOrt|VFH-*>xO z1FL0ABqowM=u&0jA(zrE6lFeygUI~v<66nP<5fy(3RGXt+PgjP@sgeUa0zEHpS3i? z)z=!Nf93X7orgsyre-BB#3os##?wNGKrs(cD}nk3wK;{i(YkP7q5xUiS@mg&QHOsNWFC8}rDU;06d9}BSUjc&f; zQ~4sov=Pr+n3JmTQs3Z1L1AX$>YZ9WDz{K;FEa)OBPO(V#(h}1U-O+Wqo;Q)GT&U5 zia$QKT2d_hI^X*n|l{`VW;ct~{vp&io zS$h*9D)k1T9~<`2knd>Jri|%Y4jO9qN0e>C{A+^l+V-oQhhV5A@ zFkKMlC`6x}?%;4+M44v0GaX8A$Uo4mzHsY;=!{$~$^Hx}(oUe7)~lm=yu3>lAy*uU zO+jce22`c?h)!7#Ivi4)Rn88v5nY>f>I)WGHzKZkelBt0SJEasv(aGcd2D?``ZbLI z=D6Y~D{05-*fY5kFFY!j`Tc)Nly}Xdc~&p9Ixb;Q!buKx z&#go9@*%bIXi>?g^Cn!bgt3kZwSq?Unun{ufq-KA1qbk7p5Chqmz@5U2V_kY*xXK# z02K-CY8aGi8nz|LnT0s^v@&UJ-Eq(@;j&|r8bwa%e8h?vVQw3LeJp8(ru6k*W&Ll9 zMXu?RQ_On(sjVNz=GUeg)YtADZqr z0-^q+jThRh0l#5mPU#$27eNN7iNMGVsd+BwzLSa7k3OOg`I+3~Pyi3ZeN{yy8VojC8f`wt75e`kl+CVvT2B3Q;4oK~oakSZv;-A&nB*B1Rg@v)B(>*?9K zJrlF(xb}Uyr0GrJoplB8Ok>FmMBlCX=h|Jg8jTreL9l{|gS&uxyxjMY<;WG5W%^!P zR<-YFe;mAxwJlR%3m_Ub`rwfufeD}@LU!wwsAyZBNNajG-}U_v(~A`NF8K~Rs9RrK zr2vtbWxvd^@qjTu$Ks>UDFJV{A+vQE2ua9x-;Qx$nS<3_UWK!QtP=kGsuRFnX+JR8 zI|NTa+?!xG=hcB5F%Ca>(JS{^U6;mS&Y^csy)5Fes`W{ zIYFxWw2$bIN_DM5XfdNcnrl%v5%WudnfcM#v;MpyQ`R%Vx%X-66djBIcs_wCwy_Yb zZ|yGURm1wY!v5sF^7L0a?!QWCODh5^hVW>{Zh=04^02|CjW7k0%3%;&=Sb7!WGJM0yQ4^k+z5P$Eo;`I;aSJ4J<1b~+s1N-K9bL~TD87zAk;7dc5)}DMz9NI7#*>Yj@@${ z=e8rIpd)zu2^t4|ssHTVpLJuTNxw}A<#I)WHz`pLEUp(RL3rrKg9lO1 z7Lq3EZ;NXAyg-20?dKi_2R{pkts@Sf>?9=RGP=?KT`}n*VJ;yd^`}-qdpweiB!6D= zke((o8#p-E`PD`Fe8m5z!@SP?lq^c@Uoz@E*V|-B%U4?T;@2#~c7$i5Fd}5M@($Fl zD-}?ap;7ufV~NyKr7M174tlL*m3}x`m4H%!EOw&)u7U+nz(O~DUa3)Q=L|vQt~gDR zA=Go|>cihaotmrDv)J||zA0BYzw4oeOF7)feeA#s`bl1J$QMkJm{F9sAub#`Ii)_9 zP3!nUMb|d&DonIW&lAb#pK;4(MC5-ivb?XN(h#tH-LLDM%9$AR?~IElbNmy;+F?Yv ziI^+w2(}OffAPHlL>A`P?ws6yriFjK`5Gl^` ziCD-1PJqwme#V~XkU1C$Hb&9)Fa_Buew+ z&AtcBED4an!HU)9Qm|sPpi{B#4}=sUSN#QFTQ4I)s<*^mkHF=SREj}Yk5-t*{NAD{ zy<$T9CkHTJl4ggsahK1SB+3vc_cF zq17{U$0dW7tpZ%j?$hF>` zW7izPC*id(W_-i{QQNuqU%Lybes?Sr&R2*?T0By3fcXCI$oS!Zuhd3^M|a9AkPrJM zXHD=2{N4%?A;G(+JUsW;q1_DYWg6k(Dj%>XY6SN@XH5?;+qJ6>v9vy7$GXC^`j4NW4Tu*8y@xipt2*48Bgu4# z8kK2~8bbA^`mL}S{P^?CyrIE-&kxt-VK@H97MFi!MWZe@wwnNRIy&}z zi`U9yc>J!$+|HO2(sMDnXQFmi(!w>63vn(JIa0vEg8JvE^CGW`dNVz{pOT04)R#CM zeE7$2WhF6{R437yHh#-D_oS!Jzrhd31nYtzV8iECk4t;2&04Lq=$)qGaPObfkjSly zZllN;e;!P)Xir)}&7f|nn>Diz4hcU$v^YHU#*~SbveHBA$qJ21u1$E;h8YQ*u3D!9 zJyj{&(4qID5)v#_&&re=yXN0A2vum+0o-ubc_3BJrBY3 zoc)Vt)$=-06H%YKBNLNpqj!iyN6Pf&vjE+150K?Pr( zU^vbQG&*(5NoasOEB)@tb@1cRv@0hs<8{;JXImJhqE7~4i^As_PbN=|nW}0&qo=O8+oNz4ke&xaUiEyuoQ{`44nmyF6J0{+dAkYhi7^Yt6#Bud@ zmernv21VCnRa(sqXA@2A)H=+y!akS`kMD(Y6VMuXZYfrcU^?s$>2l zCJf-6H4c&&eDE{k+!z z4MCO5W}RZwQulIca7%#mI5vr#^tka z=Xr@C`)P&)iN-*}RwzLV3D22!L^`uVqz!W9u)|ECa_YsAJtow0DfMXwM$W^J434l0 zi1Bj_;qRpFbgdk}CLE6;JxO;>%saaK&s6y+Zx;d@2D)Ji?jNlMSF!jB{WUw4u88?} zY}efCd2i5j&?-M}dtEFrb@zXR@%!_F%gy;T5j>DccyX6Pw9k8ons?C7dnEk6M4ZKV zI?l`FdJ`MH@FBp*No{9*{KeIHnT#t{1scIuKF-;ne;gm|y`pIFPFJ-F_h^PxdZ74t z!(>N5+@qac%$~Ys;_baZZX#};y)?fKwJlla_0ntftB`v6Wjz-(TeIPonobV*lE`b5 zrn%h;yE&D2sCm7YnGz{6_2Sl@H;u6Y!8+)Us{i?fywr&Jrcc;IU#kefU0`5Ck*Uqb zFi?m7J!4_;qxJ#RJ>RY`FKibe6xp1$)uZp3eNb(bYTg&cnaC4gK%LsRG*;u%|HL#OPS1@t(69LxOYkrijYfC4P` zq%RImuq?So=WQIe(#3YY=}_xyJ=vh1f%?kyt3Mozl_Um1?E{yyjyJSFhV69IN_sN} zMxrfOd>-bzR^#PjkQTY7^AwttLc5v|k?=<>F=HFH3f2qD9OHR3H8v^=L7f(RUnO=?G2CEsj5?6CP2fU<1A$Awzm#k$$YyE6TP_dnZFe`_Uf*`v=KLTDSR@3)N?mMy}DiNrNWjf!tj~U&UI|UZNb@478xP}(V#&eJl2t=ia z>*zEm(YG(Fsn@lTZBTVuqeRESh=ozf)OKe8^!FO{qiZzRN8U*~^fyq3-vkP530{RfiNJ4r zlSh9$j&)fkNW@LSP2wOoc4cJz&_tdRx(kD9^)L^HY|@FWx_cDK9;Sq}+))?Y}J@|8ihpk5Kz z__aH|flisv}0IayExrWT2no_K>)Z4W9RE_N% zB=wy{j?s@uJrw3Y%Oth(>$5Mz=Qr`c2EMjv@Th-P*VVp)3%}g3&4;+JLez6Q{}iMp z{A|>T-8C@{bs3W`6`H{wZFb#dIyS`1c&g-la!)f_OKifyO)nSsO7>*D4mmdkJ-YAl zqxmT2a-B-Zr%)ng&Gvt)T;DkwTlUjKhNY!rMuPHk=s>gCHqZS**|DbxoJRglXUTJA z8%HWrH4+~(i45YhS|bnVq;Rr=jn$-JjjRaty&%PD%LBaq76c5U!st}~-;-UV7=)Gi z-A|?TgUfFP#K-gTWaQeuDd;P!T+SE*4t zD&_izI!c!rfAbKP|IcQz>&Wn71)*455eU1XbbsYJ##k@Dd0uWGv(?}g;8~~Jter}- zegHO^l&(50$fJ>IA}Qu+h--bE2NyzJq8pNm^i)3B4Gj!Zaek;CPJM{nqb*^j-C(+N zQxwc@`Zu-g$Z8P!A72;+_TgBHja6Zx$v&rH!rbT2wOkr(h8~9w_Efzs7TMm*FsWKp z+=78Ae^_$+is=nsy?=x0BazM2Bq;H{oPB(kPN>fWgm#=+7Xj%)g+w0W*R4m~hssMz z+f9v99sOSK(}PdihnUk0O;wY(?Z?{ZWyt+P*?XhXz_5cdpV8>ya_+0V7sH)`vYIYA zvrk_Hi6=li79kn8=cu@=@3#NXtA3H|k`7KOJ>aNRZpLU>+qC2MrRmsb8gPfcqG{=V zEEVu?8YZ@q10IbApwpem>s#P1AF7b$esFO+^z6*`1jP8wk369OWBYpkt+$LZ6(8}e zU`e0~H1BDCeAr%YQrP>)(@;r#P$>wadcWnKKnc*{evs3+yu@(&k_NvYVAi{~rld1} zq1tmkYUcCZk$t@-td7j|d1eC}W!k(4V9;rOgqsi>pEjTZSavSI3en@)_2KoD6>Az` zs!}5hKl1>Cg*FggQk5|Bl@IRI&6vceOBYl8Hx7*1D)J`wpMGAYajIb{W90is*1_7- z4qU&;B?Lb3wiB&0PLJ}paW;EtZZxjWzOhyhdf&g3A(Z*UrR?4@up@b`>6neC294r7 zRKKP?HX_$va5cdA77WyN`<1IhRFJ-w=H0{tC#OFF9(I{9gEjewCM&L{C+$5PVO6jQ z1aeH};P?3J?JLfY+lEU}O4yZ3KYAHbQlY!gW6VSF$Z}j+`W{{U`T-N|hrgN@Q#`LaZdot^Ey^xfb?KeS)*w@kbqv@1Yp-Y14nm zt?rcg&dYseQ67jTHYDBIry;L$QA9D>>~*1cJ|;)o-Zc(r+`yl7drzLR|kc;W981aZ~TlK&2*N6slH!F zez3c6^gr$i4UL9%6AKxdo8`rbw}k4!J?cu_W+SXYo@j=fv$54WA>&|tB&^2Fn>D(*U!|Sf@8~$F03L2*mPOZ~ z@gEeiFSPebYdB6R4&G%b5lX<(72R(Lb^Bz-;&+Shs;4Z0j?pFyW}@x)MltvLH6|~V zBx1TikPBKmmEqcLg#*&v^dt9+ndN)JK5QeO6JPq8rc}ZZ)Jv-kshC$>jdwas8(;*@ z^ak0h7?*im#n3!%XT=PZ$mMq%OnRd1xp>u7_audeUUd!@t|`Sex$1~Gj z?OOgS?C9!{9PMH?s-NPU07otxN{yZUPRwr)j2-VC<}Phbq*>QvEt8#;-7?U(t9&+= z?K(5UXbO0%uM|fnmah2OW&3;vjF_+A;j_P)TCIUizUaufdi@C&KN3z|6uO#dfatc| z?Qww$8a7XfyC1El-)a68{`=?Hqt)IGrm)TaWObTET@rf3EkkbA>)i{-V?OVMf-FG# z>-Xn|E|FXMONk2ovm0+8e198M()s>Cg$=l|i)Q1($KK#OgZ*-D%szOVf8`xn#s6U? z9~bu#U1+D`@y+(7{95=Xx|;%3c<#%OcxUrV0|E7MjXJa+J=&&Pv?^_G#z0H%0p8-L zKL5Fm`kk%%mV?uB8IJ?v|4IZ(n<>Ec?CX%svw7pqbEQe`nd{U2CFfVTUh9-1Qqegs zZ9=d6q~7lWWU<$s4jp{M_x@8+dYO7hPjmXp`#q}by`g)V;BTG`r#F0>`JBA z*o)wy^;qeMpX)t!aC#@e-alqgu8dNnMRTuKxSapH`i7OFS2}eL`N2VVf}Oom5cngk z$VC@fYhG?v3Pj?%g)hxkiXz|NCrtmd@G6f7e!YQPa@llP;hP-{sFifn8DFZnuNN;5 z4^!7zJXGMt*XW2UyAb|;tvQJw_TWr@_Xz;gcOVfz5|BTk6v1G^I#rpR9}&(eZJwnPSAqoT);YqQLYvhyqUw$a8x*{;Q(C9Th$Rkg)h zX<~9iyWVt&FTE}Se8&-Iz77dLMokDbsBaR?M_ao&8X)P|G!ymAQQ;4H@|RgW#~ks+ z?~AoV8r5$$sUE7K)jwq_w;HsW_)5L*S+VhyFfXVp9sdyeNLhqQq~WeF@GB8J*k3I> zgAaYx&7TjX4DUNKWt5;k`&FgtgoI(?3GRzY$muV(Ap#Z?PNGfsNS`~0}HzUGj7 z(zA`iqRZl5Ph|~$9OM^n{db?IVq1l7d!`{}uk4v{Kl82BGzYtkWR)(iW0xg6Ve^mb z&B7E&yrgVQ2S^s|;ko)81~Y zuU&d};Hq$iIREggSq+C+#`aR5`ZDjT^H}Hut@j;FsmEWdpOUTFR#T%JuX6uLn4SB5 z=Zokn1n{}<{y}uB&`xi4@~IwuA54T290zLQ{pi!xku~luWZ zU(T`A7$5WclcFiM`T5ejUJhbPnwmobbuZt3Cm~q%wQ3Pvb49pccm&ZTPDeSMqLB1? zl1jC?PT|_LGuGSEdM!{XvV|9jf$4G7MI+gqjQwEYP*HlLID{ha;7c8xIvPLd>e_Zj z*d?@bnD?T#h2_I_|5sh19SsW)xUD)5JqfS5nI{vmR1h3;N~@@-zT=z6Q)}QH+`)vR z>Y(it_eEzf41A$ypm6LRqvwyRaAHQm{m{3ftX3=y4f_H+0^7Rs83GdF^hEB%urDoy zE;c-cibyv1P!_f{x#J%-UHDtX^kq$6JJ4uISzr%)A#SWuzPSj08TVbC)a?Gq&D{X} z^Y^-ILdz8@L&}ym8NKNX9BEMU70L{_qh4tI3fiLFWq?z;_q&>Aa;?a2vWln7%YM%i z(&%sTulzo&G*g1J-9J+NWx7pHG_@J*XkUK1x;C`impLHW%F-!F$s)=4p}>!&ErD)@ z3;bSWVTouzQ=M30{)_rVihy+kI=c1mFYwMuy(IV+NEuzy3bol6e=EfIWvIFEtTcVN zs8-&_Yc20}$7z?B?@#c(poGgHMih60T z^7rh!vvwZ-XaW9Rw3b}WKbD;yyf(eQ>AZM1`9O7VWJW=BmPbg5VCO~QP;P9DQs z10AF{4+5X5&+Pz-C{)`L#d0obOo~0@t$g|}?YdRed9Ujw{-2G1}%?NkI z6V2gNXlL%##kYdpo2xa?Vjg$WJT2UWVlhmxeKRn(C)LcDszv9~l!H{lYf*VdxC99P zxlF$t!B`>rMK%;1Cu6XNLp?qb>)(4%#w+Ms&|Y;(?SjauCz!W1%+8Os86tt z`In4SQj`WaB>vCzQSH-+|DsR#BEtuX?aUE=-?3c?t3b5tG~!doA_C|6V&1$+=}^&+ zc);OEnz4glni1gOnMN~oaHkk}4n6nSq1=2^YoWRTSs-IFJ1S^9wGtx08Db~*2yEbj za(QlcGKJwl-I;tkk19S%`Rfo$`7_~54Ja5MpvxDhDwcC&5;JGyElylFSatG($yKK5q2H0-kJ*< zwiScM8d-N}qx^-|5`R7KjY&hJTn$qT{x6@ne$Zhcmp{o|dp7J) zxl?-y^+Dhy9W2W2z>H+zBy11@Yh~S)KVZQe*icR~@7{=FaZ14FpPQ6vIKr(#28OL` zqj8L=c-c9~2m!krxW{$KZ6kkDLvB%e(iqMug!;YY>b9Ys4Zzs$xk zX{(2S;T8oLz#M^&r&2OfZGXf>-d3T(D`6;~v%3`5envnpl+mUO^ndvc8h^|-t@jcQ z91o057k*YrL7=z*=L&il3m#Eme8hHVbVs5h_}Tsn^P-nk7@%KRMc=1s3U zDg@M~gopd5{Cx~2Z9>z~4$Xn~Efb%4>mOxIUy=?}^=vj|z##R@E~C^WHw0n#xdZ4S zXksGM0djZZ8XaObvYEbq(ShboDYgr$cQeZul&s%SJtfYwr%x2-@wmh@;s-`@FBJv< z6YFMl8#SQvESgN)EqM>;Eb(bit;7GW79ektI1bWVw5oF|ak<9qB|hYFc-N`OIrB-Y zvt8JKfL$x(t{3+d795;q>&kiH((d)WPz0pAyxHuX$gvVkK8=+@G2W7aBH`cj0=F&A)V z&9|s(xbw$F(-Hz&%E|iUM!aO^-ny!d?H7VRS6Lz>;1Zar)iWEVrLJe*9$Z$u+Grnv zFsM^W-Pq;dBQpd}jMXoyn?Uu$pdJOYQ1MbhS6xLxwWAur4*-GF_RyW){{lf%9S!eC zU3rcZA^-=iRDqL=`u&jqzpQ&L%pf)>*=n zztGoZH`Ct=UlmNb&GM%MuFG6tX2piR3<;c|w;n_C&O};$y|z^E!M$A0)s~JQtBU@u z1T<-Qs-p#!nPH!-&EQehk(MUPK%>|3bO+0G&;Rq^b)%s5Ax)Q!kXe+gHu7FM*Z4H( zzXygrh6%+q=5PG1Q@xWiM7uQ##$R*r(N%m9qo)@Tmk56gq9m9F?bYoB%NpZ4PK43W zMflTOX`<9oie+fEbcB@k9SM&M3ERa9#K0h)JaykuJ$N}&ul$Rkq7@7MeTUfe;Ho9m z#~fDtf`#L0wI-zuP3x~4akmK{cuYN(KPg)UR-+|aYv&tTO6d>Lrw}`p^agha0Q`oM zrzs76JI&j{8r7yMO%;!nD=Ro-@U@C$J3#{Am%F{4H}U#v@y88rAb`*2%f2h=(Hq@a z-c1k(nxwOZQJltF}E~~-}$?K%Z8=n0+Wz5jN7QF;{6gXFxPU9?QE$xZdnq( ze*ac;{0;NK*gn4hpM#^1+-O^cuQ-sPT$j)GIW965>du8+5H7D^3#c#2Q5bCBK8yJD zkEN@>-aXHBzPr<3^X$XVZ^Jevy?AODKhn<4bksUB52=4t3}3rGoFBpwMUdXOb(X#Mi+f~qsg}Ri*nZai$ga#<2QMSC_V#dDA${!3ic0wxm#SiDV_394 zlA=7YsPK@7PGs3Wg0PJxLEKjov1cZs+{&L9M(R&n9^5uSceAWh4RJjzUliWT`a@G; z^b+nA=q@F|mVb-rA2Z6ws*ig}r3(r0dxydLL4KOhGjL)~xIz$>z_}Hi!I7v7WZRt5 zh33fV-H9p!dcfTN@5Hz_M!>%(8u9!&j$7D9I19pR{ z#(n_CotUhmW>5T_Iv4cwDnyqSZ(;hM@?+_kcTu-?7_4*(hXZf&{WOWqbP36sWQv%I z4$2*Z#GI^E9}Y-rR++z<2Z<1Ip=vr4_Ruu=zioya!Io(FOrHy_*KrNV=-jaDN^do& z3O6ij@V>{*sC@1n=p{x)8|64KXr^`4T|aP9``3^~W2eQdrB2lSwKRr7%2igC0BV-f z2bbx#;o=Wt!O5=iaJ>JX+dzjmc6H&~zr?dYF#kIpt=m=WcEeT5ZYfQ0TPR2?Q@JO8 zZsZxb8-9uRoBy5pq;E=<1^;qOc+EffqI`TL8%Mz4J!>#>&O_n?7gS2 z*!st+jrG~4f6^-a@wvs@si4r0DupSX&lDbvMvV%dOX#0X$Zh|1*5{JM=Y%2RNK4Av zITcX7d_nWaLJp}wwbbFobC; z2NdmMgE(O;>>qbros?bc25Xd#lQM`igF^aJo0{l zZ(xS#xTClVFOmufS@QK8zxS<{ay$^PSN{Pp4WrNGg{zR9*9BR*C>Yx|;DoOiVXfEe zDd=F(vqGBe0z~pt0d_WGp9C&ZZmD|qNg}+my8gb~!v^U`to-%vPE%GA(6xhSZ7-Kf zD9>9s+nG9Hc?o*Kva};RSx&2pX@+D@p^hkLGr5|Uxn{kybpac z89M;E9Av_pTx`n&^w(wPdx`m~ClYy&_H|Wq-dNJ;wsUz`y$tgPyuA+!zAsMdr& zJ|~9=8msdJF7&y@{}NGu@8SNGS_RGmXCxE3>GZo)A!fwR(!KU^Q!cA};pDkV&Eb!f{28B(Ew^RIIJo*s! z@s!m+ixz$B18ACDoxj;7ims|!L0&vN^Z|CE5Jl7{r#Sl+xEfBYdAq=`%cYijDvyd( z;&*;}<|DDZakB~d=945-eSr4Qq$3P=A^`xT=?34C6SwJ*|kCl zQ3E%7S5E!pny~A9`_k%{)yUeBFTXY6;WjzKp20v=9V1Wr{7h}^+7TQbTU?U(-JTrUK!{sH7 z8O=(UUnR90yQ#s-ch5;bR=@qD8{1ab_)3T|JZW>*T59nF+U<6Gx9kncj}NC?q_ZEy zV9xLm-DTOon8KIuuVGKV(L9@o7QA?9QOUfq#{@GZynfc(ufh>TIW2Jn!g}`g(|f@u zN^JRaAqDv~_>Z$U*o8Xat}?bC^+kSywpyYj--cA3|6R;At-}CSnWnnU8p5&*=dZE2 z#Ke`-i6FIbqwScR{lL%+^m9(vi33H2ZeKh2#@s};+z$qog%elKdxvGQ9ri!YI#ZrE zlupCqc_;|Z&_>c5f55C=J)*xG5jdF0O?Z!kRhj9tSu7HZ%1W-P(DF39txoHICjxc7 z14G&&J`^4t1|h@Q2qXzbmSCeXBrI8yjgunb$YM68SRw z3Y%0)zRJFKopghIlYQ$y(rt1XyZjERf_#^K?>^}Pxsv_x5$Q4c3A^ek=^442UGtpu zf?Uh4t0y&(8`(|GBo6r{yQP)XMs8R{=oh?O8P|RvOkZJc;s>R#23;ed5S&#l{7=1Wq+F^eJAtTf*&Lyd7iznNcu@$ zV*gqu{U)!l|A7!NdmiBB8~jv9fZsqq4RSFC*;<2%WE~jze37V{2VfBf|Wqc@7A#v{fCl_gdg}L9JK3Ldik-3f-q?PCx4Uwa)vY`PUir9 zomhj8YOpKadoV&-Axf#1mm8wc*aQYU&9w27hSD z{Fnh!+wn0zq3p1q_4PD}$zIuCCPVG3>Yvc-Xb2}ViVqwEiYFNkAWAi6H$JJj_{+JU z-|*)`z;KMWNNhe!2Ai_k^J7=691>7&6upb~yKT&GiPa{Z-}$MntmP-%^>M|W}}0~rgaq1J7uifB;A z_khWT$<&Ds7}))2c%+Qixxt+*Nc>UAp}{ld31;Cg?%yM6gTD8^Hl$nVgP8{7W!nwj z-N0TNhp|izsoK`lk#dm#-k4ABl>=uV^&bQtc-%tT)c2cvjLB^S?W{Sg-ayzOQ<%ju#jxjfz|O4*|`+RMoBk-u3H%^)MFvco#JUpM3nSFiQS3mQewKKoR9osJ~OC3{}}28{JMEA`}o&mjpRKk-ow93+Zlh1D4&V4YC*q66AtK3I^McrH9H5>_VM3 z2xRys$Q=>E47NL_r^pRZHcuNEFj5obPKwqHw##Ov7k4hpPT2wXl&$rn59ahs3GW?q zbV!hMqKQ5jZ2y>kNNyl({Io$w<<5aff=v?PUo6%10~d8DordHhW%?t>vBN*4#}DkQ z9gN-M7k60UpvhDN%384j83H>j>r}~XR(05rq%8&&*|KTlMwd*(D@s z?o9O8Xh7L&)*&mONxHs)1ndTwt3e<>J$Czw7BTMO#(qG;F&ail%K3ve&-Py_h$2} zr~^#Fx%oy%hwRz2a*lP|lfIatKSzK46)bGcvB7(^dueY^8dEN~9+5<&5`FRwZ8brM zsaAJDQy1mS68snaJ9p#+Ojr(r!dfMD#&iDKNq9HB_;9G>jkh;bEsoS49T{EqZjpXe z{IqHF@iUJq&-hDEzbc*gKlaqCQT)tL^I+?Vrv;nJe6i7~`X{3^4jvU`gI%bLn?QSC`CHzk#K3OwEBQ_mMNuET+Bppc* zlQnD)rKD)kuawoBAD3K6(=_C!9@-}rlaR2Ud^m1AQfg^Pok!;y;2O#H(T=?ddWjPQ-E!qSvo;_ZS~a ze(yIHuICRg^g}TCv(sfI;9_Xci$29(x-+&H+0?n&`tWQvj#Cm9SpL<8cq^!^ebmaG zv+YFXXOD-gjatfkGvHv}~0 zp;_k~$@QJ|siahbJJ>WroQfx6Z0X3;bXX(@557!IDjS=fwE-_c8dA_vLl zjb?u$(5gyskMuDIl);`GFInh|L3cN?+>%NSAb*ZSOI9H;l48lyX==?uG8 z;(vFEvuqHGu#mms$#ZZ?r)G|2Z=2LcPY5F0C5h?9b-k%A^E&=kB3YsnHI-YIMh_?f zyN=Q_&T#e`1{;b>|5!1q>^W=slIBJQ`yR~A@FfKFRA$=HLk4O=Cy?20-x1JXt ztlM9w6vN2cvha5u3eVoJzFCzy-QuY_K@6a;vBJgeeO{v78a`XxUiJpLtJAa=miOfDcMx3>h3;AWWidJ*0PIr*08l5=Ga1M1B0~au8ey>C?zv>M;L~ z%)}H7YEjI_$IHLf}wpn>p~YVl5PA}AySPmkE36`zQMB@2_mhKAKw6oe~Gbu|R6 z6kGFG*$VL2yKJHmE&HkZ0zpI0<&W#zk(epigYW19v{+?U=Y?wY;E>O0Xh-O&!OQxb z&(;5fES?qcqb$Kl;#ClsxApzOrH2t?KXZ$H;2P7fUqW7%)2h!IkEjS0KAQaA!rbCZ zy&Q22L$V%y`Zj@l3+;b&eNz=`y4#9Ml|IHo8(=rCb3=}Kmsi)Wu!szq^sW%2KR(KR zpTfZHw*sHbtKzu&U{TwauQ>P6hUC6j8+E3n(BZ(1(@j33qk`jE$`3loe3b!uWU-Vk zTTFZ)WC&B{PN|0tr6e?*T&kC65G^gblx5}OX+&g?9GOr11utg!5R*7t9+q_GqV9vo zrVgEQDG=*9{sVFsA(?6#=hauuLe(LMB9Z!i?zi*;B2lz8A!#%dlQkRUhSVt*C~uPV)-uY!;^TJC zO^S8uy(D>5tJ_+L!?J|L_7$^T4#mP#~D3@hGdjL}{)Cv+;ySB2Q@zD&hUBnlyYR!Tr+Dz#L^q_1<$BBfKB_=NaS)nX}M*6PwwMrmq zgl5})9>qZj5u$H$aOvw)A6OPZe63C|SeZ90wk{b;gF^;h{J6+b$<+{f4Dq9CuuhP7 zBG(5)Kl-mfI3Smq2E0er#OyTP(eC~(i{zNoSdj#*@2Jcx)XFOAYTR^fB^4LIGO)hfld)(z6 zgsILCX!c38QP}Cr^b6gBbch>EYLEQ48;<TCCrSLU~1i_64C$vIP+ds#)imglI#i@t3|a7I{Rg*I^H&$0x@43GS)jmy6ygmXGxy`QF)w><8B z7damFYRqUP*a$4IQCNP9>~HBA%~B;j8x>o%DIbM+y={Meid7r6xwlAo*Bp^}V#wv> z!qn*UfzdmE1H56zVsC>VUmBF9Uj>QDJaJ~{_@w%-d;N8tSY#{^I)lH zy$2)5-jjZCN$%nps?p2b(!dc=!y|n9JUNOF{tK1uGNi$xVDx4H&*&(0O)=B!!&0&Q zpNqqJaN|8QJRkb`F-j}p0=#mKArk5$5$xlVQFxGZu}n4xZhMqk z@Fr)=Is5xXVWmlC(K>KJ_5DR5@ppYi;s3_=*J7M|-3p(#L90G=)(+i#mh#t!Cr(cZ z7zs-hlW2Hxd_AxxF|jt0f|BypjjN(T_dhTt2R>{mrXvIK<|}j|SEU=DEH09lMaSqZ zTzJ;zoGu4eZ%K0P?+ar9nlXAufj3u`#nDfg^%x+X-3|ZYd09 zBKiEX*lgxII=s#%^^v>0=<}xPdM;~1ntmigaG_da^DFVga=e7`XxDq!{vOQ*#9&2`I8y!5=c(!oy_@LIVFiSMM>kk;Uy z)0}w-q%?OX*zOL=RyKOJSq1&eBP%&Esq;Xl;^^;x!A1jf{|o#&yX+yM0r17b4$nBT zLvAs$PV8BW40g968kI78ev2P+!Qz5VdGbhU!o$Is_$Q^uJP~O}L_D z5E$-GH>yF4d$jQ)?MlJYAfIPqps{rTDOva*ugn*tKgsmma-S?8J?}1c@MB80G+a)1 zP9+Rbpyr4)d?fS+wG*8-!V4dRH)<%dV_B=QCssGVVj~D`Ki3c6L6yQU7#M^DhW6Z0 zazF=8z%-}pbIA;B;ZAY;bp7au66;yCVJBS{2^06%&>`evE)n#)J=SO=ZKa5XGza0p zyQcaN;#*$jM*$h9g1ss}@kR=fhR7vYzK&l_OQru@JjDOZ0%S#t3?%$&*ZeZ!3I{?x z6HF-ubKXS-XR{dw^>L~XFVT$OVOT`UrWMijx zuGgG^rA1G9`p$K`1diqD1ioUs#e>DLVd-MKFHJ>1{@cJefOfbCp%z2%+($>t3noK)=)1Dhr?X!$p>9H)TeHfqpv1VZJ0Y z3edq@T8zHr*W{qeThQ#)EJWMSB$hN`n+iYJ`VNH(rA(6kr(ei@6sr~m8O2h6$QQKp z|1B2nu7GKHl9xoZC%Borr~*om2p%jH&DtTS7{|enkYImappMw%QW75b=u%YGd=)lk zKquch^VRExJ{n$DDAoP1-hKl{u6~q}!2RO2#Hf6zBS!unXQSs{1>+UFGP&o~`DW?U zB5M(02AR&}dCpG=!!@K<%-uRtA!uc3aHK{tX_-?U9UJ4US{dee-cxLytNF;93 zHeVx6_t#obX_*`@_m-T|P+ob|6xQW)OHb@)>L+yhRhzKbdys&xZRJdmJ|u}MK}h$} z$M_=jh7tWMQdi3ExZCBv_Vn;MIh%GeVrMu{>-8_zH_a~drt zUzP02*G!m5*1)%DoC|Zs`F8ss1QhgF`}6FYHwY^`rtd!1SxxmxmdK^A6pd;o%T84- zJ6h+WzP9In$wJ$QZNxw#Y$(xZDxdkd5^N(hn(Tre`?}g3%3q!&ZH4rs;H{dN?47Yr zN?Ei7HJ>iPVlCXwJ=V_IrK~=^(iAn8OLjlG%5rqswYEg&6mPN)?^|2@d=Rw?Qjb*j zQXdvNo+Re^tnE#(OzT&m_J%_)hT!&UlK;qsfV(8GW7@l@Ml*M=Dr zsE-`-hBX}uOa(B-%=EL5Ok@F!qVEu?2o_H8ber+|44sAzn)sHp@FxfY-0QF>GdUv% zg`xxv(h}d$g{@wj8rQtsWdF_|_v&kbt`tAI4v&2}2>W#8*2LTE0~i{2QtRfZFGw=Hsv7H6svh0`vXfPSp9 zGsG|lF&tTxU7;P$q6;VBwzbKQv#>VUHfl9HxoG9W_L}fW<+lEP)ooz-1|*<4yDach z^mfvfY)eY;&fN2u!0ptuY{+_8wu6b1nN7A4Z_xIfrt@Hi5#p^uZJz87i4^x-+Km41 z;{rd&PW039fS;inkR`u;?;9I)G}^Uv1hdATXWHn@^eij-TgAGHs*@REqet?(P9d#b zT$+xf63;}YOlOsOETb%OHLgkRu(t2_bIvzoobk;n@D5YfGARH8!j%MrE zRR7h=M@l?g&3i^orVa?4g7st%+wLqM-)ea-0x=j{AC-dlu%kA7d~n>8OM8k(wB%$?kS)yueFiWP?KcAoWDH8Jb59gz zkBNY*Eh%f>*E)+iA#+sr0NmtFrmkYc3)P3gV#S?cCZ?TS(+D5*jrs^TJB&I%T`~rp z@mj3guV=b7^He^!$u`6^zx>mRH0^P*(#PxlwFAsD=TlT0`fG6+ODatuGgJOwF8Vt< ztWH%9qwmgS_Q~hd(38dj9u*0Uo|Cg&@s@DjP@z?C5u|`$yumQ!P7#(TO<5TYWrtqrS|>V0JgK_0c8` zoxL0AMG${A)8q7;qGTBMa^Xw*J43?6>r)-Gn`BF>ug9jyTCw4+Gx?Gp5*eqNkWP10 zm7AJ#&@My%Lxfa|fLh02i-%G|Fr?xB;bV*GQAliDjQUx7Vce!V!2)n|={IqU*l)=y z#G6hD$Qj-FmDT%e1$|ux@bdog9)k63ro%U&z{wkHe){8R2QxG1A&~=t4=B850D^d) z%$runA^EibbWX?GdeQFYeK}{5UMAoZ$gy3C>eJ&tmoDKa{ZaM+dZAUpch?Xlyuh*a zTN^egQ}z4yFfFpL(3h6_>3y2f{D2|fAf42ni+TdvlXV7p#I!XBdeQ<;ljRm`(|{XI zd13>5OF^pe>r5ij0Se3&CW zu;*Rzk8WZ5&mg_USV=h!K%Vux_!Irf$gIfrE{&BB>zN)x7BviaSxO#eO?RBjSIho3 zW(`W83wW^QvyB<`&~$-EP_ABec``^c89IkH@<+^+A8*EHAaY;ATa=gu=s&j7SJvq+ z_lvd%T!4lqH+t?1c;I<($?Qs4!Z6Y$Afsr61biqe0*w{C^mBK}*=u5O^Q9D}(#pl0 zU60>`I!A&mIPodr@Odj8@Q$T$H}=(C)A}k|Rr4AId9}M1E2OBbefOM|E%e*av>W=L z%=BE@piuE*1<}qBtpJ@o;gm&BUwweQMd04;hCz5TYbgcHTeHl{gT|K|8rO>;t~<0<5?F%f0$p^RG@%#Gxc;rJ=?7CouVORLrVW1*x$ z_dRIX#|1z533EmgeE&Z#bs$FoVH0OaA#traj?a_C`i0Ii%G&jL6zZ&eMd!0LC5cIH z&`0>>ZOE}+Ld_B+3qq>{PJjO*o&-Um3tc~7-tg$w{mm#Jq)N9oecQ^Wm|R$GZNYP$PW92iE|* z+tAm7X;281Uw#b+fhpdBLjoZLKOhguAV7H}Gk8UO7zhZ|VV{grLZSgsh$xd827^Ff zI|`}!Ol_a!-gkz;w0u(Jvi#Bj6GKtn%>;eK_X+2>EeD0(Xdm|`su~A~K|our8`L#7 zjc8{wERcOFNUmhg+=%xq7Z5dTMS{ASUP?bjbigE)T&&UlMS!8GA|Ub$Py&uW+f-68 zNTJ5zP(TF;vbufPJ*t0G9ch1&kO1vHItC_m8<}9QrJ>+ zaS5>>IDSd^K1K@Y0#xO*$XXX|fL1c7M=&r>);84#r94zsB-J3QagAsZ7$_xT#p|i5 zZUPec6jbDdi~n%(!+^Eeu4=XUL8E7{g(R_30{cSZ?#CV3oj89eC~R*EIPytw4Wte3 z1x=5h(Jt-nLF&AU;idwOYKR{e8nFFK`TqePnk+&8nPuSiXJo=ze$i23QQ(;!z(m6_ iPmmBT1n~drXo2!aKJma

*V#xdnZ+mCNa>9yYNc9b>~ldR1z{a;r)$$8&%%c4n3 zp`&<&ZTt_8P-0(m(@W&H$NA?YZt{XsQ1@gHQ^s@TXYeR>sk=%L>D7e?#$s{+) zIhV}_a9T#upPvGDq7>mhkDbMdT^|tsWt~{xV4=@_$uCIzNX;*}>m_eAg}PHwPU5^R z=DG}|yy+{xG5+FuG!ZGoL6^VSK+H&Qirzno_I$e4TdZ$=QqteV+=V&N%NRW(=q493 ztUT=YU8vooT18bwE>a>8_ewHO%o>b20mTD=s6<~KbV%oG5!Q$9L{3GNi0*RvCucx$< zlMnC4{@10z?E4exXI=+0%lgPyX)q^Mp%$rZFr2EDG(KDGaa~WYO%hwR-s(HVlK6fk&`yj;~>2|6YrrIpT z%KWBpwVCmaMGzPasPtfd8|{*|SV1p@bPUoUE$JR`AAy#gPc9XK%mj$DHji> z@4Y3f0VJUP=&;z<;tR%Jl*0Y}#WvRd4Vcykse~m+0@vpBK6mlrzFL93`fQxW4)9*G zWzB+_yd}7h1NL`V$O^xga$?(bpn2JI<5V{uaK2?g(v~V zn{o4)XxK;VS-fcn4b6(e1?YbZ_A@+C8+0n6fM4^tBbfhtf?GiOO{`W}Y5&zw*@cK_ zGEnq9t51vjdw8gKsn`_RHt%-(N399gw2Z%iXVw6XsuupF0VAd%^{>+Re;oiL<__}2 za``9pSPsItCTZp$%D!eYoF63!_iO#D-w$dz|LK#*Aj^;OR29#ybf^E1v{nAT^Z>%k zVNKv=*rnlxmiLH5)vGB%$e(Gba(RqG0@YwRkM*I-L&DwOoA6t!uuWoim^bt<4OKlZ z8Q5X}aArJUIrp_G=2Wq&%$Gv6=W_ik?3PGBX_eXb3H$vwY0cc>w)bU+4-yv*Egq7; zdKlRjIzk+=yB(YF3myiEWgw;axm|fHrsrq3L1lfCLQxO2K;@mah3?l$Pd9c;gi2YW zALBf@GBPQ}#clf3FUJ_3PEMiS8^4vrY{$;4Glvtmf{7cnHe>&FS}uG3{lCh7hun`# zZrA_el`Q(%@|Q2F(Ieoy5-U3^0*-JEQ1~Rd&)rKY`t7^e?#V0bP4Cv!QPve3_vrsD zEnlr^L5yd9dpB8G?lZ4QAPX$aNdBM6er zEA;AF{S%&-%C@)&KC{5Gg}ZeqnF#GAyt$4T8{xTc(3(%Y2~c_biZB1_`yzp_@g?`@ z>Clq`X9cGd(t|G-JD^~9%b~`gz<0x%v>4%igw_4xsK*QY#oN%0G-0MZ$9Qq`D1R~c zL+;bHC2ERu;m9*>?_b0{tSJdV?^ z3T+eDk0=Yh`A~Um8-h$_m6`mwl|9{=XZ8av=hq=oa$#^=CI+_EATIVwNw}F(EFto* zpO^`|TtwAAf4V5LFI{!O0{&LGmi2axAJK1H=R7--_LzDWeFN1>TRXAbvCPwHL2HZx zsf(I39=0Gip$GD=8xOa&$Sp}K4x2?5{Ds8Kg0`aI>F_fOEkE@T_B^Iwp+KXLo?2bv)EoYI-vQQBOPxO)@`B_^56gFs69u%;t#m zRs!=)Tc>}({eNhIw_mE4U%^6k|MA@;rs=H+_c96>W9rpx=C5cHFH0&>$;kv?%)S0{ zS%X5?GUn&8;y}szfN4f;r;z5ACb1UT^KjayToNyFrX@WGl=eVN4Y>JAjV?Wc!M`Zt zNqzp@+5Oej$d8IC`Z9%W60aK>?A<+vJ__C4{At>mqHE+Ct>s#1_mkQDT<3xHac#KB z6XDCrXUmnrQaFv{C+#E)RX%F)9KlgGrm7I#M^akMR}ly0iPFP?CY zCV{A)(SEs!aJ|LCksy%3e=%x`r9U81Z5b9>=`NO_0GmdKTs}K+@y}o`XR*ERkD{K^ z+aeKiJM#l2K;wVB?7|}tFKKcb&vp;Yl`o1WT(s%vD6xKj=h@s#S)a!gYd6(wJ2m^% zURacH2gB5=7W>nVez*Cl!m~2baII4EKW8{pn;6A!`oYpL#ry7%6>FIkSA1@N{dp8l zNUH(ew_eyDys;_A(=2G;53}y16}CP!O2!1QQZ4w5^|yP}sA}>xl<|L*TDBW1zk|e#d$)xb_g1ri;?|IFSnK751s-mBBw8@Ht*4*wtxw*CpW87$@idAp?3vVmtVWoumD_Y?qkSO`!;T$dUpp@`bOvQZZjWKzUFZOV< z?ePOMTRz)aIU^GUSzVQ|Q;-@pwFx%zbSQpzi|+cC-Z@UylE0(sfw7iaH{B5GR#L~Q z#-z_EhsE4wq9vF)>uU9Vb0K>-uKdyr$LkLfr{GEFiYMV@H17oJm*L4-MF>pi~Fwg4NlC7>9-(7M>Yzbj4|2yHOUpV*=AlqPvY&t6Ef zKAW9nbt;SP554--EGOVU)AkmH0qAg`>LDaFG_!ND475mI1uwROujYOSILS7o8=NF3 z=#{}Fv&(~2lS}(Fqn2UC!okI(9N^|ZP#_Ms_~j$)1vGiB{7#a?Ec8~QaWd8QUyh3A zEu_Lx*kW1?_&)nB%ys{6qD8XhNBBLeIR{#~SpQ1d6=&4s!1)3e+=nk3!&{tG+f1s~ zQ?DkKk6x?cwREVb;)t+%)IspxacIkb8acERg-NzCP^P*WYln8GrALj1lpG3}*aW94 zf|Gwp6(^b{Q=3YR^q(qq*uil|qGpre@bkssmR7|ENOD7DOitozSaKNrNnUztOVfci zt1ADbj+2OG!=K9`iv_JQwLpu;fe<6#mU|nDgoGCL92&OeAW<@FqUHUP^-7E83&P6n zJi-c7o3L{A1z`~xiIiqr>32c#jyPq7 zrvM;qs|E0H-t<%D3z6Bk-~hM55==uD^vIwVWf}lsL7*i;^3gb4JjCaxX)6MJtDpd< zVRS-4K!6Yn07KwwD+0i7r4Al=-##NbEYNq?6(WNuM>j}Hf!Zg8$B=x}u@pFM-t=>O z^4tMYOX|V}ja{hfbpoTXbmmdHz9e1)tH-(Pck{2U5ce=FFj-Ps_*}qYO_oPBPu0AQ z00ImhdCrw`WG6u(YqBTQHLZAq)X4m(l@)KJQX6c2OyX5haQ@4IpwfV?(Yd1Jmxrnn zf}MU>%YU{*-K&t2GLfNXj`x-(-vHd!I#B z(zOg&AIf3T`Iv~tyRcMv4j{-Y>^tWDd$DIf zEM>uGf%$m$rjqc!)KX#aM!V|OrG?^XK@)`nSm6}TfB5gVaC7|z9h>SfVE$b|*>JW> zRq_6I>C3GH*71owq@O+;m>%FfnF|*y`kLNvO>W7WD96cukoG+Mmsg_9S4$haZkmy{ z!(vkTpSXJccm;C~f6rU#-g}woH%kK5>!=j~Xbq1DrQJCx|DKfXJ?u*)Q(QUJ0>TBQ zyAgGJxCYxuDLtj5;e!c2qJ64G*~dAv@>iQ16+y8#j$@;~z(0NTke`KM$~N1}@eZQf zpe)YQ%$Mx)qd5h8<vNGKYsZh7=W&;DN(iGG2>3alkxJW`c@M`^#;13x3)PvD3~(Hd!kX z^U@&#A#YiSV~;`@V08*PRvl@HUZ*W$^xBdzB0)0^(){*hq)Y5DOj~0Xt*a1KCqPR0 z-+q9pXa|!Uu1yqVh%`=u0MSrTx0Z`EnjXu}8uVg&sbG*7Fvs$JeWp-F{f#4~BCN(z z5$7GNg~S=57sbIf|J82gkRn%Eat}(7x=3r>MP+)2@3>)s@U`MzFAmJICW#)ICtR?F zktf1i-}e|vv!E-oiORx8E?H|M0;H@EfltDo2p%h$r>klFk_`Y-YC^1>qwD7Zz zLklRNFeEk*$@g&cBHMU70h?|%9*(-N1GS&T$e!+ki{!n>e7OoC2Q8EFMMLSH<>Cr` zr&V8ZyD){LjlJ8jq91v}gLJ`~e><2fHRf#O9W+2)ULg#g(+4SXKy5gXz%G|zb+45{ zbEyerE!BV97jp;V&X~2A*^sc7JHNGfTB9*{4>wGhH+Is4Tm$V32)Ax~%?B;Xkf`~# zNV$UklD42Al29z(y>+>MUO3*nv3h=AF%6qHe!6e74%AGKovO^_Ew?wO203kU7M@;S zdeHJ5j5(&ph5nbuD?n7RF~s@QgEi*(rspk}!Kw?%>j}CE?xJt96VzY@KEl;eP9F=3 zS{A0S5eZ4Jd}9j_7PWWLeQ}9tC;0WX{*ZT1QMa@1Wn!CigFK7si*@n2eYHn5m9A!0 zrxoQb3_br2m=}({z15^=A3^?{!Sj2t@O*?*$)bH3yfn6+QoeYK9~stp2ILYUwSPIH zKkG%9Sb*d{zdK`%ZmC1Fq*$iqs~)yw?&R5UrnxpVPCXoij-x5Tgx09+SK6*8Y(Hf6 zNR-pye{CNm^h%7&TvJ`qhjf2vtDz3BGVzULjz)|nAD zxF(d|&?2NvUHDdG7X7^*MILtK(4Q*_8stnpoqNe5%wFiZ1dVeBfh@D04IOvKW^F^3 zuj;k6X+>`h>410OO4Zx;@M`pS0&@j+**E)Ur_M8E>IJ=vxm&V#;i-CR2ex14_Gj1! zPj|nXMWxcM7ynu;(&;muvMHZ4Sy%#UUa}WG)~7?^=$D z=V6r2SngVoqiAege(bhIAoXxT9@1BUyjFZB|F6fRDj5WrA5f$B6}e^(FSp_cx<>`v zC`A}(#J_AKi@3ccoCPIGi%p>&h-z8BzW4^HnnSDe_^9P#3u+R|qAIaEMNn{=K9hn$ z2nfrdmv@gWCIc3!ryDt+xW_8+8!MjYq?^3C(#IvH!uJ#1l-EhcvoDhpXo6!2EZQzj}tzr zm9ZYzlq*v2^dr^@IscK72~Gm5w_I`5FPO+%qJ}cwz;Gi*QZUVDnuJ zrhZ`gY(MO%Bg^W3L~pSqDoai7*!gv^@ILf%Vdy6u_+kD(${ePVL}fgFk;J!=a(^Nw z@cW1>L5EcHK3r}s3Xkkgm;h4}k^YY(>cNpZviB{UL(pj+5i%iwfOISg4!AWw{_c$5 zbMW*$;yFMXwgc6DiuZazFYbx-=#)Jt*cum3c^qqG6h178yLObF4IJZoIoJcZnfG=aj)ydCDJBQP1VQRyexvwdc{AEEPd%Sv*+pH0O*teb!KG(Z74%h&#Hjs za6|YSg~pEgX^Bembd8M-`awyKSxk*XNMWHk5Z*}9C9nR(P)o_*T{+WRY0pkD2gkj zG0Yr;l(oyZ`~#X~_Vpi_q$1?r4$vw-SC0fX8-<8t9>VB;oX?oe?Fq$Uy$-+6yM;|4 zsC1)Fhi%yXRK(BGBjo%W3gDz>SySQV6UJxlBPwdnb}cXD&obUVg5(4$PrdCt(0k+k za!r$zr{S07Uo(dljfa++1HSYlj6m#F3YO$OQ;48?Uf3!QW+==JpS9%10DM z*q9crkpEd1{Qfvv4pOSVx3=RAj*1GpNCygIW1U1eCpAX07MA3wRtfZf-;RP>xCU!o zR!*&K8$FKVd+J>x^FxmA#qw`!gpoL&aD81zviq!FY{L@JcP8(1Y@4CvE3`0P&tO@* ze+{;O%a^}M?R#?jIg=}Ot3|G7`QGl+84Tgp5QZm~W?Rv5`_R(bm;Jiatupo*OXx~t z18u{b8f*2vlY0F7vEwVgu&um2CBuBqD&*6nl^g(|Ik)h^j z?i)+Zq2a3@f_J)%&yv=x)l}C63WL|@uZUNb`ji*GRrzJ(6Ci<)c>7O`*y+KRlSS{1 z4C7Yc3mMq^a5iPNjxAqcU6>S0ICt@+CH_k*l%L{EoQ(rm&+}TP1crM?qVJ|t}M4a zv&J9x@nZhdsVzel&O?1G9HY{On*~XuJ^wCg{)7xr1E)z-vD9G|>#WQ5qp%9!_yPeb zP)P-(F9tjSn8h#Ge5(+Sb0*DCRrC#N$5lWOF29D5f>0+-*u#&f$iy~OaMDr)nWtmL zb6YJbxjQj7H4rIl8F_&{SsQr(es^5zdG<_OQQjSGfpF51hOoe|cS?4lPDqF4WF zCkWLos|DMyRii8Hmywd+zcJRWRyFmK-&;mnAHRvnx)%Wf#wcn}-Ga+c>aB*K;HjL% zU;Oz`Vg$i+xsY5GPyjF4%wE45DQ>p7)t3I`M@w6ziud@B>H?ez_>ZkPy6<9ycb|@R zYEC3EOSuvya`OTp_iuhaw>t~(xLXogu~m*%8ACWpXd_7l&)YCa(y_lM!Ut+!K1BT0 zO(z}g8ZG=X>_$UF{*H!kI#ZT zB&>a3^Cz4vp+Jhh&2bdJE44*Bl9iDSm)1kxamu~XrRrlRLAtwD9e=I;S(g3Nw*QU^ zgr>KIM9?WGmT$IHw`(c_XhKW%JuT&{_MamOc7LBX&2xI^j-Q)>Ih+$yEG2psng}q! zch9L*4?o{)zwcLERH1T2m-3N5^sVMZ`ywb0OF(yEXpwJpKbO% z;NAsq@H0QUTb#97EJI+Fxwvo(K%e7CUarcXwq)N^4asdn9@;9N)IxxR zBiRd(C8_Tf8sZ6;?l-#=NxR!OX5%()C}w9@XO-Ma$I-oVE?5@N&Uk1+Wu9L4y1uZq z^fW%mYhmZcOfdI`HWV;7#6^(oRldi(I)F4-L9iN+*E<3cz?VY&Q0Aa{SCXvOLYF*Q z!NhNKf5IHD0!u;Gy{$sXexZUDcB1^N#>5^=tW~Zmdodzv2uFllp^-81DT)t)oDFOD zhDQ2=G!4$7`A3k0YT2Av509qR9-9h@O%{F$YMH(TgP9T?>Xj>nhnP(MFnvCAdBl_X zqQmBrz!KPh@WtzB6BoyF`n`oTD!&4&uA=$klyhEgB{M{iZk~7Y9SJtC>-FC3x<)>^BJAC?Lur{-;_zAAp*jf#Qi&_~$ z!&+W%41&DCQ?s3#325-v+8CmAI@&59rzRbIUmg??ghf2F4OXuOD-&vR_zL3oHUB$u zY_`*vLJf=Gk0sb0wA0ekXlV7M?Z)YNpNfq*rf#6yO(1*T(n~t_YCGX<;xS`7LBp>7 z0O9U1OS46r;2akQsQU0tO-yw3i9~<|ma(@i^w@Mv#q7(kh4(>gI$;IE9G`p7*GW z$NY`_(d_JfaznKqyaWVMJDET`J8=)s=oF%VY5c~NpRG|Vl$T$DL8gV5iUS0`h_|Z2 zSYsngk)UiNu9CzD+TmQ5whXUrSF6u_BvH`#NaMd?@UuKLM^sUa#h8*k4%(wZ*!%oZ zYgz6TWI_u#Zkw^CHBsv_i!Gcj?%it50t(z4>{oaB)r4QqifH`igd&XqHLDyp)5?-u}s4HL`)x8|+R^v-sV2wP6IcXYgj`^(G1J);pgOT5o5z ze?8XL)G-#2Y^-+)nnf0355i_D_27rJ4QGvEhgOgASSyWnvNNVw^F>%A{|`6%F#hiE z!zE;Fq>-!@R(iOj>Ct*zCg|7y{HW$)=m*(?PA~V@@m^%l!nX}w%{BF48O!lMlKTq& zto7ZeYX9!;u-<45INQ9Ll5eX6y9n)|{f^>lZ*H{0sDk7>zxScFs@-@VbU~8b@VHqh zGtK3&-&Cy|uKEtGbFfy(GrI(@kU^L*+JD5k(y?u1@a_6R>!c{d>X9AXkiC$L8MZ>~ z@Vx~lmnzYe(+2;W@sKE~xQ@KH63$dZJr+)+N}QR?0e&N@= z>OT;L$`;Ogh^!)uMKu=Lex9-^flYL6d7{25QQGmgYkvDWN!s11m&h0MXA{7ipGyb5GqNj7*Mj3^Jt#ekXDvDMFZ$MUB6ioRQ6>pUbm|dJoq9eQwX8(O{j(L2rWm zc!l>U%;cvEx2CbWV+?ebM#UCfZ(ue8pK+$cWKXkb@rd{{dK_23gu2oW40>2ZcfiP400LQlSRHwQs1!_Q z8EqrWy>xo9MQ$>80(Znr2dQFMIhKT2G|MS{pLGIy#}*p(iS;CH`E78?hS$-&MBr$Q zv5d3j(i}g<-Y34dci)U;`OnWp+n&1|@$1Lbt9J1gJNwY&!wKQL$8-v;Q8m*FRFm@& zYSDYP|BEKZ1?#mP@}!0LRv9^sFE_N;ne9AU?yoVg#HKH|EL+_??iPLAtJ?I>AZvnq|VWmDc~aN z+MLAX@z=3-qpM3%8`b$2T#@x$HF)NH2ho}_^Ucd6PXXz{rKF?f8d$tS=BF(hUb1x#OQoz)!-f1tX;C(`W8wHd+$HPtme+YK&_k66- z<$ss@#|EJ8zxC^NbMaJhxHtvs=FL2Ei^uXc2*i-qOooERT5&8}MpKd10jDLj-MQkE za#*5Fm=_TJr{vyT%t>cg_exkI|1cldwFKHwms?lP%%vI7qX{h?PUh=Iqq;*q--#IT zuI@4^*;m29ldZlA%g2QOYNEE04CBd@>9Z4A#5IaCxt`PdPbw4SYIt^wW=fqcr2td&(t85 z>|Cc_oq>-_DR?B#9(zAu@GA$g>~US)r|H@$#g20{4M~ENjgBVbV`*t$1pAim{^_az3L_)#R^wHk zl*z1>G8IA4${H1aj|%qV9U3p-WF zh5H$<@BhpV2WF2Q0qGTw7(0MW0LVMiDbnQUMVqy0#qu$hvTwxshH#aMg2J?C#04a6yg;U6!CrN2?iSkg`md7C zeInHySQe8nFB$=xnN82VbM8Nx;2Rs{itzW?f1YxbI+p>VVpU09)hKz1T? zcJAS{%`9;D4(@bouUT#)uq*Xf4D^8^T<*&%Zw) z>NwEI;X1p0mq)S1EDk$5Hk$Q;IlV4?*-YDvzHilXdD)s|W%Pd>_b^rz zJL|LFJ!yTT-6nGmt|f2d0+>Ov*})ioE&FkD9EcsY>`*mbGue&c1k*Oo83Z$6vuM)o zA}b6a?gr)&ew(TuX%*^e0LTD~L!}e2Qe;_lg(NKFiVZFJkAXanx2W7;$`abYc?1qv zMbdPiigSsfat)_sf7Oe>B##;8uAS%^geF$Hw|(n%(}Ah_<&7EVMNSXDN5s2}JdmEl zvsQEmvuT`Zd{!sUI!F;vFe=(BwpWCu=xx2D+5l{FCKcHe4;!agq{9$=OlqJP`6{#R z$CFn7II zAdP%}T)4)|T8KZ{JgO-&1Gj1`xpa zQ3gJVpU&JeFyjm^x77yqV9kTxRvkwT#ywfEQC&o3QZzao?Nfe$Q1U;X_v@5 zXe`MxrXV*;q!go8f)22neny3{C$!G*<}7=q>~!803qxhEHl|*MIqq5Mxk?KtA@v(q zQlT(9zsP-+8VodrWR87O!6*XmVj@ojCm4ymg)v^J8=3biPi}J;E*BnuES9{L6~MXUM=4+lzYDC2@!a~a(8U}vwbqo%GP*8b2G@#W1M|lI zyKDusISwPgg1cMao_Xd(6y1uEKbR@EFH^02pABPIe|j!@bYZ~ z0WfvFmj05lV5+9pNng>d`<-p0uDww}g-vU^dPb~q-ehpjQ9jgTO zr}fTpKEGs#Lv9pd)+^cI^LhzV{2k0cw^`r`Lf-Kc91UbCwPFpmW{h6-ojy)Bf9 zc*6@im$}3y4W~`IF`uE3N&#s=9$~~Oz-`jSiF5tSnN?rSQBLsnV?bSE^!QdC$(^}! zp0c3XL}x7wVF=RwmTf2keP>L;;Xm|Uu-ap&DebIYWlO?fZB_0Hvr|eK1s@5S8Vf`y zGb{#q13x*bp^=i#@w>lnpOk_7nHSi-{KZ#xl1zx6jTsvw5@oU{d}P`z3WkFG;p4m^ zZgs6}!M~WalH4(#e6>t>`YM~Hw)asYgNH)~-L=0P@#;bJ#iD;qK-N$7o*B2FRWBL^ z93U}*vYWk#68qc?a(AbFa?4ORqrAUVf#S-t0`6D*8cKB+LhAB?ky+{`nc4?{022n_L8?>6kq zHEL?bT8iE7i@zasYoQBk9`Be~hXo)A|Cq1d5!hPD+&Uwe{EhN1TQ6AlcQW6!Cd|+k z&|51h?1mRT<;y43#y?S!X5<^)zhqIHPC5QjVt& zFD?=zAj&w;reAV3Ml&!=#U?xL0|az!B)>vOnmN9eTXQA1kes%FKRTw7so7WorZ-Kt_$nsTF|+dJ!pC_qn)vgQ1_dC6TE0~M7exQQBVla6K*;vo>@@S3nX0ctIypCjY9@( z@b!!b&C1rl!zM90(LGasQP44sq{w|**;yq^cVF5B3woVOobgUI1znBH@C9W+;iCJX z5#gHyRrPy-n8-al&vE1khv+#}Do>z=(jTXN(9_!yhHZZhz}H<$r@Dae!&0p1~mx*N>25Gy-_xFQpwHpcv!%mntE0Fq=ALC0!1_TGoA&YXDuC)=Yf zukXPJg<>wy-!VuBnCkc;q$WG?HUpUo>HCO-F|irHx!MaG^PqTrL2-TCVBM|~`MP(n zam^qJdWfmuxuy08YLW(D2_*D9OaD?4{0ttdTZ2V5w+ZRo*x(E!&C@p-v-`73IZ(_0_~Tp|FJ5iD-w5{>ha!z<2fZbW z$eBD1$~|n-AoQx`nE2Afypfbk1eL+wXP?!7a=c|;Au&Ppx+)`PWItFVJ$O=z2CDw@ zZ8dYMLp86xbpDJ_=hZIPEeX2us&K;R6+jgB=B)JPglbj9FAAldwdOTJ7?LeTcGKkv zH{R?K(;(igIyX1+C!W8*=j?I+V!{>wRu8`9>@p;<%%RCRzd(x>Zh9tgi|?zMI^~9L z`R_H|jISREGs)--UE&*0e`RA?60W&fs)O1o@Ovo8cy>+^w7^X(xG!+F!_aW$Ut)chhqgOPJ0h*-P;H5Rx+rTLrPbKX^%4qQ-m&)DDA%Cat*kCWE z`p)LIB#6h0mH44sjfhy2-FkCUy1Dh=kF@Ks(vSPlr@De!t4J;EIvDfKr#}V>vZZ*l(bEU;b zL;F6Wqqg_X0!NGBUVZzh?qc}a{QoLJAK0aG1QJo3iHN54z5elU1O?&&+~+r{p<@-5 zz>$W_jQz}LIrn=ZgjPqIy5jF}SUk7zyjM{KLY*@KoLX9KZ>!Y~G$*SFgWz$rM4b{) zsX-CSJi~p_4%9Xhi(oZBS{PoAu^nC3I>LX6xC#?+4!FPxpOB=#b<#adx2$$kcO3En6;>F!m+-mErs>EWl(*b%@^4Rc;zZ#hnz~!_urfK~DCeFmgSn;*k)v^x7Z#pn3qC}U3GX`9usxQMYfXZ3Np+*3-FbCFd%KsY+5{5A4smpkKU`*F`f$dw5~erb5MV0YCiEcgahAlCy0^ zAoh=_PMv?$sfut?H>{uAv$@G1ojl5-yD4=}PsZZyU1ouEg9f?zq1V2~Lu#UHw!Bn9 zt}6QJay-yxW7|n0@@8ov_7X)>d6c?KAEjQ+9i3q>dS;W`@!O#%d^D!>Ib8fE^HcPC z;q}?jBtz;fIyCyED(I->K~j)@GKxhUIv{|u-p);pS}K!Xh>_UNWFh& zR$sq2>v_RaBpX!+z#&9gC8(o{fOSQ_#++KQcu;0#Et7@#ciB(U9-rcp=UI!Fz`ctF zXZsCjo!>wCY06apa-~`E3_PBqF>aC@Ug$)Q0@Q<! zwOFs(3I7p<rZ6C2@>+7F_u;;2Wb zXTCJDcWcu|;uuPJ_#{yYzh^|Kn!p9yaBZp#;C?rY;I8|X4<$KIb2@5OD39YW-(LJYKlE%3j@)&v=!oFrb@q89oEv$F1`2e^-6) z5t+LO?f|Asc18^U?C0@*Rb6i!pSH;M+~yhN5;NJFj5gk@H@FF|DRo%`IAb7+P6 zXrVQ^fVp16Uj~x(bTXRP#Mf5wW+OL4+AxfIEfvlMv%Yd^xi|n;kdEOs z)ex8|5<-W9jEwDX==FnK(~Yuk8Z`TdeVEut&H=)CksGuxu*V@Y4qVSTF_n1gA|hT8)Wz)O%O!wvIEfAIevb%Wy^^ z+?`m!wL*EWLIG*x{r`01VmkiJ7fF?z4fFG&6kwb~#K3VpXNZ|wRK!n3?Ny2QK1J$G z(DiAK9Rp_kg{$XI#?B6OSiWZM7*ueaa|Tz4-g7(>w+!h>WYd9u+&pP+lsGFmIcEE7 zaocK|!<@Bs=7rRVaMM`hZDIPqLL%_}j`>Ig1F}Rl=)(zywimqGhvMPH3%>OET&?b` zV}3T!V@&F@>6pZgG>&UXp>S<^!#3RVJwjK=T%)28Fv{l>KpU-6IseZ;F|1eAW9PF< ziI|68-{^4YQE>t3eJ81Y^ev0$Ngw7HG5rV#^^i6Pt)@xu`y_HE$7r(f<_JV#&yR*> z4vPHuxCn@l>Y{x;0}w`^vvEquj8@spu@YdD##a0JNN!n9p^)V7Jd7VS15G1m3mhjO zCIjScYZJ9c*J)-J2SP;0iv&fFI{Q;r0Qu#Tc;(kP)=y+-R2EtAL8yMWH#QglfIuC* z3>@W})9od|YNn`VO-{xbYbHUn-LbRa6Y0zgEKt|^Ubz{;QIIHmd;ZDG%fu4}*O38f zuPe-^Syl{+H~-75y9a~UT2`Mg@k3=tk|=M6(?u-FB`DZ_sgW!EnX_X*p*Mrc{)X2) zE`&+mf(PFRJNpYBZp`>}41-e2>Dk%*a_&-Xle=lL$lRBvays20GSSW;V#+~-qKEVw zA9QV?J0hp>&?gxNPFO($s{?E)ts$&!nV#cz@aRiemMq3Q^DK&*Y9DP2x8LUfV-Stg zOLTF5%x8?J)zbiFnoknS;0hM++vdbi(u+3n`feS_O+8ry(XCkRbF>Pa!_ExAfvkOeCE>ULlEXCI_R4ok*+lh;M z(}aS=nMc!~(4BH~!!U0iThSJtiTcK{Fy=ElayYasX#oB(TGlTPYYX`ts|k$&3ne<1 z{}1BzbWQv@bHW^xspPfbT-UkrHGR*9RD}@w*SDz5EW37DgqH=fkbZ zv`U@Za$6HdyvTM7(fsUwhaPglwO~$38dzn|^#zL)yixjqV}MljD=Sm7#kq)CH-6Eg zq(=VkXiPoav_p&PAisBo>I`JQ)?BfLULn~LM6bMMOzE8sL{lf}glmgFBtXz4$a|pd zeim1I*Q)r=4DAo^PZ`7AN=d`|g3jYw6RghAj!Deblj|&CoGKd?mib>zB(y4*V+g^0 zUym_Rk~Uu}ib<}f4b-AjIo0aEx3;!fqKW&=Q8ZFVt!F>g-H4kmV5f&k;-ytBdmo!& zWy6^yEI43}^Xc4OMU2jEguyd-&D>&8^mPjK>1xR7~e*fg|Z;4&er%y-s%|Zum;^}Df5<3&rppRw6snR3QE^>xu zQ5pAUfEmES&BN&uQgz%mb1;9Q@M}Udb5^{4L60`=6+6cUi!=Z=gR)zfS)tww+85&- z)Nkim8VWjzYOBslGnu1B?(;C?-e@CYZfJZ%T#i#ktl+WITUU@xtoP)`W!8Q|MiJsV zFNdD@!n#u?h88K2Fw=05xLow=g^j=W3kIkN>hfJ&&f(2J3u76Ii8 z+npyU<%y=(^E2azX_rP3RWdZ-!D{3`OD>;#9W@X&PFcJ4i}#v7EPKaA|0 zb2OHJoO28L=0i}xoa}kAkxE_5b&t#4x?iSGGB++1{yUk zocMt_#ZFV=GmT%C(KiSf+ovFaK_157^AGmiNeuTNCPt6kBW0F0CUIY>wLM~scsA5| zZke&Do;BSro#91Z=t&d*p+Y@ow(%lxfyXp{FB{&97aA$P-AqWAf<3v(-?YYe4-&Y3 zc|S$ygt+Lbtg|$}(BU^`a^#-CD+3*=7U zy_(NMH3gJW1;C7tiO~TAoN*UsI;mn0C zXr$!sPdLu)=7@YCmu1T&NN+-awdu%0Kc9b0Y5qirTY$El=Bz%2ImaS(HP`FQRH@>N zzJn?exj9reJQ}39Ss=hHX&B8^+*I4YTFi*GLZo4VaP2tZvI^sC$4Ntm9zhiwntZzX{ zL^KC{`;LEN*DDY?={&Y4nTAfX#QpfE_gn_c@8Zkq{<8RkgJ`2!pPuJEm8V3lqRTOg z5TCDm*IBTBy*`2mLpRsNm;gG>V z4Arhi?>qjFDn~bv_Y?4XHS|!h;!x_cq3CJuG2#|zTjHsPZ_~D;i3E+|{HB(R6SUW$ zTeL>BjY604eths8y1IYm;USbuuy4>y^SA(RpcLjllc1m?m?T&vURtrg+4^;ajrQ3z zctl?!_DLT-QzyrAh4d#Iys~zB_^8jz&u2F06b}9BGT6p)?|}0$){s}+xBz1cYLZ@4 z6fb3~x}2d{ya)&%2_vaSC)52t?iT$|zt#xgf;DVQVNz^hj3FHCf5K*2j2uUJ0dv<6;flX1FlRhH>d zpt9aY5w<@TGzhFYdDhjeGuv1*{mPP3TXUt^a`5E~PV^ccU!XO)+IB(5z->tdCUvt+TJ!BV9p)j8*1@Wn*6&%Tue-T?+Yw{t zuUD)Q#XHup6bID3%&p2_S-e}bf7Q)iyxhvuP#hRMS8;E)++(SF+@9cH%k;8iXce?S zwu;LVSiv&erc+lySEgl6P-&&kNo^-8|AtC(Z79YWs6~8)_wcP4p$+{iK5mH&x||-a zZb+P!hpUpv>~*+IY5b$~gLG0RF+|^mE|^B2rHF8?ry2N>VrSgSWOY|3P+qqu(Ec8- zE?MyR5s>^+^(}b8^$E*-eTJ|mr+Ke~kg2lG9M8ojX)yZJ{0gVlV#^VkeFl7eb(uRU zi7y5{a|QVls*%}}N-tbL*BCmjc5-jaYW2Y#j>2-v1tPK;c$jNs`1OR|^L=}7VzvPc zdf&LckbRs|uLfK3#-ey?QGPK$lvH_q4k)kpROhliAYm#CFQnNN-bQV}{Uh3P=w8tW zq2CJ&MWueVpN5yiai4+$)ciS{jVGvKIdluq358j&WV!9-B1(EQXWS}$9#gBU#k$CC zJ0HzHC2qkk&7RDgv`ayp&lzm*jRw=Jb}*+fxlFd}mYfo(noKB7lchUpw9IXD-;m5c z%JW8Bhf)(_CU-ktl54ovoOJdsX(m-QBt=~7o{n5~mh z=WsUqk#%x2`M*!fiwo3ct zc{JFYzFXkFlZOU+n1-ujE)@td8NlOUN?w))GT1^aFjJxW^Er#F(egHU(U|%Tq-`UG zeQx%Uaxfevq`N$WmE$}!i9=@DGp6M5!wh-Zv$>hkp#awWQ49&Jc<4kLuKbXLwiz)= zeO~}G6)vxeG~%e*PEBj_%6pps%k(@bQDx514Q4oEXs$nJC>7xhDplBI#g^x#jD;aW|2*P_f@hu`{(T6OMXyKhmL-+<%fVsQngDh=^rUN6tRP5HuKILcs6 zuivFTr*6Gu2*1S`_=P5x6g+2`_{ns{Fg`0eEz^PJs|iFb=zFB|Cvp9q;=j4Qv(b;} zH(bA;fUR^KT!N=CR+slB4O0g91`ToQHpXB`VjcIkCkE~&uj4DqWL&yUdtun>cO^!_ z`;B%H752u|*f8u3L%(5Yo9VMNP^IHvw47uHW0>EnVXmdlN`axZdD1979MVCun=EiS zaY;PX+;ZhQp{(yxZ2@%-2k>Ft4)tHhJV-gU)E{tjfuC6mu@_|l!Z4NLm|=vtXJrLc zHy#9!uH8{auda=C#LHjkE zNf)T;t0{U3^5;OUQ}Do|7=$*g0aMHYTAW3^iK%WbKCJnl=#&?|`J+Amef7uxpxpdV zH(BYwKw&)x@KPe&>r>E$eK>{Je_<<3HU{ytJS?=bUrH6q3=i8-;B-cYX}Ej64>pGD zC78lD&Ee=HLwIEbMvA!r#Nfbd_dGn+fwNLRgUGGKS*;ZT@$_RGQB#QL@xNHDQpuKR ziSV!y4T|f?N4f;Db!D~(XNH6AJsIUycUe>=Zyq(HgD=GR%3b{c-~L|-(3)nx}N zkh1$AbT|Ywx*|zmfP(v6Z?~tLnvwA<%m?pWDy%5#{grbi4j<%agVO7hp6zY%>kP@M zL6Eny_V^1}P|65s6ET+zQDXk!`97<>y0V`ubeUG$rQsi2gc_JMw7sE2I7BBKoau%w z*NH~S&Gy8;ALRMs?7F_7M9#G2Gnrk0c4vlmyB79M2`hk1#F>I3_Cc4f`*!Yf zvW_yC`Z?XZID5cZy%Z&6aIW%z72J_{&7?Bu41YBIE!x5|{B713X41eYRwUNGKu~7s zuGIQz&n9c&x*ve5)P%Up3CU!5q@Le|q)$d2S*iizz^3b?&hB3Z^6@0d_gIA{) zAtv+_Hd9CzQB&3<824+xal4#|da^&K+L6^#?zqalqq~^Eps~0Fjw*X#0)c2!~AUY+g7LhderXZ#iPHxYvHmeO!1rx_}*Ba)N?;1e4z&k6@&5{aW*y2SWOdRD-HgiU4Tl_>=B+vbtfxyb%pVdmJv1Hlbv5kY#aJXCm2QXg88nBAJo zdH|}{P@ER?Fm&~ylXUx&-pUr=U8WaV%giq)zXFA3S>@1!c+my7nAl=wZOANv7Z#91 zMOXdlGrGgLv)N^v!U>QW5$MvTVK@MAUHDBWF@Znr4O=rhKRRc?FKf%ImF#2x+S9?;7EB#nST+%mS9 zf<8(US-G#sIk8Se-)g588e!*|{#1WNjU?rSHOXa8+OM0aZ6)a?V3?T8*IqCa9q zcQmOxlzzraVF8J8yi1UMw+vV^N%YtHFpLfKHLS&C>=v_zN0~;(SU?tVuyPz?ca;@f z-^bP9(0T=@KoRm8Y4XCjijr#g$w^F4rhWsza22yl9*k#beNzBe_hK@{_n%3T-Bn+X zSD7u6?2IVdbMl~YU+(_B=H#N5?JdYYOj-XA5yRA~qo5=V9tQ=o;9{XXn*@x0f0U%1 z0OVpD!5`9rl6Mc8Z_QsYfO0|5bloYOArvmR^0QsQOXWjGRe1E7!?R5K$$=P@zBQ^n ziOU+5$iaAlF_+OOB=^&pM}2J+i}IaxF#i`#diUGpM{5sQ{!3K59LjPr)+7nj7h-71 zFNvac9G+MOQ4%G!pUBS4jjl2#A?lw*qa$j~_j-fkBQQ6eyR`c4xVDJq=eE?K*S@Jm zBj)c7YP*9);B^!A6FU_v{rge9K-;aXA)^ z8R45#3cUJiLKIA|AOA@_SFfIFV9^+`ZCTVLvYkP~=rN0KYnvp*xG%OH9k)=Vp~alO zJzN}qZ*4IVf56WII=^WDd?hMFD0R1Us^xwrt;@|b1%FTn>)48TR|#G55O)z^agR%x z1}xCJum#yeE=Bvl(n3-e!mvr0og7z7!?~!mdwcW{O75!?Hh#W6lS9KEaAR>@28a=B!bvs++f$r(Qa=W%TPUmspkaORcAKL;rqqf0EVy zJsaLW&E#P{bPdRkb(2$;VTvz;_hC8Ed3Q{M+P#%N@8l8zo#&!Qi899mg zKHGT|bCl4d0jG`PkB&H#KGNPn^z~^gAiIloKOj|+3_-rK6tfZWetwIz*T@&dc~g^X z<(2hYYOCDah+$-QBfG?FTEV<9eR@P|10EQlf#v`P8}lcpzG>8QTK(BYfI*&_-i)|> zgb%Y=L9p~kvIV%Q6XhylFXn#Ed|2Z2B}V+P%GVw)CQ>yErOzsDsUdNnelW!EzIuM@ z?Al}IQ4r3;X?{0yP?Kr%RI(d;$r=BgQuFe&`%ztgaQ6upy{icOtnQ#Is7KbA9l>=a z`6V%fEt&<&))aBh^PDE!3-`&ta?Xm=Lb%SR6IaDhkLxZ(6V4GKYm#a>C_!5mt`H$8 zdi=K}CRJ=uSmg#JKxTSMLMv(_*MFvX&U%*R4CXzgA0ngl15C^pimeP5tb5ZSw7u#s zwDdRmuNAzIy>{0(si7cD3);CV*AbP&5mjPKPaW~@O4Qx}QJUmRhm~_esylixdfZwCjD8>v;>&PX05covNw!_%33y5J4Z`yGMpbwVG^n^#p-00+VO{eEYe zoZNU)B9I%v&YOXKYjsa>S@Opoue0kliPM4?50TrPQEWT{qCCKh5;nfUfB6txo#VH( zMCD0eISULgZv!vfhp4EsEV{A(`p=EN3EL3{bgv z5u;q5=4sOd0f_5uJcX$1Szw+l@v^I!wz=JN27816)56;?IW@PQ4e~gvM3uQD@vJg2 z_?2kb%Yv4Dq))hHLRJg{$Q5VV*Bs2ZlJ?Es>e&*b(;?8sVn zN$ja0n_2!v0A3V0OH6C$7EnW|`Q=LtkSfJtx%<}7i-Yq2Ojaq>Iy<>22=Z{9o00!x zfjD_8cMkX{1@9Zy7`0B2YwS7hW&t_y1h4+Bd*L|RB(eZIFVbzgR0l!@8b-*JY`48} z)>Q6**)S=I_1$Awh@(C}wdge?-Z-QEHz9;!T#FfB{W<78@j?Wq=4UNi`#uwX`y-w} zVtqNE1%6q(O+o%GN&b+?;LNT)`PZ8^2a$JA#Njkw@SsCnbb^#@0#LIw#%lA9g1(mg z1qP7>W_je~Jp%zd|5=|8yJcLMDo*jZdA|4iq)dFI%efLszr({0XVphxHmNNJR`Ta1 z)ciPU#Mx41gtKQLYxfYMukdSDEpv17Af^?&q4Ccqn1?k)@yz2oQy!^dxA#Tr9>ENQ z@Vm%L1h8H0%6Y`u-gI6HQo?%}-~YLRWlsEUEN*lKQiyyCB6T$|1kA(L+O#C(rR_M4 z3Q9SCgACrXb^Ks`hT1cPg+XEkJFDwri>kLb;fK|)b*a&SX;47poc8;RdMy)eZUCDI zCFfOBi8M~HvcB_k6pwPCi3$NIMf(hOE(v@~Ah8;j?WdpXge`;LEvQf$9`frOjS56O zzAB2fGfBVj4ZL!rNx_7D)oy^r1T}}wVAZcRk#?Dtgxw^PQ0uhnI3L<3;gCBGwgIoz z7-%HC2XE5}Z?y!Ayuic^LjL!8=!PdFdt}U*wC(q-rY#C*0S`QJdrG<>$8(#Jz>~|_o3GV>K!`j; z@elW?)r(pbJqM%BnFLV=AuhLu=|R3&4CIS~pEM)WkHtX3Ab1A+)O=Z_0F&r{bN1AA ze*nO8qpqD~8c8D`3%rC>`vxAw${?aBNni_|wj{-Pr0!sWAY+oKeb=f?H@GlO@X-^W zscwLyyAmR#eC-LCuZBw7aA0*dw1;0c30s*i)6d!ZISru}W_H_p3_6aP4CB6t&7MV} zD|2_A^%yVzE}l8Bv`rCsQf?}BMU+;d+EhmDfxG=F@g1@K5YJNg<9t(?WcF8sr5g4N z3dU|zC}jnv7_xNql_Zg~eOHA@7X8PXX}X74NoQKC^lju(ex}wAR*)@O)dHp;tBHeO z+oz6oKxoqaFK)LL?c-`n92{Vi(-uT~hwq2y_p8{TAMZu}b?D%ln0e)T->#v!cK>yp zT>?Z{SfnnJxBn^1Li2yT;a*1@`jH!jH!#g_sSC3&rym zfi7RdW$}4_X_)0*+3tMk^XqTmAJV@VZHI6}-gw5GQnH(a} z#rOJ$S45-zLr_jL!81pG*%@q47ztKa>(?)kCb$g;3a8Fb1&}A0s-MSrFw6R~qgcou zE{8QZr%dNnIyA`xrDiyi`+g#6$?G(B^)&kF9(L!)K+*{;t}%P<-;NxmzW_;MwHrX? zUV=HcVzZviT2)orW)Vj%ZN3oNk=4~08}&FYxNy|RX$TwrR= zdMc9ef(GFYV5WRQyIjO?B$(;*8Q7Jpcq4AOl0JB39?1`nyMQX6JN;JvRY?Y^zRZ$y zYz1&Lc*`a7cr$CUd>Dc6?aLwW`ol*RI855$O0Bx%XqAh!uYpR!cP|%`$(^hmK$l(- z*RD|6VK(B8D5nVWIKrCDzKAC#Gb5w%Xu=Zt>0+HNlt7@;BQ>{`oSFi-EMf?@C`9nWr@$jf7 z2~Y59AFbI-OsQ2UUJ~htfA`k4#&DKC^k|- zuxh9Wk!?->U?@7Mhswfo?mxs{*lr5Op?3#P4g+iW93D-|x;I9!!D zo14)43R~v7GT*Z+>+VW&d7xh1t*2sHR@buFd6d18f7XB2{tIoqH*Fy*PtB}I&op~# z9aUKIZgpQL*2K{NBu9i|Um-I%&vp$5hayD8ufeK8C;|lO7 z5J~ic@$qLd^`BXKWK$Q??}d>8Ev&*#lxtamVYCxui;4n5{2RATmHvPavr;3#=CN#k zcdAKU;(d?K_pA~h$=T8{P_WCP&@1YS2jH30^p21+m$!#Eeyq9*5m`~($`2Uf2YLUh zD_6R{m0wcSIfrR{`J0`$IY4d@Vnp~2Y3}VF;^5J(I&>`a*;*xRtC*gC+NAyF9cNm! z41d=69{cs7YpUDe&4+yM5}lRW?%z}-w~fI$#P7KeuO~i~F0e~6fi&m8_F?ekooL#G=OEVJ1YG>@I58bjQM^F)C}Hcc8nIS zL&k-&Va!A%{Pf2OR^eKVGg#=o4hA(6WR=$YgT*t!iT0x;QD428cUG6*xjmpOpV7B+ zpttl&M0ArX5_{d|*u z%7YX0b;O)r3@}+6DiR_OwS{Y3i=D+Whzt40lGRqg4!2O~kt86^m zHh%R<;=9L9A$=tXmp`Q)&!^*qfZLkRaV^wp+6>s-%N*}+;P*euhQS66#6tYo#|X8CF(QckS#5U<0P$f1yDDUz zT+`1M`k02FEi!n6`us{tDGRFSB*4fNw8qX&EHeSj5N9d5MajU#?JUs=FE^QfzfHtguYm zUIvob-anfa*hjlSzOPeiX|=2B(Hfr8t1@>XZrG+V$CBmxS0dlv@tc1)T)WXYyXGUv ztMx7Sbm+%{O|R&D*2`c^QSE$}X{+I>J`mkfK$H>**=EtvsWNn}mg-HF!#Z$gqPJ8| z5)ut`ljAG|i3R_y#hm<6`xsdp0PiURr}h`Xl3ntxBQB1HpWSP^%WgPFY!gw1a)xsPk@KX}b0O4VPw)Qn58{74I$yn;Mx#lIcvcki7c^ib_E9 zEs?AW4Jt0ZsytjP*28FC72uneHWg)AjO9wxtG44+l^MCQ4=F1SNYeK_JSzD_ zDa~N5-|y?<;!JXd8;4?DoXqFgR1K4cZ-z$Gk(A~L&Z@>^uUlCfzvFi1X)gZ#3Z2rH zSV5#sr53>u9m*{;#%yzz_Knu49@V9y2+Z6p`A_NNGS&8k0g5!g8Db&FbAb-qp{kv@ zd2`k%9OK0C83G<5f~c8lc~2I|2pfk2<`s7@uVuF<6wG1ad7ZaHEiaPeEYIc*5l2t_ z3F|mxYpF^GkKW|53(wr>K2PaBQ6&3EwKYb;YB-HHc~9;xHp8f>Xq*E!aTZ$Q+-u(7 z;>m7Hz&D)lKK^_ChTxr1X7?-cMLnKT8v!W8Z$L%l@b$R5i)?05{8J$)w6iLC_-iP} zqLMduz@>fy#dF}#4aI11jIwd5g+J#A=4UT~P!}&N72IC(e;AZ8ghUN2^AN_d!|FDO zoS41s4+xgG@d%pbhRVMLuaNbnD1)#>gNGTr21=2PXxJkSGO|fNvPnYL``hn#|8-yYb-k|p zkNdy-IUWl7Cgss?c9;vMpM@HtBr0n&P~4<+EsNvwV;Femq~v=93Gvj zPd9^wh|`neqW|in@S4jLwv&^g5r~A2?lX82ecYBAhNFCG!?!!_I91(|F|FTEeZM#RnnUW0=dmm4ZH3 zmQ-o(F}KfPKQL-sOJSX7vw%wC8OLcM#h}n>2BtNYU^RyUoYNV@4Xc+fUXDN$?Fw@u z+ishPoSVnionheAy#_OTFq~Mh{7TzfykO$CqRo7?{`2ii%S5va|0M%ZGNMwV6m}sj z4qPa_b$tU%i9gI=%3i{5Pc>djokAGDYdK8k*VfVyuis)jWKw3Qa>Yms69;~ZWvY7|Vskykno{G3kHR~&uaaa-1eMC0!i}Yd_&oiWPn4^rIY565!DvZQ?)v_bzAdqSl9-Ze<4&vm>muKf{{%$N zTdnLLVQ1g|o#)$)(ofMIZQEIRp>Kw2h+Cg;+CyKnA)mOs5Q}3gLTmVHODAqZ7Um$2 z2>8&K{YnB6F?MVFU!oC64rA`OaQ52w=qFQn9;h}Fe!HAe=V9}FVV`} zS31vl89mMOp)0pL=}$B$c{V`HY|3Y2Cj#cXcWynAI%2H4=$HdFk&PgFa2#tyG@drh zVdUxiJH-5$XMuf;ctJ`N9Sa@gyob;8`SoYCc69sKaohqHoL2M4)X`%jO%~TWP!%G= zh?Y|LNpZ{d#6vvmzPwXQZ}Ol|qJ;lwP`o`|Rq~>ezqgJUX1+SZ?=p9v#T2>l4WB6{ z5&5kN!(H}$LHcB9J2d@-b!nV9eO&%{4n*ikB=DqEtD_PEJHma0T?08EZ4cOf3r)C< zkACMAl5ooVLheIuus7SO?ws0>fX@(tPc~fH4;Qt1I$BM>Tj3p^9XwC8K3L$}tKkom z)el%JVBZ5{aE+f0-yiP7+xpSpM@E-E1k%yY%dJa4$bVNN( z(X-eA{gdt+Ul&h%%IQ-=Eb>Ym9#1GgR)AK}ny|vE4M#71X~Fjl?J=~uK6>wzZgxg2 zHF#Zht?84XU%^=fCAij7Ur;|KN{h*5*iwpG<(&`d9d-H?4$si{Ct zvij9e#%Bsg?mQBKepENpzJ~xZ)O^gGt{1A5r2XDQD$3oA7S2r@K9u3hdKO~jYdyip zP-_Zl(?+PUdmMhy2{TGwghuM!%f6`KW(4({N!%6QCrgIzS)89?W{4MQeM;8hvo>aP zT@a~9*Sdnk!?ewM^DzkgzcoOHr5omQqlxeq0cD$*uO%Ys_h~o zdI?rP{_Aw_QAyC=mdd|+6HCdp;|xRjLI0w6en8$OaXD>pd93^LOZnmUT->OxI@kmi zc%DvQYAprOMRs#uB$-oCl2yX~*iHUg0dr!wgr7fGwRIJet?E$T@BAU)C~8MJa?`q} z5BvceNp5{~A$z_%x4GhOtG%eN0-GQG?iGcP(0T#9!I4-M&Ls9RJQ_;Fxh_2#a;VJ| zGg(C1H%@}FWc$PP3n6mMm&`?GxYJpQa!)U@o=?C^>n8|w3)=cI4>1OZB9xFXQ9Woj zCCp1~4?Bkv?j>gro=b`QB~K55sKon{uZLeiN${mmkFbc6=u5F4lDLw@OUW6jR*9)k z3pb#jYGtuCHcLmBdX#pIrKe9dpdDu!lBq^C zW0r|2)r@A&vaqC{pq*q{ouXRPY*?o$R9l)I%ie+NNONL2yHKe#SC-ousyoet<>^KB zrundZ{irmWKPw=R8bk|boeiOe(!yBb5!7?ENLExdl}?Lc#l}(3(=M7t(=M?tXHYX~SuAEYHHVhVx{^n|O3P8sAXg z(%!M&H&L5uEv(iyYCEli)!9YurhQ=be58J&eP(^>rS{Rjvib+8gR~*mw_)lCZIm@O zPMx4lvZkh~-)S?fAG6f|XmhNe^V9{}B5P@xxrPUTdeIJ>R;L} zYj2-=Ks&q%N=J>s?(yHFi^^PLE5_H_y2)hL^1@sdMBXV|!iZHRIHl77VOc>!OpjLv zu^DNQ+0T7$r)8cOx!mmdA#;w#-!|hZc?fco#fIab2$oYmR2ORGxMC*VLby& zSc|W2>LyDytC&&eyG&r`<@YK?!47*Km-N^6HnF>zBTH{?e`c2`@TRbjES0I3q#HpI zbs9@|xF^e9{({#Li03kcHSh+b!Xp(|&X%1vJ~i;m?_>)vAKlf8=)&O97{p7FQsm2w zT%JX&Dv%z5S;^11Rz}B(MRfXgUTg*%XV{{QMG*S%C}(mT z2L_|eABb0@qTkc=ri>RxOIpenDtJFp%zFwwRx@$I*v1Q@Aq2q8-bo-KoRJ5pyrz?( z(L_p0dZ{ckvAV<|#RK4V;^7L;yj)Zd+UzS-Zca?7movmJPcQZAwef8mZD^Ne>im~& z@)eu5h`4mDaAWYHi5|v6`5=jFhq=MH&^E^3<@BR)t>Ss+!nLtOJ2CziY~Cdk!H7}o zI~DkMHANlYI7xiL!mg@+3S1*H0g`&{k&-LN+2y$PGa=bhpuMrg&_#0nCASz_cqfyZkKAsYpER;y?4-(+pDoaSxw=_5m zhnpB2*iCgHP5xp0UIPaE3`eA`(y0cAkdkEO>YFdo`#saS#-2g3u2vbtACQ^TSA&xb zq&W>zX8=)+tZ~IxzJSQpsAi}}s3BeG$##Yq>%wlzm683eXwUfoP~juvjs&*pM11B@ z?xceb2R_R=15$H^OUQJ7UkWraSz54pDevv!IO;{abN4mzEBRGOPCbbiRX8DQe$Y+3 zWLmW;29fX?y0Vl#3K}G*M4}B2@1(@Sl*NHb4sRgd;{uRGB^jOAUoT=uya~o247jY4 z(L2ez)EqjqOr#L=55FwPQ-dg!dXBOdGEE&bI+K$=woV!QF@m9JLypv4h3VG$e=|x3 z{STACf|0Pp?SirI1wzIJm)o{o?X}sZNEZ?F(1g{3$-lLTCg|8SdSt3#LIPTwb?kl) zVxkfzc`Lf5m2&Ai+V-y9a}HkU`5LdG(X7)sp?Dv!dS}zhS6kNI8Cm*V>01{QGCL$i zp+J_J#k?G7n-epVY1&Hv8L!rr-5**%Oo{m2=Vw;xkunqsUDWuRI@_f7v7DvbRBF&S z>>`}a=5}2#S&lr45=bcgGzPEHZ8Ew!2+2=C{fH+8lN@C6*|j|mtO4{Nx!B8Hsk_M- zkM(%&Q(>To~3>=dRqZwk`4+gdFummq8&~QdULtMyyZC{Gyfu6K$fiB%g%FCVaWtXupRVxR*NjX zJn;A=ev+%4xhr%-g^4w6JrlRDfeq z#0s%7X0y7+n{EwdY8R-n^qJYmtm))dlp*}>X6^{S#XcE|9sCSSa(l*BjukESc-PCA zuhaVK+CwPhPM*&m&BLxITmie2;UzQ) zM;s;W-}c_LRn#q?ewY3Fy`%pa1YATiuc%C|JaRfL5Q+50z4O8)IK_(unkn2tq!+Uy zaiw>P?F8E?3{daV;Zk)Zefml;t}yWXJH9&tpX=-4l;Z3+>E<`ult;PLyMbog-l7Xm z^kVggM#qo3^&D^n?%c%LI)-|@em<$#OuyiAdQ<99xfV{9m#nH?f^uOnMoweN%fYEl zEAhK8FXT*drT#oTnl!ch=7+ExCpO|50dfW`dA_8<)j3&t99OW1TVT zaw`z|oo9?A5N5KI#wwhtVtWC6$~fmiu$UcFJ4Kj=B>H2);`7fC-*wQTYNnY4=W#W3 z#qm(ZF0If|y2`0I5d@+kKOg0x;qz7g5kY9+q^)ip!3&t?d=Xq+IwzQjD zn@SOnQ|aeB2zD-BWvMvtX-9Z@c@a7yaX6-{-2)J_iv~I-fIi1=9xhUp<&Rdv>gDvDv+|N>x|K15{bvX=U-p>HWOh_{>d&`Y+ zUsiM*?jQTu`Q=fZFX5!pscrn1;j8l6WWpDUoUi;vS>q6b=60KWSAezy;foW$ue`0S zO9;Vo8!g{uplw6=;s^JYmz9kOAw+NQ%Xjf;M-slo?fA+cRK*fzVscxa^%BfqRP)qo z%bfwFG7Sb}?-}S<6OIoL$alXV^C7sBNm48GPvwcdi5`_YVU&r>sR-ze)0MfiqL`H%M6 z!&^fm&c=Yib~O4duknkBqrs@B2Vp~HdkfDX3pG&RO8$yC zT`bz;?Y<7T$`8nt{mKVkmY)q34WgNjNIj>OFuE9q<5JsMZV{R#%Y{F#t#l|KQG=hH(vyXQj}y~%uCEN`iibpqhj)C5-TC?#25(+XosXQ zRap23ozW94tPg(|l4HJE-rQdOUNTs`gpK^{y`fu)J6$SxOvwX~6exgQ1)#YT?jip1 zlI+>Bmo|6sJrb8}5v6XxqGJ3z<_F?8e6QjfP){)4$B>Use@pca%z5IP&dX`Fun}@{ zNNiSspvA(FW3SC@HP1kzan*pS8hHIGA;L6N`up1{xj9BRWU2U_)D1FzRD+IJKj^yy z4)*c|uSn1yK+BGW!f{5#-~-|}F6}B0D)6ugaY7sez4~PU1Ux3nPs=gm!+yatAr%G1 zJ9bfv(P71lL1P8D?xF?<9j7N+o6||rWFY5==vxaW&K2aDyw( z&xezi)8!z$#0SEi8^t9VXC_J7@0S1p4OoTQP~-2VFE8|*P8K@gU1HgbBCUDnYhQ`i z7r7PdY(64=Xfm|>q{4D)mSb)Rc1vg^6Jh=g9WW}-xlr*z6ZOTsdJ{YowY2db08~;} zj*I407pkfIx63=eZ?_XgzS0^fwCv?pA%u(OsASh6|H0_#c$^h~UR@3mCAR14=HlL? zs~-A}QmN|LwKwoF<{40+d|<~{(&&at9d3haY^mgmN;79-d4+YY++7-b6mf62ZlRJ7 zU^PchE(~kNj9I|or3E9i&C{Jc)ey1#cZ(rYB2j=^^YAVVEbz=%gsiw z63saLiDG;imh6*oOfxI&2X3ZrwUDirUe{i-vm9ZAM9x3xIS!@sq`YL){DqE2zdZ_h zo%WJlb^_0v9>OM44Yx|=k;|l<+isy$FgY8TH(zu3o2n)n*N1WYfMX3|yxBv{#eKcW zGt+vza4Yehttf?TUY>(YnF!w8TE3ZzEh$6^wCGj>D0&o;TXqFGhRYRy%nW@uWAzPa zjRXa~QDP|~E(PGim)FTa^6K91NH{Aad~sK1;|fGe!Lf|r-PwcUzFk3#wP2Bd`ks6k%cQZt<>gJ*qIy{X%~ z5Dw}8kUBPlAROzk9N!9;9v zUrrE&7qvHfdg&LAmM}Kc2lTJ@^;+N#w$7ur`?j(CBYmK!QEjnX$`1fuXiNFXovqjU zDd3>e@Qs-8ygK0Bs22jLa4zxD_|pSd-W@@GW4~M>5cYIr_W5EBM*N45PSONYAGHME z7(tq%zGHyJ1n9_g>%DkIp)JH&lm8M>b|(pQX_~>e*X8ILyTF)g?D#80ERW%jTE#gX7g6~Q-=Sc``rGSA2sn8|C2tq z_Q$r%gfoFUm%?#M(5f5{{q}R<&$L#VMxD_cMe;2CS4u;!LI?7uJhaF1%0a9TfH{`} zNJz8SMB>bBJPV&x+uC~#NSl>^MGyWU9NA8Jb;Q=~fm^A&q<;d5bS@$XNgCo*#%g1Z zT0|^*htTAP6pxPup^imakV0aC^hT~DBtYUoPT_+5ke;7B5E;awo@{?gJ^8e_ILQm( z@jh^qRy*S7UZ5!~8O)Qxcjz0Q9%6%5+42oM%Pt{z_An6#v4y#`B-$X0pE=_%+o>=x zjHW+|gmFFV&^!mUXR~mx+7~*v68TNvJQ=`xOd;et%9_2h7@lFv8RnCCw+#Cbwn+y$ zCSe5*JuAdPE=+&4%s>qmJHB-Do(3E)fF+X&3aWhA;rwTU9(c*%#~zfnpUI#e0pU_rx&~Mw7}2i0mwn; zX3-E?e_==9d4@I^I;@(7rrmP5Z2gN7f?4-ONJKOCG^S1)#pofJ}KTx;_*pgqj z`0%ALjbCJz*~MMFDl=OJ&$=HllOnw}ZobMnj;cZcsQ;?Cu5LJ}rjc`EQiiY%mA$V^ zTXbNenH_zak{;ww%Dq{#PerwRgRx*pP%p&i)29z6;)S)v`)#utPXq5- z^|hNk4Ktrxp!M1udX_$%sL^ozl;&_N2e?W!hK0Z+cVWI1P_bN3SnqdA@=bSzyL?_m7(1yTA2Ic_X5h(C^@7B z-9dk$7a48+mC%0e`|aNz#(qC^4VRHxkgR$TC1~an^>KqIs@mvZ5G#TN)v>RF9`w%$ zwe;^u#v{FO28)dZqEzMx_UZy(Ut`4OGzhUpY-qq zI8!uw98ol$!n?7dr&Toh3!XX$o7B!Sp2^6%9NrG|IJr8gjM6LOTc@Z+(j^JIG9Zbxtg`oT7E(~jJ=X`1@pUngSevx z!&~`-jyxYIU*Y+^Qq^y6(`5N17TXh%unIk1 zW4@)PS}rnXRxHknzJ+#yEUw&(S$+y1j++Dk^VHEQvoi*|wc0x4GDWg+F^&xR(t;z2 zp_0Fex+4}h=rq*1j`4-!8-E&oreVixZB~7IW#oP^Xy^HC+_#d!P`A`Z5}t`nl7Z>8 zd2EESkCXS3pQ6pLS4-3|*&5jw;lzq4zm-7n@MQ>e2X6jo0*;eT15eZ@ptc!)X-^Dq zE(C!5MOq5(j(%*kc9L6edtf~@Zefn>8~N5RFnuv?+*iNM?=CW}Yv$Bq+L5g&eXWZ`MsqYFAUs5+Sw0c1wS%0y? zz9q-;`%BwD_ygs<#Ew51^nqX2JaQx>`1)42Ct0`2m!2kH51oY-){4vLQ^AL+ z3$WA<*x1ar@car0+d3l>D9)!Ei(%uPJ=gt zzD1%2X5-}Bv$x%e&{t|x*P`e929bztXzvZK!z-kue?u+9m3*ye0w1~wNd z6_arLV~j`8ILnkTmnYnv*42bJ-l436>bzSb)h&_X4CxVr^CF)i)NM)lSP6whGEKdQPG_Lu^C>+aT&{40xSk+>?c0h zo9ejE9(ak`_Zc=!S*zzrEb8@{7cKPKZb+B|xvY90o)~uf{m`QLp;$UIiNk{#`m^&T zYPL=+7mqFc+|ux+>y?OfSjitqpX!-Uk1(ZVowV(k#eG}*%<`26-1^qA$*s^KfWOL0 zbpv!W|AtmSrsQwp<*wbQZ2Ty(3iP6@=-hDYF0oR$gYC#dce~@AMuTCFo-}pS6F?On~|p;+Gl9!1(vdu{F0ZTv>d3^#qK96K{d?7BK=o47xq7 z;l+U&e2m?sSePq@c|PhPfIRZ)T<$ zZlUn>LWR3=h}|U-hTQ1qU4?Gi_&ZHw`zZ&`eaEaR$BoI;$oj1L;}~RAnrpvGS&RHv=_>g= z!u4tXT1S`9J))(m(vaK-L@FBZzNmv)za4@acJP#*vG>WKZNuv`(s3@0ezclA&3Pdj;jGt%Bt@$`%bn?q=?B`fMR`Ko>-+!Zg6K z(&MMzY(jo^R8=*#l^-&yOq^D-^_FSSWHtFaa@M)TYmZ(-IC2zEQ>;K|Xt!P#BIt&F zc_0*&pQw%G`0H9Q4ug69<#;`I)O_(TZdtMV)?Z&)m%p$FdBD5#&UsDw!+)n~&P6_a zyA5rjO@B8|I74PNoO5+8W4N5Pd0YQB|8+thRo;!#yzecxD-siaxlJ!@IMQblz8d9@ zb!1pjIg$!F9+UAXbF)1d7~#~GXl8Jxp?E>lgV%P2Po7raYiQ+wq#IL+*3a0_glO%( z2y*O4kva5iqpt<{g4?KtkB8B-bZ`6&qRgULv9AIORzHAoYY(q>tAD+7nBI(h{xYrZ zeQs_G7yoBmLl??)e&OUni0;=$wd<6`=NAZ}+&bhsVDT}!FD&Kj$EWON($yt){1ue4 zPv7%+0;4cB*4ILmeecJlMe=ac^n_-j(!6-YdmcG%ka)`S9Cg>xTOVVs8;Qz@4TG!V z1>6{O3WFmddB(DclQV_GBY&UEFi(k7z>y^4_1zT%@b-L1IbvXAa{GMMl543BM;Z3( zT8h3(7&}o{BQdx+7~$X{SqjgevGnL$7XvMQ zJfo=*j2DDMH4S69|NTDJ5+n77W0S^z|JJjrhD;9)xLb+^ckZ z9p?&gfN$*B9ek#MG}$<;T^_fq*ShKA*(NovxOgG=CELopBNopgZhTr(gT!^HJF3f1 zGuhps+LjdrcQea9f6w-_cFVd}LTk)Kbt(!ry}xrBJi$-ED%-Moo>5Ir&9g;PDB_cq9j^D3&9!>x(0wRK>-0J`8WFpGwN>3U#%_w~^FrMsIg1LpP=w>vzrtiR1l7KIW0fheU`lFW2?oJY3`>5Y%~r?0 z2_t}CZXGPR9-f%Epnlqh51IK}IpAA!_35{{Hs|3*XPv8FL8zY@ePoxuTG>^mxBj)$ z++>pA?)LQ_=PaXLsin4I3(Zua#I1JG0XP)-bP$<=zkC+@63E#ovEheSCcETBYJ_@C zHGIyh4<)WOd6PocNbAt?hO2A%kr1}bOb6eKFl_bjXrw&L{5wE_ zz`W0Grgqp#{Q>9nRA`Z1?38ymUe2ySmR@p$VG>ifty7cdm-*qp<|d_bShIU6R~b?ae{7CYI^u6#)=9&c%1VolialVlDj6St}V(&ryUKF zF*9PVPFqHzP7&%a!&SEFU>ghWN-U{M3IDV>FV(dQTU9+<1$)g5=Kan{>D_!D%rWY; zag1mh`LDd8-mZTRkaDC$hTN-CFV9~L6No;JkN0L}@AQSO-yJ!UlCmED>c?I=u5i3y z{RiBD>qB%2S|@EavXIpEuV4JOXX?p3Gh{k6>vctiMwgKl@8+v_NM4=d-W{M$?Jupt z8|=lyKic`7WBv#Y%p<>m`z}~goYiau@lIFBBB!=bPbxZ^6<&wG5~lwJ^52Qi=>b5x*{$bt zfsQ9gO2-8=Qj$Q&dNr=5tao^&TDo3B6u9A4O4nB;HpMjQ$sYR&c6@uFQL2 zZ@>;B=Iz02L6;@ftGn?t9VlGp%HFvXdA!&)bR*t(gnEKknD-*6tc`2JQ@ragaUzHr z!E(=tX#CC}WlNqlvJIwqa2VNryc^UW(nb#VA_8SIMBSb z)YXj~rov6c6YWPVjK2=+;MS&tEQ{9vIRW)`Vz5Y#VUm6@r|iY3b8Xm&owI&H4`?2w zlelk{QM5p06|$`nm#c`flvC?#tK=fv14Ss2H488MF+I-6Vw@r!ViC^()(Kuq%k36q z9L9*Nvd@BdnFQQE^`gMs0s6U8kAi9^RXl}#jMxEDL}|Ct^ZyFCRO9i z^CYzUT9rB1)m!CTD|`^4=JI@M^>eb1TC>bQX1bK}%k8&Hf=*L5zs>OfnIuWPjx=gU zr|PGgr#u#@*vRLqdP4F1em2GYh-H(A>n?fN#L+yZa@*`!z+i`6)w=6e9^;pG@;P=) zg7XfSOF))V&s`34u9TeT9&n+;Qv6%yZX+6UUwzH2S)^biK0HpaxV5UK-|Qh;BJJ_i z)Awm+hTmI`HwkgX)T21bE+<|(*m8rdW*0^}bc4V^vns7dxJIlggk18UK{a@8!AVO5>@h(M6$$J>h zEU$%QhNf7Aw#XLyAc4~N z`?nfhlW$Kk*62T>{7)QW7@90wqSAF13AS3_iuiwU$Yio^JQ0@m2W5xjbi(Vs|sN7iAi1QJLg3HEvK9_hU z;0cY_Jk=@7u9o-a6Ry#tUrG)PCkeZy)VnA?ISt7(S%(1W9FJ$;AEGk%=mYzg?@pxb zp+DU=D<0r*YTU!9H}P0{QmnGFj8Hs))!IrV8!4jQji%$96jp9D%VAq|`-E(_k*~y# zE>!xJkRinF$5WBFDTPqidcn=S@n?d7WX2!8gMU(nP+TpNQ zj_CwZ7Cv0x$cU#V>)6K@{MU^Uh--!mXk_v=P2KM8JlmZgJa+-JTdKhdWZ<;LIt11c z0)h#g-^gATqRnLT(Wh*~0B}Y03R&$|NDGK0t7#eKr#1P`x~qbqq=ny8oFe(i?Kgbn zyu!yn_-QtO3_pBmLxLTKFRkG>6}z(x%RVm8sA?I5;g2^vCn2#moJ%h{bIqkMN#(RjaxRM@cx=*k z^|&~av(KZvtOrr6M}9V&f}iz02<*_SB3)~{af5$NNW<@U;)M+vS0-Z=HvaT*^PD$3 zepRG<<1vv}>iR;5l;1s`I;tC|a%Il|Q(8#&#V=y-5k;6RzqTh{VAkQdZ(X zgFFfL8WdAUv&+PnWdZ`Ye?-hHwiY!2 z?5(NVpK~mrXg}vTW-t$aLv~Y7eAZe2%CMf)c@2H&hUPNz7K*^DIhbUjEcM8C=otX; z2!ZNHG&Hreb#xDpswo?w+0H@B!V;s;(}TjZJb!Wk-cg{Re?VaH*$_QY)(bduAB4B-Ar-flv)yy28aoXlR-%-X%86%Ir%Y9#UxwM+05>r@AAay z|0ov|KqGroa|_FpR)0<%lSq88?doFUWV~l%t$*Aw35v)Av^n^>mQ?`&`T&CC6gf~h zdQ4B>;Qb)k$k@bm-I;1G+?!)j3;}fhnprMWtgKa)uLH{BX==R>ynTHAF45j!3TkQz z4eJBXMMg!_V`4Ys&R+l!G=2qO*2pPVFuA3Iq%<8#_f=@DiUMAl^Wphk4k$yW!xZr2 zwjNJc1^j4!O}D~f0PWUA3_F0$*Y#tcb@8)=1c=@81u{rjku3-SIEq1_@I5r1L}n1N zBO!23j~3Vntm*;aSq+EXi8>b){y6l`*`T0+$Fw`X=Yx`JQ{g)9NBd#&*0wsD8Ux}E zpAoP-Ck%r09*f)&!ZqtOP+?j@_K#2Bv9=1bcx-mZ#QSXeSx7ugtY6mJQB~8q-_Y79 zSuDg#LzHn1XK(B z#^rQxS(McHW>8maaaSM?~3}< zlP5T|L-25~7rF-WXMf7T&Q&Xp3RzpnJyz?Q(&i)|FXY6r%TT6ZC={m_Bu!Nbn4ZSm zxV-?&fCjPGpjlk@P#TW`6oBS&ZNUJTq&=LP?5G5wW!MnAg;+^jHvkH#?xCcRzt9kn zkm0*WgX+u0cOZ`uWFE37A0?@g-H%4Vxeoi})YRlZE5>PYuEX$G-Y0Q;)umv)QUoNA zGr%OU16W%@B_*{u%p3Jj20)xmR}s2Vm@twke;C5g;{bcb_bsg8zcTFc~>k?G3a8B@Fbe@-An2QILBNCf(m+cg+wAw*Sbxux#uB$kR zP2^RHmT_R;*OnF#jr}+G@YhS2q?jO zen$?-vpguf7Ae6<93x#Qg9XKeCvu_D!bt_q4`HA%uMyTsQC{}|&nY28A~te6*;>6n zqK4N%>-4F{zn_zmRJg~#E19ngk)p^?RUT6pc9aEWgyb$7xmin%2WU{HlG%CL1)7a{ z-c=|FsOC;_azoyjfP!LfLf1Kj*=usN_;)>(u9_S`irjU4uCB9hy+R1R|Hr|(BPTwl zakV=cB#0A;0`@1ZcU9QAaX+<8Ez#z^+sUr^z_<0_7_ z=OJSyatH$sxBR{ID-cITp2OCx1<2zGWN>h}0pH~qKZTKQWS>fHAsj$B^M^yT;E=;P zB{(}=6xjsCL1+lz|CSW^5CG}0`9L6Y%P6}E0!ASiZRp&lzO{Kv;0HD8?}-!9?9Jcd znV+(R&A0m1yZ;#>xVq_Rqj`BCYR||h`JfL-9XXVrkHqB;=RoB4S-&5$az~fSo2TKy zfY`s1j-92&|GxqGZ|>g)k)>~r2V32dVmUPhyA^I%EAlIDRzO;FTh*H&oiY&RXO_`K xGJW%(F2gXmL;ovt)Daf@yArlEliYa-ME1{2p^##4nno9up#7+((E^;{{{S=uhYtV% literal 46795 zcmW)HcQo6L_y0RPA|h67Vpi?FsTtI$qNuG#wFo5%U4)<_cy!U~RJxv4OKYbks8tKTb|APN-3jcX1 za8kHcC$v4FiN2xqxRF}i4}$@vY?vLYl(qZk{*FF1NgSL0^V0KL34?cZv>#eRYsIn2ri~s@30#_m_E)8#_%fTCiO>|A0QZNE@JShdA zMivn9(fIV3w7Bg!VtO=1BUp|dXE0-kKP4caAnBt3jaUJ2EIKhd^#q=*rr&W;-_TUw zh-m^toe-qslcQtf@W+}@6H=oEX^E#zb57(G2xwzD`8g-?@yUTn&!Ayh2g&JUa4!Kq zA_C=gA|~+|ILjLE=Ih1rHHpXuK!?D-P(c8U3Kv1x3ke*tOJYyOXCwq#l7Q4t99;Uv zH$?;2BLez&-xuW*F^PQwK%&J%Q7@h8Ua>8L-UL=h?bbS-YEp2U&5x`lU;2U7ZJz+ zbixunaAmbp{VI0*P1aKJkYZ)^s?MML?@YcbGUZ-t_R_ypxYO8Be3%V=SSp!ufI~xI z3(A`qGv1Y{6{BZYTvKWGue|^Yp+jPYPT|o7S!?tNF5PFH!-z;FG;a#?E1A8H`K)fT zjcj9Glk2AOCcRT&9(dNMS>fHQDA(+a5loBg2H;ycWt>fW11*W)irkC{3HKgMY-?2y z`JY532MvPyCTRhe;i-;+Jl{4+r(`IY=3kX4u0TYd<-n@LmRoC5-k<+l&@29xk;O;L zmsUHYCwg_+b-W04X~de9(EA@qawqo#NjUwy#kI4qU+irz9uxp9Alu77A)W+mX(eaw zw~Le!0-Q-DY3H{!V(=Q7;21JG!z)f9*iTj9d)$Qx#GYtP(|jzQuL$^Ssek!v<8gY# z1>dZZMw;-CajghX_i9eWrevfT6H}5Or0ApYzW^X0`d9|`WXkE)biiFYQBo&__)F_Z zDnOVrGKLsg7y>?1P*~b~4=j1=wuR)I>+051hOC=fv>@rc*eu z8w!K~M*%@gFc1WIG!f$h$3jVs@k~wNusQ`e6tW6ogzF+_Yr{|z0wr<7l;la#t?a})eA_VQ z$#Z;WFhY4IF+4OhWaVh^M|_}SqapL)^2=BZ;N04mW8k^@h`K>CRL{O~!f<}0qj&hn z@GxEe*PmbvnjW9eK~{TYFP;=ECV|*3g`WM;GfY^@snRZ^fq0p+0VT~M=qk1lF?F6P z0=gvgG);evWZQdk?+_KRuyst^ zzGxegQNo9V`;Ef$PbHqvJd0mES&X6dt8$+lo;3V<^h;oeQ2^Y3x8L?m#+$qVd(sms zarv+e&FOkU1L>7OQ+GCcO!te<`9Ji()Ia<^frZ+n?>5sR77~0U8@2d#cL(m7rhxH3 zY;glq5+W@rn=;~GJiJ$t zbb~$l}Tr&6TXQ+U@SQDx=><8;7t-QVyjL0{gew5EZjJXbB8Z5b&7KH29f8gJ_O`Kw zwMYqg%#qCBX_u9O&`>tD5i<#8ee1ZMuSzVCN;1P9e7L!P-Je6}A$d7Q)0qn}fn7-z zsv$bTHs@s;Xv=7zrPLEZ-aN6dQbDfmi}=5xmw8`p5NYBRLr|;%W*eFgV?d)*c)CfA zTkcOim2ZgY5Z$oA54_^UMh?qkXJcRCtPWqc&MsRg$Uk~C{%nq*r!#Nm&%yjAy|oN` zTM!e>lCQ`!Lo<;~cpfmn7B7B#ZH;Bk&P!iQq6Fr|LEq4_9tj7O0J=8x=$j{QkQE+L}S@FX{+Vpv#Y$FObcx zyo?OgZ#@I~l*N3ZiaNBaCZ%_R_tv5NdgzuMQ+{nfCQSS&;_+T{3u3X_rxa@=N{MDO zF)}!8KoaV-N+oX1$;n52@pQeylRcAwd4&giG0jLkjqLb1z@)PyEPsF`)+($&I4cV+XTqbZ*`?KHk92V>A>FXr=GJ?aRBx%$Jr1)BTAhdF ziqmlgC1p<;@hMve>$^S5kn1fDx|7l(D@DH%>b$}mVACdslFbSOV|io8LKE)&E<52% zqzifsk1Tq2Ro<{W5kWtX-X`-*zF}>4c62-!!QP$MJy@`oedJHdiO7c?098k!^4b~a z%scC;U#agNTBb=Pm!N@MoL%lciBtM3qT>F_+Y_1$QKL{log1R`!EvL3fB{JfxOzW$ zU_zwpmcM3Mz<{^}M!g^9*pCBm`XL4!X1jM>ol>3itF)v2{&Au^nbXFw^!9D~?{{Tp zE?*){G`nzSQ)?$BqbgpD{##9)rAsp{wsm|`q1GAN(RmYJ2uR8baqtXkSyI#@-Jos3{757$m-nYA9!L(kB=j%8)i{lUHdrJ5w z9y#r&RjambmnD&bcDyAFGp7jOw%U}aPRZmC&jRf?Mtq0Ajh!)F^xPxJY&vwh6!6O2 z6HuM>oWj-er`$on0HK!SbFXjasJTS?A*b=t>*2n`+Uxot?h;C z1jBg~t$kh^;NJWS$U(cgSJ?|+_s-tDV3aOL>uw(Yb4g52#7(4dizWc4y)Cl{{Q!Cj zY{Pa_7Bd9>8yEB zU4V>hS?n!;=8zgE^{4sU++~eD710(HS}_COj~f&qu-xb+(T!)xn@n)!6<9|v!qnH#TVoF^Y~O&}HT zb+`G6^qRVV|6|->T%+ zACfmSH0S!4jqL=CZaUIxvTsV*mp-M)UC4cXfWeh)1wEFFTI5v8gPi2d?4ztQwS0Y5 zJoN}oZ14oHPgsKhNevwuBs7hD`czQCAC4axMsnsP1M}Z03!_Go;yxY6+9Q2sLN)BP zDH%ejoa`)p!9$|tYp-_j1dIt+S8v7O=fM5XhH3*b1fPdB3H0Fzwa=eG@7zjVa>w|^ zIL`E=B~U(R^Blm0^ec0G4eRGU)7{@CExB`+rlUr69W3n~K(7cN451F-Wh_`&{v9m8 z&zUU{oQ1RAl`ddFaqrT=uKnD!1(?iK1`~SmU8n^maOGVPOg(Jn0uS%I!xspcu#3TR zH-Ef+HxFf${g_`v8F`%K7C~QPf5oM&2akc}LJ2kI z6asq$l&q3FpBX)`xXyg(X6kgS%Pq$;x7IxKP2gBwf1}zxti0qr^OH4@e}9tcGDJPO*&H$x@aq^I7;YR~ls6q3&|@(RN<IoAwHs@Tj-2AKz`5*3M=Iyf_&#y1?cbTT_5;eyi&O*eB z!ivbEKyPKaci9xvQq=1FlMuVxvdG$P(Z9C*N+f?~xdqvUC~ZE_w43~GU9?PgSFji^ zV1m_~gJy-5=bYx9&`M8g=9;Z$0X`FI=bA}Mb8SMy&`S+7ym$G%0^a!P&5CvydKC2LLTGFxY)CEw7O!(0u z2>);2d%h;sUaX03`bpUHqBT*OELy-A3IdlqekNMlB^DDBL=>vnX13u~?}{GjzmSh= z6YE%M83N72ErVR1zD`P}5Hy-4;k;PPd1wNv(y%ew2JD+(N%KB>_RuTN=NfxuIAgl;?|^8;z{ zJLTmIY*HgqP_jVYSpK?Pjd`qG(?j7=PLWE*r7J>V|DeLB48DU}gnFUq6!^|lfl#!A zSIvi^RA=;Yd7(mC=#`w~EIwY@oVWI=Z|C^h@K3O^*|w~F+nOEQ5v3`Z=~-SNaA=?&+Q%*Zq|tUDXkP1z5etn-iW3lzlM?VL z@%Wwe6mnWj9CqeqY+O3&1cw+6N!cdi)8dZ9`Ei+Y+i|h!czr#ZOf)|!DJ4d`K_1H~ zk2@hxiA(dqZYM+&W9^B6A=#AlOcR%)mK>dW%;Srug^i($_X|hS?esX(spJ^X6fBXH z^y2hM0pRT&=|WyjPIW=^qpk7I$-7Ch#C@bD`_z3LyqwG45pQ38BXbp;Jb5ZLF8*28 zjRY-=<`c)56b&HQTi~A@mu#nzL~ciUdUNtz#X>xOK)V`~zZ3w5=J?dkv8jx- z`_YNghnRRH?~9@DDCrkRpGCjr#KzuEPkN9JU16MRc8Mkv74&j`hXe0>Q$);;CySgO zl1%2f5KlO5#Ka_o0;9UBwOp5h;B7)>AZE`#=`J>bH z`9wT11t%B3!&CwA*Bak8N1g69hm-kpI5s(?E8gv^E?zyyvnMq{-sPp?*oA?%t{ ze>3-3oJe9y1Ld)<{0R$fA|SNtf8tD9)IoEgvk4uKL&pp;YjysY*yk6LE~Q>uVVfvB zfdB#$ZiLDn>4}bI7*wE6G&REn- zlVX{|+*TepT0DazA8L3Z8Pi6P@*QGa{d&Pkb|Oam@^f3^1jQdUc`a2Y!;R?lzNf@Y zYmpOid9jS?qwj4y5=|{^Of1@yk!DBDQd5#XJUEzakakVAQ#4pYM-^j)t8$XrG3ZD- z5$nEAafMCnlQmL37&`Qfb37(N9`^cmbaLF3L}qe2o-qTbpHG7~sWcho`64N?s>21r@>cr!TfnA&oxg>P8%&YS= zD$hg#clLyHnVC1^XcW=sV_3_2&CRLw^pEuDSmkcLef0$~$C4|O)SnX1B_VX;xTRYO zy?xlAhI~berJWb{^#t*#TvBJ}v5)8qr*pv$ugl7gH)Y2woJ&ysVt5M{P;m69MQDV39BCu+W6_kZE2QXx zrHevjbnM`0znm_?mwfrt)3`bHVDnJ($|*}vV-@E|!M=$$7(-^R{6q_X3#|~z*<7gu zeEkaG|8h4ktyE2yX-|mA_Yjs)d(TLM28Z{@QS;aIniydER+t4fsj5BlIoxWE1T{`==84O-gW1&>N2`B2S57^s6QR^$Sr zaeBdMS0cO*JdGv)h!mm;ibv?(B?T$k3!BQTxRP?jW54{gU)qXDmg=Ps*^B~$fKkqK z5il{q*5151$pMm8=SfPYWvq7inC|WhaKUJ7vpE zsXPLjPW1)VC1Eyu@@5V}&l@$kpysE-i@IMVZ>pLfM9F>LO5~H2`5=-T`G11vV8f|% zABC?&4VMe1HVga&^_aYA1%O4k!!P$0Bthtf7L(j;u+tcFMRr*AX26xqh^;XR^%D6K zL+SUkiUAr%TQYi{+mQOFx3R}~*whPa%tD#|-{)xu9MT(h$<=v>oE1OveCHXZyx6s3 zl}q199@)8y(>y~ZMV<%hoVbEQ;HHXvWF2g|U|o42T(jR7G~oM#rEtl&*v}hhB9|wJ z6AAjD_t103fM-i3!Efw!Q%Mu(sIjO#3qpp3CZN&YiB!mv@feTU#3O4HWz`9MTi%9$J25g%E2=@_A*%qa4uhx;p#g1c0 zwa6YzKP@mid5 zfbnXRY3l&cUcsZddSd(o^gX)LvvritjJyIQ47_L6oi0w_D3;d>LvJzyF!X8S)#W(| zCTMYDf7c=G$IH5j#uewuu?!ccMi@pk)WemDPNIjW3KM&J!jNB2>;^C`9q?!E8Hd27 zQ+b;7S~EzKSD3|eZgEx^jd$ITxs{D0!-Kunn$j^^gOe+Zlfaa$C4@4@5Z&LOiOC<3 zvb}~6{oAg+|0~nMp;F|w93u;Gb!sl;5%`)67TiT_$b88>6u)M zJ{LHf3QQwsAHDj!zngAwc5!Pj*R^h~?$xv}eN5#ur6;>9JdtyrFL2a4P|>JTx;s}oduhSVfmQnNeZuGR;ssU?IrRV=^##yXb!UJv*sw z;x={%ISmv~5MUN)wE0V?$IQU7R@~+2oUJ_pk0f)HYupa?vRN;C3H9kpBc7MOfq0dxf9h$O=#-C?*I87ib>8ponia zrc0qw)*4`zMX<*=hNO#|Q5aukPw9<JCGg z$oHJ7OhW80A1H(H0m?R3=cYfi{|AM_Gqq4O=HIQn&SPazS6N9?0~} zNK8$)B)U$-L467zCa}9{t&;1bU!!`7q_4eE7BEF2kYlOAJ%?F2q7nxGq6#*mSe(U6Ysv zN1LvcH`ci+>(!Cab5X9>M6y9u{rRc$1o6FqcH34IPr0F#>HQCO7+T*AKdd5Jh=Hx0Q+92CGjaxCczfV_Ss%! z?;OboJ#T~=52^+3Cwv2o#iPFET~{~J7Ol@j`AOMr($}c++{*7bV#=j8IYT60UU;Q) z9kC&|A#wD+@Uq`#pIVu*tjw5Y>A~Ohx3rP&LtbuC?n9$PKOvo?b#x6OM0}7m)<7my z(t!q!1itpBTF5*qD<7F4bvBJjgPWb+*m~V=6LW=KpOU0YkJEa#$AygN=!SK(zR!q4 zIjFj{Vzp#N=MNTm0jUWEMo!_X)a5P@senIuBX!Q1gd`N~vQOngC!&E{#a>Z zb2iL|AT~z|VwoA~eqQjHojrmHf8dRr@alsRHAETNt;VnIvwkqT@PUKXb3Q*#jlgZ0 z>4XL8`^h$eLED{HJo4*6xPvjs&o7t-B9%^DK1hEYv?Tf#$p9XUN^Z_BzTE9gxW6~I z$lB|?aq!Ee>=HzQhdr6iTfDTVSwwf4;b?6)0x~IFTRMfdxeyHw5j&G3Tca4cwQBX2 zq_R`GXT>J}1wVgqXUh%(oKL*nxu5-K#ZWm!2pM{F9$g6=nzq1Mb&)588Cab0kFf|d zR8Yf-W2u6t|E5L=n*PTtlJWYVuJVfEpM>T&90Z-#MXj=LS=`%o#7U`3Qhc4NZr4rg zP#BZ)I3d5g;crQrN1y1SdnL8*xVPS6xr)$px22aWzkU@SMOf&DO|k#k3)hAVXbJGZ z4r$N}KxgD{ni2%5d6n;>60y>A=Q&|B{&UTK$6`dayTI~7yiy4~t_HX4sTs*ub=5(Lq2 zqF1c$%xnR1{RN_O4^Tfq-L=IM*AofrJL-^&Zy20uAD(zAizmq-~NHzy1HnwEqlF>k3kGm)Yc zomLjWBY}osk8X3;h~l+McN~(c?|0NFQwhKMqSRhdZOe?$dS1}lUfl%kz;@kST+$Fr z=j$6YmdUqPTs;!@`y`HjG=x3OjlGyThApnW#>zK7S|0rH5ptBZHH~DHTmIUYo6%ih z{R^zf3zjZ>abb%i58Kp}`X#CALX0kz&bw1CiD6bkH7=@7s>P?B*D$ut`Ir}b0<}=U zA9{8ma};QwSktgwHv46F)ELo|c-Z(ZH6A!IPu-{VtCaQD1ot~PiJzV5)jGLIi4AeB z6{15fW;1<(jX!e?9kb%e@_c*{z8$tk;}VX%X;m?TGSDxMQsZoCy>ABex*z6dyjN{+tbxAZp7FM z&ZH?9u}a1xCQl*S+2)#tC*!U6JLXo57Y~a|=KqJUQ6?)7qel_QXNK$>busug=lwE) zI>&qqCeOzq-ZdQuDcJNMu-I1`N>VYI+!*%AN~M1=?8b#Y{wF-_cFMlWs~C`QMNskX zS@c=4KK!@EB)u}t(^s|88ZyXRzKZyu$LIk~g_2_N@=f|^X;?uMZgQ2@EsDDOOfp5` z9GqPBm2f_7s{K4QFXDj?OLO0AO+35-?OOekPngT>?rF914klHjzfmx)QnkZreV=!n z4hx_gzpc76^hNvqZjPqpT2uky3WzVQK)WJW(ahvCXJ>*dg5MVW=iD_Ll=M zAxkvpytp0JH_-o+HG>4V?KnX6Xv{L(I%x~-h_1V)W%H?@)V?SL~ zJH_FU994dxBMM-TRlFUBR^$~uajW?Q=TJ1P&Z=-fZ>>0D{k$T7PP=X|*kP)>xZ|X` zBAOXNvK2(F2|kh%>U`r-A`iLI4PGcgVV58SY{)NU&H53Dca^0_ps9;ueIb!*r>=6087|t~@s*;_ zLo0U^n?KEs?sXeYZv7j*7k)+j^6*L>EU2YOTou%YKI)IwwK(ZSMR>1&#LE&WlunpemF zXyefDizh(0(@V#5d~^9-k$*~#XQ)7+5}(4riB$+3)WTmc%16Cy;$g3^Vo_ZZ|;?Y){^WcYg=dt(}`0;br=mZnWvQ(DVJjGxx2I_H#NC7P_k zv5}?V_6}sT!zv{{X*(}1h7tomSulORy>-8#y-r|y-SM2AWwYg|#iEp?2B4j-wclK7 zv3*?ysnEVpg$!vgHju$n+CML0ZQ8Y8B5iILAZ_TnNSlh6NNc21Jfb}n+3Cn`IqJv` zopt>7N$n|8(OM@@7vrbxgg|SmKz^wp9D_j)-`by%k+w=|DqMtCv@XQCOf#n+rsFXG zQx%_dY!-hwn0Cl7kk05`mP$CK^AqBlN-SL7_a9Z!m#TB%=FMOY0aw)b7JL=p*@5TC z$le0f>Y_X#_Dcjvm1%qoza}O1`?(cj4t%R9PfO%Nq!3344*==lYiEUkooZp%MxZl8 zW=N<%!IRo4zgj6QBg+SMij5)ad=JO=H^HVB6$`T0nj1|dERl!g*J<8kDmxS34mS2!SISKNQ~ zh}~lEUhrRd_5CaN!i9dEnvw|C z3#iMXipO@UX_184;D)GWyQA6d#*2R>~{aE?5-p_>JwDn#<*_Q{Z zmi!($;1FPt!>+_OD#(5G`^Jvgnop7w+4Zz0Y;j^T1z&BA24JPi9Kq*ZWKE-d$cL__ zq&1Wc02su^EgT~sM|{3ZxH=^D$uL@+Ge^Q#sV>CT?z-qX#lMqP4LCZe5C|RUFNr$Z zG^50=Xi)*(xN#H{@(uL0*+*%H`W;=jQR$lJ%G!$JBxV~hD zEy5oLIqKPABPnuYn3k2??vrnfSTfGZ@_R~*+iLcO57 zxm*sFnUm(v9Po!ARX(GS1xZa*qXvk>7opD>7oqJQ_6uoKE25bYC0gO1VeNP4H~0P`?1_LSx+2disf z*=u-z0ZAiPA9#%o(@1{}PX}VmH=~p8hC9TbKD_lF1*k>Ihat0ly!?F~19sg3FGnA@ zKl)+9PvjL&8iA_BKhwTxK(>6teQ_l-@BJ#Jl+#WMy*~E_T|A=Sw*^!C6)iS+S48(t zDt)E2o{8+b%TiokK@KVzg9;rusaOzr=N!$x#(+jXSicp3p`a;NYvO{K%`1?JU-xLXvJv^OtYGsG*r<^&ZR#B{!i3WBC7 zMGBh68X%2N!ME>5)?nK5!&m)EO7*L7{mF;5krj6%>PiY0R^I;vN{dH-er!E#B!bpgY`DJ;nGo7&|((R=GHSLJ(7Tisctquoo9+oRbvOgOd^L^<;6Wc6w~Gon1ts z#>WHn?P$lQN#2H|JEa$)=hkn9A-5psgHw$Ti<#Izc3I_wu&(V9zGQ*`;lX_ii$ytg zRs?VHtCeRuI+;E}+S3x}PPENPl-%Cj3uwB#d5Sv>u3tSyZ5Q2ABmJnimiyWC31#ZW z!M{M#Ors~BTE0@pPV|A2B5-ygk<$;yE&R~WJCbmTS%-#g@N7e3t*1Lf9D zZqZjjaY6Wp-NMh15f{*xqBj*1;J1x5Q7K#b16eLO5_cOYCpE)@OPfzIDAcXIojZGm z=gd=}R@p`Z8rRT>Z-Hz?PVfwT({KAx4w; z|4LZCzWCt@Fzd8Wz(*~Y+LF?jQMPGy;UXd%%hU`s!bON6ELc9YNC9j!fC;9$r>Dq^yG8+@j)chSvAcZM!{!bO-aU)D)EP>BqXu8 z$5%bWvER_LxAM$-1J;2SG+aa}aI1?P?!lFmX{if4GHv&T5xAvo*mwn@Zy~(NcqIMd z;$3VokAb@zs43P0#X8)3q^8EFn@e-5af?F)0pZBWF3IzUJn?#m8|!1;xULEH$W#hA zIt`5bH?9`Uh*vma*A^_S;_vDLK=&OF02IW!0U$!{9SUmFCMEbxQELSp;Vq0V-^VeTj_bb<-SgE{=7Q4| ztlGpPErw6aRwbQ2wY;D@gJ1dx3CdG5|JYp=-}iZCxm7lx#ccUc?-bp9P`dN&o8^~L zXVGwbp*7}7wpW;2Xxj)%Ozhn3ch?G}@KMW}5fXh|+XFZfWbktu^np$1*@Zz>HF`Dv zoF`9AyG#A2BKU9XDZ<>Ngsp|!CNjr{B)g0v`ns3@{6k6a}+ zE*F}vMW|Giy}K(y4(K|IKp+X|Oy2Bm=RTL*PvcI=-yk2J^z|tr0~lZ_W@J_%93pOL zx3*l~*%^8`)OY#9uTbaZvlfhO@J~2>*hU+R>_6l}W45EBhMuebuX&^r^i;LRW;=jd znIL_M3dAHQi;J5d*P0z(a9bkThf()^{51mNnbvdd#XIyJ`;9ncQi9>V=r6*TDw#j< z!^Av(Q*sx@e&vnbgW(XDXYUhV^6Xw}55@T`h`jla07BpjX)uL~ zBU;z4!V)hA#uw8+{=pu}4;{ySj7jQ|8+?AevBcb)xSF2dRHYKGjBEKG7dXDnw2Ut% z9w2id6r%rF%O`B@J>Ks;44rp`rtDoecvS6D-~Fo|*4t{LWZ}1G>I7c;?9-!Cc$KAN zP>0`h?ms9UbDEfjMmc%BTSwitnE8jN+p7I@(i(Hjo9Z7h&&SCo5^%PxxGuaZK zwaxEup?-S>C0m2LfcC(kO=-g;IxAsqL^XWyRTww(G}7$KPcoXuf%SY&=o| zRd#$_6RxaAjxBDL!zRRaDzHg`G6TVl&xt_y-sG&7a<_+e`W?hWb%GO2R{l zB7~9pJ4H*cAZetENy3CYX~@F?pL}HmcC~6QMW_H2TLp0X!EXUfN?ZMpH&vk?gr@nb z{ujEOD##_otM|xmsGm0Iq{Rte!(3KH`cm9$U%l7%UaMt~%9=(ejN>oZ#jEg{4e|RO zA2rI}ebLM+DM-)-AC9d(6tfWay$ps}VV1;6d|&SrQ1&$J7o5K9jGSe@ikGqaF}t>H zuWc0m*{&=echhk(Ck_Ib4n#k_9HDufX%rjD_c#u#`CZ+g7AM*E->b8vXdb?Se^n8m z@3XjBcV2tDofWVC+3Z(cUJ(ZT7b}&!b}3HESP!d|7mv`ST|?H9xT}c`pcI=#qiFXCvU!w_+)I{Uh3~?~nx_oUA?|=imKYyENB2 zFWhgn;r*`8l7DGr0sSL#WaoEg*52u{)BdObJq^@Jmq`iUvEA*Uz)*&9AS(M!X1iSc zEF%kEVDtznp0D}lvqrx20%7xMie{%y&P5&8Ln)_Yk?m1&QPI-ND>vIawUG{B{7QR` z4)VK8|6Dv)AS2K|V|3*FMl;lA^ZaP486`&cenGAZdSPjx`wJpiEGYA7q1$)O(gk=IR2XEAne6NUEV>Z%j=@9Bx@b3IJT&~)7D@JQ z{^K(@Vr`3nzWrOwM^{Am-^RGN31RjybMTi*L4L)(AyKw>p{FmyYWofQoqLLK{T(=Ob6Gu*>_~{0*}STQ_Ei z>o<_OQBQN!_npT^`Kw$IEuG2m(Sgb*Uh&slIJ5L5McO}W;l@-1??$ICfH51#5)KRf zlz)wKGPOZ4T5wH=#{nR-7&r5N(B@70mV(Y3C0~T4Ab5jq16PM-)FMA7A`~)7VC9MJ z5S>w1ZE0rps@h%ul{ow%mlSAxQp!?>3ZSx%m1vG?S%8z9;N*}`ancPGX0ngZc5AO= zmGpTAZV5VLw+Vw;86Hknt9~g)&!!Ji=d+iGSN!N*j`KoW;E=(m?3Ugf_lVz%&L3TVqB+q7+w3&*6Ayg9{@mR?rFuW5p^em!w9~g=MS}#zB9oBt*=txF)P&7#plah>gHgMJ{($VV> z_>qZv0Vk5*IX`if^SKN!dz0op!{VisxxL@5d($-TS4ji}xT>V}t^;tt>6| zj1BpQ#`@a89^U7OmlvL+kgju#NbBLp#<};zK+Yxmq@an|6!NgM)V2JgUk?Bg)V4Cv zDPX4I{=?0w--tixN*48+#oJ0sFvz5sb!oZKw_j44FvjTk@Dk{52`^vu%UALNhAx3G zVCnSR45x{R9BN_R0arZ}Zjw-uoMlXmDS)!c5aynM0s0>VZCLr2@Qbl43CEilD1 zd!>CHIY5!Oo{M00rw;$;SqXVk&{l%NY1(u1==OG8I{W}E|D?e2&wqpT@4tsQ4Tff# zoO^g&AxBfi=i{y|BrUj9=}5{x{%fEX^ms!AkGK1pEuM1@Y-vH>+DAPHwS&cMz6UKU z*xd`hE(Z=hhsNKKz`|EKP$AnrA{mkMU9cyzaTiu%zwng2rPlPi?J0pUhNuyFwzd76 zZte)9COe-HOfq`z>B8`u+-Gq5_54=d&5pYWosZ31fByB<))Ntu8EI(>Z$=hlHVk0Z zMsQCPGYbot$?obA#!5?Lvw{`oj18^q@{M+XJ7knY7;8K9r*}HG86R}sdSKHhlkKM>sDg?)Ngv3oFC)DK^l zuUwdAZoa1-$4T8PF@5mEz*iw*#cX&RYT_d5XHBch7p+;CqVuF%RSe;vvyRg9Rhq~X zE3$NQcmw3m^6B|Ip%VJoyypSzfv7 zRK|Fcq==DPfK>-a!AiYmmAA{f_Z}=1)YBVD(uj(seQ>p3m$qV8=8>^qT6tC|*$yLz zh2;P8W7N4ICi+$Tj>;`(#*Pvec+c;>ML%LQ_p-drCgg2`Q*K_)Nu-Xit6YnkQ|5$Y zSq}TurXTow;k8azrc>~q-c8-JtW+a;U_lWmixQq1 z)DeXn$Qz41*73;pB;lNGCI}z_qo2{GGqN%9=OVa*!x-+=8F(#tnj53?&S+_2ag0AJZ5kqyBvvuG%?pn?f+#!J1D<4CHQ;ZnfT%HVa|-H?A!mP zP4OV2=(od*A~m}b!|A`5XI2qDj~RRCdQC6gtf4AzM6@IA9B4=nX(8qfn2QKOmLSV@vil1SD|{K|xm%k4^?I1z60f^^VUkvZ zB*{JuL)ul2G2_0+h=e9Mff6hk5l@ysM(mdPA67}DN+x;Bdu-Y*2{K%yQtJEmhOO;h z{gdX@o50Dxe#E1;XQ$nE4@x;Op1LFDS>)hU->gisRF2b>+g)}_G~`4W6|?-NV*3)! z-O0<%wVl>kkCz8N)K|}7mfM%@k014tJG$a;^;f&NHnY9Udui+sl40@G7*Dam=&fX+ zbf#VxFzKSpy#H|WDGP^;0CKwGnHJEZzu9>GLazEGUxD7IXkQE1{TSzL!g)#QFfY$x zc;n9%sbT*S!>5r)(>Iu4zi?046AK`I=3JcJ`=r=a3|1-1pZX@p(Mn@7MGB zetlr^;oUJ1*brNsRfvjHDa34JkIWZurD@UD(H-Yqyg%S@B`>#t?)LOfGx}L^g z^U!n^n%2J+lVXFC3V*Zj-mkFR7r(zqtrSyJbJW~C22$;pNM#ljz+rO9u=_|Ai;lv1vY2L$F#smR6) zB9{5*TCi}2d=v1hsmp^VzeA4fp@W(e1bMsYv4OXGFqFsbf_IY{wg6)%+NaCKInUi* zWFiVAl(s+XLJ(FJjjUTSztgV}CK*UCP>NVl>c&G2I@0{_6x&gZ}7m6anzwmO*!dH-|Ci8Be*NFsGER z`SGg>P(kTBrBgQZYeXqdfqdV4rSh(znWa%6!Ho$Y`>%x!|xzqz4jtD-5R}>;SMJ;0_a7SljGGP0hJR~i>acCxxJ5MeE!R+ z7Go3p^V>E!U6GnzrTB?a-T{0zw=*SYOaaB>IVC%}Vx$7)zj&^k{QmJA@drI^p?VzF z;fDo(h##Q2LwK_fIzF~5K^fXk;%`})6HomTQ7B@>%_KpIajDi~dohsCn^Tn&`ck`l z%pNzG_}AU}-Hb~a^T$78i`8eu+|pv0L((Uv)0~E#`LsyI|Ba=-Z~ilIb~|Gqs7g^p zEw%!H6?mqnJ%h5Ab$FyK{KzMIC|McieX3R$m@t?~g%gDhmKWkNs-fOxLtQ*A!<;4R zwV(>(4+7JjZ*4FCE$hfPT60K6x4cW+Pl+8OHJ7#p*@#!|l>hmVbrkBINFJ)mUtLd@ zRV~3ON6QHJ6GP){#F*7B6a;fLNU2KCPHQCoCWpnr^}@Cz3d0J>Sv;?r-!sZlM0z*Xmx8;AdT`Dn=oI7SG&V`v6qt9yV8?7nBNGA?cNzi z(^!Wke&$>z0`0_UPQTdsXo(aNf=%n z8UB!w5_Z1TlFO2{zJotd1hMs{Me*M* zfU*DL`1#c=GbmbmDDCR1+qvJV*nR|8E|7KDvZsGYEDKAi!dCm=95bZ{`gM~Z^cqlQ zti+XpS9043V{R@Jj$~phe~SA+THS*eBml(l1en5%>un%?DrP&8lkht0wm>Xci*c?z zBhZ_2Sd8BRd3I|wjk;_$=Zr~}x9UmcATCq_NlS;~Dg3X1XJSo9H|E5hfxE;lDSgIl z_^nmsN>CImgr%u^k@IKYS=u3oLuV-%A;*1Y2Qfu`&xY*btv>2fFf!dzv*N=gc$(!x zhl~>%cS)rybC07z-MsqtN|V*&QE>hPFw@sKkX<~T$=;H^)W;6Wcrl2#t$L{dXfpgk z#snhrESbFr)IJ;9 zHqGo@F*FX2E5oRN?J97AYGJcRmdu*M2B`02ZX+K2J51v)rFHO}R#dC5L2}pA@oHa9 zw+qgw&qEE3-Z5;i0V+I789EQuCeZ95X~G%DL8>{Nx-BIe&p}wHy+d|HE=nKfc$*!C z6>1Xk8)J1GKZKxgs#Ii|`LmJ*Qq@OY4;Q$2larM|`F%2?W`E_()UrJ1H!sV@XPh%u z76D$*Y|_6Ix2j1Jav7;#4)%M`B4qZ6b<2E=7Fovh#W(Fc zajkJ()2#X-DzQhWakFF_1x0IVM@*`_){gsY{UX30|M3)fJYZ7Fal?{dC@+I(hTJ2Z z?eBm-6cGW|#Eq1yN_$+n5Z~~O0|LBH>}3Fxaywujv=gX$!f$_i2f?P?U$(CV6`M5J zpl};pat5mle~S;m^V6WLa?kL1diP=Ib?s7jiEELvYgxO6>D+Y0`?W&5@Wg;!g1e6C zOx_{0t<8#UyOKAHwZzMP%p;Pst62>@y`xZO%{EW|I)}-VDCdxMO=JWD&w~O+>_5Rc z09RYdi(*YE3OrBL!?H*!^!_34R%>3~!HEL)n^N~Ge0b=+PgqM6H{g08MP5){CR0P$ zVPBHpof3W8qaTqFM!EpbGOurSYWOGK=SoKMY67*NLZSA}YbTji?ybH8g7_c@zJ^wT zvWN6?bn)F*Kw9wey|2zz;I@hSjH|=BtJLDt;XGO3DDhdFQ83RyJObR&6s>r(I)`Eu zsk-}wW=Aj9dT)^dwSPKyF?+Qxeb0Hhy&9LEe1*+mC?a%h?rH~TmhBw>+0}ct$XnqN zW$ZBjC+|gztVD&8I|Ppo_*HxLj~CB;gcsee~dyHrF5YAUJhU3aj(<H4A1;FRU#zx07ne*vbt*F;en({zVg}{|E9$1TE ztUSCm<3|!27Aua8(cx1a;wrS8f@OlO_pt&n-YuJ3Y4B(vkZ)Q7j{=O@Gwz}oL%#!b zDyYIu`9(Ld=KRFBXZX{@EBMZnyS;soz9_fEioUScv~%>+a}g}=;2?G(1N{t>F3GKb zD*-8%T8!`BK37@Q*1Ie&K*&3J7r{$UFU)>~u)v#zb|0Jf!~#E{3|iQjoYoQNUw-D4=kBRSgR%;Px{RG3BdW@It%5)S;+0yI%>7 z9jLpi@eL{<@d!l?ks z8cx1s{iuq5@V&5b!4{>kp!4-RxhLdiCX&MBo56gN!FbeRP&ojkg~zB+GEzq{eMB=u z@&g1EI7rXYXXpB_38quFlt)OOliD|B4ly$N37ph7ZP66T36yxZNrWZAEnb5luxb`k zhtbmyxZk&8ky?vdEhhiIwr-way-&Z~ex#;}JXR=HOT+(-k`i^;{8Ymiw_sROIC9g68=t z)4;h`M2?TJeI{^%v14<9a@a5xhe0QW-+Gj>Zc&%$z%m^WaKDiNSP^kK<=CybO$d)w}WaF#X^5FQ6oH4nZ zBLUr`mrwe=Laci%0S;2=P0OKs+!hVMG@IOeVb}l+16X6u` z!U?Q7+qsU)DDA1_YejNE%f^)4J_(Wfd*cE_)(xK!KrQYC7SnZF=9p24`kD~Y9bwB8SBeZ2elM&TOnsOm(>PLp8c^K* z?97zcx`_?)8;myiZ(6^Z?u-ur=(k<`9Q#VwZPM+u(EPIqmvK^Lv5r-_TzBL+msH!Vk}r5LwQF^*=pW z#|w6Tna0=QQG_yPw-|F6Ids>_NUu#X5{G4@mCYv0R}Hbexxb4Ig)ajDy#&CijefC38@A!S25;Ra;w;v3!q+X;E<~T=Va_pjBpf z)0LZkd$Kb%bAw$rkR66O;IQ6!@|Jy7eS^5B#0&_=jO)@blsB$GI*q5!^MPsy)1tTw zclQSt&qNL<>h4RO2<=aTI!*cW|AEP|jyV|Y#W!A1;%Czn%Ig-wEPBmxbE5Af6_vuV z;fc7pLP%Yld+`L(y33j%cLMa*FbT_~=ecUW3`GBFFkr^Gj-AS*Ap-oqfst*# z3z9Pv6*?t*rYH=VWzp0tX~&XFhuyuzIFYt^!CZlRcC^M&qGPG7=CaKsc9FmVLS~7s z7kZqTK?AdvqaL{O+2>ME!C=cShz{^TCs$Ojif?Y4vkLykz7-@jW8i8i&$e_lQ|L<{ zuw#(q{oH=c2>pcXT(?a_9updZD%cd@VLrb|-{k5p$;CJ4gRXtFY(qbqVb?^&cho_# zUFFYO!gv^S`T$(Y zN_Lx}4mdBDa?NlS0weim7Cr8Ml{pED+8$OGa@yoPXH&?v0U6q*{A-H#LA?2hSLWi zx720lf~SPYSI5n+iV**$!;Lm(Vv0XwNt`_7%{>N)HK)bcKRWrPw=PPLIoKT?A_$kW z6#GrfW=!b-CqJEMj4J{1>EmRM@2ChdPPqEjPTF@EM?HUZ_U`%5prl`-_83nnLMpA0_Lm+>D`acucHsDQ0uwc(SJ<5#WuYoy`k%ZeT;d5Wb3pFPhtM6U z0q(_^D*8vJFyFWCm7|$i*tX*`=SH4C{c;zKmP}lJBlPWG`J`Lfws{mVS!g`y_-7|j zs99?@Vsw&M%Ds1|D_qHj&;x=Pc5V2TAV{$-Mw;Edq?J|U^B&ldWy{ea;|lgt>oLK5 ziyTTWL{~c1fFrw5rT;aB?Pl61lgq7SZUNetA+Y>^xaM&VwV7G*6_kH-7rFayjLl?v z!jKU5B;`f~NL)eLfg-?Xcaa^@n6kec|CteXT|a{YH}>B)xyiXosV&8u_HFDMYtsT$ z^$vf;v%rm)*a%^L?yWQa5QoUB_||(S1q!B=A&`0;t?Ah8iWRJA^$wl=YLqpuUEX4r`vuMpKO}3{`5q+p9#_uJY6_p zz*%QBaZWs|w+lZ}V2mG2 zJ59mNb8qSvPF5YWTnnDiEbIoXDrB$j6MHBe@2TSwFUNT<2Tg$1i>E;&(|DvsNq_!$ zLnQw$DFnPP7)i4Kkzg03#F!Uj@nJttPd*etR(hrbH)JoNoNPWrG$(&Gs_`NAs2x1$ zI?P@!{>X_bpi{Au4CK~gIQoVcYgue9WODcD!J_g|F&NVd@NSg>72ty_bT;0H&sy&S zletl5nmgGQ;cDP0Ff2wzJ|~}d!&^H)7F7D!Keda^9fdd%DbUT<w&SWkg6_$ON&79E$ekxnSsXJBrx`=CP4>{zXqQRKQ}C#rX_j)u`J*%ufZfw0n5YI z&!8VO~_0oIiYoKXW z&Y|Zf3X#(p-R*A%Bqeb}>4o4O`CF!G)vo+KG2~QEzM@?ZVh|^)&w7&tb@@33GfS@? z>4RuUcN(vM@wlX-=IRtIIrIEWNh-@YEbf$XQ>cBrwjlyL+Q&S@I-RyS0a|!s>_8AZ zGR70`Fkh^PES9lcOdfq%49RsDNaIJ2Fs?>WPoCL45;2Y-8u~gjoP@baOrVx|jOi7R zG+w+y@K$%I6EQ3?_b{*#!~%>J5L3_Cp5uk_#!2GOQ~M+{UE&W?^lg%fHnDEr=s!{i z~pp z_BOQJsRh%R7;((`poU^gZY>oaNw})u?WzQMqa7rnH@L~9Tz9tUUoj!@o0808_CQTx z%r7Xzl-~yvfOmrbzQ$DukqVev!(Ho>+g`#%C6MByIomKBW*Pbxs$w5D`f0jndIpwn%B*a)3R_1Qs^{It9Md>o^QS;l3 ze`xmf>%^1(Y~82GITCMC54)xXIT+(hEb_e9CLngt9NSy0m=23gtv~a>bi=!H%-OywDe($scW>3z zkNh=lP3p}!OG?j9cnq_(s?MG9#TA>yIyHAfK#18|?rCaCQ0_U8w5Ia$&*D-l+EK3R zE7?z-hQ0Gm0+9~62} zOKaJ^kT)gPkdf|dC-YLTm-)&%V@-hsbXoQ~4;Le|-`mL&^XzXe;Y_j}kX%|l(+XCQ zACiJ>#ArRZPmy*aeCDzie2+v>@ZUhxb3akZ9X0sT2!Y$`H-48b?$cm)GBa1@5|}H> zMvBaNo*Tj{F%4(VEwxGcxd>S=1WwD80h~fMO!2`Vyn-byn{9`d$8_qC&C*8qBrgqH zx6^O7T71qC;$ssT7>)bTMB$|{g$sQbQE1~M z{}DPI=t~#8ckaTrYYh9-hhh;cgWaVOcjB=URX6snMXI&hT76NnwcKYto5o zZ1*T>e&{fzjlKN%J4%)EXIy0z#%KI*soz*2)9A(Eid!_{jjs1$TL!Q>mm9IFeP^8` z$3f_^g5}}*eRR&YNQ=gyI83yanJmCLF)4s%PYU$-LcF71_k1^0GPfC-F#`js8|8{x z$@!RY7N`}*RyD&5;hmT|+1}?G()Eq25_VVMk+$6R9)i{}T7E{f$~h3jU|%DKjj=|) z#pZ-IK#sFv-aX*5=rS+lS*Fc@V_^278eC;6C!O^#kwp?VRC^lGC2)1Zt6#gl54Jh; z#0F^BM1`2!gIxG=dm=CfC z5^V5k=un*R1-8k^Tg_QFIu_|7`)~R7>&}bcXBFL^x<%E~kPMUp-;(!;i)aT8Jvc{r z(8-+Rz!ATb%8onNmz*Q57XQugBY85l)8n3HT~wt{FRyS2bg4IcZC|${)cJTJcKhXG z%|s^=-A8hlT0`0YWO1b)2sbQ0Ji@hyzX}9}+|J&nchBt^+eRXfvsl!73>DS~;|b9< zkN$_*rF-m`9i@&kQ{Xp2?=~6Q-fNquosi5a_Vv@wB!@3u9mq2g@H5R~(AH??3AL0JsLz|&9q?xl zymEA5k2>MuwKRPM1MN8XHCx@kw)=R_L%m3dKe41O3W_vq5+b;XSSg>;Su-&0y zTCGxN_!T{@QlbG@|1zAP!+=zp%QarRTvQ|L0X|dvOZ1#*vi~Lp8TNb&=@-9ku9ua9 zrvyiWBja;x%$xs#n--!zTYsc>U%5}yw}7LU9WeKnw2{KS*EaB^0vo8mJwzd`Y9ruh zhtTxj6NS&7Z!Br6*Z27<-PbK~YpEEuTP6E(sPkSG#u);bhBa8p!#%_vkjQ?@fz#gJHEBa_LE=_#XlB<|7c{&0M+ zJ1nl3Ws$aq=6oFK2+YE&u}@4?vr925(O@hcbE|u7+|RYtIqkhSL`MEZ+D2~h7;f1J z5)tAs>qgt1DDJrCJ|Cd~w*0g=%a5N=X&mCEkrJ;jX2xg5M_SUTSGry2Z^f3p^2UZWSAfl z8~OdC2JXm<{(5^yE5mIHqN(>>!H(yx$l4?Mzsh+1JZP@xrs2Dh6EKne!)0W_c%&*4GrQ{ex(i?b>x->uy=)H1khPbX5Fs@qx>Z34wPQ_UVm2jb3S)TN%TS(dyc? zax8n$^A>5)pVVSvLGwMu>ao7IE%ht>_ciN!qk?M|bpnPv$%7gE_iyx1ry!wJ0<$rP z+#vd3M?a>+ZRGG|9OwF|v8}!(DC^i2%-6E~m{RG^A~ zh=>5Y^oQ3(z$S2BsUECl@ElF#6RRB~6Zz0CCwa9FZbC_5Vp&v4;_e|M97w?C$KC695{J@v z9{*vqrp^5NhgrZT(V$ql@U#~}QRs-4i_nWE)fY}ijDFS?>H4gGcFXRy{1CATz9O=2 zHSpF$Dhj_vh`LGV>U!g&5;a83wSOTNf|-2zHt~c=ciyl~YV8*D8ROXo`_#?!yI+{n zJMn=gai6veVpH;n)oZNRdnd{F@e6IMYc}DByGoaBHW+oxg>^&yI zkrve?MV7`-=CuTNsu^~9$pojLm}_F=XEn?soqy5IH(q}0(A9VEK<5mmlfJseI0W9E^^6`v5%>fS@FE+a(AKS}cZ!X1{DIV?XNUMA4)bCi!H_znuK{NrVI)N$n&d zI&x2OaqZ;1OFKX<#bFz*ah;Dddf?2>Z9^MyY=^>pEP^jedI_87L()k= zt@Kw2a{y|2Z3b|X#AQ=l>YTlRoKN)UT{-K+o%j46lIULdWN##Vzjq{IXb+Bq?Rb2; zzzw4dMfz<_geb$OeuT6K_wdW}Xma_7sPQ}XBeZe2`1fWoK|>3eh81jq!vt0qS}x$p z1u8AF&pp+0-k!guOqGJ&(crJpg=G3f@EmVZ6NFuyuF&KWh;s^YONU2uq{m-}*O~K| zSZ)q}rDGpj_76EkJ^1ZZ@{-O5jtp7t=3f2vd*#=~F5^=fm1;nD1{DH>fQHwX~U8+){M~N@oz*U;3JKrz$5x<;4 z&y~}Ma00?yb8J-|qQPhK>ZudUY9Mo|{(v14LXpS4ObHaCkvh;$?#Hk%1m)}JoZ-vF zd9SkP?lTrZs!;(x;PiT98Cc}|_|l7k*K7a4%-=9&h<0MLklU)5yOkN~?eB|oB-Y26 zlhBY}a(5<*`-?QQ0mMDow#;}6(l>XrD}$1Z!;M~``}W|^L25;C)v;Qui!s|;GmuV{ zG~oKWnh;1)RpGV9tY>0)-1DS{^emb5gSP)JLdCVnIoue(R+u9sO=s@2rdwEc{u-=Q z{Wee#k1(#-Xcho2A=>KOo>VNTnqx}ChsMIoVUcKDwce>dOXbH(!>4AZ42VQKfbEVJ za@yE;mxo(4F8dlPfLF0J!s%_ZHSTSw8rM;GFF_J(x11}MfA`>Y0LY9d5iBlApe?`FSZtvPF`6w%cDIl1s#ALTZPz2U4hbbKcIO-8Is3j$f7~;5FIntixy+m zCG*)X{ThG)fcx(x7AN@FrvV!j4yD*~5wJPkc5}9?@Jp3%r@?QF^j?w15*~|GgUz@{ zOvXRnC1wX#4Z&4Smv4%=fhwlU`{jJ*9xz*q{|tfxK|$99?onUVrw{E>ou=a?%YJMU zLNy35wuSTWV$aH9WRvD&x+y7`HmQ(37UV|P2 z7&WOX^__UorzgLo0AlIUv>WPBkvReI`phS^LA+}{Ph}?YRlVYCwBUJxd{#fy$Dn5J zG*l%lG;Tn4pb(?YKNNwUCbe{lMeryL%_2sz36cejCVJ%RPjx^plKsLV$aL2?LGPOK zjfdDU$2bfoh|4Byuopi>MLm)Afhp}%6K~f5emM6Hb`WpP1kic{1_4Q}`LN@($Gt>hNxuHHnJMEX*YK&Th(ROgp};@0Uwg;U}~ zJkf>&_0VW>?$MvTvqPOA9;n~O;o&G9Jdg(PsJ`UgC~!_cfDeuc&zxOPdL?BpSv$J= zgW^j&VJvFxzX|oMUyw}g23v8JbL&A7k@E9)`v*~t3T!#w`O7-_-0I(+#Qz~-s@K}| zwtl>VzeS z$A!@qa+S`5-3BOh1&)1-Wam7DqQR3q>Ke0K0-=$s%qZP4ghk)Zs5$1vHjNSDjno256;gB$eEd#9;md;)U}U- zxIsV-*GoD1?oqK1Op2LXYzNkZao2Gfda_3Xeh+i#-DHXUhfY4%?3g4|sgFsqCdN+D zP{?d|CYnyFU}F4Fj0Nq<#MQ zkId3{vPN~xC1}mX7ZC3-soMcBlr7T-)kL&yUQZ(^y|V(yk}G8!^T)B9a5coGj5@y8 z`pnrf*4m`*Ti99uF70|Xpw4qV|7)0b8s2OR4hFfMOCvqaaSHIFNe7lkezXR2v>`Ea zvQ5&sk5;mmj$T^ssmR-v!$c&frA$~aG>&f|h@aR!wm6<0b2>BLY3X^s^c%nK-9DYt zFY9t0A~T)~n1rgA^{H?)m5n3R7ZdCY zb4w!n&6VN#+pQ&RpAqk~tIQDdI9kcRkXI{KM5z5Jcma+<}14Z-Qo{9H|En?!NL5?K zD%F9UUvNnY1_)BOY#i3frb4$XT(jT$v<+f$R2jKjLxD4!AF;3C6(oKcj5<*3bWbU= zf&iH$ZGBV*7Hd(TfTkl(pZq;gsBN+g3grBtvN%T)G}l8A+!EvyODp3XfBlZt$_Gwz zX_C{cP+dYgcC~Vhut0-eHL$g3rvcMVGPTsgGhGWzY=RIQf4}ocxKwvoSfA<7o1OaB zI`Dq?;&(?Or!%&)iAT??5lfrlTHshwFmUO4A^2gR``RF;c=Wg~Bz;l>Q z42WXYi@t!_HFqg{mmTvZ+H*{*q)N6C=%#YKAGHCb8u*Hf{7&B%p-=ULix za(zp%C|uS@Dc!hNrcBB))8^Uv0_62^Z#9)n2N<6iRnV=Q*7(Of?2xGP5s>fl9T3+R zh>d;L$=!jj2id0t{;g5@bXrt$jt4L4V^OzI1IjoXx}a3p{07E$%&FBnopVk4KD&4{ zW9;#fy(RKhQG(vzAgP<9pfACOtQaMQX?^DOt2=+-P61~R zJNn^yQ$kfzlMQ_-0!;*M@^30{Jh!@{iggWdlDtde`|%U*>|!u4L`+#UP;rKzo4@5r zy}Xlj;q{ojo)_zAnU=Rv!%I$5c`;8p+f}}^FV6ZL*Od)Y4Lqf9TFn>mo9!)?<2yI0 z`nriZ9)f3zRn*+c->BGx&FobeFnS{ZtUt%UJL>m_jU{6)Kp@Wn{o1QS1wljavbKrO zf=$Qv%r$|+J54413q`NL#&Vk+D^Brki-LmRacNG`Ux=(5nTlc`XG`@GP9ZLAd<7SY zs+sdjoKizugGe)VsoO?X5a&p_YA8ib1Ies-;`NKUPTmVhc7RoV)V3qP2T8KX9J*rk zd=GHr1DMj7%!2PfLN^FTmWsVS_SgMwL$9YhK2O79n$D?LroqEgaIxX3ilcNtg8g$| zQGk0{73(iu8R6ygFlaAx z22SUMxCsyFvb+%j<}zQpeF9LQzSGYZ_KAa^7FbES_*^a4W!?Dgz4Qw1vG zar;Y%x7pb!rFb|!z7cHIoUI-ZlVp%9=2RXJZGWrO0al_W_dR-2G6A&nbwK#UH?yDc zKCEP}720n*nKZ(Z;7g~A6O9};CS#NsgK4i%y1CAdm=nJwOFNb#Tg%Q?ck(ap{4Str zoTZCCVYg_Jmtt0w)(cZgU@qH#3cUyKen6wXKdE<@vpq3IU8uyW5zRCw(l^yUMJm9r zP~&$Usp7re_6K@827BWKIdoH-f_dV8j-)O@j2EF5Zw)olp%Y;#J0q=-8_ckt!CTS! z#LB`D`%lnGS6jQc_IvmpZyqUwk1xaQi(36?uW)kz)vOlmP@;QFW2GflC7%e@^bn9% zhwkh|socO&^WnfT-kX>I)<354Z&+{GTs}?vPJ3J^(7T2&Ak5-+oWCfCA}{y|EG#N_ zD3Oe>gM1&!Xlr16uL-1BE16fGHRrdrz|kJ9P@FgfeIngw$8#11n^HDOlttr?9EW-A zuasrCxS<~wE*=#20IXj4q(YMshj;>M2V~^1%)V#PP1v?Za>F^u1wAhYq_BQnLHQ1W z*J(Z(sWm*IDE$4T)!7SUST&Qp)8{54{l`EbDw-mlhLyc}^3iTE$x335gM<@HN+;ea zR1U(Q3qYD&c!?{2>p%?jU1@#fFSDf{ev;m-gm3U9zS%5uT{yYs!UA zs#9%=mXPwx@IMc%qs1!jx?(*9Xm?|lD@kMAxtN_HiK|sKlXhfXOvnlk0 z>$n|Py!IN5GZ)b$Wom;})TD)?zMbV))^dZ^zxwv&#F`WF36#GL1kXOL4&ana@q$+Q~_Gg=1^BKk#O7XW0*%-51BjZr1UqCVOY4>V!fvsDCjxJbH- z9|q5Et034z~J?DvhEU8W`n%+RSj_u zIZ%R+kPU()6cTOU6?ABZW=hBm>(ZC~~voRD8i+dtc-93bb zM_Dy$9$J>5{N)jtdDSL2F!0s^!axGwgU0GM`To$sdy1jWU@IPmPla#0jM^h?r$6P9 z%wuMexej=bcm5T_4R9B|_Kqw#lM}jahH}t?NH6WFZ}{hl)WLFpR%^6g-zdzPYZIue zfBBm?vtd!CPnNv+TeZumpRZleU{9`i{NV<9Q`Um})A}&;H)*tY-n}~fJ!0x1PX4Mv z-$Useu0~~gw;eRiv+^z zY=lxJms_h1f{Tpf!KDM^s*;*o*WQ19bMQdm+SN%wD&WThhtn{wb8849I@9Fs=EU8o ztBB6@2YJqG`veP-{p#OQy@@uK23%c}3;Vdb#u2QW$C z0k75RuXtEF-~kwfIZbC#oNQ|EC3YZJ7M4s~f9088MW zxA=*3W>p<@^?=-o2=WClN)Fzx`m{olgb#U;;BSr<2+?6qX#nNK~)jt$*VZ7J&8ue>C%T+UKkJ{-1{|c}Xo< zn=V{@`Mlkrw5CS-F@im(HP^wWIGvmeW$)Gm&kg3_>97l*RKw0ysBh4{!KN240ZLda zR~pZ#NQcNzzYHj}uiO|D)XFmez zGSbJ)3Fuf3=^{x6FThL_Nq)Y}JB<7!@@&YzAKBipmZJfgra(C`@^<#v*iW~gx}6B# zPRgPSAT7Gv4Oi9<1~)!ra%8g2;O8NWYRj*_QCG%Hl_Pt1%AS1-)%4NLvNwMVodu;> zaLsY)=4OlQbLxOErF(XL@C5dY0f$-gXeV==l){j*d{~7x*-Po|kOJXCKdDQuPcT<8 zcjtLJ3N?l2t#reT<2e z>;|ef3bpAm$GMbGy>vEJ>GeNrrcJQKznB8;FEj7UKWmxh4<+UV!cIZMWA0oV z92*P6XX@UOu*ZwP7tbe2|6)2aL!dQI*@nel)J9YFZdu)Mu8;Ksbl%5Db1q>t;)d$! zw0TD`b2whF)q`+=F?JvhoiD7#SYY2%nYg!X#&}}qJMUx|lv$A>3+21J@JO63AW{9l znoMj=4(f?L@#?(m5VtkzKI&|uIzimHQZ_7F&K?T3?aOhLK6g7sg*o?2sNU+kQ_EjY z)C?3FG6FrC8Zn+6LyMdo<9<1hjkz-mBf@=%);~p=ZC0)-D$o??R{Mel!S@D3!-VyE z4m?-(_hobDuN!y67I1&vUoIU0S#FDG?*|Cw@{TVbX7nc=lNE%{hkON7nbT_TZo=z& zl#j#={-$QC|M-Kwzc4c`nlkjezW$>vUM5to{eD)8YIahGU}3LF8R~dyvIF@`W*zBY z;wtZD+n`b;8Sm_KOQrq}?@7fqN3vv=k@Hs~w)^Sm$;?I~ki(76UEM8tN#;(`i5aP=sLvklWxuVfY+8*xjDn7^O2pgtVi5ER z-t6`I7dPKnxLotpu%R=TVRGiD2d5(uEKrxSQs{r`dWDK792j(2a{+vjA=i9j`Z^W<&BftwA5{_St&`-je| zNDtdu54}8c?H1+wobI6bwVJ@5Ku~&3OwH>_pKE~K)cjN^wcm|Xs|YD5`QYO|=Dm{fj6 zrYbrD!t;u6PynkY1od%eYXtM*0RIU_D;JWf;LC1n=}Jc4T<9iyvbj8 zcvJ6CBs0RkYuQ-h2L_l^<0{pJ#8lJ#;Zb#{qq$CD7u2Z7-9q3V8O1zz@VEYY(Wl#7 z7@L@?@y@7lf8=KqK(}*cb;3PgU1s5>177~lXiHr>+iM!ZQHi6T8 zJiIRFRhs!kgn2>gjLUS5>IK~7!+?Gk0@*7_9z_gT+3Lgb+q0YS(jRZ(Xk5dZX1+*J z{%ZK4aY&q+u@g-632r}5#n_5ad8QF{#a{GByNpp?4kCyT>C6j0_KxZCe@AB>(8T}$ z@mr6<#)#3~-KBtRq>6MokY;pA8pOuv0fI3V3q+JuFaSYm6vO~gq)X*9LO?`7>c0Gb z_t*V%cYoZy@BPQ?`FuPUN^u;n*826=+O4SYiVbAWQiTuZC z837T8aTz&~ZJ=&`6Nd-FZu8!V47pbpJ|2v@I3VPQ-ds}mbi3(urs*BKdxgE;+gzZ& z&gG+vFf^n!f(q2oHO=ehF^F>+*yik&;ET~`(Jl%l6EQc^ zN8t1~MSc=z%c@jrBH`R%PXYH-)O3@QvWm1kob!bwTD?cu4oL_{EZ1?K@>6PKEhq?6 zoFk#bFnvm_=blkujDKQ)gmjh8Gnuz9FOvqoAqU>MuHEQre{UG}kM)gz$4EOZd~DnH z$yFo!#O5_tJ9Rh5RtJ7JD-l=BhUU}O)0f>iY%_=!i%7KRnP7B)sYx{IRZJ^wqod|BS5g8c)+kxZiG`Az>N{M)Xt9U~z zt85=9+61vi`?epYnQN)3yX2S1$mZJJblmiIcUBBC|3Ilv! zQ>5g^f@^JgJi%`rell5QQuAI2@sA&op1=R`$(<4YdAiE6cUO@4Fgg23zpRWJ_`)TkjA zr16pK>W4+HJhR0!Z=QGQ%;KA!_uoFY*s@EVgA>4~)82 zS>?aj1I2_;3;m%p=;3nxj??hQdM87V*Q4XjJKSoGWdHa~x!v#F5a8+#F+Q^0wDUxN zZVx6L77>wS1br}hlL}QI+Dq8EY-ANzaKxp-R6TQ{aOaljg@X)3>eoEZ@pM2S_1MP3 z`2+66Ib{!YhUSFYVf3YPK3nI=;}SiFRTY*aD3NPF)gxCVn~F-m_@b%HYaUI!_A9tl0J-D^&jTNM zs&p%n?e8gWZ`pYW>vyZ%(J!1qGi8+p+QOU*@y>OseNg$-O{i08G!v4!Y! z;~~mXkvXTn)b4&QLNt`mqaGtN*k(GncCTBLI^V0UbKJ}QDw^F+cY+ZB z-4E^(dKJnl`$OFIQ_hJyCsHtCdJxQC)g8`fV=$QwYe#ms>p5~PdmZRMB(2b(F@}|S z-;|zv;MD?Bb|m+@p%FT}Dd!p4Qaq(+XoLE3Hf(C{qD{BZ?x2samH?zm&k^kNYUPlG z&zk5CBOue#LLgANww4-qEVpfZo(k*ZIT+_@r}jwD5ih#v0|F_=GnZn8jI$A?n_{X! zv}ibD0i%>Wbh*DtNM{1A{JB-RoiRXddgS=4FJbLOwfpN-z*R1GANCM_9je2CV?bUH zf^dpgMStz2g{ZsU3cES7Y`h&npW*f!cRGZ>^b#Tvyzp1we|q*Os_AXXj9xBcKWFba z&xu+MfTHhiL-bn@RRQZxIUIHY!CPtgmYe(cwq@#losJ* zj}ovJv%k|!`3Z#{oBEsOn^@2h_gQ3QV zDh~^lD)%`M@BaXFeSl0up=zPN5qT=wFD9-c@l>*3N?J{(OG@>_?!qdDe6e5#kN;adIGc7F1R+Qt+6DP^mlv7L_ zTe2O+p6TF7cA_{lU0lg-l+#SIJK2MBhUw`=_NMqSef`M(lmI3rkQ_t_W`=~4!zkg* zh)8l2C7O9QhJ0vzWyU9v6DjAI=P!^iQj(a-DdbcNl}Sq@(<$l9j7)MCC7YR(OJ-2= znE3_dOO!%p(Pi=#%2nnyCiyz$2J>by`4;6i^UhuJJxU3)^gg+a@_VJ?wB zjC{`#E&4N*i#;FZ%+5ozbZXRz4{{nVftNXWB@bYAYNsp)io=pZ;yn}JT9|yzN!jx= zN4#YDFcQ>1Hn*#Y4Nmb8Ew~)s>Euo%GYVaN){Og?*v;cVYqppztzbOeZkI4PpE70< zg4^{Rh1{RdbUWaj-|?CO`Qz9GD7?8QnAuh`M(?xL3Ec}`U-D$ z7Sh5DU#fg=r+VtQ<;hcg^8D`3O@z}tu&iQ`gND+yUOD^Q85(;%(tBiGpAgnPVT zJSmu+%H&-9Ar~ET=?IIH){W_LcV(6cIW0=?gaN{C%Lpai+anH2mP*C*mSgbvYjA?H zOOffx0cD`xAExKWGLtF}9V+3RB1%pSgpb7S5v45U_5f_Gv)?`Zv&6WzLg#7Kg|e#7 z;sw61AK;<=h?SWfTxes9Sa=C9+{q#_hy8C$JOpX&RMu};P^^hfW1&AQ(tHdUOa{$Z!LY}$tjV*FXDdx-(UB- z=p3jfyH-RQSH{v5;pERgo(Qe7S_9QV2e&U4Z>K4=w>~_I)g8NMNmizxbNTYU5my`> z7g_{fLY_m^fZB4g(lVZNWpb#}hA9a>pi5KU%4qYr@d5m=neo2LG&0HzTzJU>jQ0>o z7w%I%&6Z`Iv}fbj(R(U0&kN+duO(Jk=fBHF-kjk}Et4HMFs1`y+6uMG?a%!o6GgF5 zZ9bF1_@+l&;yD+BcND7n_Xn_Z*Ab4vu^5E9^?5#NATPj&w z7G+KxeljRTBMZ86YkcY-qCEmS^BO&RYjRf#ntL?(?j)iP_D%Xmr$ra~s!zskg2dwi zKIQX1o@2Sec7qW}A7!_6>*t&Bk-luB(hTv7$vJO)rCCs*%nGM)$zdZuVKnDdy#9Y0 zy>Ie{n!b*p{$K-m-Mz9^V~Svd;C=cQE2!N!;-MNGal=n7&Ja*;0Rn z-n(g;ovsH|pYjtXjHj^&x zaXrI9-k3^4r*Eg(md+KJP0@7?4?A8dkTJ^mw4g=@Vyg&~;*5?KP3=DIPV#iG_R{W0 z#vtP@x1(GeZy%#*yX5ujM-ho1?R8UY>e#AYh*kV*rQ#atpIu7(OGs+8ys;d1&g6># zTT4ZbiIK%2>gvmu@S8t239FpFU>4{?8vkQ=QAHD~BdKNK=%hR_>JY1(`?wYTvZu{; z+(!&VRy@75GF?9EdLY^mgX3$(pAe`=yRO751ElTbTz-&*IfC zA#qj6Sz2rHMZu3v@C;0zaz=OfHB}of&5}M22hq|Ch|qZH2fRE-Rz3Q$qruy_cn4Rn zv6oZJ%JCNnm+WK?RITA;KSjg(C8!Tq$pcqW+VZPV`mZF;rx$ATxwh&59UPf1zv=4T z)h6Ta#f^R(8>7nzsttrz3=}2T3wrRzUm4i9J@Pi8RZ{_?xII#%1qd(*k=k&^Pc?q9 zs*&o&%bWZ|qxBRoBqb1N2G1*fMCkLOHAc*N@3^&V8&4ZEL_h1}D=nFB<7y5gfhj&^!51Pw3k(cAo^HLmheG2I-zAnJY zsw#eT$_T30r^gH82*$}7rd&vPA%krprYsZgr+B_hh98!rX8Exo63f0de$e)1;rH)@ z1%7KEpRh-ci77Hh?J`j9D%md)M|&JfQ~=UtYKm~}zjH3oHA$%XbCor&NN;*4z;$uo z8C|$=4mP<^a5r~KP4O+HOZ;>soV%LvkPjzQk`x1`!GfYK=qqr$vnG>JmxF$~O$-c}D9tT4O z1kXgwpkM0=wxHbLJVM7Xcdr}m^01sd*8 zVtWsbW*oR64P7JS#Ro9MncdtCqQ}Xng9LKB^Dl=XFdou9`K{O$LqzP6hH%NFdJYof zXamVH)Ym7jBG1wBu=nfu_rJn(bc4kv?Y!(8WZ{dN`y&S!XQQIUAsTY}ecMmE~ zxjKn4`|g_qR(Ta*=QXA7Jvf5*`1cP%Go~{JbtPqPvjY-` zQwDU*K(->1l{r#&a`v^t{0_AbveYVNehq@vjxWRSixz{T1N^SP?#tb?m#&N`fzOg$ z_e;My@YMTwkuk(}=R(O6#ZRIjV6iy)Kw*=S^m_)LBUt<5{Wj993}{<4?mfH z9ohBk_xq%2n)U{PFfcy0Fs9_yJ;dE7n(b6#&c&s505~{AGmGVqJ=1^%iYU5x^f>N| zA)o7(9Jk?CgIJ2~=Bwo)B@&;@;+=6V0)_d6RFt^8$7#=J{RVuk8PXQ(85O`<1f=WL&0qHrDh zQ=aVW_FJ6<3}c*=alPc_mq62$-=VD(Rkq3Nv!;C${sq&e2!yWTB$?aIBJiaKN z9J%Omz5@Qas9G6BStykM1}HXi1X20xa2IGUkYoh5ewlmq4Tu5tgizvKY15}~Eqpq7 zNdsuRJEIFx*%48g&F#@RIAZ)S9y+k(+d5C1!^5s28es8#<4$7s{&<_)8&mo=@5RW<6 zX1ko+3Q93qE_J8IKeb!~y*(LQwEw`=zqHi@6LmTITjCBon8H4&Fc88Og&MP4YWR&y z_M97R1%?I(tX6TG=TTb&gIli!MnP|0;rkwuX#iZeguubwmDkYqVgI7ZtHYc!OA~0} z39kdxHkbGXPJ0EnYM}@bv9ySh^zzf!pG1O2s3rI)(j29R0r(f8qoap4 z`$6S~O@vwFPn6^5lkAp_Qd>*oTa!~N&)l)sHnZiu*5oD$Q>W!d39u%6=gvBIu$i6q z@8?-7i?G@Y#WU|fLn`y2E(J%x8=X#(unkq}a6>zuQ^TM+{#NzqYr8}g5}U}IC0Cnw{KG~{t`em*Jf8cU%+ZH!Vhas~w+wUI|>Kh{< z!4S5fq-96~8yu-;gLjc+A6v2p6pPwpeR(>XmxvHHcA-JOna&YHJ^N%Ritrn5Gq?7F zu}2UB0A|y4b+{B~v5T46+)Dk+K%k;EH}Ij75A;rFff9?h6SA&U7fMplm(aIp zk*EhO<|yIaY}CjOUEmuaXYo>;Fnn^*tV4>pGDW&&(#1%vB{qL4fi>ujV|Qn=f-jdk zrmoU=#p2g@nxLG`-7OuPtM)tyzxKUzjl`mcV5tiJi`1C(6-W`uXSeFH%cU?x&(Q+} z^>EQqDDq)jPE9<3V=zm^I~I;yZ4%B}-LL!J+zDH0WP|bPad53>tu%jyL4c2!iyFJ| z)bICFvq#caa(=S4XgPch)p5{mlwqfR>&$u6Xlpqp@B(jVKGCJw{<>!h6)BmuW)lWK zF&u|;nd{)6)ep00W2lj~teb)>UYDqJP4=>IsipEnZVxEgf$-E}$n z^1RNm!Cz;$omW6f^XLV&n;RQ)Te7&dU&47~e?$|}dF|I6w60>@-wzV1}4`{ympPsKqv6WJT-1kU>cv0Y! z{C^>SwLe-Nw2MEx_k9@TmAF3hHUxdg3)Lcr9CzIukS91c9LjSez!2(y$_Mhh`x`I$ zj*jg8$gGYHwl3~bh%mF9f4?wL`Srk?_j)`oTs8REO;d!-uAH`H|AY zS}rUYViDA`!S-Z6XWiWM(@Kiy&tQC!dDB|p3RE7I0l}H8esFzfxEt6bF59A84}+a) z0fIigaLC5q)%f1d!NJv-8_2eSZ615h*3r(Tzxa<4N(dHMy>>%YnMZ>Er5H3h|%nC-OqX5?m27TFuNP_A87q?r`;L+g94BSq=_Z7@n zM6g8>>%jujc-77^ySwfobSUC(&yxpS_Uv( z0_&kebHbfN54MxSkvP<1%YEJllDA`n0Dm|Oz(BB>0CB}69volx|aEY2R}Zay|fvT z2Xl#7=@OoSH@v}eHash-L|trM27lgKT$wY?&8(f@Em#C|N7(G!?ylr^Rh?Vbrk$u%g)SGgT(INY-f<63A~N)supBar)S~BGNH`|4Kzyhe+jDNh{3Y zoGC@BH0M`5k7#jjXqP)%c1pm|O#O^8{+&&^Rr8R=#@cZ6@N>v@GVJrSANA;)_p7sr z<}nNCffJTCvzD9c8TN~@vWICPjvIV8-!9&XNLYq@j7)U`09|)1*J9SVqe1`qgq%lP zLWc|W6meWDMLPIT<=GAau!Hj3XQE=EJU`NJ2KMWi?aFh1Im|@~K`!%e^P4me(1RW+ zfoDu18OR>S%rA=h{b6uenB5%&I6)t9O z+9J&WOD=AD(Mwz3eS$MqY3q;HjQu6EN&~N&skm->p9E$MEM5uX^2Ts8cXLNR zma=s_Aw*iR4Ydr37cjv&B^Dl|c^TG(ScdR+kq}EWR%3(`)N<~VhtEX%hBF{9l93#W zqmkQH_8y=glGA;=a{dh*S7p%cf9aZ^_DNMC@_-@xX!qC{9AtYI6m?70ReJdB?OwFhQoI9sw&U}mJ+K|y25;a<+B zd03q8v;#xDf_#q~{qZe2Kje#fb=8gEuK5hE^ch$b$YE!q_q#-Dh|(UJ0|N@$d$*JoOv`8ay1KS zVtUH=m>2sKy>z%Uua4_Fucr@+!a4BcPT&mIXJWE+4lzY9rP!l{HfBoae^VgEe>sNUv{I4?5|N{!dfocwqQp-K$sCxgiDgQB!-W@6p1}0LIwghu2t#6fytHkdlp$GES8~1p;Et7_|ayis||fClg#Emuj(u}hag+m>J2;5 z7asQVL6&@v+s-Eq6Okads7$l$Pj|HIq6qb*{fC%t{|TNRUFr3eYwS6vdDus z_+#w=5UCkh*e=mdlGM&|sdNuB=CHpoJ={D!Q!uJX)X}9>k;KZzWK*(_=y?2>2f;eE zP}^vlv+GAnBGu({zm?9y2@#_!FE8#D&J*U*O$XOFH;i`Pc`3zLlV;WkdKT77?p$f| z&`0Gge7ur89+E=OpyuiY?&MzHPrUAKflzQbgZZTxHw@1{3Onx;jZca`l-WsN*JA}N z*bwQP&&adUXf)-+M%}l?ugp#&~PzH731 zioEHM1P~1_r~Bi@NCvMt?nNh)30Z&j?cFr#D8G^W7hccZ_A}cuo3}N^*>nu!3li=_ znG(oHrtK}zc<;H70-AqmCRB)C&VRs&2us??2@>mOpZ=f)K@E6kMes4w3;tg#H z*A|_b*nWj0$L?EJMx?ym`jhc_`E)ImGk4c{#FXCkrK+~_#hE{n<379R{5Dm<;L|dl z0y98ZM!%+<888g0^V2K3;GjEUM#Vw0wONPR9%%M&HTJaL<*`si>CuXr3^3XbeY6+x zGsJn!6bfP@;$yJPe-9@{V6cBM$>f~Htbfad_soBqHS+%;z4rj`S+_!;%i;f`Ke$;i zc6KJaBYggyuXh91Z@F0+$QYh?(_{DjH|cBFm8^&OpmR4}d?z6xs$0~sWhBNAer_e! zQ_Tg!@mntyn`SUV#7^yU*??{x-6>p~8_f&Z1702u{0)kffmst(XXF=Cdly}Ej_B~= z-Hd!pt`oPVVa&d~OlAs*vJ#fme@PKX?4b;=}ad$d1o})yPWjXM>Kz z0``^jvxUzu#v%?!3*q&ucRLeecu8xXUp1L?oeoZs0g)2Panj@*dixR|Q2Ybiw(oxl=J{jGn3s9%HPq_muycwwsb( z^c){QvR`L-V2|BxV)COXH$A501*bA;beJ>gKWG z?~ZIwm;`MY#{F&c-@|-`J*h-em@+q&D6d!t*GZng^JnDdC1`PQc!aosk>4w#{=SwuWPkG6Ri_?{^H2a52Z%Ay(elui57TYEyfos=^JLApHebtR$ zkfbR>H#ggEPqLIU`{hp}0IWiy_dkmSlYPh8^UkrxvB>cmO)lkY#t~*ZFL!-BpVzOT zX}do4c)=@}INLu6?k)FcZ#(K8@95(1zYE4~p^M}@UAC6r94)WTpz(fHb&8&IK7pCm z)m5#Ek0MGAQZk>$0-uu;J?heSzH#WBkls#}V9V~C@DyMYp~yG30k z&Wb_ewzM1kjnCeI{-zCYCW;Mw5^}DsPJnMf0YT`9r{-t8Re~Y(h>BLvni{If5Mue- z^bpXtw&-3CzH;Pn_Dcj*+Rnu~7IM4$teG!{wQF#lOA_*Q8Wz_=&pej$*wr;A%&ZYT zmwR;z!IO?r->Y}1sXU8v^j0oZk6Cg6K9L8n zIAkb4^gr9teY`y>4p9zfyAB@c`U%xyUxVAj#x?*$J zD-vhBQVNpQ?k<0`$LpCPvn$eJ#)l~Xe0CYCvLGTW z|5lovU1{^jR!O5z&*sQFiejyoln-}u`h`Cw=FeC&fgb9L06{jYzw=1 zvwvKbA%5AHV*9FQ3r$*CdV;);uV@;D0c_x1M&{fugV{Z^E3rGnW~*S)*Fmx%Db-^o zmND_V_aYdYzag0uyClCCC3Rg1qc-YaIqtA<>oIRnW5f>D4exd^vRl2q8h(HU+nMvA z(hs@Mos0stH(M!HIUQ{|2urII@o>zoSB4+n@y=mTv17dzfc%DKPVK^jt}b`x z(lx5|SJ&dY^cai~+fB-3D+m$o7@S(M|2V?F?1^39gVf1>hO}I>GITu~QxEr>mCQ2_ zjYePpHTZ0t{2bz&{`}^vpi{Sbud{TPudqqX<~}nLTzQN@vX!0Bc4Y5tJ5_LqlP&vE zed^|-QQQ&95G@Oim8UaiQH7_<+p{DuCB%bF2`QIakw(6##SoU<3Q=$+IjkPW=nZ{7 z3+Kzr2u;Act`8ioHebK&k>S`rG!OXyf>aGJ*V9>s7X_o^j?t5toOy%Yk!!P~X;=AC z8Q;ryaV|*hnYHiil}qo+O3~>rS7J(#@|NwFZ|*FeEL6}C<#qXBp&i+3W@o%@G9 z+tJqNxUAVO?QRxya>JF6=w3L~r*p4`bqh3fp&?Kj{hN@YLtrwbr%F-guHw zb+gRM-#&u9$Kj*}GfW}weVaMi;by+Pp|O!5a$6#DX=L$?H6;A#L*@LBQ( z+AD*qdboDHy{}s)CxVcTQF!nIz;FM(cARIGD0ct;*Jz~gu|805SPwZefC!dPYyBhm zGe?6Vg&OM275Vo?W8`7?eq-b40Ud58ndC$&d@w>vSr|Ny5zii^t{Lekut#7eGL1?S z+hSjxB{tx<173!#Q@r3Gc*p5hm9qTE&=#Bw=y#kR!ePxtL>vXUP|w`>fywF37#!DW zEXF7afYs2KP^!m$h%p#+{vh>u{W1Xg@Z;76%MwChnj!UwCnDHP`299WMV0+gn;kAo z^8vW;dYwaxXNV}vMH2kLO z`U&^V^uphe(FKdMZ!vCz+q~J}{XYMWcuRqNr5P_$y+H*#W2d79O-KW~L~pGpMV%A6 z-*p1hkAFbol{>aqEpI7r(uq3;OkxvIot#jM*~`w<2GCOM!kc~r7Hid_Ubh9VooH^4 z>=PF8R@hF-`Cw7TFT?f1cHxP$Xdy?y6XZtlQT@kofC@+*-}R86q?=>0<`)lIT4_dw zkht=|P1ns9=pUTF1%~71T&Gs@Svw2!8*{2bEHjWZx2K-|LA%vr^zDZa(z=q-`LYu! zs&QgUo=T}=1i|EUEHUOpVOnu!vwr1L!>%02u`~zwfj{ts)v4DKxs3gA@$_@I!-2aGwd>(@MZb@o-xw%>Tw2qMdd1UZ)K#F7Vm4}(LOx9crRH7fGngOJs(ZkmiQ90Uz^FtHB z8j@copA?+%de;=spcDsOTBM2ag^;1lWIzTzw z#Qmw;yS+BKOA^$qcoFWpGP#S<%xNb+vA6DCC%IKqWwV~SxZru*!?*NP*# zGABkfi&k^Cr2zAaQ_`8?Lw@Jp{VpV47oqNF{kuYZ2(VEvCS>0zz$E&3tC6I6n zg5V88g`Nfk`DO$JlSNHvR$7YiULIQjXutG(i%7rG=>uvK({wq~nf|DrLgH-@EO8#~6ar%7cjwn|<_RV>ehD|7HOY1)$bMQYSFZAQ$G zMgPAg2mcQLNWD_iPb{AP?Y#GXqbY#Xfm{=OCjD5p^uNB0H@A%awSIWz7>E4O9T9>; z-Up)1ut8;#?*wNKS2$=aWU2X1)aa{V(rY3A_hnDF(;=+hSO)V; zsGC-(LJr!UCBpB&Hk=d;paf8FOu>M6H#RXG0Kb7uA4l)$-s8}Z;y2y^*+hQPWe5ON znLwa$^d??{NG0%xKZSF??u0#q)tdm`@E};M&e@?Tn}{%-kf9(OioeeJq113XT)*WA z?4e?@gMNs1g=E>aJFpWv#zTfSqQbg3x;{A$raRoF_K92vAU-i`!LTV#;c>9xRW5wbBQDGNWhvHAT_qi{N$lbTe*iQ}+24r@iB zIKv?7Xfn_~Lr_+Pea?n|=6J!S!u1gPj^`p2fO1JL!vL7{L-Xsj1ExgQTI?K@d0d34}o`W8a;iGR3CJt;j>$;R&qV49I(?COSf?2sr9jyx`%NEED@ zn+>^ylBCrZh^>fA;?-Aq08aUc7SRJ04iP|bM3esnB0`IE7{SGHPEO5QEaD9E!wT?` z2iTTjJ5HM9MN`zv+gC9{g7z8mu2J3yfWrqe4dA%_n|R~-Fdy{{HiZBJ(5J^|Z3|%2 zg)<6KBU~6AWO3$_1_s%H;8yTL0GACTxMb@^p2kCQACAh_JEEtB0VHmO6By9v#MMoy zrUN$ScaXRiZxpYvGz$hG-m$mf`4pd`Vlr{O04q`-vB-jMPLCDqven^es<0xhIl+&OL zWZC&Lp9-&)K`L4g@C;Q_1h4x-_*pOU5O7VKja^^aju6ELnS-!oAy>CN*i)~XKtit? ze;qWVw6(v5Nb#Kqw-tcGR_#Ppn=y$>#HZ_&x113N_PaLFB^P@$S7vkfk!n(T;%116%HF z%7teRxOIyaB(;mC`)It9ydXi)>7UL_T5~*(K#bq8vXY}37svmTJK_RM{8I;8nxnlv0Ahybrcp@oSM6hqD$pTR``9g< G(Ek9qXl9}S diff --git a/bakapi.map b/bakapi.map index c85e3e23..ef95af48 100755 --- a/bakapi.map +++ b/bakapi.map @@ -1,7 +1,7 @@ Open Watcom Linker Version 2.0 beta Sep 21 2015 10:22:11 (32-bit) Copyright (c) 2002-2015 The Open Watcom Contributors. All Rights Reserved. Portions Copyright (c) 1985-2002 Sybase, Inc. All Rights Reserved. -Created on: 15/10/15 14:56:24 +Created on: 15/10/15 15:23:41 Executable Image: bakapi.exe creating a DOS executable @@ -13,7 +13,7 @@ creating a DOS executable Group Address Size ===== ======= ==== -DGROUP 1433:0000 0000f140 +DGROUP 143a:0000 0000f140 @@ -24,7 +24,7 @@ DGROUP 1433:0000 0000f140 Segment Class Group Address Size ======= ===== ===== ======= ==== -bakapi_TEXT CODE AUTO 0000:0000 00000344 +bakapi_TEXT CODE AUTO 0000:0000 0000034c 16_in_TEXT CODE AUTO 0000:0350 00001e80 16_mm_TEXT CODE AUTO 0000:21d0 00003308 wcpu_TEXT CODE AUTO 0000:54e0 00000058 @@ -34,28 +34,28 @@ kitten_TEXT CODE AUTO 0000:5d50 0000080a 16_hc_TEXT CODE AUTO 0000:6560 0000158b timer_TEXT CODE AUTO 0000:7af0 00000235 _TEXT CODE AUTO 07d3:0000 000090ab -modex16_TEXT CODE AUTO 07d3:90b0 00002554 -16text_TEXT CODE AUTO 07d3:b610 0000010d -bakapee_TEXT CODE AUTO 07d3:b720 00000d2b -16_in13_DATA FAR_DATA AUTO 1418:0000 000001a4 -FAR_DATA FAR_DATA AUTO 1432:0004 00000000 -_NULL BEGDATA DGROUP 1433:0000 00000020 -_AFTERNULL BEGDATA DGROUP 1435:0000 00000002 -CONST DATA DGROUP 1435:0002 00000076 -CONST2 DATA DGROUP 143c:0008 0000010a -_DATA DATA DGROUP 144e:0000 00000599 -XIB DATA DGROUP 14a7:000a 00000000 -XI DATA DGROUP 14a7:000a 00000036 -XIE DATA DGROUP 14ab:0000 00000000 -YIB DATA DGROUP 14ab:0000 00000000 -YI DATA DGROUP 14ab:0000 00000018 -YIE DATA DGROUP 14ac:0008 00000000 -STRINGS DATA DGROUP 14ac:0008 00000000 -DATA DATA DGROUP 14ac:0008 00000000 -_emu_init_start EMU DGROUP 14ac:0008 00000000 -_emu_init_end EMU DGROUP 14ac:0008 00000000 -_BSS BSS DGROUP 14ad:0000 00000ed2 -STACK STACK DGROUP 159b:0000 0000dac0 +modex16_TEXT CODE AUTO 07d3:90b0 000025bc +16text_TEXT CODE AUTO 07d3:b670 0000010d +bakapee_TEXT CODE AUTO 07d3:b780 00000d33 +16_in13_DATA FAR_DATA AUTO 141f:0000 000001a4 +FAR_DATA FAR_DATA AUTO 1439:0004 00000000 +_NULL BEGDATA DGROUP 143a:0000 00000020 +_AFTERNULL BEGDATA DGROUP 143c:0000 00000002 +CONST DATA DGROUP 143c:0002 00000076 +CONST2 DATA DGROUP 1443:0008 0000010a +_DATA DATA DGROUP 1455:0000 00000599 +XIB DATA DGROUP 14ae:000a 00000000 +XI DATA DGROUP 14ae:000a 00000036 +XIE DATA DGROUP 14b2:0000 00000000 +YIB DATA DGROUP 14b2:0000 00000000 +YI DATA DGROUP 14b2:0000 00000018 +YIE DATA DGROUP 14b3:0008 00000000 +STRINGS DATA DGROUP 14b3:0008 00000000 +DATA DATA DGROUP 14b3:0008 00000000 +_emu_init_start EMU DGROUP 14b3:0008 00000000 +_emu_init_end EMU DGROUP 14b3:0008 00000000 +_BSS BSS DGROUP 14b4:0000 00000ed2 +STACK STACK DGROUP 15a2:0000 0000dac0 +----------------+ @@ -69,17 +69,17 @@ Address Symbol ======= ====== Module: bakapi.o(/dos/z/16/src/bakapi.c) -0000:00de main_ -1433:01b4* _ch -1433:07b0+ _gvar -1433:0868+ _xdir -1433:086a+ _ydir -1433:086c+ _ypos -1433:086e+ _d -1433:0870+ _xpos -1433:0872+ _key +0000:00e6 main_ +143a:01b4* _ch +143a:07b0+ _gvar +143a:0868+ _xdir +143a:086a+ _ydir +143a:086c+ _ypos +143a:086e+ _d +143a:0870+ _xpos +143a:0872+ _key Module: 16_in.o(/dos/z/16/src/lib/16_in.c) -1433:088e+ _inpu +143a:088e+ _inpu 0000:0490+ INL_KeyService_ 0000:072a+ Mouse_ 0000:0782+ IN_GetJoyAbs_ @@ -127,9 +127,9 @@ Module: 16_mm.o(/dos/z/16/src/lib/16_mm.c) 0000:4a5c+ MM_TotalFree_ 0000:4af2* MM_Report_ 0000:54aa* MM_BombOnError_ -1433:09f0+ _beforesort -1433:09f4+ _aftersort -1433:09f8+ _XMSaddr +143a:09f0+ _beforesort +143a:09f4+ _aftersort +143a:09f8+ _XMSaddr Module: wcpu.o(/dos/z/16/src/lib/wcpu/wcpu.c) 0000:54e0 detectcpu_ Module: 16_head.o(/dos/z/16/src/lib/16_head.c) @@ -147,9 +147,9 @@ Module: 16_ca.o(/dos/z/16/src/lib/16_ca.c) 0000:5c20* CAL_OptimizeNodes_ 0000:5cd0* CA_Startup_ 0000:5d20* CA_Shutdown_ -1433:0a00* _finishcachebox -1433:0a04* _drawcachebox -1433:0a08* _updatecachebox +143a:0a00* _finishcachebox +143a:0a04* _drawcachebox +143a:0a08* _updatecachebox Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:5d8c KITTENGETS 0000:5dec* kittenopen_ @@ -160,7 +160,7 @@ Module: kitten.o(/dos/z/16/src/lib/nyan/kitten.c) 0000:6344+ get_line_ 0000:63b6+ db_fetch_ 0000:644c+ db_insert_ -1433:04a4+ __kitten_catalog +143a:04a4+ __kitten_catalog Module: 16_hc.o(/dos/z/16/src/lib/16_hc.c) 0000:670c+ LargestFreeBlock_ 0000:679a+ _coreleft_ @@ -185,50 +185,50 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stk086.asm) 07d3:0005 __STK 07d3:0025 __STKOVERFLOW_ Module: gfx.lib(/dos/z/16/src/lib/modex16.c) -07d3:92a4 VGAmodeX_ -07d3:9336+ vgaGetMode_ -07d3:936c+ modexEnter_ -07d3:94ba* modexLeave_ -07d3:94d2+ modexsetBaseXMode_ -07d3:9524 modexDefaultPage_ -07d3:95d6* modexNextPage_ -07d3:96a2* modexNextPageFlexibleSize_ -07d3:9770 modexShowPage_ -07d3:986c* modexPanPage_ -07d3:98bc* modexSelectPlane_ -07d3:98e0 modexClearRegion_ -07d3:99f8* oldDrawBmp_ -07d3:9b5e* CDrawBmp_ -07d3:9cc2* modexDrawBmp_ -07d3:9d28+ modexDrawBmpRegion_ -07d3:9e92* modex_sparky4_DrawBmpRegion_ -07d3:9ffc* modexDrawPlanarBuf_ -07d3:a01a* modexDrawSprite_ -07d3:a080+ modexDrawSpriteRegion_ -07d3:a1f8* modexCopyPageRegion_ -07d3:a362* modexFadeOn_ -07d3:a392* modexFadeOff_ -07d3:a3c0* modexFlashOn_ -07d3:a3ee* modexFlashOff_ -07d3:a4be+ modexPalSave_ -07d3:a514+ modexNewPal_ -07d3:a564* modexLoadPalFile_ -07d3:a646* modexSavePalFile_ -07d3:a6be* modexPalBlack_ -07d3:a6e8* modexPalWhite_ -07d3:a712+ modexPalUpdate_ -07d3:ac82+ modexPalUpdate1_ -07d3:acfc* modexPalUpdate0_ -07d3:ad48+ chkcolor_ -07d3:b060 modexputPixel_ -07d3:b0ee* modexgetPixel_ -07d3:b174* modexhlin_ -07d3:b1f8 modexprint_ -07d3:b392* modexprintbig_ -07d3:b50e* pdump_ -07d3:b56e cls_ -07d3:b5dc+ modexWaitBorder_ -1433:04d4 _VGA +07d3:92ac VGAmodeX_ +07d3:933e+ vgaGetMode_ +07d3:9374+ modexEnter_ +07d3:9522* modexLeave_ +07d3:953a+ modexsetBaseXMode_ +07d3:958c modexDefaultPage_ +07d3:963e* modexNextPage_ +07d3:970a* modexNextPageFlexibleSize_ +07d3:97d8 modexShowPage_ +07d3:98d4* modexPanPage_ +07d3:9924* modexSelectPlane_ +07d3:9948 modexClearRegion_ +07d3:9a60* oldDrawBmp_ +07d3:9bc6* CDrawBmp_ +07d3:9d2a* modexDrawBmp_ +07d3:9d90+ modexDrawBmpRegion_ +07d3:9efa* modex_sparky4_DrawBmpRegion_ +07d3:a064* modexDrawPlanarBuf_ +07d3:a082* modexDrawSprite_ +07d3:a0e8+ modexDrawSpriteRegion_ +07d3:a260* modexCopyPageRegion_ +07d3:a3ca* modexFadeOn_ +07d3:a3fa* modexFadeOff_ +07d3:a428* modexFlashOn_ +07d3:a456* modexFlashOff_ +07d3:a526+ modexPalSave_ +07d3:a57c+ modexNewPal_ +07d3:a5cc* modexLoadPalFile_ +07d3:a6ae* modexSavePalFile_ +07d3:a726* modexPalBlack_ +07d3:a750* modexPalWhite_ +07d3:a77a+ modexPalUpdate_ +07d3:acea+ modexPalUpdate1_ +07d3:ad64* modexPalUpdate0_ +07d3:adb0+ chkcolor_ +07d3:b0c8 modexputPixel_ +07d3:b156* modexgetPixel_ +07d3:b1dc* modexhlin_ +07d3:b260 modexprint_ +07d3:b3fa* modexprintbig_ +07d3:b576* pdump_ +07d3:b5d6 cls_ +07d3:b644+ modexWaitBorder_ +143a:04d4 _VGA Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(rand.c) 07d3:0042 rand_ 07d3:0084* srand_ @@ -236,20 +236,20 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(pia.asm) 07d3:00af __PIA 07d3:00a8* __PIS Module: gfx.lib(/dos/z/16/src/lib/16text.c) -07d3:b686 textInit_ -1433:1314 _romFonts +07d3:b6e6 textInit_ +143a:1314 _romFonts Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(kbhit.c) 07d3:00c6 kbhit_ Module: gfx.lib(/dos/z/16/src/lib/bakapee.c) -07d3:b764+ colortest_ -07d3:b7b8+ colorz_ -07d3:b81e+ ssd_ -07d3:b99e+ dingpp_ -07d3:ba10+ dingo_ -07d3:bb1c+ dingas_ -07d3:bbca+ dingu_ -07d3:bc2c+ dingq_ -07d3:bccc ding_ +07d3:b7cc+ colortest_ +07d3:b820+ colorz_ +07d3:b886+ ssd_ +07d3:ba06+ dingpp_ +07d3:ba78+ dingo_ +07d3:bb84+ dingas_ +07d3:bc32+ dingu_ +07d3:bc94+ dingq_ +07d3:bd34 ding_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(printf.c) 07d3:00dd printf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(getch.c) @@ -258,10 +258,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(scanf.c) 07d3:01d6+ vscanf_ 07d3:0204 scanf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cstart) -1433:0000* __nullarea -1433:04f4* __ovlflag -1433:04f5* __intno -1433:04f6* __ovlvec +143a:0000* __nullarea +143a:04f4* __ovlflag +143a:04f5* __intno +143a:04f6* __ovlvec 07d3:022c _cstart_ 07d3:02ff* _Not_Enough_Memory_ 07d3:0431 __exit_ @@ -271,10 +271,10 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(cmodel.asm) 07d3:04b8 _big_code_ 07d3:04b8* CodeModelMismatch Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(argcv.c) -1433:132c __argv -1433:1330 ___argv -1433:1334 __argc -1433:1336 ___argc +143a:132c __argv +143a:1330 ___argv +143a:1334 __argc +143a:1336 ___argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(intx086.c) 07d3:04b8+ int86x_ 07d3:063b int86_ @@ -296,28 +296,28 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(memavl.c) 07d3:07e4 _memavl_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nmalloc.c) 07d3:0829 _nmalloc_ -1433:04fa ___nheapbeg -1433:04fc ___MiniHeapRover -1433:04fe ___LargestSizeB4MiniHeapRover +143a:04fa ___nheapbeg +143a:04fc ___MiniHeapRover +143a:04fe ___LargestSizeB4MiniHeapRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmalloc.c) 07d3:0903 _fmalloc_ 07d3:0903 malloc_ -1433:0500 ___fheap -1433:0502 ___fheapRover -1433:0504 ___LargestSizeB4Rover +143a:0500 ___fheap +143a:0502 ___fheapRover +143a:0504 ___LargestSizeB4Rover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ffree.c) 07d3:0a78 _ffree_ 07d3:0a78 free_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nfree.c) 07d3:0ae5 _nfree_ -1433:1338+ ___MiniHeapFreeRover +143a:1338+ ___MiniHeapFreeRover Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(exit.c) 07d3:0be0+ _null_exit_rtn_ 07d3:0be0+ __null_int23_exit_ 07d3:0be1 exit_ 07d3:0c02+ _exit_ -1433:0506+ ___int23_exit -1433:050a ___FPE_handler_exit +143a:0506+ ___int23_exit +143a:050a ___FPE_handler_exit Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ptc.asm) 07d3:0c1e __PTC Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ltoa.c) @@ -336,7 +336,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fclose.c) 07d3:15c5 __doclose_ 07d3:170f __shutdown_stream_ 07d3:1729 fclose_ -1433:133a+ ___RmTmpFileFn +143a:133a+ ___RmTmpFileFn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(clockdos.c) 07d3:1794+ __ibm_bios_get_ticks_ 07d3:180b clock_ @@ -345,7 +345,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ftell.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fseek.c) 07d3:1a18 fseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(istable.c) -1433:0098 __IsTable +143a:0098 __IsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(tolower.c) 07d3:1c10 tolower_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(unlnk.c) @@ -380,31 +380,31 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(nheapwal.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stack086.c) 07d3:240b stackavail_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(_8087086.asm) -1433:0516 __8087 -1433:0517 __real87 -1433:0518 __dos87emucall -1433:051a __dos87real +143a:0516 __8087 +143a:0517 __real87 +143a:0518 __dos87emucall +143a:051a __dos87real Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(xmsg.c) 07d3:241a* __exit_with_msg_ 07d3:241f __fatal_runtime_error_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(crwdata) -1433:051c __curbrk -1433:0524 __STACKLOW -1433:0526 __STACKTOP -1433:0528 __cbyte -1433:052a __child -1433:052c __no87 -1433:0539 ___FPE_handler -1433:051e __psp -1433:052d __get_ovl_stack -1433:0531 __restore_ovl_stack -1433:0535 __close_ovl_file -1433:053d __LpCmdLine -1433:0541 __LpPgmName -1433:0520 __osmajor -1433:0521 __osminor -1433:0522 __osmode -1433:0523 __HShift +143a:051c __curbrk +143a:0524 __STACKLOW +143a:0526 __STACKTOP +143a:0528 __cbyte +143a:052a __child +143a:052c __no87 +143a:0539 ___FPE_handler +143a:051e __psp +143a:052d __get_ovl_stack +143a:0531 __restore_ovl_stack +143a:0535 __close_ovl_file +143a:053d __LpCmdLine +143a:0541 __LpPgmName +143a:0520 __osmajor +143a:0521 __osminor +143a:0522 __osmode +143a:0523 __HShift Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fgetc.c) 07d3:243e+ __fill_buffer_ 07d3:268d fgetc_ @@ -413,9 +413,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fwrite.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(delay.c) 07d3:2b4d delay_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iob.c) -1433:0546 ___iob -1433:1342 ___OpenStreams -1433:1346 ___ClosedStreams +143a:0546 ___iob +143a:1342 ___OpenStreams +143a:1346 ___ClosedStreams Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fprtf.c) 07d3:2cdf __fprtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ungetc.c) @@ -430,7 +430,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initrtns.c) 07d3:43f2 __FiniRtns 07d3:43f2* __FFiniRtns Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(uselfn.c) -1433:06ae ___uselfn +143a:06ae ___uselfn Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosseg) 07d3:4456 __DOSseg__ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(initargv.c) @@ -456,7 +456,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(allocseg.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fmemneed.c) 07d3:4f3c __fmemneed_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(alphabet.c) -1433:0022 ___Alphabet +143a:0022 ___Alphabet Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(__lseek.c) 07d3:4f3f __lseek_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) @@ -466,18 +466,18 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dosret.c) 07d3:4fed* __set_errno_dos_reterr_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(errno.c) 07d3:4ff8* __get_errno_ptr_ -1433:134e _errno +143a:134e _errno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(iomode.c) 07d3:4fff __GetIOMode_ 07d3:5034 __SetIOMode_nogrow_ -1433:06c4 ___NFiles -1433:06c6 ___init_mode -1433:06ee ___io_mode +143a:06c4 ___NFiles +143a:06c6 ___init_mode +143a:06ee ___io_mode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(comtflag.c) 07d3:5069* __set_commode_ -1433:06f2 __commode +143a:06f2 __commode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(textmode.c) -1433:06f4 __fmode +143a:06f4 __fmode Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chktty.c) 07d3:5075 __chktty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(freefp.c) @@ -504,9 +504,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(isatt.c) 07d3:567c isatty_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(doserrno.c) 07d3:5698* __get_doserrno_ptr_ -1433:1350 __doserrno +143a:1350 __doserrno Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(umaskval.c) -1433:06f6 ___umaskval +143a:06f6 ___umaskval Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(creatdos.c) 07d3:569f _dos_creat_ 07d3:56c3* _dos_creatnew_ @@ -517,9 +517,9 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(stiomode.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(vsprintf.c) 07d3:58cc vsprintf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(environ.c) -1433:1354 ___env_mask -1433:1358 _environ -1433:135c* __wenviron +143a:1354 ___env_mask +143a:1358 _environ +143a:135c* __wenviron Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbterm.c) 07d3:590e _mbterm_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbsnextc.c) @@ -540,7 +540,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(chk8087.c) 07d3:5e64 __chk8087_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(enterdb.c) 07d3:5ed4 __EnterWVIDEO_ -1433:0716+ ___WD_Present +143a:0716+ ___WD_Present Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(flushall.c) 07d3:5ef8 __flushall_ 07d3:5f8c flushall_ @@ -562,41 +562,41 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ioexit.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(prtf.c) 07d3:65d4 __prtf_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbisdbcs.c) -1433:0718 ___IsDBCS +143a:0718 ___IsDBCS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbislead.c) 07d3:7744* _ismbblead_ -1433:1360 ___MBCSIsTable +143a:1360 ___MBCSIsTable Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbtowc.c) 07d3:7760 mbtowc_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bits.c) -1433:019a __Bits +143a:019a __Bits Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fdfs086.asm) 07d3:787e __FDFS Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(noefgfmt.c) -1433:071a ___EFG_printf -1433:071e ___EFG_scanf +143a:071a ___EFG_printf +143a:071e ___EFG_scanf Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(i8m086.asm) 07d3:78f5* __I8M 07d3:78f5 __U8M 07d3:78da* __I8ME 07d3:78da* __U8ME Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(___argc.c) -1433:1462 ____Argv -1433:1466 ____Argc +143a:1462 ____Argv +143a:1466 ____Argc Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(amblksiz.c) -1433:0722 __amblksiz +143a:0722 __amblksiz Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sgdef086) -1433:074a __Start_XI -1433:0780 __End_XI -1433:0780 __Start_YI -1433:0798 __End_YI +143a:074a __Start_XI +143a:0780 __End_XI +143a:0780 __Start_YI +143a:0798 __End_YI Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(histsplt.c) -1433:1468 ___historical_splitparms +143a:1468 ___historical_splitparms Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(dointr) 07d3:7a40 _DoINTR_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(heapen.c) 07d3:7db9* _heapenable_ -1433:0724 ___heap_enabled +143a:0724 ___heap_enabled Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(sbrk.c) 07d3:7dca* sbrk_ 07d3:7dda __brk_ @@ -610,16 +610,16 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(setenvp.c) 07d3:80cd __freeenvp_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbinit.c) 07d3:8169 __mbinit_ -1433:0726 ___MBCodePage +143a:0726 ___MBCodePage Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(mbdtoupp.c) 07d3:8244 _mbdtoupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(toupper.c) 07d3:8252 toupper_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(8087cw.c) -1433:0728 __8087cw +143a:0728 __8087cw Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(save8087.c) -1433:072a ___Save8087 -1433:072e ___Rest8087 +143a:072a ___Save8087 +143a:072e ___Rest8087 Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(grabfp87.c) 07d3:8261 __GrabFP87_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(ini87086.asm) @@ -658,7 +658,7 @@ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bfree.c) Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(bexpand.c) 07d3:9047 _bexpand_ Module: /dos/fdos/watcom2/lib286/dos/clibh.lib(fpeint.c) -1433:0748 ___FPE_int +143a:0748 ___FPE_int +--------------------+ @@ -674,6 +674,6 @@ gfx.lib +-----------------------+ Stack size: dac0 (56000.) -Memory size: 00023470 (144496.) +Memory size: 000234e0 (144608.) Entry point address: 07d3:022c Link time: 00:00.10 diff --git a/doc/art_tut/palette tut.png b/doc/art_tut/palette tut.png new file mode 100755 index 0000000000000000000000000000000000000000..aaa508ac9adcce02c0a072a339f07eb9917270d0 GIT binary patch literal 267469 zcmYhj1y~f{+W@)((j7|+EFegCH@lRCAV|Xxl#&kVlnz026TEyQCZLfdB8_ zJI}JSbDo)V&f7Tzzf+XPKqWy1fj}6tGEfx|2(AwVLQY1814ft(mXLwd3wsr52~g=U z*$!}lU?Q#{4gys~pxqg~1g=qRWHjwTAk2=ZzZX5$`JaG65(k)u!+UFE2PXqNBhWho zGb;xcMVKn3BPRcl6TNW2Q6>nIg*#uB$9$_R5)1 z_2Ba3#=X)LNvzfVF!Aq+FO7Z@YapaHdY#EDc{Mc^icYCb=}s+O?)^F!yg#u10vwl3 zi{}LlR8A3iz`jA=)QIm8o`2LneS@l>Km=tlKYjn-$@0b1g(=$8_y0~}+RqoWPe=oe zf}bz`osa_va5m0$A8qu%>9?$|uMFsUNW_=UT;`_T43cBzc(p#P;wg~}b2L^3ZG8TG zGGo=ZbTbv$cE4_n~Y3JQ#ek`b|~atmo23zdwjY16WK#v6=fgf=E>%^9Gq@{v7R@hu3p8X zC@1116&*2H^jYTMW`}0Tv-Asq%}^mM5iY0WDJR>DX4iqnHn$#|XtU3_*RvQ~oaw#1 zOAiz?iIH4?C-#eqG)d`b%S5yG)k}9xTDWc-reA}5pjWg69lghPo zRzdA$GC?w27EUg57Zcygb}MOIoDz^Oa(;Sbd@)!On5fIo>EwWMr!nm2^>>|{mx)4x z(@WK=YjUDH+skGBpt zTjUfX5t{K_IqNhE6kCgJSlfe^QR5W_r($%$+;OK5eR&Di;YD@DZgUiAoinHPA3t#D z=Q|yG?4SQWP<;Hik7zFkVZv0-C{GcGm%lChOA<)-Q?jY_hg>IFu30@kYSrMH9fq}@ zeKS+TSXrZfS|+{F(A0D&S0Q=2R9BFLgM;(=5Eb=0YKb;n!|X)^J<;pupQ421PHSy-I+_R3UZt-pNclAi?NoJMhrd3MgK*JEH10;VDV3lJr~0U#qq z=qL?t?p9VLIS84cy^M?folmg?^ZkiAV;Vdrlfv#PZtu+FE>3p~H27;5vm`s_=eY!+ z|AYt}s}LC+d?cpvPh@n2*}1r~mt3r@Fnv-$PlDsEs7B*zXk){6J9YPTxF6H?4JUn9 z0@#0+PLOU&DgEYJaOsL;xa{?-w6=5e2%8I`i|vjCvsZ%d&ODq4r6`HoO_hs6NDEC# zH4Xb}J!OIhxkU9V`;V8Oj{lSmXuL`_s}ND)#kBq28stb@ zxznFoI_z#o4!-dYu@|Y$XSOp}?>_l^;=yfxYSPwL+od_+uA|_Ybdcuuy>Jx_X)v33 z4M|E#nLJ8KAcnzzG&X*%O!V>N$8E@qC!rht-EKIMyQJ0Rc<^w4%joZ~r@Q8L@*cIjaYS#o<{g*s_Ei_)%Dzu zyPW22dw{Jcnxx6TjRZ6JM=q2`5<n8es^j&-I{a|*ZeyD zAeUIR1WjCnhT`IADc$|{=+X1&?rv~-xp#M}D9VSbAVH=YRv8%-GAAu1Wj7Eirspjt z#zY4KId6pv9@by2hT=^?)QAB5%B@Q@{@ekuY%i%2eE@L2udgq(DAX0A=_$N`_sntI z9KmLh2-dILAygGCuj#O`$AEP-O;Wk}`0BkLAK;PFauAR#`0! zEM0Xk=>y;l@h-U^wOryVbA+q|kZM#J4*@iHnbtHpn5|)yrAs}8)G(@$e*7o9<>7Vd4NwMPwg60DFK6>@T&6qKpg%e8?t!|3eHqF)?9Axpj8tP)Jv4n@UXgG1no4 z`Prgn2r_jkp}%f7w6I``#NnS2CuW39;h{uFM+0~aj_NH8T>U-?ObK8}50IgRZMY}J zDu#qZ>Qt1egr2+`p^6~xuQCL9s5{dbxaW3j|m9^&O z!{c63Tl2cQq(>^``PJI9B*#Bm1NqqZd@V|ek{HM|3ipx^D_32 z8-`d+-#`(`s`n-qtxPj%EXb9v`_(kv(Z+eEj=Sg9jU4v|46KzjKIHWF|Io47V6Btp zsYuNg?L%c!EXu8nLYLwoFt$G?J(@~U?`*g#*cXHg5%}2Q(stSQxUnApuv_DGQ^zIf z*3Mq^aI38d$(%A*)R`oUK^xY%doPz53jZ>Lpgaw5`JC5(`sCT?w*Qf3B`4E5*M!VE zt=W%Yk-MJAZnf=R1|3pnMn*>N?3g9p&ioj0|L9<~8~R8(Hp$E-DY4zT3QN3W(4atiI5}7ksic-r>}KGVcD;X(BN}Y5MHWo$Rc$ zE=x+h>Ec{9(Wa`03$KS;9Tyto@f0RTE=Kp^q1C58CZ>N)xX#GNbSc^{y!g+`J0e8( z|K?$dK2%x%5WU*&Twa#pSb!DkD)Oy@$UV~B4OPg51Jq#8R>&cGK4bLUT;F}++miER zo+F)?o_$~6oSqjP1{Pjq$~;wf_wN$7>xl?a+cVVJ>%UaVUZ*v{)jhGE&?X(j)RMfCP!X^yY694xS*Ue^K$8ea*PdU z?5`<=_2Q__WQv_mgx7gj&r~ErA+Co!0!_6x_mZ2A|d(S77A)ere=(gDdAZ#fOmb@ZmZ^Hf4dV#XcdPPdnaM#bWMi+SCBIkvAljv@gS6uG|)U4ZPgb?k|{ zbkGkenvLt+7kzAC#`3y+OGkDL7sK<+5Qk`?>m7h{6>V`jSp7RjYw*K3A>cP2&KUj> z%egH&D_S_bXwu;QvR}HWJk~sOi)H^~_1 zrQP;TQn))nFxC-3vze^UOL?u<>An7>Qi|l3EF%%Z$EOyxq#~|O)ozCkS(4fg;u`K7 zc1g4(X_=atE6OCVVx#qOJ`%nbL@yCm7h!MUO8Ur$gB{%LjDv%7&of2=pr8n>*0p~! z8Zq-GbLRF!SO)rJek_(W!)MdbH%SZ99eZYu6c|_&OgIWSW0RBkIN!pCpSA2IXG}_mZ`*g z-$4Jy*u=~^##AH4!}5X>uyuevq2Fg?Lc7qrUom*HAl?*vUvXX7-*&tY_D)DmrOouX zy#OTT@89ih({W`;n;pg&69(2m%WtMUwwmYwLfsH|PR*dIVF5zDUGYBysyWNm#$4m!RdBz5YIpnY#J zgd0h;l(@KWxE5|+*5U|Qsm)~Tw4@|CSJ^14R~rRJh7wg&=|$>giXwwULl_tsIjE8r zfQ^;o``~|4r(4Iuw#5tR$nS*Od7aa5*jwL+9mO>DOkB3i3%6R%)dHIF%buQypkVS@ zRIz@W=l#w3quo6+&i%kSwMswKo~he!JoBJ}%h)f-6tLjN#)vSCq!LUb!IFgY zjUEp3FLUOKep|!7SEwO$)*p>EArd_;O;7SHsc z;f8NP-90^Kwm)|*L|!|{$^*hKFE5Wwl^yeh@muNXVol%gp;yJ@w&u}t9AbSqhTMb&9UQphF9mJi0t?LC})jni?rI|Hp$u3Hj=F$vDDV7MTf{5 zRK{DVCjadNx5?1K;Y_&gac!(;Q8BB|r0wJfM=+nW;&d$!qC&BeC>Iiz!=Z-s;Kr{;W zP;fl!`N8OlNb`78M(zP*Bc^3Io3gj2w5MARu#{Hsc86OcS{@n~cG8n#WscI_83ZrZ zfxJaof1ZfKP{XM~_%u}}5E~1So}*Zfy(lUF6IFbI*x60K_q%MV)^e`qw_ftyTWDY4 zr?17GKZ(f6rxL)SvM3dRdk1*87JqWF4Gj$;iK`HD<|z5J7kdy9Vk*wB68(CXIPZ^; zH|qf6a~iPo^EUt@K9tP6KH^-~{srF56g#CnZLs;cz$)qZNZ`)AP=fR4vkxSVcwO^I<_ zh<*4>vt%__djL#LnQ4;l5f=wxz5~4Mulq`q>(i?9l5z*1(Yhj`T zq)(R6w7ap_QSXx|-3cU*Au655JkEG)f+?!l;KMxK4t8RCy3z+~MiRT=D4Cw%V%;W3 zLqj-UsG(b#lL^1-)ARXnSaH>Cr_|Jq+WHGZ_LXw;@_=~M54&)TRu&mnsWeudke0@h zE5yYGMB-6eB-mivqJ+}ox^QQ-|H3>ir&`6&UjrXEcb@uiKNwLs4cFoW0oY*6W2JQ5_ z=8uAZ65Y=h-a8%+5_hM$T*Qxp$zut5H)~ZN{Ni$3Wom^0#tWqIbXN2#)~;g_yf!Y- z!6tP=$H1U1gxpi9!symvJM)?@5!9ao;h(fm@w)#|+4#%BanWeK2&f*7y#WR$=IdQ5 zI;P6P_Sc-0__E?qv_7Pg!rnuQ!jTtGd87kQ0f9#ArPgA(-dWt7F;e2$X4;5X2`@YFkUyXLU)r?bVsP`6fDTLl zVi(Vb)gfwSWrc>a+JTA-K?Pxj5&h~6C8mY+#tKVZ0&!bUOdBfcxG+4Anoe@X82Mz& zEM$zW78E^`T2FUE_FYT(t?p;3XGE)Jzv|1mzj9mMN*Y|Bj`gqIW>~siyc{PM;$zE0LP(I0 z&yS`{|9b`FN??3qq-_uorDkPi^+Biwoet%v8O19Q3fcT7Chg$bRV5MlaS5KNp=Ipo z$fJzg2S?ktRZ#H8!QYRbiJrLM;9icJ-Gmc)QWxOt1DurLd3o@Ag#%R6 z&}CJaAgisoiWDynpF6M|^4Iwr6Kt>#L)fcSsxU8>I8R!)i9yrgJ8OuJ0m9Q#Unwv)Rn11y-W~o zV~9u-vE+%_-c8aD#~?rFU2-}#7Z>Shl;(Qp9p1t0myyI5Z1q#w^Mwrj{ zjT*gB=6@51`iswMI}P!9=-pP1b*<=oESVXf%qIu|S5AxSXDf1X1t##jGh{zia6l@{ zf&6(s?>v+<@%Y$AA5Ktb<<`q5tbu}btYQj~#>1|#!~MRp%~SjvTu#-j1-U{fLrzWm zRK}?Kb5P8< z-Cu))NDx3HFUQ0U>^c5<|v$g8D!QB*M^|8a8x*-6lb#Jikq_ftpY^8uE~R| zlZb8-dmN1Rk2N=~?~S3ss;o&29kGPsrf3t}PQx0w;q{J;bqB&IzWpEgE?1SW_*de? zb{e>%C0ep`Tg{NrhS@2$#r^o&7LytpEP7+}_{kLe+cP(m(VIxkQZQ!5bF2C2O@^`$xqJ z(&70Rb#K%>Us;qE-zz7j6ii4nTZ$NF=w*K`x*7e@LGtdsuXz%>&YIut8JY5)8q?vs zwXoeGRiEqUN#B*??8^GR3=`j|@w9-99GDog#U6BJ+1QQCTPb8ohHO};j~GK#`J|P( zYp=F?6VJulB8v8`IHg19a!p!(AlwmU7$0)np6oO3BmMRR*KaZ;lr8R255X4jeR){^ z5f+DtjNJ$iB5ouEH|2fS#3F7vcX@Gb z_93NVx$SQu<;=WGcp-{=#+x5(-IQk5XR0|Db2tB*!&+P%RTNbdLTkFhRqke?3x=GugCGnJplF{EXZ-h-CIBYo8Yo z))+b+rR4)P=k(vyc|4|^s>DXLt=Z*Lp0#9uZQD#$5Z+Q6Hhph@Rnu-PqF;BZ-g5Ux z$q^-ATU-YHQewJj{UUz@w@C{}+v zm^z;h5vngnML37L?)mdH)P^4!M<2~dCzU0#*L)+UqCA&q4Y^I26+K^NNJ8;u>}$xF z&G~^YBVZzZpkkPFWZGwV1(Zkt@%OX-LD+C{jB()De4V^4!ZIs>=G9X|xHs`hus-o6 z<$NPDkIC#eIw|B0&8+{DQDj%hiWWC&D|j0EnQxs2)*w)T@#Gl8KzxMTCoR^9` zGa87`64`$q@vF6uJ6xT5^u!$;i2e{VvH&r=;m9o?Qu!wrn*u*wLdZk5kgdokT_<{f zr|h?UNRGfeGf&4uni$rONjNg!bqs#t`F5ci{a~QqGV#x(pViGGW<%=2k-dga(K7ybkL)z56aUZql`(mm;Q1`!^_Jki<@ z90&(2*dQ@FGh@_`sg>W!$>tj22%ZGwzg}#m*OIo(7u!=(*t1`&vPtRuZH99a9V}j- zUMd?}4tVJsZQq9N2QKqgiGj8mb^IA;$Z{4j4yixM>0{myYEFra%ZfKZW+Xt?P6y@HlCGj1Ng!)t^_eEgUHq;qi}dGBu2 z5#Yt|=E8fo4+nXk$MJwwC^wYG-wP(nt~C)-5LZt#+6(R^_}??82s5m7Aq`akbni+P z_65cA63x^t+6S&SexhtvVO2#p^#uFLio3agh_5R~DRL5p#I(J;6TQN- zvt0l|^!^h`4`+&ojX1*kokr`YFbZfJ6%GcJ8D=+L!qWsH+OWcb8ow%Q7ht{eOjv^B z8opzd!R@PbJGO3B%Rm}0(?y0`d=o7s!|ab5bygxW0pA;tQIckU-!%q8lDqhLz5 z0IY$xm)62kk4H53a}hg)XvVUZ_av!&GF$0lta`F*=E~Io(w5-PXhmJ(g0`>qSstz< z-F7&!SUg2OdGnCHr6X4e#3r{#h|JzGG4;>D3)r5~AN`25^C$M~k2o&0hg>}UMnsrH z32SH~?-eEFCJ$-HKXCl$+n5&#j2Ip}sBUGA9+pxQDW-yDbkyWVf<);4-ZA-oog+Z$&MhV)aw3WDJw!UPY&9m+V z-0oaZjNhG}ZBIOXT3dh**A?7Nw0@ST0I@#?CqEZs_f-fmFt z=BmhS2&Y??+!eXyp2_uA^K9u=2E{|ztAw1Lyqy{SrzsK3ATm<$0IR_hYMpjEXDiG7@D4FH;c;-(0 zksAKS>6g}frW@brcXlA})=_Zfa%fPmfD}+4Gflw@+VNM)0eekB)3E)j@1Y7jVz zhG;s4wheIBYRAr~B=}KqA6wuITj0h<-~dL?0}^|LP;2`mJgHUhL2V!5+>FI-c=bJ< zD?Y2PwAf7_omquco&m4#G{XfH%s9Zt0L}){H5SWj$ZSx615wC3?Eu2$`|b=zT~I^a zDSpQ<=WEx7aE4Hx!2RpX(E&IIct%a&MHe@x+T3;3r=;Ou%eVD22R!DDcE$m0iNX+y zoF9*twu>sBD3aQsckBunUJVAf(z4oboX(SGV`7WOtxgJO5a@UwXF=bn2_J(^@}J`^ z@6Qb#iQ?mGHz|m|N2isxOnh1Yh4r){S1nC58UTS3*%Hr#Rm1nW7@vT)b6W+Tdo`WV zAMnx1oU6^iIzXhVby!bOhCyDpa!fpaOaKKkJ&SYODL=W?2GYDjZ{E(REuJ;3BKglC zmCXc>*{UpCY;ARlWDh%Gya@k>Fe7CLX^nmNoPfPL7fRZxKX%d)rRuh5Sr*s0!bAwD z1$nG%Bp~KCgsl9Htb8!oy)gK}D%>iWvD70HHZ1beQ=n90SDr4oJt524J+!igxyQA0 z4af`tJIi0%RSTwTktvLCJz6VFLb%`z0mB?qStP{mF8O4UOm+paiDu!>URuFV=v-rn ztTMX`>F|jgq&VEzLwyxw$h*D04Ra`Qd^~WfYp)J3BRt05l-=#X>nqg_Dv2hz^KE@y zq5u4ATIp5J&F)99lTSL_H@>_FA3V;(c`ZB1vBc{9>s#GIS<@`WFFkbB6_AIm_tHYE znULy+vJuG8qtmlig;%*GpV@m_TYxBO>xO zS5zzxJ(GlRv?~)0g#5^$Q~msLZ@R>QAxxDW|J+Z`(*19)($8*C2K*$NktGM#aa@{j zOdG#qJ7s0{brd>Gn+BJvg3+!WQb(&yV74W5bJ}$1wa)r5uv1cm+LHZlCv37C8EQaN zD8c$hRe-vN9il>%@{`?@v?Vb{*Wd6O4RsBn%vPmpB*Kg+bO#r?W6w<^pBE92`_-I7OV@e(`AnV%Buo#P*JV@Q*FZ$mgrY<(F5pux2_(3vHzaJbg6~*OJtzHbN$7`pH1%*8 z@}WOt58UBPP(=~y!(4u%*?@-{UoPUgdhLo%F56PK|=mG<*j;VDQdZDMT0k`u--EU(b_@F4W&Zx^Nt+V0ELmwD+` z?GQ#vMfWMSzBmhOnGYJx7u;oO>7M2JY~3Fy#=M(H2X&PrXw@P;trYc4H%s$-G7Duy zJ6b@LaZcc-FMFRkoNv3~O^*4K4aTkV2HtOczCMkz8uh=t2**Py37*Qewgo^b3JXjb z?!5?z$&EgM$VQQCdqCmymPf05QA0o2m}-6UhlOJGe5aVd35;*MyVVOJDTN1Ra9c)R z1kiL#RHCru)2-)R!vfS|0KQZF$}H#K^^JG&>L>ix;yJH*5<7FP*CyV- z@r9*SH`6&?iq;FEc{`B9;L376=D{m}(f{ff3i<}a7fA<*lZvgq&_8eBr{sKs$u`sE z`gd2<-ySvvubLvie!93CTN|lyZnA?*9fT`zFXbx~5b&B(aAW<&Wu!QKe!D$_?+xn2 z=fEqk?UmAsK~(uv5;R{Y<=U=4=^rf;`x{d^OBU*t4GT5spDh>wKz!V|*>##;)L85FGjrlK+)CJaK3C)y_I&|;VXmVkv zziDw$<^6xD5(Jz1^t=S&tb9Bg%6ag5S2+m%0nhKFzv*x)oev``zb9`a(>9EZjQM{Z zKXl%E!5Egub+!Ka z+9a*%5kOFMXlkAqIS3AfG86=EqOR;XTeg4h&26=vwG4yOmRRr zs1FFI8R6v`-!+N`(fAFN_r+vy^o3+!3^9u0pt>q#T+)z4s4;+4lcs#cn{}|yl7POVabL&IHiqEPraw6Pwfj*X1-9IjU7Sbk@n;Vq8SRXAoLC; zbW)2x7Qa-2Hj2wbX(+KjG$s3L>LcbCB09hscmJ#i1Sup@Re{LY=+IL*x^e)Kgg3`1d1 zwQ7QP;-hlRSh$}*m4Q^b+GS!QsYf2O+(F=^o6H$h`7^#r*Skb8(0Qrn%S-|WBLj)z zzsKxqq9*epMX~SQW5lG4WFCmQN5oD8h*hEnx+j7kF9por?# zzFJsRdeZn)04FCEztz91ArHgA2WWJ*S<&`;Wj&T;{ezfNi-Dxl(SI@D)Z)J&Q5EUz zzL(R&k%-!qA$Z>POXfZb=+-0~84;&JS$;W@bz>&2u+Ma=A>M}RGC3WQGI7uvXAWkS zJ&113;DHXl*=Kd#FR{Prhl{`19-2vt82^x&5CX&!Y6}MvOCPms_V;vUoS+YZ7ISTC z^XX`0(=(BD2Nrm1^1TSc8OA@dqDv_I{lN3h&W3QuE%N$CDM_@a`MkZf0Q9ActNQ>9ani_jojhiwK#y)|EC9QeTps3{JmXj)pHscR-N zvjjQqIl=F*)|F>ACe3@k82iKEsZw$P-(sPUV=vA&Rnx!+TM;BCZE6vB&@ZEa)3}IT zl1!)lXyGHzBQCnz1YFz%&|0}kcCJKdS(G$mLFul0kqPt6?`3j2N-JM}IH5(Co7BlkRwgLZ}Vua?eqt-znD@$4i~h0E`u_r zA64Y-Tl0}VZ>4j#bL}hViw~xrSG3J0^oADSf8X1Y$&nh%H`Ud^9_x8nm(45+qE{oz zT_Nntj|P*6#yB;bQ5&tpz9EO%E0Jo+BY{B6+VujCAC&xBFbk38h&FGe<@!)Ur`2HL zrw{HoG4B=oT!x^HG7&;0A0_lo5IN@!JpFrN(DNjL1Cjb zFcG%_yA_6R5%DS}LaSNb7A#PP_(~_e&E|NyKF%ap-Hp&(ihr#< zO(bP-O@A>=s<{(FIS2(Z2;SgGj{Oa2`}9-Ng(P5Un)Og~KJyY+^^MKR8c(iIbkd)9 zqI7pv`HQ$W0!C2g;Q-i0v^g>>mGol+!l%Ml z2$6&7q5siG)cQQ9><|jMAVW?>tz7{J)h2J}2{HgbV!e2dKF~PsMOY5|5nXYYfLthYDN%|e;qF%WX)}YDXHyWDL^V6XF?v-P)Lj<7HG|QtL=@)Z&0OV z%N62@AlEW~L1r|(1nB}8sZTmEa#%cAL1 z9T(K3Dj6lJOA};5*q87eHz2Osw)ZE2nlsJGrng4D)&Fm%j=P3r1HN3_kVnq! zt4Y|Kh4Dp#l`HUm!J)JE2G*~+NZsIhywyS4o?vo6@G zU0?j>{9s~*Pk4nZV0UA>hyF+XYh%-8 zsZe$}>YMyXnb(a!iBvOQVotY4=0hNs(+pG2eYonE>?o?G^SIeEBjPV zjS2ivUhG;0^ck#?!!`xH(*iPfo2)+An-(>F2y<=Abr8mx*zq2656uZey+!%&%Dl!# zPAVPqU;6b54#+?bvG(++ptvMAcX8KcwOQGzD`+DrX#6ML^46?P=pC}j?A_1?1J<3x zzjuZZ(V!6W>>ng0RQTz^6~gy=-Fm7(A&t)}+vFr19{m=$f>@|apNx;s%g|e< zKS#$>eO;6hO%?ZtoB&go_7zdcl)S*dIuReM2L20ek4#=1hXNF}m~kt**&<%~=7iRr z|C}C1PmPBo?V0CGPusDYx0Di0(?@=q6xz7iuNXy!;hD*F1}(y)$yT~c4YP@-KfTt4 z&8ET<4))Lrs3KC!pQ)+mTTZiwwn>yyJ}@vH)9I zZ`HpN!7N6L^fL9+m;1LX2|QL?6N=WCK}4S0cBUL{i!w-x=7NL9i4olI&-kq?k7w2E zwE5}?yqaa}D4Y!bB`H8GKGrMoURMW#YWnvx@hm}mI^nl>SgQKMH%)Xer&4xz!$Kaw zf&1ODt6`(;_a&Ybt-j-QYtp+Ins(eJg$$#C?@_LAC!1odkmwV0k3Q2ASHtR~Aax_Y zZO#uJ`YsCDPZwt$O8vanKc@h_ESiMb>W?Pkb@*$&BisFRL$JIO-kAOoNvMpP&{gPG z{HfR9owT}>el>OdDN`T{GIw5Zg@m*8Hm(7uShuWd4_GzOF*ga1%8}Qy0 zR*;$2X!+)bylPh&R@vJ+cXLNbljQVI8R0N&`~W?TPadI}Um~m6wc-hD{9*mTb1aLf z-w8pT`+5n88TEE(^7jO>d8-|DY(pi2OYI(s_Hx{Z&Y>{1W5Oey#j|5=ywu2OLSbuh zt=Qhs$40XgKCSZ$U1|dXFkP9mxUxaMMOYE*on7b&gaGVyGTSBOeiEu*`smzf0K78< z0-ceHn}*^Vh(o~VeMDW^t67husaz#c9<{NVXJnn z3aEEwtKHE)gIamsP2c@~tIc%`9+ClHvYs$38A|o%rwdMCi6)*i2L*W=(Z1>dN*weV zaw1)k$F;McDQ3B%!2^h!g@VkX3;ntDQ3E(767P*bC zAZ)0^K}1cW+)QT$&m03VVtqCnAgTrMT3m{fhCA@V@ioEM8rCCm6uqnVj|L8O^M=SK3wOwpH>`Dz(ISg`d9 z^KNEZ(XX9y2ZG*`^rI-zc~QTD%d4Hqf#4-G_`AC}(G4+qg)^ zHpD@fsAWgTDnxerrCJ9PczXShlz837ClnzJ2U5*^vE~>(a8d7cW8H z*1t}eGrzEE{bjDqg=LaPy`bg}3vn1enqELQUXtD-4^?#ZuTRPkU!&iiyDWVTarh$D zI*{F$;yo^B#7W<69E5H1fIi_(G|Zea5;4!2rj1nd!$2WXljUm1rc}boJ#^fK^SxK* zcs~6NIQEt=eeWxA^NeVA_)9}3AbwzWcOm-AtOX_L!+|@O4|T{yQ>x7PRBrmn4=$97 znhV}NvvgXDhTruFclGpDi0&0R>6q#aZOs!5J9=4IVsReOmw<_5$6efa&{)g^|8+3H z_dG!JPqFFj^xqsGXKQb8US<9d+|PcRKPvzS?tymm2c+T#OlF{rzGlP7%8++hTfs;Y zhkQOCnn4CM>ug!@-j_t^*oLdI8v5Gj4#hVd;nuS9-dYRpfBti{<_G|5pm%#ma}2(? zE^df$@X7&_a(=LnNGLWPfo8XZWQk0r#g;lB+h?Zp% zAEvmCr;A4JVILD(D8~@)wAu25i3qW`qrZ>ESZo$fC8t(hyH2TdH!PankcLmnSJ(&o z#&&6A<1jsP{mHtBMY^uJ7#~ZhnLORGVHhBg1^f7(_J6`St?%BMef>|J z{I-f!*Cwy7uUIaN@4kc%veYa-UoO3&r~07174&Kv&*$Tdcami7iP~S&C600bA}wzR zQrpID+HxoKs?k=HW4_KJZk_aG%EQA@#;4bV=a0~C=$EF1?R7}nrTIyzP<8_>taZxP zuAV;>nhGe$@%sV25@z>eI=gd!eJgqGFyxgl{na2w2+yu-tP5Sr3-mK zwQ2tPQ)A-JorPT{E4!joO`-q6XBF5IZI;u^ef#Zxdpm9nBYOV<6@y4_<~!!{G!4Qy99&M7*N+8`e=W6aiqTA@eV!sascHZ1d(Kyu zPuUyOuO!MTu`K>ai{Hu0_zeHfjST*_A>_+M$o0>gE{s3~(}@-`Uzq!M&Y3JV+fRJJ zd;xS(Rw^R8qX}CtaYvD0?RdF*Nwg^yl>ar+%AW4ZLP}S}7uyw64M7|hSr_%I;@@kR zL29mkGDC>*)w0=Ui1K@ zy}=zTJ-Z#vCEhB`lr@M{abV5F0}p&@4|W?1Dj)56wAZ@0uB6=9Ms(*=31=lNtLOAbjeaq?S zZ-wLR=QL+N(y8an&?4gU*FhS?OD%3jih7f1gBH3P*|;kw3Xg*k+vxAqK4G9AmzGVG z6|c*nK82O>ksj`6L^Uu5v=BroXd&dSK>r$WvwTP-^)I;)cMrWNv{haGvUwy`9)#-( z!h38)pvKzKa-15_j$b#oB^{gFvpZR8Nx7`emCq*eo`4~8%-;k90Rfbeu1WdXSWc2^ zz-UN<%a(|$lrF0O<+omB9Tm`WZ6C6j{m@70j^e8^-17^w6&_;BsBc$@UCwB-&`c=M z;Pxj79q>Ohj*`j8uE222G@~uXah_9h_aa>}mYa$B@zJhr8|%NOG;K&~a(Didkix#J z%8j*!Ku(>wTDw4>pLz1`D!7; z-&EtK!VsL*mn|m*aG#B#E;0ny_M13{hIalwY6wmD_r$*KVVJ${Y~wl6M=`!RkBH^v zb-(nv(c$)vI*K=Pfiq#KLG4W#I3uC9T9EBGIfK=T%bu8h3=YCR^? zB%05w!@}8@4m;0SDmmvIUr$^!$R7qmEpH5tN|);L55&Deh)@4sV$>Ohxma!bZiU>N zzYW-v_g^Cgd3co{%s+A2fZ`{mjtkEFy#IB33jOO!8g5z87Ut^C0G2Ej>!i$nYqDzTQ}VhT_rh>20Uymj&6CR66W03;p!gTjfXgs_26A+W34CdrX;b9jKycvcb7Pv z^A&aBYr=v`?a4uAm<4@5>>Vgqzl^qL6%e3WN6nBIE7{VO2TN(pLX^>A!jZJsJjz5n zN)jK4OdfVF)$|u`kKwkx;A7~#*Jy0q3NQH z*RkuPrK8ifYC5Q06}en+($}zz$Cy)FO7XauxqX#LoyiSv-xtT~zJdm=23~4%NFNcd z^bcYY_H+}|5?wR+1Et!|61c)drAy7c%uxDu7Pe2V>fTdt)Bmeli2uztd71HW(tGs- zbDW@Gxj`B_2>%aZZvhom^t}yZpoD@b3?QL^NDheP(2~-fLr8ayLk}R*DcvC59Yce3 zBi$WCcjq_4@Atj`|61=_uWPwjUN8IJbI!hd@3Z%_pTi{m0S8cM9`Z`D4GK?o>7tCD zao(%na~yCU+CjdIm<@utpM#?M=x1>e`#Q^?xq4^(qVZL`Wiec^Pp-C2-AifHlT=CM2smi=6W#rN@}MAV z^_>KmMkLWZiQK4?tMoba^;6&{p$I~&Nw_r~{S=h3|9W^&8##&p_Fy;&H!^FTP|6Yp zWPPU%{KoOo8B%HU!P5Q%`~ULE==QD``>{+HN7tjOB z26(w{t_$t!=`O5?rPxho0=6vqE_fP`e%l*ZO%Ux2kSxO8VO6Yb2LRr}ui?xhEXN6O z4nU(MhZg=iGmH$M=fycd%S)K^s}Lh2@^K~{ZBU8UgVvdEqmYA4_Ieulzc)Kus+pE) zFGJ2+FQu32XF4H$3Rxz`EUJ#wh$Rjj9?^t5FQeNX=#K=$vGN7TRsmegZqi`3>ThNV z+BI5;(=xC5RH;qH&#gB8{UThqWP+O~4x|UXwh_;sxdc>72DIm6X4YCyTflQXg+D*5 zxR%5wJtlS5nIjSq`aabr^85$%5z6{wOx8f3nk3;Bp*8TnmoW1OimwWfLWvAaO%*-G z{PdXa-lYCnSZ&SHw)8UfHf; z-L5PiH!d*P{-l_95!K9BuP`0WRT9>bE8Q}|AHJ5c4tRociQ`AUF!VjSbENe^2zyI~ zf+EWXuWtbQ=ZA^9gML@}SEaUGrMx7rJJ!P*tF%vo5KpQ3TJWHVJTwEO64TaDGcHioctI3vn17Ea5XUyi&mhOk#xw zFw}ev@0^2Mby|oSRU>VXtZ0X?#}snMB!?}0Mh;L4Wh+3|`x>@oaoj|_vV8lIok+oD zU~>Axff&HJ+X>(4+W$+;uV3t9CgUI$&r1>2m_m5YX3zN9L9KeVpqtPwefY`RWjP;a z|5Q9%HLIr7NG8v0FCIK6{1lWE?P1CTzNyK#=0LWC7o*srA|n|b0^nndM-F3c+uQjN zos!)cinpEIZWdxUo20qCu2*P|uw#Cvy98ExNr=H7z|$HxSX4J0tAl4L!7Gn*qkFj| zhw5^wN1G{2f#oATIX;u-FyQ5LaBB~O_pJK(Xn+3`PGA14(3O4UZbBeyeZ!|OQAuy4 zITjav-igRPLE*0=M?$Tdn?ZZ9J?HSqzY>Xl5{$F5jFT@}KoVI#(Bv^w39n+ipAFFd zcS?(tO<#ql|(aoj;Xe!<}*aA2Wx`Xa?M4jW}O4J5i$hQ{i5 z7qa=Q@!0M5?7Kv%GU3|wGZc5;6s`tgDye`-Iu$m%e1(RavugCB{@cGv+v%##^9^L0 z>>t?Glxui!09^=VA#bL#047Qs(?8c~<(C?{F(7B0DLsYoRB*mvBLCghL4ZzZHPFfb zys$}-?^>*-IrU=nyYeW`zng(!vG*>vM83591U?!cUUuCY4++0Uteuw~>-;2YAjt?70&CYf5|r zxV!#g{|dR8NI7`_IhQ>2$> z;7sAuljKNz)@}cRS!9Cj<}#6w+ki%m+YtptQzY!{3^tYgIub&ruDJEj0jxYx&>=t% zFeC?ZtKqBZ4D#b5kdK!X%BkyA$IobJdlpxBe5^Ml{j09{{B&MH1T3VZJ^|O>>T8*} zo=gcUtO39{d(wyBVqISX1cb_QP2=@s86En{{OYWCMTAJ2C)4#p_mk!w_mOs<0m^9G zA{!oCXS8wL7cWDX3AyQiCtsxZV-7hp#g!sXeEi`3RpE4Gw>zo5u_msPu%4g{>tSk` zjLT6+NFSd{oFNN)Q5q9kNjdA_Go{f-H&NyrHu5OHtxVh!e8Dt->+}{^ev~2&Bfv{o z8DstDhmWLgnN>`904s+b$A5ogypSAQ#PNUSy{=e?t_0>BG4ClJAR9Q*&}3mL!_h5n+w8r$#3f(K&OIT zIkoX|^7&jJmKR~4uKKAl!~xzsArvFi#e195dy&}bF@=$Kf`VD?eC>PGXuZGiMM7*a zwYake|8DD6_??IESP>s|z5y1O{Grys4cP$Xp7Fs}LECp-h5x0*ziRfPrv>kkRT0u)(Pa|xPg$|spT?sW#D9MoUzNdCAu=9rta+LyoB4~UA~3rgi@vMR>gNv0cXGZ ziv-wIP|yMw#;u4v%br-ayyeGR5$&YYjKy5;k||$pN;xPYeucNKt&A}yr201wp-%8q zG5s$VUN}>!WC6B|A=0bc$XZ;Jw3x915wf5%PENsKN*zcYMc&ixEBmCO?hF!mIZ^bBua)YAi<_G}b^S&{egq+RP8MV(Sh?Z&s>&kicEX2bS78C2?d}^x zQQPpOj=vp6E(sE6OQ$=|XBLLqsPALA9+f1VT3>z{0y-dJrzpmA!ms*B8Q2~V1N?xY zkytjN`W(@Bsjq3Lm?wD@oHI2i(+_=Y)%vumzE%a4KM?~BmZGM|#YMSnT_q-)~W zL@My{32Ubb^iu(4`Q=Jrfhum;~H6>&=tcqOZ>#<*z8C_m);64oV zX2aT*;IM1$IxxS}`1*5f;c@n6mii)vl$r+=yV@*j$p zfWi=pB|4Jco()A+{rRdkGGOkRrTZU@>fXG{Jl^LMt+Ks^*~xChhJk)J=eSB(QldQj zD%gXF`S0AQ2x^ysE>S<5(?#N*(XVf=wsbdF9);MoqqK}KtUtpsU_w^eE9h*ye;*IX z&r|Xqzj1F zHTsz`2AJ9fGO1&)`KdHB7Th<{n_ZxTq{CXr+9yLU_=Y6QZ~a$2==>eYw11AZ#(Tt= zL2$p=eS5&k5{RRt)X%n;Jqhgw%szOF>U`{L9-%3tzMGHc#nN%hqd5Jk124QS|@Z=c^Licu$s zqT5Q3B7nz4=FI9%e@BD-f8Ko#t&h%dC7*q%#Wlfqj%jPP(h>irg(@86 z{p;Er-wwZ(N!^nwq4+AOI!G&bSJen3A@3bs!Ng3nW$O&ql+#>#~y+ z%%1NF!|m_eg;6*@;d9f*tYbU_B6E5u@6j?!U(NseC4bL?h9ZlZ^XqZ5i78I^%ln?o z-+){t-XY5FnQV`9PGRK2B7en!KgvIpy269zAra8N;>|Kma^HA~((lVwc+aAMOey@^ zq4U2&Ycp~aMPkm8e>f!vzQ-~wQ4Ez($wP4;#J6y;;|?Jm1(VQyk=C7el=$Hn`N%Qf z1-hc%=MvmWJ(YRT+DH?bK@WF{u=u3A#zZ_k$=XNXybDI>xFLi(cAsD%CL;iI%!|xP zJd`($`3=sm@E$r)VL-Ve6+t^elY6`|%GlSlp~qYIDU5G^4ZE)&-t(sFz5TBA z{W~_1$d}dI>oA+dJbn-o;)^2x(Cf(`{qXZ`(|0Z4{mm%rpAxZ&A132rh5o}q`EcVs z^AU0??gia5P9gtsKgR$5c#!h&djApdf0OgS#68rv|F^jRCFg&MOVma6N22J3&1XVg zqiQ?k-Xo#yP4|sd?h*pWz*37Ga%^I^7_Z*N#eu3oV7jPnY}_&{HzahQ%$7z zIXe_Y10yi(%1qd1;>3XEvLGw6Ol0rOl+Jtd>S{-a_6+ z?;{B<=&_;c=ZCH`lvj;bDIriqxv@oRrj*{7a|^S0=FdQ;_#@z2ZtRR9{3yiCP2~Ff zW?}&BJI)>T$!76%ZHU`=_S8+L{VyqA3z4yyG5&WI0SX-)S1bzQj~)O8?uF-o>&haN zJl|n^)uKcrClO0XGoLnmPM&$ya7u8SmhbdtS4xXS1t{TWpFGVyfe3fAni?mLA2PPR z{))y*_%MYiE&+wIMFuS!&sj&$3#X`0r{){*6O!M(s~(O1)TmuISA{)q0fVbh&DAoN zyIk`6-zcPZ#d7YLeI6@)fZ!l|XgtOd9m#M0X72qRHFr7%nXI|MqT}bnscZ11`VF(+ zdM)O%T{0Xw8JQ{sY#SLEpCuh8zEP==fZ`6r;&QrB9y$>CMVlaWL#)*!IEbf(qQn?Py%=p{2mHMQi@*A()_q;I z?TQd@RqQf|BwT;>FUMGp5c<_wC*}9dDgIF4Mm!_c;#cHjgDVN)A|FnsuvAe&G%wh1 zd0~MA$3c9Wl`q*c2}&{E^WBpD!iy)3*9F_NqH*u!B02+1b;!^F$R=###y`<8Eynp+ za!3HiOzv+OkKFNVfPYcK6gx_mT$1xDI#k!7K_CbBtEaE5k$6;YSaYq?N~68PGkLl9 zU2*_iwXFYjYd>hFFG_K5DeXTB@5vCYSWnn}LJ z;h%@(E{vy&@;k__()6>IWkuKc@Z*vNARejL11oY`@-IDi)q7_0)QyjFjBn1i-+yN$ zQLe|Md?v;QOHJYpa0wB4>BB!YY9+Um6QTcGnevUnv)3mgp8EFSbs(YIC$blt0W4LG z{=uQ{u{h7aw1bIFc-fB>ro8OfTzbwQH6X?-&@I`KDUhGh;+NY1uUkVYK~cbsnA?&z z!6rfba5|NN+-scZmy~IeR+dv(fSh55BUpV`@)Po54K3QaHh6yTJGTq3ILAzX0k+O{ z!p=HsU8e7$;^3%KI7tFJ5Vvi4abjuIQkql#hf3Xane{VGv$w3zEYxnj@AI-Q9Ey1%dE5x!R5(tZAFVX5_3O? z8Nm1j3>?rbP`Zcu&_Job^YPM#q zzluc%EJ_!UK@sGpvp=JhRPcn!Fx>pR0TT6wuVp%L@d|oXsh`yA#y!lmaKh^O>ih;yp z-3R`x7-FVcb3^Y}HNJ{>IlPi9gaw=kR#hDi?YDx?e7|+_%C}N=O<|Yk3Mi;)D6-kv zzsDD#V@^y*db4%X=cT&l@o!R^++a@CCWJClDGrX)1RvV5mz&@G)dmmWR;VAiM^RE> zvlbDi`7sK|_5dqlBQjUV%xtr7^MN!<5N7?xQKdH28?G>TeGWntE zs5x%~QndSkNMV5V2tO%wW9QTI3%e2##PyzQuQvWn$4QL4d+l>;?hP^g?)%(OPar2Y+JA5B`k7$WIMfF zTBd|TvRfnx8H=SRGnGcPC~z6p1LUWt3kZD~6W9*7SEE0HqRP*Q*STZ=giKzx%YDjy zTtsYZQmF}~@w^1)(UNYYmjj<>F3e)F7D6n${fFM$q%^3m3R9Jzh$<=-Yd~Mu#0h%; z^h8aGN3w*B99m_x4bWk0*UtaxAjaF!|2Fg&lub`Q%au0vQ%qIcCOV9~FHG^at5?5p z6x>39A6Z21Wgy_K6XH>C<`+&@UKwQNEI2U-W6jO}>q=%$3YLdgrwdBGO|o7ikK}r* z+VONMl@BMfCLY!@*5IZ8voZHtV$Xr(fbNaL45JDlu%W=T2`${V zI8>xvM=Z5<=vDO=nNrzyWZ-utlE>svkngMHJqeL(hp0ztR`&wR;!{~S)L%UV-!!lW zacPWXb&*)6`BjG7C<^@T)@1Cd#HFGG?~eSU#FKe#&yi1k#CllWO|SptH?Sr!8@8OQ z@GB+h_lB%|SA5&R&c)mBkj}Uq)(QPp(g08zzQU&1QTMk5BdsFOY?V4CK`_iz;&})T zjuvl#bUXiLaJ2s-uLM{)XI0*A2e1SuLUv9LTY72N51qcGDF?27e3xiBULCwj^D#kL zN;=_Jv1GzH9ofsGuM9RhKn%i7J)vjG1Ka)|1dM@_)%0JE6+&KQD+VIkBKW=Ws6BRx z$cdn4jYxWr4c;z$5ElexSVxJ`?T#fbk84_A^gLU zdlCG7w_-kt$NhCX=oz?vHYqg!DggJK3h>*#%gRJ9(Sw3L?0-4 zXSTG72Zk$9($+Ogb>=@Qn;4vHty-X!(g$p*8cWVWwtz>!gMrpb(k?ShZT<54jYV^! z!zV>Q)Q?kcY!hl*UI5pp0=l4kezG_u^37`Hk!5cUprCmQ(A8!I@ zlUvEgR(!)+_Td<0HyvazhZ|7yU4QR4_UzNS)NW^+_d$mq^2dM%&pPX8NHm>u5tm8L zIQ#K*Oy(D`oA>*G#LZ)b3 zt5sx;gyE?By&Ktgw>4J^+#WIGF@E;Mw%D@tEU7`0w~2VwVR)-E#jNY>=Bb~T#NJ@4 zn~9NV_6sLI4a!WzbJE86$A3k&sARqjKymwFrG`+wp8R}FGftdH2BlfKa*_%mryV-8 zCF975b9k03uhiPN;`1ru!3%zHz-Xg@b3{zHveV5z%;3e|cB)6PCXHow6R;b=G z_M4*Kjr?JcnG2@nBXL?Bz^MH%V@pjnx1K*8qsKmh$Y~;(7pN9W&u{d2cLcAnua#gCsIH~=G$NGTs-5|X-A;%m#c6a3S^;eB~)x?9@JZnYA!NoNkhKX?p8R- zRw)({pSH^StiWgH=ZIAk{VyHU?A~6~#7T8_BzB9|%I1Upqe4$q&hv8%KFGiIpmBFibape4GOwmvHWN$uX{G?SZ;?v^Lsvch@VR+Hv zEa))G7X30Mo(8K4j;4BnxvVYZ-+&G*N2M?y`;Cz%jHhLmsw@8l5x|C*7EpQ+CXYmR z>)7LY!Q*4hKThBQ=Qm0ZoWH2g*A5{D4Rl=SJ-twU;b@C6qS4x%_Nk|F?V?Ctr>%7D zO9j?=szy&tI<*lncc0*vLeK?QE z&%a{Q5+wZ6EJBg)Io>^fSunU&@2RwQ|`Q>4uQ{UYMd>-(b0}X_xX=PG)u0Nkzhf8vsJ2RgI7eNmxRXei4A_+MWuJt z7KFz07N3>Bs+poj=Kov*c!$Wv*|jPv1^RrL-Ddp_-{Nh@KED8|IHFwgyzKdso0L~5 zJ|V^VaZ41J1kDwF>uiH&(JHQ>eW*;>c>c_<9NZ~Y9E!t{%D$APkD%ot>pp$6Ss`JI z+(F5|@~`SzWTEdWrMRZL^#Q!OZqO0}+N$6W$HmA1;++}TR zevNv8_%vE4?$z@=SV>QLDlz!x2=Y6(QRdq(G^FtIoVdb{SIh7HubMO4#Ru&3dd zvflR?@*1patVrR)QK!Yta(@itK)1Zt@pNR7sNyBpU~zVAfvY)t*nD+J-DEB9{OI)y4S&f4